diff --git a/doc/hazard3.pdf b/doc/hazard3.pdf index d5eaf6c..54c15bf 100644 --- a/doc/hazard3.pdf +++ b/doc/hazard3.pdf @@ -5,15 +5,15 @@ /Creator (Asciidoctor PDF 2.2.0, based on Prawn 2.4.0) /Producer (Asciidoctor PDF 2.2.0, based on Prawn 2.4.0) /ModDate (D:20221007014833+01'00') -/CreationDate (D:20230323010047+00'00') +/CreationDate (D:20230323024722+00'00') >> endobj 2 0 obj << /Type /Catalog /Pages 3 0 R /Names 12 0 R -/Outlines 941 0 R -/PageLabels 1136 0 R +/Outlines 946 0 R +/PageLabels 1141 0 R /PageMode /UseOutlines /OpenAction [7 0 R /FitH 841.89] /ViewerPreferences << /DisplayDocTitle true @@ -23,7 +23,7 @@ endobj 3 0 obj << /Type /Pages /Count 81 -/Kids [7 0 R 10 0 R 15 0 R 17 0 R 19 0 R 21 0 R 23 0 R 31 0 R 45 0 R 53 0 R 67 0 R 83 0 R 98 0 R 117 0 R 128 0 R 140 0 R 156 0 R 168 0 R 173 0 R 182 0 R 189 0 R 197 0 R 204 0 R 210 0 R 215 0 R 220 0 R 229 0 R 240 0 R 245 0 R 254 0 R 261 0 R 264 0 R 269 0 R 272 0 R 274 0 R 276 0 R 282 0 R 284 0 R 301 0 R 305 0 R 310 0 R 313 0 R 315 0 R 321 0 R 324 0 R 328 0 R 331 0 R 338 0 R 353 0 R 373 0 R 376 0 R 382 0 R 394 0 R 400 0 R 406 0 R 412 0 R 419 0 R 424 0 R 431 0 R 436 0 R 442 0 R 448 0 R 454 0 R 460 0 R 465 0 R 471 0 R 476 0 R 482 0 R 489 0 R 495 0 R 499 0 R 504 0 R 509 0 R 514 0 R 520 0 R 525 0 R 531 0 R 537 0 R 543 0 R 551 0 R 556 0 R] +/Kids [7 0 R 10 0 R 15 0 R 17 0 R 19 0 R 21 0 R 23 0 R 31 0 R 45 0 R 53 0 R 67 0 R 83 0 R 98 0 R 117 0 R 128 0 R 140 0 R 156 0 R 168 0 R 173 0 R 182 0 R 189 0 R 197 0 R 204 0 R 210 0 R 215 0 R 220 0 R 229 0 R 240 0 R 245 0 R 254 0 R 261 0 R 264 0 R 269 0 R 272 0 R 274 0 R 276 0 R 282 0 R 284 0 R 301 0 R 305 0 R 310 0 R 313 0 R 315 0 R 321 0 R 324 0 R 328 0 R 331 0 R 338 0 R 353 0 R 373 0 R 376 0 R 386 0 R 399 0 R 405 0 R 411 0 R 417 0 R 424 0 R 429 0 R 436 0 R 441 0 R 447 0 R 453 0 R 459 0 R 465 0 R 470 0 R 476 0 R 481 0 R 487 0 R 494 0 R 500 0 R 504 0 R 509 0 R 514 0 R 519 0 R 525 0 R 530 0 R 536 0 R 542 0 R 548 0 R 556 0 R 561 0 R] >> endobj 4 0 obj @@ -99,11 +99,11 @@ endobj << /Type /Font /BaseFont /d311fb+NotoSerif /Subtype /TrueType -/FontDescriptor 1141 0 R +/FontDescriptor 1146 0 R /FirstChar 32 /LastChar 255 -/Widths 1143 0 R -/ToUnicode 1142 0 R +/Widths 1148 0 R +/ToUnicode 1147 0 R >> endobj 9 0 obj @@ -1852,7 +1852,7 @@ endobj /F1.0 8 0 R >> >> -/Annots [557 0 R 558 0 R 559 0 R 560 0 R 561 0 R 562 0 R 563 0 R 564 0 R 565 0 R 566 0 R 567 0 R 568 0 R 569 0 R 570 0 R 571 0 R 572 0 R 573 0 R 574 0 R 575 0 R 576 0 R 577 0 R 578 0 R 579 0 R 580 0 R 581 0 R 582 0 R 583 0 R 584 0 R 585 0 R 586 0 R 587 0 R 588 0 R 589 0 R 590 0 R 591 0 R 592 0 R 593 0 R 594 0 R 595 0 R 596 0 R 597 0 R 598 0 R 599 0 R 600 0 R 601 0 R 602 0 R 603 0 R 604 0 R 605 0 R 606 0 R 607 0 R 608 0 R 609 0 R 610 0 R 611 0 R 612 0 R 613 0 R 614 0 R 615 0 R 616 0 R 617 0 R 618 0 R 619 0 R 620 0 R 621 0 R 622 0 R 623 0 R 624 0 R 625 0 R 626 0 R 627 0 R 628 0 R 629 0 R 630 0 R 631 0 R 632 0 R] +/Annots [562 0 R 563 0 R 564 0 R 565 0 R 566 0 R 567 0 R 568 0 R 569 0 R 570 0 R 571 0 R 572 0 R 573 0 R 574 0 R 575 0 R 576 0 R 577 0 R 578 0 R 579 0 R 580 0 R 581 0 R 582 0 R 583 0 R 584 0 R 585 0 R 586 0 R 587 0 R 588 0 R 589 0 R 590 0 R 591 0 R 592 0 R 593 0 R 594 0 R 595 0 R 596 0 R 597 0 R 598 0 R 599 0 R 600 0 R 601 0 R 602 0 R 603 0 R 604 0 R 605 0 R 606 0 R 607 0 R 608 0 R 609 0 R 610 0 R 611 0 R 612 0 R 613 0 R 614 0 R 615 0 R 616 0 R 617 0 R 618 0 R 619 0 R 620 0 R 621 0 R 622 0 R 623 0 R 624 0 R 625 0 R 626 0 R 627 0 R 628 0 R 629 0 R 630 0 R 631 0 R 632 0 R 633 0 R 634 0 R 635 0 R 636 0 R 637 0 R] >> endobj 11 0 obj @@ -1864,7 +1864,7 @@ endobj >> endobj 13 0 obj -<< /Kids [80 0 R 539 0 R 440 0 R 548 0 R 308 0 R 385 0 R 194 0 R 457 0 R 225 0 R 478 0 R 81 0 R 517 0 R 450 0 R 409 0 R 546 0 R 236 0 R 105 0 R 145 0 R 165 0 R 252 0 R] +<< /Kids [80 0 R 544 0 R 445 0 R 553 0 R 308 0 R 380 0 R 194 0 R 462 0 R 225 0 R 483 0 R 81 0 R 522 0 R 455 0 R 414 0 R 551 0 R 236 0 R 105 0 R 145 0 R 165 0 R 252 0 R] >> endobj 14 0 obj @@ -3736,7 +3736,7 @@ endobj /Font << /F1.0 8 0 R >> >> -/Annots [633 0 R 634 0 R 635 0 R 636 0 R 637 0 R 638 0 R 639 0 R 640 0 R 641 0 R 642 0 R 643 0 R 644 0 R 645 0 R 646 0 R 647 0 R 648 0 R 649 0 R 650 0 R 651 0 R 652 0 R 653 0 R 654 0 R 655 0 R 656 0 R 657 0 R 658 0 R 659 0 R 660 0 R 661 0 R 662 0 R 663 0 R 664 0 R 665 0 R 666 0 R 667 0 R 668 0 R 669 0 R 670 0 R 671 0 R 672 0 R 673 0 R 674 0 R 675 0 R 676 0 R 677 0 R 678 0 R 679 0 R 680 0 R 681 0 R 682 0 R 683 0 R 684 0 R 685 0 R 686 0 R 687 0 R 688 0 R 689 0 R 690 0 R 691 0 R 692 0 R 693 0 R 694 0 R 695 0 R 696 0 R 697 0 R 698 0 R 699 0 R 700 0 R 701 0 R 702 0 R 703 0 R 704 0 R 705 0 R 706 0 R 707 0 R 708 0 R 709 0 R 710 0 R 711 0 R 712 0 R 713 0 R 714 0 R] +/Annots [638 0 R 639 0 R 640 0 R 641 0 R 642 0 R 643 0 R 644 0 R 645 0 R 646 0 R 647 0 R 648 0 R 649 0 R 650 0 R 651 0 R 652 0 R 653 0 R 654 0 R 655 0 R 656 0 R 657 0 R 658 0 R 659 0 R 660 0 R 661 0 R 662 0 R 663 0 R 664 0 R 665 0 R 666 0 R 667 0 R 668 0 R 669 0 R 670 0 R 671 0 R 672 0 R 673 0 R 674 0 R 675 0 R 676 0 R 677 0 R 678 0 R 679 0 R 680 0 R 681 0 R 682 0 R 683 0 R 684 0 R 685 0 R 686 0 R 687 0 R 688 0 R 689 0 R 690 0 R 691 0 R 692 0 R 693 0 R 694 0 R 695 0 R 696 0 R 697 0 R 698 0 R 699 0 R 700 0 R 701 0 R 702 0 R 703 0 R 704 0 R 705 0 R 706 0 R 707 0 R 708 0 R 709 0 R 710 0 R 711 0 R 712 0 R 713 0 R 714 0 R 715 0 R 716 0 R 717 0 R 718 0 R 719 0 R] >> endobj 16 0 obj @@ -5608,7 +5608,7 @@ endobj /Font << /F1.0 8 0 R >> >> -/Annots [715 0 R 716 0 R 717 0 R 718 0 R 719 0 R 720 0 R 721 0 R 722 0 R 723 0 R 724 0 R 725 0 R 726 0 R 727 0 R 728 0 R 729 0 R 730 0 R 731 0 R 732 0 R 733 0 R 734 0 R 735 0 R 736 0 R 737 0 R 738 0 R 739 0 R 740 0 R 741 0 R 742 0 R 743 0 R 744 0 R 745 0 R 746 0 R 747 0 R 748 0 R 749 0 R 750 0 R 751 0 R 752 0 R 753 0 R 754 0 R 755 0 R 756 0 R 757 0 R 758 0 R 759 0 R 760 0 R 761 0 R 762 0 R 763 0 R 764 0 R 765 0 R 766 0 R 767 0 R 768 0 R 769 0 R 770 0 R 771 0 R 772 0 R 773 0 R 774 0 R 775 0 R 776 0 R 777 0 R 778 0 R 779 0 R 780 0 R 781 0 R 782 0 R 783 0 R 784 0 R 785 0 R 786 0 R 787 0 R 788 0 R 789 0 R 790 0 R 791 0 R 792 0 R 793 0 R 794 0 R 795 0 R 796 0 R] +/Annots [720 0 R 721 0 R 722 0 R 723 0 R 724 0 R 725 0 R 726 0 R 727 0 R 728 0 R 729 0 R 730 0 R 731 0 R 732 0 R 733 0 R 734 0 R 735 0 R 736 0 R 737 0 R 738 0 R 739 0 R 740 0 R 741 0 R 742 0 R 743 0 R 744 0 R 745 0 R 746 0 R 747 0 R 748 0 R 749 0 R 750 0 R 751 0 R 752 0 R 753 0 R 754 0 R 755 0 R 756 0 R 757 0 R 758 0 R 759 0 R 760 0 R 761 0 R 762 0 R 763 0 R 764 0 R 765 0 R 766 0 R 767 0 R 768 0 R 769 0 R 770 0 R 771 0 R 772 0 R 773 0 R 774 0 R 775 0 R 776 0 R 777 0 R 778 0 R 779 0 R 780 0 R 781 0 R 782 0 R 783 0 R 784 0 R 785 0 R 786 0 R 787 0 R 788 0 R 789 0 R 790 0 R 791 0 R 792 0 R 793 0 R 794 0 R 795 0 R 796 0 R 797 0 R 798 0 R 799 0 R 800 0 R 801 0 R] >> endobj 18 0 obj @@ -7480,7 +7480,7 @@ endobj /Font << /F1.0 8 0 R >> >> -/Annots [797 0 R 798 0 R 799 0 R 800 0 R 801 0 R 802 0 R 803 0 R 804 0 R 805 0 R 806 0 R 807 0 R 808 0 R 809 0 R 810 0 R 811 0 R 812 0 R 813 0 R 814 0 R 815 0 R 816 0 R 817 0 R 818 0 R 819 0 R 820 0 R 821 0 R 822 0 R 823 0 R 824 0 R 825 0 R 826 0 R 827 0 R 828 0 R 829 0 R 830 0 R 831 0 R 832 0 R 833 0 R 834 0 R 835 0 R 836 0 R 837 0 R 838 0 R 839 0 R 840 0 R 841 0 R 842 0 R 843 0 R 844 0 R 845 0 R 846 0 R 847 0 R 848 0 R 849 0 R 850 0 R 851 0 R 852 0 R 853 0 R 854 0 R 855 0 R 856 0 R 857 0 R 858 0 R 859 0 R 860 0 R 861 0 R 862 0 R 863 0 R 864 0 R 865 0 R 866 0 R 867 0 R 868 0 R 869 0 R 870 0 R 871 0 R 872 0 R 873 0 R 874 0 R 875 0 R 876 0 R 877 0 R 878 0 R] +/Annots [802 0 R 803 0 R 804 0 R 805 0 R 806 0 R 807 0 R 808 0 R 809 0 R 810 0 R 811 0 R 812 0 R 813 0 R 814 0 R 815 0 R 816 0 R 817 0 R 818 0 R 819 0 R 820 0 R 821 0 R 822 0 R 823 0 R 824 0 R 825 0 R 826 0 R 827 0 R 828 0 R 829 0 R 830 0 R 831 0 R 832 0 R 833 0 R 834 0 R 835 0 R 836 0 R 837 0 R 838 0 R 839 0 R 840 0 R 841 0 R 842 0 R 843 0 R 844 0 R 845 0 R 846 0 R 847 0 R 848 0 R 849 0 R 850 0 R 851 0 R 852 0 R 853 0 R 854 0 R 855 0 R 856 0 R 857 0 R 858 0 R 859 0 R 860 0 R 861 0 R 862 0 R 863 0 R 864 0 R 865 0 R 866 0 R 867 0 R 868 0 R 869 0 R 870 0 R 871 0 R 872 0 R 873 0 R 874 0 R 875 0 R 876 0 R 877 0 R 878 0 R 879 0 R 880 0 R 881 0 R 882 0 R 883 0 R] >> endobj 20 0 obj @@ -8902,7 +8902,7 @@ endobj /Font << /F1.0 8 0 R >> >> -/Annots [879 0 R 880 0 R 881 0 R 882 0 R 883 0 R 884 0 R 885 0 R 886 0 R 887 0 R 888 0 R 889 0 R 890 0 R 891 0 R 892 0 R 893 0 R 894 0 R 895 0 R 896 0 R 897 0 R 898 0 R 899 0 R 900 0 R 901 0 R 902 0 R 903 0 R 904 0 R 905 0 R 906 0 R 907 0 R 908 0 R 909 0 R 910 0 R 911 0 R 912 0 R 913 0 R 914 0 R 915 0 R 916 0 R 917 0 R 918 0 R 919 0 R 920 0 R 921 0 R 922 0 R 923 0 R 924 0 R 925 0 R 926 0 R 927 0 R 928 0 R 929 0 R 930 0 R 931 0 R 932 0 R 933 0 R 934 0 R 935 0 R 936 0 R 937 0 R 938 0 R 939 0 R 940 0 R] +/Annots [884 0 R 885 0 R 886 0 R 887 0 R 888 0 R 889 0 R 890 0 R 891 0 R 892 0 R 893 0 R 894 0 R 895 0 R 896 0 R 897 0 R 898 0 R 899 0 R 900 0 R 901 0 R 902 0 R 903 0 R 904 0 R 905 0 R 906 0 R 907 0 R 908 0 R 909 0 R 910 0 R 911 0 R 912 0 R 913 0 R 914 0 R 915 0 R 916 0 R 917 0 R 918 0 R 919 0 R 920 0 R 921 0 R 922 0 R 923 0 R 924 0 R 925 0 R 926 0 R 927 0 R 928 0 R 929 0 R 930 0 R 931 0 R 932 0 R 933 0 R 934 0 R 935 0 R 936 0 R 937 0 R 938 0 R 939 0 R 940 0 R 941 0 R 942 0 R 943 0 R 944 0 R 945 0 R] >> endobj 22 0 obj @@ -10248,7 +10248,7 @@ endobj /F3.0 26 0 R /F1.1 29 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> @@ -10260,22 +10260,22 @@ endobj << /Type /Font /BaseFont /62c961+NotoSerif-Bold /Subtype /TrueType -/FontDescriptor 1145 0 R +/FontDescriptor 1150 0 R /FirstChar 32 /LastChar 255 -/Widths 1147 0 R -/ToUnicode 1146 0 R +/Widths 1152 0 R +/ToUnicode 1151 0 R >> endobj 26 0 obj << /Type /Font /BaseFont /21c162+mplus1mn-regular /Subtype /TrueType -/FontDescriptor 1149 0 R +/FontDescriptor 1154 0 R /FirstChar 32 /LastChar 255 -/Widths 1151 0 R -/ToUnicode 1150 0 R +/Widths 1156 0 R +/ToUnicode 1155 0 R >> endobj 27 0 obj @@ -10288,11 +10288,11 @@ endobj << /Type /Font /BaseFont /733c2a+NotoSerif /Subtype /TrueType -/FontDescriptor 1153 0 R +/FontDescriptor 1158 0 R /FirstChar 32 /LastChar 255 -/Widths 1155 0 R -/ToUnicode 1154 0 R +/Widths 1160 0 R +/ToUnicode 1159 0 R >> endobj 30 0 obj @@ -11773,7 +11773,7 @@ endobj /F3.0 26 0 R /F2.0 25 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> /Annots [35 0 R 36 0 R 37 0 R 38 0 R 39 0 R 40 0 R 41 0 R 42 0 R 43 0 R] @@ -12546,7 +12546,7 @@ endobj /F3.0 26 0 R /F1.0 8 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> /Annots [46 0 R 47 0 R 48 0 R 49 0 R 50 0 R 51 0 R] @@ -13510,7 +13510,7 @@ endobj /F1.0 8 0 R /F3.0 26 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> /Annots [56 0 R 57 0 R 58 0 R 59 0 R 61 0 R 62 0 R 63 0 R] @@ -14033,7 +14033,7 @@ endobj /Font << /F2.0 25 0 R /F1.0 8 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> /Annots [70 0 R 71 0 R 72 0 R] @@ -14092,12 +14092,12 @@ endobj endobj 80 0 obj << /Limits [(__anchor-top) (_bclr)] -/Names [(__anchor-top) 1137 0 R (_a_extension) 354 0 R (_a_extension_2) 480 0 R (_add) 397 0 R (_addi) 415 0 R (_and) 403 0 R (_andi) 420 0 R (_andn) 491 0 R (_architectural_overview) 27 0 R (_auipc) 432 0 R (_bclr) 532 0 R] +/Names [(__anchor-top) 1142 0 R (_a_extension) 354 0 R (_a_extension_2) 485 0 R (_add) 402 0 R (_addi) 420 0 R (_and) 408 0 R (_andi) 425 0 R (_andn) 496 0 R (_architectural_overview) 27 0 R (_auipc) 437 0 R (_bclr) 537 0 R] >> endobj 81 0 obj << /Limits [(_reset_vector) (_rv32i_register_immediate)] -/Names [(_reset_vector) 68 0 R (_rev8) 507 0 R (_rol) 510 0 R (_ror) 511 0 R (_rori) 512 0 R (_rv32i) 333 0 R (_rv32i_control_transfer) 433 0 R (_rv32i_large_immediate) 428 0 R (_rv32i_load_and_store) 449 0 R (_rv32i_register_immediate) 414 0 R] +/Names [(_reset_vector) 68 0 R (_rev8) 512 0 R (_rol) 515 0 R (_ror) 516 0 R (_rori) 517 0 R (_rv32i) 333 0 R (_rv32i_control_transfer) 438 0 R (_rv32i_large_immediate) 433 0 R (_rv32i_load_and_store) 454 0 R (_rv32i_register_immediate) 419 0 R] >> endobj 82 0 obj @@ -14678,7 +14678,7 @@ endobj /F1.0 8 0 R /F3.0 26 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> /Annots [86 0 R 88 0 R 90 0 R 92 0 R 95 0 R 96 0 R] @@ -15492,7 +15492,7 @@ endobj /F2.0 25 0 R /F3.0 26 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> /Annots [100 0 R 102 0 R 103 0 R 106 0 R 107 0 R 109 0 R 110 0 R 111 0 R 113 0 R] @@ -16305,7 +16305,7 @@ endobj /F4.0 124 0 R /F3.0 26 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> /Annots [119 0 R 121 0 R 122 0 R] @@ -16348,11 +16348,11 @@ endobj << /Type /Font /BaseFont /865140+NotoSerif-Italic /Subtype /TrueType -/FontDescriptor 1157 0 R +/FontDescriptor 1162 0 R /FirstChar 32 /LastChar 255 -/Widths 1159 0 R -/ToUnicode 1158 0 R +/Widths 1164 0 R +/ToUnicode 1163 0 R >> endobj 125 0 obj @@ -16843,7 +16843,7 @@ endobj /Font << /F1.0 8 0 R /F2.0 25 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> /Annots [131 0 R 132 0 R 134 0 R 137 0 R] @@ -17426,7 +17426,7 @@ endobj /F1.0 8 0 R /F1.1 29 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> /Annots [142 0 R 146 0 R 148 0 R 150 0 R 152 0 R] @@ -17963,7 +17963,7 @@ endobj /F1.0 8 0 R /F3.0 26 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> /Annots [160 0 R 162 0 R] @@ -18266,7 +18266,7 @@ endobj /F2.0 25 0 R /F3.0 26 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> /Annots [169 0 R] @@ -19470,7 +19470,7 @@ endobj /F3.0 26 0 R /F4.0 124 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> /Annots [175 0 R 179 0 R] @@ -21355,7 +21355,7 @@ endobj /F3.0 26 0 R /F4.0 124 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> /Annots [186 0 R] @@ -22534,7 +22534,7 @@ endobj /F3.0 26 0 R /F4.0 124 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> @@ -22553,7 +22553,7 @@ endobj endobj 194 0 obj << /Limits [(_jal) (_marchid)] -/Names [(_jal) 434 0 R (_jalr) 437 0 R (_lb) 456 0 R (_lbu) 458 0 R (_lh) 452 0 R (_lhu) 455 0 R (_list_of_risc_v_specifications) 34 0 R (_lui) 429 0 R (_lw) 451 0 R (_m_extension) 351 0 R (_m_extension_2) 466 0 R (_marchid) 178 0 R] +/Names [(_jal) 439 0 R (_jalr) 442 0 R (_lb) 461 0 R (_lbu) 463 0 R (_lh) 457 0 R (_lhu) 460 0 R (_list_of_risc_v_specifications) 34 0 R (_lui) 434 0 R (_lw) 456 0 R (_m_extension) 351 0 R (_m_extension_2) 471 0 R (_marchid) 178 0 R] >> endobj 195 0 obj @@ -24305,7 +24305,7 @@ endobj /F4.0 124 0 R /F2.0 25 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> /Annots [198 0 R 199 0 R 201 0 R 202 0 R] @@ -25473,7 +25473,7 @@ endobj /F4.0 124 0 R /F1.1 29 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> @@ -27234,7 +27234,7 @@ endobj /F1.0 8 0 R /F3.0 26 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> /Annots [213 0 R] @@ -29109,7 +29109,7 @@ endobj /F1.0 8 0 R /F4.0 124 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> @@ -30234,7 +30234,7 @@ endobj /F2.0 25 0 R /F4.0 124 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> /Annots [223 0 R 227 0 R] @@ -30259,7 +30259,7 @@ endobj endobj 225 0 obj << /Limits [(_min) (_mulhsu)] -/Names [(_min) 501 0 R (_minstret) 226 0 R (_minstreth) 230 0 R (_minu) 502 0 R (_mscratch) 206 0 R (_mstatus) 190 0 R (_mstatush) 191 0 R (_mtval) 211 0 R (_mtvec_init) 69 0 R (_mul) 467 0 R (_mulh) 468 0 R (_mulhsu) 469 0 R] +/Names [(_min) 506 0 R (_minstret) 226 0 R (_minstreth) 230 0 R (_minu) 507 0 R (_mscratch) 206 0 R (_mstatus) 190 0 R (_mstatush) 191 0 R (_mtval) 211 0 R (_mtvec_init) 69 0 R (_mul) 472 0 R (_mulh) 473 0 R (_mulhsu) 474 0 R] >> endobj 226 0 obj @@ -31284,7 +31284,7 @@ endobj /F3.0 26 0 R /F4.0 124 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> @@ -33140,7 +33140,7 @@ endobj /F3.0 26 0 R /F1.1 29 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> /Annots [242 0 R] @@ -34104,7 +34104,7 @@ endobj /F3.0 26 0 R /F1.1 29 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> /Annots [248 0 R] @@ -35420,7 +35420,7 @@ endobj /F2.0 25 0 R /F4.0 124 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> /Annots [255 0 R 258 0 R] @@ -37401,7 +37401,7 @@ endobj /F4.0 124 0 R /F2.0 25 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> @@ -39258,7 +39258,7 @@ endobj /F1.1 29 0 R /F4.0 124 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> /Annots [266 0 R] @@ -40921,7 +40921,7 @@ endobj /F1.0 8 0 R /F3.0 26 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> @@ -42814,7 +42814,7 @@ endobj /F3.0 26 0 R /F1.0 8 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> >> @@ -43374,7 +43374,7 @@ endobj /Font << /F3.0 26 0 R /F1.0 8 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> @@ -44584,7 +44584,7 @@ endobj /F1.0 8 0 R /F4.0 124 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> >> @@ -45084,7 +45084,7 @@ endobj /F1.0 8 0 R /F1.1 29 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> @@ -46038,7 +46038,7 @@ endobj /F4.0 124 0 R /F3.0 26 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> /Annots [286 0 R 287 0 R 289 0 R 290 0 R 291 0 R 292 0 R 293 0 R 294 0 R 295 0 R 297 0 R 299 0 R] @@ -46928,7 +46928,7 @@ endobj /F3.0 26 0 R /F2.0 25 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> @@ -48992,7 +48992,7 @@ endobj /F2.0 25 0 R /F1.1 29 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> >> @@ -49004,8 +49004,8 @@ endobj [305 0 R /XYZ 0 539.73 null] endobj 308 0 obj -<< /Limits [(_debug_module_to_core_interface) (_footnoteref_3)] -/Names [(_debug_module_to_core_interface) 329 0 R (_debug_topologies) 317 0 R (_div) 473 0 R (_divu) 474 0 R (_dpc) 246 0 R (_dscratch0) 247 0 R (_dscratch1) 249 0 R (_external_interrupt_support) 135 0 R (_footnotedef_1) 384 0 R (_footnotedef_2) 387 0 R (_footnotedef_3) 389 0 R (_footnotedef_4) 391 0 R (_footnoteref_1) 334 0 R (_footnoteref_2) 345 0 R (_footnoteref_3) 356 0 R] +<< /Limits [(_debug_module_to_core_interface) (_footnoteref_4)] +/Names [(_debug_module_to_core_interface) 329 0 R (_debug_topologies) 317 0 R (_div) 478 0 R (_divu) 479 0 R (_dpc) 246 0 R (_dscratch0) 247 0 R (_dscratch1) 249 0 R (_external_interrupt_support) 135 0 R (_footnotedef_1) 388 0 R (_footnotedef_2) 390 0 R (_footnotedef_3) 392 0 R (_footnotedef_4) 394 0 R (_footnotedef_5) 396 0 R (_footnoteref_1) 334 0 R (_footnoteref_2) 345 0 R (_footnoteref_3) 356 0 R (_footnoteref_4) 360 0 R] >> endobj 309 0 obj @@ -51086,7 +51086,7 @@ endobj /F2.0 25 0 R /F1.1 29 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> @@ -51434,7 +51434,7 @@ endobj /Font << /F3.0 26 0 R /F1.0 8 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> >> @@ -52093,7 +52093,7 @@ endobj /F1.1 29 0 R /F4.0 124 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> @@ -52653,7 +52653,7 @@ endobj /Contents 320 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /I1 318 0 R -/Stamp2 1139 0 R +/Stamp2 1144 0 R >> /Font << /F1.0 8 0 R /F4.0 124 0 R @@ -54225,7 +54225,7 @@ endobj /F3.0 26 0 R /F1.1 29 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> /Annots [325 0 R 326 0 R] @@ -54854,7 +54854,7 @@ endobj /F3.0 26 0 R /F2.0 25 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> >> @@ -58011,7 +58011,7 @@ endobj /F1.0 8 0 R /F3.0 26 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> /Annots [335 0 R 336 0 R] @@ -61539,7 +61539,7 @@ endobj /F1.0 8 0 R /F1.1 29 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> /Annots [339 0 R 340 0 R 341 0 R 342 0 R 343 0 R 344 0 R 346 0 R 347 0 R 348 0 R 349 0 R 350 0 R] @@ -64693,7 +64693,7 @@ endobj /F1.0 8 0 R /F3.0 26 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> /Annots [355 0 R 357 0 R 358 0 R 359 0 R 361 0 R 362 0 R 363 0 R 364 0 R 365 0 R 366 0 R 367 0 R 368 0 R 369 0 R] @@ -68392,7 +68392,7 @@ endobj /F3.0 26 0 R /F1.0 8 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> >> @@ -68401,7 +68401,7 @@ endobj [373 0 R /XYZ 0 728.55 null] endobj 375 0 obj -<< /Length 39893 +<< /Length 40913 >> stream q @@ -70514,99 +70514,99 @@ ET 0.0 0.0 0.0 SCN 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 216.57 181.37784 21.78 re +48.24 216.57 151.63211 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -229.61784 216.57 107.36883 21.78 re +199.87211 216.57 164.17309 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -336.98667 216.57 210.05333 21.78 re +364.0452 216.57 182.9948 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 194.79 181.37784 21.78 re +48.24 194.79 151.63211 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -229.61784 194.79 107.36883 21.78 re +199.87211 194.79 164.17309 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -336.98667 194.79 210.05333 21.78 re +364.0452 194.79 182.9948 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 173.01 181.37784 21.78 re +48.24 173.01 151.63211 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -229.61784 173.01 107.36883 21.78 re +199.87211 173.01 164.17309 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -336.98667 173.01 210.05333 21.78 re +364.0452 173.01 182.9948 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 151.23 181.37784 21.78 re +48.24 151.23 151.63211 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -229.61784 151.23 107.36883 21.78 re +199.87211 151.23 164.17309 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -336.98667 151.23 210.05333 21.78 re +364.0452 151.23 182.9948 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 129.45 181.37784 21.78 re +48.24 129.45 151.63211 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -229.61784 129.45 107.36883 21.78 re +199.87211 129.45 164.17309 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -336.98667 129.45 210.05333 21.78 re +364.0452 129.45 182.9948 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 107.67 181.37784 21.78 re +48.24 107.67 151.63211 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -229.61784 107.67 107.36883 21.78 re +199.87211 107.67 164.17309 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -336.98667 107.67 210.05333 21.78 re +364.0452 107.67 182.9948 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 85.89 181.37784 21.78 re +48.24 85.89 151.63211 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -229.61784 85.89 107.36883 21.78 re +199.87211 85.89 164.17309 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -336.98667 85.89 210.05333 21.78 re +364.0452 85.89 182.9948 21.78 re f 0.0 0.0 0.0 scn 0.5 w 0.86667 0.86667 0.86667 SCN 48.24 238.35 m -229.61784 238.35 l +199.87211 238.35 l S [] 0 d 1.25 w 0.86667 0.86667 0.86667 SCN 48.24 216.57 m -229.61784 216.57 l +199.87211 216.57 l S [] 0 d 0.5 w @@ -70617,8 +70617,8 @@ S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 238.6 m -229.61784 215.945 l +199.87211 238.6 m +199.87211 215.945 l S [] 0 d 1 w @@ -70634,26 +70634,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 238.35 m -336.98667 238.35 l +199.87211 238.35 m +364.0452 238.35 l S [] 0 d 1.25 w 0.86667 0.86667 0.86667 SCN -229.61784 216.57 m -336.98667 216.57 l +199.87211 216.57 m +364.0452 216.57 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 238.6 m -229.61784 215.945 l +199.87211 238.6 m +199.87211 215.945 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 238.6 m -336.98667 215.945 l +364.0452 238.6 m +364.0452 215.945 l S [] 0 d 1 w @@ -70661,7 +70661,7 @@ S 0.2 0.2 0.2 scn BT -232.61784 223.386 Td +202.87211 223.386 Td /F2.0 10.5 Tf <4379636c6573> Tj ET @@ -70669,20 +70669,20 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 238.35 m +364.0452 238.35 m 547.04 238.35 l S [] 0 d 1.25 w 0.86667 0.86667 0.86667 SCN -336.98667 216.57 m +364.0452 216.57 m 547.04 216.57 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 238.6 m -336.98667 215.945 l +364.0452 238.6 m +364.0452 215.945 l S [] 0 d 0.5 w @@ -70696,7 +70696,7 @@ S 0.2 0.2 0.2 scn BT -339.98667 223.386 Td +367.0452 223.386 Td /F2.0 10.5 Tf <4e6f7465> Tj ET @@ -70705,13 +70705,13 @@ ET 1.25 w 0.86667 0.86667 0.86667 SCN 48.24 216.57 m -229.61784 216.57 l +199.87211 216.57 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN 48.24 194.79 m -229.61784 194.79 l +199.87211 194.79 l S [] 0 d 0.5 w @@ -70722,8 +70722,8 @@ S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 217.195 m -229.61784 194.54 l +199.87211 217.195 m +199.87211 194.54 l S [] 0 d 1 w @@ -70743,26 +70743,26 @@ ET 0.0 0.0 0.0 scn 1.25 w 0.86667 0.86667 0.86667 SCN -229.61784 216.57 m -336.98667 216.57 l +199.87211 216.57 m +364.0452 216.57 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 194.79 m -336.98667 194.79 l +199.87211 194.79 m +364.0452 194.79 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 217.195 m -229.61784 194.54 l +199.87211 217.195 m +199.87211 194.54 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 217.195 m -336.98667 194.54 l +364.0452 217.195 m +364.0452 194.54 l S [] 0 d 1 w @@ -70770,14 +70770,14 @@ S 0.2 0.2 0.2 scn BT -232.61784 201.606 Td +202.87211 201.606 Td /F1.0 10.5 Tf <31202b20> Tj ET BT -249.79584 201.606 Td +220.05011 201.606 Td /F4.0 10.5 Tf <6e> Tj ET @@ -70785,20 +70785,20 @@ ET 0.0 0.0 0.0 scn 1.25 w 0.86667 0.86667 0.86667 SCN -336.98667 216.57 m +364.0452 216.57 m 547.04 216.57 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 194.79 m +364.0452 194.79 m 547.04 194.79 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 217.195 m -336.98667 194.54 l +364.0452 217.195 m +364.0452 194.54 l S [] 0 d 0.5 w @@ -70812,14 +70812,14 @@ S 0.2 0.2 0.2 scn BT -339.98667 201.606 Td +367.0452 201.606 Td /F4.0 10.5 Tf <6e> Tj ET BT -346.27617 201.606 Td +373.3347 201.606 Td /F1.0 10.5 Tf <206973206e756d626572206f662072656769737465727320696e20726c697374> Tj ET @@ -70828,13 +70828,13 @@ ET 0.5 w 0.86667 0.86667 0.86667 SCN 48.24 194.79 m -229.61784 194.79 l +199.87211 194.79 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN 48.24 173.01 m -229.61784 173.01 l +199.87211 173.01 l S [] 0 d 0.5 w @@ -70845,8 +70845,8 @@ S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 195.04 m -229.61784 172.76 l +199.87211 195.04 m +199.87211 172.76 l S [] 0 d 1 w @@ -70866,26 +70866,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 194.79 m -336.98667 194.79 l +199.87211 194.79 m +364.0452 194.79 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 173.01 m -336.98667 173.01 l +199.87211 173.01 m +364.0452 173.01 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 195.04 m -229.61784 172.76 l +199.87211 195.04 m +199.87211 172.76 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 195.04 m -336.98667 172.76 l +364.0452 195.04 m +364.0452 172.76 l S [] 0 d 1 w @@ -70893,14 +70893,14 @@ S 0.2 0.2 0.2 scn BT -232.61784 179.826 Td +202.87211 179.826 Td /F1.0 10.5 Tf <31202b20> Tj ET BT -249.79584 179.826 Td +220.05011 179.826 Td /F4.0 10.5 Tf <6e> Tj ET @@ -70908,20 +70908,20 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 194.79 m +364.0452 194.79 m 547.04 194.79 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 173.01 m +364.0452 173.01 m 547.04 173.01 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 195.04 m -336.98667 172.76 l +364.0452 195.04 m +364.0452 172.76 l S [] 0 d 0.5 w @@ -70935,14 +70935,14 @@ S 0.2 0.2 0.2 scn BT -339.98667 179.826 Td +367.0452 179.826 Td /F4.0 10.5 Tf <6e> Tj ET BT -346.27617 179.826 Td +373.3347 179.826 Td /F1.0 10.5 Tf <206973206e756d626572206f662072656769737465727320696e20726c697374> Tj ET @@ -70951,13 +70951,13 @@ ET 0.5 w 0.86667 0.86667 0.86667 SCN 48.24 173.01 m -229.61784 173.01 l +199.87211 173.01 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN 48.24 151.23 m -229.61784 151.23 l +199.87211 151.23 l S [] 0 d 0.5 w @@ -70968,8 +70968,8 @@ S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 173.26 m -229.61784 150.98 l +199.87211 173.26 m +199.87211 150.98 l S [] 0 d 1 w @@ -70989,26 +70989,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 173.01 m -336.98667 173.01 l +199.87211 173.01 m +364.0452 173.01 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 151.23 m -336.98667 151.23 l +199.87211 151.23 m +364.0452 151.23 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 173.26 m -229.61784 150.98 l +199.87211 173.26 m +199.87211 150.98 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 173.26 m -336.98667 150.98 l +364.0452 173.26 m +364.0452 150.98 l S [] 0 d 1 w @@ -71016,28 +71016,33 @@ S 0.2 0.2 0.2 scn BT -232.61784 158.046 Td +202.87211 158.046 Td /F1.0 10.5 Tf -<33202b20> Tj +<342028> Tj ET BT -249.79584 158.046 Td +215.09411 158.046 Td /F4.0 10.5 Tf <6e> Tj ET BT -256.08534 158.046 Td +221.38361 158.046 Td /F1.0 10.5 Tf -<20> Tj +<203d203129> Tj ET BT -258.80484 163.6031 Td +242.19461 158.046 Td +ET + + +BT +242.19461 163.6031 Td /F1.0 6.1215 Tf <5b> Tj ET @@ -71046,7 +71051,67 @@ ET 0.25882 0.5451 0.79216 SCN BT -261.00246 163.6031 Td +244.39223 163.6031 Td +/F1.0 6.1215 Tf +<35> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +247.81415 163.6031 Td +/F1.0 6.1215 Tf +<5d> Tj +ET + + +BT +250.01177 158.046 Td +/F1.0 10.5 Tf +<206f722032202b20> Tj +ET + + +BT +283.63277 158.046 Td +/F4.0 10.5 Tf +<6e> Tj +ET + + +BT +289.92227 158.046 Td +/F1.0 10.5 Tf +<2028> Tj +ET + + +BT +296.27477 158.046 Td +/F4.0 10.5 Tf +<6e> Tj +ET + + +BT +302.56427 158.046 Td +/F1.0 10.5 Tf +<203e3d203229> Tj +ET + + +BT +329.24477 163.6031 Td +/F1.0 6.1215 Tf +<5b> Tj +ET + +0.25882 0.5451 0.79216 scn +0.25882 0.5451 0.79216 SCN + +BT +331.44239 163.6031 Td /F1.0 6.1215 Tf <31> Tj ET @@ -71055,7 +71120,7 @@ ET 0.2 0.2 0.2 scn BT -264.42438 163.6031 Td +334.86431 163.6031 Td /F1.0 6.1215 Tf <5d> Tj ET @@ -71063,20 +71128,20 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 173.01 m +364.0452 173.01 m 547.04 173.01 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 151.23 m +364.0452 151.23 m 547.04 151.23 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 173.26 m -336.98667 150.98 l +364.0452 173.26 m +364.0452 150.98 l S [] 0 d 0.5 w @@ -71090,14 +71155,14 @@ S 0.2 0.2 0.2 scn BT -339.98667 158.046 Td +367.0452 158.046 Td /F4.0 10.5 Tf <6e> Tj ET BT -346.27617 158.046 Td +373.3347 158.046 Td /F1.0 10.5 Tf <206973206e756d626572206f662072656769737465727320696e20726c697374> Tj ET @@ -71106,13 +71171,13 @@ ET 0.5 w 0.86667 0.86667 0.86667 SCN 48.24 151.23 m -229.61784 151.23 l +199.87211 151.23 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN 48.24 129.45 m -229.61784 129.45 l +199.87211 129.45 l S [] 0 d 0.5 w @@ -71123,8 +71188,8 @@ S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 151.48 m -229.61784 129.2 l +199.87211 151.48 m +199.87211 129.2 l S [] 0 d 1 w @@ -71144,26 +71209,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 151.23 m -336.98667 151.23 l +199.87211 151.23 m +364.0452 151.23 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 129.45 m -336.98667 129.45 l +199.87211 129.45 m +364.0452 129.45 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 151.48 m -229.61784 129.2 l +199.87211 151.48 m +199.87211 129.2 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 151.48 m -336.98667 129.2 l +364.0452 151.48 m +364.0452 129.2 l S [] 0 d 1 w @@ -71171,28 +71236,28 @@ S 0.2 0.2 0.2 scn BT -232.61784 136.266 Td +202.87211 136.266 Td /F1.0 10.5 Tf -<34202b20> Tj +<352028> Tj ET BT -249.79584 136.266 Td +215.09411 136.266 Td /F4.0 10.5 Tf <6e> Tj ET BT -256.08534 136.266 Td +221.38361 136.266 Td /F1.0 10.5 Tf -<20> Tj +<203d203129> Tj ET BT -258.80484 141.8231 Td +242.19461 141.8231 Td /F1.0 6.1215 Tf <5b> Tj ET @@ -71201,7 +71266,67 @@ ET 0.25882 0.5451 0.79216 SCN BT -261.00246 141.8231 Td +244.39223 141.8231 Td +/F1.0 6.1215 Tf +<35> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +247.81415 141.8231 Td +/F1.0 6.1215 Tf +<5d> Tj +ET + + +BT +250.01177 136.266 Td +/F1.0 10.5 Tf +<206f722033202b20> Tj +ET + + +BT +283.63277 136.266 Td +/F4.0 10.5 Tf +<6e> Tj +ET + + +BT +289.92227 136.266 Td +/F1.0 10.5 Tf +<2028> Tj +ET + + +BT +296.27477 136.266 Td +/F4.0 10.5 Tf +<6e> Tj +ET + + +BT +302.56427 136.266 Td +/F1.0 10.5 Tf +<203e3d203229> Tj +ET + + +BT +329.24477 141.8231 Td +/F1.0 6.1215 Tf +<5b> Tj +ET + +0.25882 0.5451 0.79216 scn +0.25882 0.5451 0.79216 SCN + +BT +331.44239 141.8231 Td /F1.0 6.1215 Tf <31> Tj ET @@ -71210,7 +71335,7 @@ ET 0.2 0.2 0.2 scn BT -264.42438 141.8231 Td +334.86431 141.8231 Td /F1.0 6.1215 Tf <5d> Tj ET @@ -71218,20 +71343,20 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 151.23 m +364.0452 151.23 m 547.04 151.23 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 129.45 m +364.0452 129.45 m 547.04 129.45 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 151.48 m -336.98667 129.2 l +364.0452 151.48 m +364.0452 129.2 l S [] 0 d 0.5 w @@ -71245,14 +71370,14 @@ S 0.2 0.2 0.2 scn BT -339.98667 136.266 Td +367.0452 136.266 Td /F4.0 10.5 Tf <6e> Tj ET BT -346.27617 136.266 Td +373.3347 136.266 Td /F1.0 10.5 Tf <206973206e756d626572206f662072656769737465727320696e20726c697374> Tj ET @@ -71261,13 +71386,13 @@ ET 0.5 w 0.86667 0.86667 0.86667 SCN 48.24 129.45 m -229.61784 129.45 l +199.87211 129.45 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN 48.24 107.67 m -229.61784 107.67 l +199.87211 107.67 l S [] 0 d 0.5 w @@ -71278,8 +71403,8 @@ S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 129.7 m -229.61784 107.42 l +199.87211 129.7 m +199.87211 107.42 l S [] 0 d 1 w @@ -71299,26 +71424,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 129.45 m -336.98667 129.45 l +199.87211 129.45 m +364.0452 129.45 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 107.67 m -336.98667 107.67 l +199.87211 107.67 m +364.0452 107.67 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 129.7 m -229.61784 107.42 l +199.87211 129.7 m +199.87211 107.42 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 129.7 m -336.98667 107.42 l +364.0452 129.7 m +364.0452 107.42 l S [] 0 d 1 w @@ -71326,7 +71451,7 @@ S 0.2 0.2 0.2 scn BT -232.61784 114.486 Td +202.87211 114.486 Td /F1.0 10.5 Tf <32> Tj ET @@ -71334,20 +71459,20 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 129.45 m +364.0452 129.45 m 547.04 129.45 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 107.67 m +364.0452 107.67 m 547.04 107.67 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 129.7 m -336.98667 107.42 l +364.0452 129.7 m +364.0452 107.42 l S [] 0 d 0.5 w @@ -71363,13 +71488,13 @@ S 0.5 w 0.86667 0.86667 0.86667 SCN 48.24 107.67 m -229.61784 107.67 l +199.87211 107.67 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN 48.24 85.89 m -229.61784 85.89 l +199.87211 85.89 l S [] 0 d 0.5 w @@ -71380,8 +71505,8 @@ S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 107.92 m -229.61784 85.64 l +199.87211 107.92 m +199.87211 85.64 l S [] 0 d 1 w @@ -71401,26 +71526,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 107.67 m -336.98667 107.67 l +199.87211 107.67 m +364.0452 107.67 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 85.89 m -336.98667 85.89 l +199.87211 85.89 m +364.0452 85.89 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -229.61784 107.92 m -229.61784 85.64 l +199.87211 107.92 m +199.87211 85.64 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 107.92 m -336.98667 85.64 l +364.0452 107.92 m +364.0452 85.64 l S [] 0 d 1 w @@ -71428,7 +71553,7 @@ S 0.2 0.2 0.2 scn BT -232.61784 92.706 Td +202.87211 92.706 Td /F1.0 10.5 Tf <32> Tj ET @@ -71436,20 +71561,20 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 107.67 m +364.0452 107.67 m 547.04 107.67 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 85.89 m +364.0452 85.89 m 547.04 85.89 l S [] 0 d 0.5 w 0.86667 0.86667 0.86667 SCN -336.98667 107.92 m -336.98667 85.64 l +364.0452 107.92 m +364.0452 85.64 l S [] 0 d 0.5 w @@ -71501,10 +71626,10 @@ endobj /F3.0 26 0 R /F4.0 124 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> -/Annots [379 0 R 380 0 R] +/Annots [381 0 R 382 0 R 383 0 R 384 0 R] >> endobj 377 0 obj @@ -71514,23 +71639,47 @@ endobj [376 0 R /XYZ 0 278.43 null] endobj 379 0 obj -<< /Border [0 0 0] -/Dest (_footnotedef_1) -/Subtype /Link -/Rect [261.00246 161.81562 264.42438 170.14086] -/Type /Annot ->> +[376 0 R /XYZ 242.19461 169.26 null] endobj 380 0 obj -<< /Border [0 0 0] -/Dest (_footnotedef_1) -/Subtype /Link -/Rect [261.00246 140.03562 264.42438 148.36086] -/Type /Annot +<< /Limits [(_footnoteref_5) (_introduction)] +/Names [(_footnoteref_5) 379 0 R (_h3_bextm) 307 0 R (_h3_bextmi) 311 0 R (_h3_block) 302 0 R (_h3_unblock) 303 0 R (_hazard3_source_files) 55 0 R (_identification_registers) 143 0 R (_implementation_defined_behaviour) 322 0 R (_instruction_cycle_counts) 332 0 R (_instruction_pseudocode) 400 0 R (_interfaces_top_level_ports) 171 0 R (_introduction) 24 0 R] >> endobj 381 0 obj -<< /Length 13519 +<< /Border [0 0 0] +/Dest (_footnotedef_5) +/Subtype /Link +/Rect [244.39223 161.81562 247.81415 170.14086] +/Type /Annot +>> +endobj +382 0 obj +<< /Border [0 0 0] +/Dest (_footnotedef_1) +/Subtype /Link +/Rect [331.44239 161.81562 334.86431 170.14086] +/Type /Annot +>> +endobj +383 0 obj +<< /Border [0 0 0] +/Dest (_footnotedef_5) +/Subtype /Link +/Rect [244.39223 140.03562 247.81415 148.36086] +/Type /Annot +>> +endobj +384 0 obj +<< /Border [0 0 0] +/Dest (_footnotedef_1) +/Subtype /Link +/Rect [331.44239 140.03562 334.86431 148.36086] +/Type /Annot +>> +endobj +385 0 obj +<< /Length 15119 >> stream q @@ -71769,7 +71918,7 @@ ET 0.05602 Tw BT -48.24 195.39896 Td +48.24 222.44467 Td ET @@ -71782,7 +71931,7 @@ ET 0.05602 Tw BT -48.24 195.39896 Td +48.24 222.44467 Td /F1.0 8 Tf <5b> Tj ET @@ -71797,7 +71946,7 @@ ET 0.05602 Tw BT -51.112 195.39896 Td +51.112 222.44467 Td /F1.0 8 Tf <31> Tj ET @@ -71812,7 +71961,7 @@ ET 0.05602 Tw BT -55.584 195.39896 Td +55.584 222.44467 Td /F1.0 8 Tf [<5d2041206a756d70206f72206272> 20.01953 <616e636820746f20612033322d62697420696e737472756374696f6e207768696368206973206e6f742033322d6269742d616c69676e6564207265717569726573206f6e65206164646974696f6e616c206379636c652c20626563617573652074776f206e61747572> 20.01953 <616c6c7920616c69676e6564>] TJ ET @@ -71825,7 +71974,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 183.3761 Td +48.24 210.42181 Td /F1.0 8 Tf <627573206379636c65732061726520726571756972656420746f206665746368207468652074617267657420696e737472756374696f6e2e> Tj ET @@ -71838,7 +71987,7 @@ ET 0.37007 Tw BT -48.24 168.35324 Td +48.24 195.39896 Td ET @@ -71851,7 +72000,7 @@ ET 0.37007 Tw BT -48.24 168.35324 Td +48.24 195.39896 Td /F1.0 8 Tf <5b> Tj ET @@ -71866,7 +72015,7 @@ ET 0.37007 Tw BT -51.112 168.35324 Td +51.112 195.39896 Td /F1.0 8 Tf <32> Tj ET @@ -71881,7 +72030,7 @@ ET 0.37007 Tw BT -55.584 168.35324 Td +55.584 195.39896 Td /F1.0 8 Tf <5d20496620616e20696e737472756374696f6e20696e20737461676520322028652e672e20616e20> Tj ET @@ -71896,7 +72045,7 @@ ET 0.37007 Tw BT -194.73067 168.35324 Td +194.73067 195.39896 Td /F3.0 8 Tf <616464> Tj ET @@ -71911,7 +72060,7 @@ ET 0.37007 Tw BT -206.73067 168.35324 Td +206.73067 195.39896 Td /F1.0 8 Tf <29207573657320646174612066726f6d20737461676520332028652e672e206120> Tj ET @@ -71926,7 +72075,7 @@ ET 0.37007 Tw BT -325.02726 168.35324 Td +325.02726 195.39896 Td /F3.0 8 Tf <6c77> Tj ET @@ -71941,7 +72090,7 @@ ET 0.37007 Tw BT -333.02726 168.35324 Td +333.02726 195.39896 Td /F1.0 8 Tf <20726573756c74292c206120312d6379636c6520627562626c6520697320696e736572746564206265747765656e2074686520706169722e2041> Tj ET @@ -71956,7 +72105,7 @@ ET 0.68218 Tw BT -48.24 156.33039 Td +48.24 183.3761 Td /F1.0 8 Tf <6c6f6164206461746120> Tj /F1.1 8 Tf @@ -71975,7 +72124,7 @@ ET 0.68218 Tw BT -197.00727 156.33039 Td +197.00727 183.3761 Td /F4.0 8 Tf <6e6f74> Tj ET @@ -71990,7 +72139,7 @@ ET 0.68218 Tw BT -209.33527 156.33039 Td +209.33527 183.3761 Td /F1.0 8 Tf <20616e206578616d706c65206f6620746869732c206265636175736520646174612069732070726f647563656420616e6420636f6e73756d656420696e20737461676520332e20486f77657665722c206c6f6164> Tj ET @@ -72003,7 +72152,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 144.30753 Td +48.24 171.35324 Td /F1.0 8 Tf <6461746120> Tj /F1.1 8 Tf @@ -72018,7 +72167,7 @@ ET 0.2 0.2 0.2 SCN BT -127.304 144.30753 Td +127.304 171.35324 Td /F4.0 8 Tf <776f756c64> Tj ET @@ -72029,7 +72178,7 @@ ET 0.2 0.2 0.2 SCN BT -150.296 144.30753 Td +150.296 171.35324 Td /F1.0 8 Tf [<207175616c696679> 89.84375 <2c20617320776f756c6420652e672e20>] TJ ET @@ -72040,7 +72189,7 @@ ET 0.69412 0.12941 0.27451 SCN BT -233.55325 144.30753 Td +233.55325 171.35324 Td /F3.0 8 Tf <73632e77> Tj ET @@ -72051,7 +72200,7 @@ ET 0.2 0.2 0.2 SCN BT -249.55325 144.30753 Td +249.55325 171.35324 Td /F1.0 8 Tf <20> Tj /F1.1 8 Tf @@ -72064,7 +72213,7 @@ ET 0.69412 0.12941 0.27451 SCN BT -261.69725 144.30753 Td +261.69725 171.35324 Td /F3.0 8 Tf <6265717a> Tj ET @@ -72075,7 +72224,7 @@ ET 0.2 0.2 0.2 SCN BT -277.69725 144.30753 Td +277.69725 171.35324 Td /F1.0 8 Tf <2e> Tj ET @@ -72088,7 +72237,7 @@ ET 0.6385 Tw BT -48.24 129.28467 Td +48.24 156.33039 Td ET @@ -72101,7 +72250,7 @@ ET 0.6385 Tw BT -48.24 129.28467 Td +48.24 156.33039 Td /F1.0 8 Tf <5b> Tj ET @@ -72116,7 +72265,7 @@ ET 0.6385 Tw BT -51.112 129.28467 Td +51.112 156.33039 Td /F1.0 8 Tf <33> Tj ET @@ -72131,7 +72280,7 @@ ET 0.6385 Tw BT -55.584 129.28467 Td +55.584 156.33039 Td /F1.0 8 Tf <5d204120706970656c696e6520627562626c6520697320696e736572746564206265747765656e20> Tj ET @@ -72146,7 +72295,7 @@ ET 0.6385 Tw BT -210.8615 129.28467 Td +210.8615 156.33039 Td /F3.0 8 Tf <6c722e77> Tj ET @@ -72161,7 +72310,7 @@ ET 0.6385 Tw BT -226.8615 129.28467 Td +226.8615 156.33039 Td /F1.0 8 Tf <2f> Tj ET @@ -72176,7 +72325,7 @@ ET 0.6385 Tw BT -229.1655 129.28467 Td +229.1655 156.33039 Td /F3.0 8 Tf <73632e77> Tj ET @@ -72191,7 +72340,7 @@ ET 0.6385 Tw BT -245.1655 129.28467 Td +245.1655 156.33039 Td /F1.0 8 Tf <20616e6420616e20696d6d6564696174656c792d666f6c6c6f77696e6720> Tj ET @@ -72206,7 +72355,7 @@ ET 0.6385 Tw BT -366.7275 129.28467 Td +366.7275 156.33039 Td /F3.0 8 Tf <6c722e77> Tj ET @@ -72221,7 +72370,7 @@ ET 0.6385 Tw BT -382.7275 129.28467 Td +382.7275 156.33039 Td /F1.0 8 Tf <2f> Tj ET @@ -72236,7 +72385,7 @@ ET 0.6385 Tw BT -385.0315 129.28467 Td +385.0315 156.33039 Td /F3.0 8 Tf <73632e77> Tj ET @@ -72251,7 +72400,7 @@ ET 0.6385 Tw BT -401.0315 129.28467 Td +401.0315 156.33039 Td /F1.0 8 Tf <2f> Tj ET @@ -72266,7 +72415,7 @@ ET 0.6385 Tw BT -403.3355 129.28467 Td +403.3355 156.33039 Td /F3.0 8 Tf <616d6f2a> Tj ET @@ -72281,7 +72430,7 @@ ET 0.6385 Tw BT -419.3355 129.28467 Td +419.3355 156.33039 Td /F1.0 8 Tf <2c206265636175736520746865204148423520627573207374616e64617264> Tj ET @@ -72296,7 +72445,7 @@ ET 0.22394 Tw BT -48.24 117.26181 Td +48.24 144.30753 Td /F1.0 8 Tf <646f6573206e6f74207065726d697420706970656c696e6564206578636c75736976652061636365737365732e2041207374616c6c20776f756c6420626520696e736572746564206265747765656e20> Tj ET @@ -72311,7 +72460,7 @@ ET 0.22394 Tw BT -355.53529 117.26181 Td +355.53529 144.30753 Td /F3.0 8 Tf <6c722e77> Tj ET @@ -72326,7 +72475,7 @@ ET 0.22394 Tw BT -371.53529 117.26181 Td +371.53529 144.30753 Td /F1.0 8 Tf <20616e6420> Tj ET @@ -72341,7 +72490,7 @@ ET 0.22394 Tw BT -390.68717 117.26181 Td +390.68717 144.30753 Td /F3.0 8 Tf <73632e77> Tj ET @@ -72356,7 +72505,7 @@ ET 0.22394 Tw BT -406.68717 117.26181 Td +406.68717 144.30753 Td /F1.0 8 Tf [<20616e> 20.01953 <79686f77> 69.82422 <2c20736f20746865206c6f63616c206d6f6e69746f722063616e206265>] TJ ET @@ -72369,7 +72518,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 105.23896 Td +48.24 132.28467 Td /F1.0 8 Tf <75706461746564206261736564206f6e2074686520> Tj ET @@ -72380,7 +72529,7 @@ ET 0.69412 0.12941 0.27451 SCN BT -132.04 105.23896 Td +132.04 132.28467 Td /F3.0 8 Tf <6c722e77> Tj ET @@ -72391,7 +72540,7 @@ ET 0.2 0.2 0.2 SCN BT -148.04 105.23896 Td +148.04 132.28467 Td /F1.0 8 Tf <206461746120706861736520696e2074696d6520746f2073757070726573732074686520> Tj ET @@ -72402,7 +72551,7 @@ ET 0.69412 0.12941 0.27451 SCN BT -281.952 105.23896 Td +281.952 132.28467 Td /F3.0 8 Tf <73632e77> Tj ET @@ -72413,7 +72562,7 @@ ET 0.2 0.2 0.2 SCN BT -297.952 105.23896 Td +297.952 132.28467 Td /F1.0 8 Tf <20616464726573732070686173652e> Tj ET @@ -72426,7 +72575,7 @@ ET 0.30567 Tw BT -48.24 90.2161 Td +48.24 117.26181 Td ET @@ -72439,7 +72588,7 @@ ET 0.30567 Tw BT -48.24 90.2161 Td +48.24 117.26181 Td /F1.0 8 Tf <5b> Tj ET @@ -72454,7 +72603,7 @@ ET 0.30567 Tw BT -51.112 90.2161 Td +51.112 117.26181 Td /F1.0 8 Tf <34> Tj ET @@ -72469,7 +72618,7 @@ ET 0.30567 Tw BT -55.584 90.2161 Td +55.584 117.26181 Td /F1.0 8 Tf <5d20414d4f732061726520697373756564206173206120706169726564206578636c7573697665207265616420616e64206578636c7573697665207772697465206f6e20746865206275732c20617420746865206d6178696d756d207370656564206f662032206379636c657320706572206163636573732c2073696e6365> Tj ET @@ -72484,7 +72633,7 @@ ET 1.10971 Tw BT -48.24 78.19324 Td +48.24 105.23896 Td /F1.0 8 Tf <7468652062757320646f6573206e6f74207065726d697420706970656c696e696e67206f66206578636c75736976652072656164732f7772697465732e20496620746865207772697465207068617365206661696c732064756520746f2074686520676c6f62616c206d6f6e69746f72207265706f7274696e672061206c6f7374> Tj ET @@ -72499,7 +72648,7 @@ ET 1.45856 Tw BT -48.24 66.17039 Td +48.24 93.2161 Td /F1.0 8 Tf [<7265736572766174696f6e2c2074686520696e737472756374696f6e206c6f6f707320617420612072> 20.01953 <617465206f662034206379636c657320706572206c6f6f702c20756e74696c20737563636573732e204966207468652072656164207265736572766174696f6e20697320726566757365642062> 20.01953 <792074686520676c6f62616c>] TJ ET @@ -72512,11 +72661,140 @@ ET 0.2 0.2 0.2 SCN BT -48.24 54.14753 Td +48.24 81.19324 Td /F1.0 8 Tf [<6d6f6e69746f722c2074686520696e737472756374696f6e2067656e6572> 20.01953 <6174657320612053746f72652f414d4f2046> 40.03906 <61756c7420657863657074696f6e2c20746f2061766f696420616e20696e66696e697465206c6f6f702e>] TJ ET +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.19411 Tw + +BT +48.24 66.17039 Td +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.19411 Tw + +BT +48.24 66.17039 Td +/F1.0 8 Tf +<5b> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.25882 0.5451 0.79216 scn +0.25882 0.5451 0.79216 SCN + +0.19411 Tw + +BT +51.112 66.17039 Td +/F1.0 8 Tf +<35> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.19411 Tw + +BT +55.584 66.17039 Td +/F1.0 8 Tf +<5d205468652073696e676c652d72656769737465722076617269616e7473206f6620> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.69412 0.12941 0.27451 scn +0.69412 0.12941 0.27451 SCN + +0.19411 Tw + +BT +177.37057 66.17039 Td +/F3.0 8 Tf +<636d2e706f70726574> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.19411 Tw + +BT +213.37057 66.17039 Td +/F1.0 8 Tf +<20616e6420> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.69412 0.12941 0.27451 scn +0.69412 0.12941 0.27451 SCN + +0.19411 Tw + +BT +232.46279 66.17039 Td +/F3.0 8 Tf +<636d2e706f707265747a> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.19411 Tw + +BT +272.46279 66.17039 Td +/F1.0 8 Tf +[<2074616b> 20.01953 <65207468652073616d65206e756d626572206f66206379636c6573206173207468652074776f2d72656769737465722076617269616e74732c2062656361757365206f66>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 54.14753 Td +/F1.0 8 Tf +<616e20696e7465726e616c206c6f61642d75736520646570656e64656e6379206f6e20746865206c6f616465642072657475726e20616464726573732e> Tj +ET + 0.0 0.0 0.0 SCN 0.0 0.0 0.0 scn q @@ -72543,7 +72821,7 @@ Q endstream endobj -382 0 obj +386 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -72551,7 +72829,7 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 381 0 R +/Contents 385 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F2.0 25 0 R /F1.0 8 0 R @@ -72559,65 +72837,71 @@ endobj /F1.1 29 0 R /F4.0 124 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> -/Annots [386 0 R 388 0 R 390 0 R 392 0 R] +/Annots [389 0 R 391 0 R 393 0 R 395 0 R 397 0 R] >> endobj -383 0 obj -[382 0 R /XYZ 0 841.89 null] +387 0 obj +[386 0 R /XYZ 0 841.89 null] endobj -384 0 obj -[382 0 R /XYZ 48.24 203.94296 null] +388 0 obj +[386 0 R /XYZ 48.24 230.98867 null] endobj -385 0 obj -<< /Limits [(_footnoteref_4) (_introduction)] -/Names [(_footnoteref_4) 360 0 R (_h3_bextm) 307 0 R (_h3_bextmi) 311 0 R (_h3_block) 302 0 R (_h3_unblock) 303 0 R (_hazard3_source_files) 55 0 R (_identification_registers) 143 0 R (_implementation_defined_behaviour) 322 0 R (_instruction_cycle_counts) 332 0 R (_instruction_pseudocode) 395 0 R (_interfaces_top_level_ports) 171 0 R (_introduction) 24 0 R] ->> -endobj -386 0 obj +389 0 obj << /Border [0 0 0] /Dest (_footnoteref_1) /Subtype /Link +/Rect [51.112 220.10867 55.584 230.98867] +/Type /Annot +>> +endobj +390 0 obj +[386 0 R /XYZ 48.24 203.94296 null] +endobj +391 0 obj +<< /Border [0 0 0] +/Dest (_footnoteref_2) +/Subtype /Link /Rect [51.112 193.06296 55.584 203.94296] /Type /Annot >> endobj -387 0 obj -[382 0 R /XYZ 48.24 176.89724 null] +392 0 obj +[386 0 R /XYZ 48.24 164.87439 null] endobj -388 0 obj -<< /Border [0 0 0] -/Dest (_footnoteref_2) -/Subtype /Link -/Rect [51.112 166.01724 55.584 176.89724] -/Type /Annot ->> -endobj -389 0 obj -[382 0 R /XYZ 48.24 137.82867 null] -endobj -390 0 obj +393 0 obj << /Border [0 0 0] /Dest (_footnoteref_3) /Subtype /Link -/Rect [51.112 126.94867 55.584 137.82867] +/Rect [51.112 153.99439 55.584 164.87439] /Type /Annot >> endobj -391 0 obj -[382 0 R /XYZ 48.24 98.7601 null] +394 0 obj +[386 0 R /XYZ 48.24 125.80581 null] endobj -392 0 obj +395 0 obj << /Border [0 0 0] /Dest (_footnoteref_4) /Subtype /Link -/Rect [51.112 87.8801 55.584 98.7601] +/Rect [51.112 114.92581 55.584 125.80581] /Type /Annot >> endobj -393 0 obj +396 0 obj +[386 0 R /XYZ 48.24 74.71439 null] +endobj +397 0 obj +<< /Border [0 0 0] +/Dest (_footnoteref_5) +/Subtype /Link +/Rect [51.112 63.83439 55.584 74.71439] +/Type /Annot +>> +endobj +398 0 obj << /Length 10118 >> stream @@ -73308,7 +73592,7 @@ Q endstream endobj -394 0 obj +399 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -73316,30 +73600,30 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 393 0 R +/Contents 398 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F2.0 25 0 R /F1.0 8 0 R /F3.0 26 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> endobj -395 0 obj -[394 0 R /XYZ 0 841.89 null] +400 0 obj +[399 0 R /XYZ 0 841.89 null] endobj -396 0 obj -[394 0 R /XYZ 0 612.71 null] +401 0 obj +[399 0 R /XYZ 0 612.71 null] endobj -397 0 obj -[394 0 R /XYZ 0 485.51 null] +402 0 obj +[399 0 R /XYZ 0 485.51 null] endobj -398 0 obj -[394 0 R /XYZ 0 271.41 null] +403 0 obj +[399 0 R /XYZ 0 271.41 null] endobj -399 0 obj +404 0 obj << /Length 6775 >> stream @@ -73763,7 +74047,7 @@ Q endstream endobj -400 0 obj +405 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -73771,30 +74055,30 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 399 0 R +/Contents 404 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F2.0 25 0 R /F1.0 8 0 R /F3.0 26 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> >> endobj -401 0 obj -[400 0 R /XYZ 0 841.89 null] +406 0 obj +[405 0 R /XYZ 0 841.89 null] endobj -402 0 obj -[400 0 R /XYZ 0 596.59 null] +407 0 obj +[405 0 R /XYZ 0 596.59 null] endobj -403 0 obj -[400 0 R /XYZ 0 382.49 null] +408 0 obj +[405 0 R /XYZ 0 382.49 null] endobj -404 0 obj -[400 0 R /XYZ 0 168.39 null] +409 0 obj +[405 0 R /XYZ 0 168.39 null] endobj -405 0 obj +410 0 obj << /Length 7961 >> stream @@ -74274,7 +74558,7 @@ Q endstream endobj -406 0 obj +411 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -74282,32 +74566,32 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 405 0 R +/Contents 410 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F3.0 26 0 R /F1.0 8 0 R /F2.0 25 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> endobj -407 0 obj -[406 0 R /XYZ 0 680.63 null] +412 0 obj +[411 0 R /XYZ 0 680.63 null] endobj -408 0 obj -[406 0 R /XYZ 0 466.53 null] +413 0 obj +[411 0 R /XYZ 0 466.53 null] endobj -409 0 obj +414 0 obj << /Limits [(_standard_risc_v_isa_support) (_xori)] -/Names [(_standard_risc_v_isa_support) 73 0 R (_standard_trigger_csrs) 235 0 R (_sub) 398 0 R (_sw) 461 0 R (_tdata13) 238 0 R (_top_level_modules) 60 0 R (_tselect) 237 0 R (_unzip) 554 0 R (_xnor) 518 0 R (_xor) 407 0 R (_xori) 422 0 R] +/Names [(_standard_risc_v_isa_support) 73 0 R (_standard_trigger_csrs) 235 0 R (_sub) 403 0 R (_sw) 466 0 R (_tdata13) 238 0 R (_top_level_modules) 60 0 R (_tselect) 237 0 R (_unzip) 559 0 R (_xnor) 523 0 R (_xor) 412 0 R (_xori) 427 0 R] >> endobj -410 0 obj -[406 0 R /XYZ 0 252.43 null] +415 0 obj +[411 0 R /XYZ 0 252.43 null] endobj -411 0 obj +416 0 obj << /Length 7093 >> stream @@ -74742,7 +75026,7 @@ Q endstream endobj -412 0 obj +417 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -74750,33 +75034,33 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 411 0 R +/Contents 416 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F2.0 25 0 R /F1.0 8 0 R /F3.0 26 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> >> endobj -413 0 obj -[412 0 R /XYZ 0 841.89 null] -endobj -414 0 obj -[412 0 R /XYZ 0 596.59 null] -endobj -415 0 obj -[412 0 R /XYZ 0 556.51 null] -endobj -416 0 obj -[412 0 R /XYZ 0 342.41 null] -endobj -417 0 obj -[412 0 R /XYZ 0 128.31 null] -endobj 418 0 obj +[417 0 R /XYZ 0 841.89 null] +endobj +419 0 obj +[417 0 R /XYZ 0 596.59 null] +endobj +420 0 obj +[417 0 R /XYZ 0 556.51 null] +endobj +421 0 obj +[417 0 R /XYZ 0 342.41 null] +endobj +422 0 obj +[417 0 R /XYZ 0 128.31 null] +endobj +423 0 obj << /Length 8025 >> stream @@ -75256,7 +75540,7 @@ Q endstream endobj -419 0 obj +424 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -75264,27 +75548,27 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 418 0 R +/Contents 423 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F3.0 26 0 R /F1.0 8 0 R /F2.0 25 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> endobj -420 0 obj -[419 0 R /XYZ 0 680.63 null] +425 0 obj +[424 0 R /XYZ 0 680.63 null] endobj -421 0 obj -[419 0 R /XYZ 0 466.53 null] +426 0 obj +[424 0 R /XYZ 0 466.53 null] endobj -422 0 obj -[419 0 R /XYZ 0 252.43 null] +427 0 obj +[424 0 R /XYZ 0 252.43 null] endobj -423 0 obj +428 0 obj << /Length 7031 >> stream @@ -75719,7 +76003,7 @@ Q endstream endobj -424 0 obj +429 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -75727,33 +76011,33 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 423 0 R +/Contents 428 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F2.0 25 0 R /F1.0 8 0 R /F3.0 26 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> >> endobj -425 0 obj -[424 0 R /XYZ 0 841.89 null] -endobj -426 0 obj -[424 0 R /XYZ 0 596.59 null] -endobj -427 0 obj -[424 0 R /XYZ 0 382.49 null] -endobj -428 0 obj -[424 0 R /XYZ 0 168.39 null] -endobj -429 0 obj -[424 0 R /XYZ 0 128.31 null] -endobj 430 0 obj +[429 0 R /XYZ 0 841.89 null] +endobj +431 0 obj +[429 0 R /XYZ 0 596.59 null] +endobj +432 0 obj +[429 0 R /XYZ 0 382.49 null] +endobj +433 0 obj +[429 0 R /XYZ 0 168.39 null] +endobj +434 0 obj +[429 0 R /XYZ 0 128.31 null] +endobj +435 0 obj << /Length 9633 >> stream @@ -76410,7 +76694,7 @@ Q endstream endobj -431 0 obj +436 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -76418,27 +76702,27 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 430 0 R +/Contents 435 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F3.0 26 0 R /F1.0 8 0 R /F2.0 25 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> endobj -432 0 obj -[431 0 R /XYZ 0 652.85 null] +437 0 obj +[436 0 R /XYZ 0 652.85 null] endobj -433 0 obj -[431 0 R /XYZ 0 410.97 null] +438 0 obj +[436 0 R /XYZ 0 410.97 null] endobj -434 0 obj -[431 0 R /XYZ 0 370.89 null] +439 0 obj +[436 0 R /XYZ 0 370.89 null] endobj -435 0 obj +440 0 obj << /Length 9555 >> stream @@ -77082,7 +77366,7 @@ Q endstream endobj -436 0 obj +441 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -77090,32 +77374,32 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 435 0 R +/Contents 440 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F2.0 25 0 R /F1.0 8 0 R /F3.0 26 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> >> endobj -437 0 obj -[436 0 R /XYZ 0 841.89 null] +442 0 obj +[441 0 R /XYZ 0 841.89 null] endobj -438 0 obj -[436 0 R /XYZ 0 500.81 null] +443 0 obj +[441 0 R /XYZ 0 500.81 null] endobj -439 0 obj -[436 0 R /XYZ 0 271.97 null] +444 0 obj +[441 0 R /XYZ 0 271.97 null] endobj -440 0 obj +445 0 obj << /Limits [(_branch_predictor) (_clmulr)] -/Names [(_branch_predictor) 383 0 R (_brev8) 547 0 R (_bset) 541 0 R (_bseti) 544 0 R (_bus_interfaces) 32 0 R (_c_extension) 370 0 R (_c_extension_2) 483 0 R (_clmul) 526 0 R (_clmulh) 527 0 R (_clmulr) 528 0 R] +/Names [(_branch_predictor) 387 0 R (_brev8) 552 0 R (_bset) 546 0 R (_bseti) 549 0 R (_bus_interfaces) 32 0 R (_c_extension) 370 0 R (_c_extension_2) 488 0 R (_clmul) 531 0 R (_clmulh) 532 0 R (_clmulr) 533 0 R] >> endobj -441 0 obj +446 0 obj << /Length 7291 >> stream @@ -77561,7 +77845,7 @@ Q endstream endobj -442 0 obj +447 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -77569,30 +77853,30 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 441 0 R +/Contents 446 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F2.0 25 0 R /F1.0 8 0 R /F3.0 26 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> endobj -443 0 obj -[442 0 R /XYZ 0 841.89 null] +448 0 obj +[447 0 R /XYZ 0 841.89 null] endobj -444 0 obj -[442 0 R /XYZ 0 581.85 null] +449 0 obj +[447 0 R /XYZ 0 581.85 null] endobj -445 0 obj -[442 0 R /XYZ 0 353.01 null] +450 0 obj +[447 0 R /XYZ 0 353.01 null] endobj -446 0 obj -[442 0 R /XYZ 0 124.17 null] +451 0 obj +[447 0 R /XYZ 0 124.17 null] endobj -447 0 obj +452 0 obj << /Length 7879 >> stream @@ -78090,7 +78374,7 @@ Q endstream endobj -448 0 obj +453 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -78098,32 +78382,32 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 447 0 R +/Contents 452 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F1.0 8 0 R /F3.0 26 0 R /F2.0 25 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> >> endobj -449 0 obj -[448 0 R /XYZ 0 638.11 null] +454 0 obj +[453 0 R /XYZ 0 638.11 null] endobj -450 0 obj +455 0 obj << /Limits [(_slti) (_standard_memory_protection_csrs)] -/Names [(_slti) 416 0 R (_sltiu) 417 0 R (_sltu) 402 0 R (_sra) 413 0 R (_srai) 427 0 R (_srl) 410 0 R (_srli) 426 0 R (_standard_m_mode_identification_csrs) 176 0 R (_standard_m_mode_performance_counters) 221 0 R (_standard_m_mode_trap_handling_csrs) 187 0 R (_standard_memory_protection_csrs) 216 0 R] +/Names [(_slti) 421 0 R (_sltiu) 422 0 R (_sltu) 407 0 R (_sra) 418 0 R (_srai) 432 0 R (_srl) 415 0 R (_srli) 431 0 R (_standard_m_mode_identification_csrs) 176 0 R (_standard_m_mode_performance_counters) 221 0 R (_standard_m_mode_trap_handling_csrs) 187 0 R (_standard_memory_protection_csrs) 216 0 R] >> endobj -451 0 obj -[448 0 R /XYZ 0 598.03 null] +456 0 obj +[453 0 R /XYZ 0 598.03 null] endobj -452 0 obj -[448 0 R /XYZ 0 295.49 null] +457 0 obj +[453 0 R /XYZ 0 295.49 null] endobj -453 0 obj +458 0 obj << /Length 8083 >> stream @@ -78635,7 +78919,7 @@ Q endstream endobj -454 0 obj +459 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -78643,32 +78927,32 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 453 0 R +/Contents 458 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F3.0 26 0 R /F2.0 25 0 R /F1.0 8 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> endobj -455 0 obj -[454 0 R /XYZ 0 753.41 null] +460 0 obj +[459 0 R /XYZ 0 753.41 null] endobj -456 0 obj -[454 0 R /XYZ 0 465.61 null] +461 0 obj +[459 0 R /XYZ 0 465.61 null] endobj -457 0 obj +462 0 obj << /Limits [(_max) (_mie)] -/Names [(_max) 497 0 R (_maxu) 500 0 R (_mcause) 208 0 R (_mcounteren) 212 0 R (_mcycle) 222 0 R (_mcycleh) 224 0 R (_medeleg) 192 0 R (_mepc) 207 0 R (_mhpmcounter331) 231 0 R (_mhpmcounter331h) 232 0 R (_mhpmevent331) 234 0 R (_mideleg) 193 0 R (_mie) 195 0 R] +/Names [(_max) 502 0 R (_maxu) 505 0 R (_mcause) 208 0 R (_mcounteren) 212 0 R (_mcycle) 222 0 R (_mcycleh) 224 0 R (_medeleg) 192 0 R (_mepc) 207 0 R (_mhpmcounter331) 231 0 R (_mhpmcounter331h) 232 0 R (_mhpmevent331) 234 0 R (_mideleg) 193 0 R (_mie) 195 0 R] >> endobj -458 0 obj -[454 0 R /XYZ 0 192.55 null] +463 0 obj +[459 0 R /XYZ 0 192.55 null] endobj -459 0 obj +464 0 obj << /Length 7920 >> stream @@ -79166,7 +79450,7 @@ Q endstream endobj -460 0 obj +465 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -79174,27 +79458,27 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 459 0 R +/Contents 464 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F1.0 8 0 R /F3.0 26 0 R /F2.0 25 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> >> endobj -461 0 obj -[460 0 R /XYZ 0 685.15 null] +466 0 obj +[465 0 R /XYZ 0 685.15 null] endobj -462 0 obj -[460 0 R /XYZ 0 412.09 null] +467 0 obj +[465 0 R /XYZ 0 412.09 null] endobj -463 0 obj -[460 0 R /XYZ 0 168.51 null] +468 0 obj +[465 0 R /XYZ 0 168.51 null] endobj -464 0 obj +469 0 obj << /Length 8269 >> stream @@ -79675,7 +79959,7 @@ Q endstream endobj -465 0 obj +470 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -79683,31 +79967,31 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 464 0 R +/Contents 469 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F3.0 26 0 R /F1.0 8 0 R /F2.0 25 0 R /F1.1 29 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> endobj -466 0 obj -[465 0 R /XYZ 0 691.63 null] +471 0 obj +[470 0 R /XYZ 0 691.63 null] endobj -467 0 obj -[465 0 R /XYZ 0 651.55 null] +472 0 obj +[470 0 R /XYZ 0 651.55 null] endobj -468 0 obj -[465 0 R /XYZ 0 437.45 null] +473 0 obj +[470 0 R /XYZ 0 437.45 null] endobj -469 0 obj -[465 0 R /XYZ 0 193.87 null] +474 0 obj +[470 0 R /XYZ 0 193.87 null] endobj -470 0 obj +475 0 obj << /Length 8010 >> stream @@ -80186,7 +80470,7 @@ Q endstream endobj -471 0 obj +476 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -80194,27 +80478,27 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 470 0 R +/Contents 475 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F1.0 8 0 R /F3.0 26 0 R /F2.0 25 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> >> endobj -472 0 obj -[471 0 R /XYZ 0 699.89 null] +477 0 obj +[476 0 R /XYZ 0 699.89 null] endobj -473 0 obj -[471 0 R /XYZ 0 471.05 null] +478 0 obj +[476 0 R /XYZ 0 471.05 null] endobj -474 0 obj -[471 0 R /XYZ 0 183.25 null] +479 0 obj +[476 0 R /XYZ 0 183.25 null] endobj -475 0 obj +480 0 obj << /Length 6701 >> stream @@ -80655,7 +80939,7 @@ Q endstream endobj -476 0 obj +481 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -80663,32 +80947,32 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 475 0 R +/Contents 480 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F1.0 8 0 R /F3.0 26 0 R /F2.0 25 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> endobj -477 0 obj -[476 0 R /XYZ 0 685.15 null] +482 0 obj +[481 0 R /XYZ 0 685.15 null] endobj -478 0 obj +483 0 obj << /Limits [(_mulhu) (_reset_state_configuration)] -/Names [(_mulhu) 472 0 R (_multiplydivide) 33 0 R (_or) 404 0 R (_orc_b) 505 0 R (_ori) 421 0 R (_orn) 506 0 R (_pack) 549 0 R (_packh) 552 0 R (_performancesize_options) 153 0 R (_pipe_stages) 28 0 R (_pmpaddr015) 218 0 R (_pmpcfg03) 217 0 R (_privileged_instructions_including_zicsr) 371 0 R (_rem) 477 0 R (_remu) 479 0 R (_reset_state_configuration) 65 0 R] +/Names [(_mulhu) 477 0 R (_multiplydivide) 33 0 R (_or) 409 0 R (_orc_b) 510 0 R (_ori) 426 0 R (_orn) 511 0 R (_pack) 554 0 R (_packh) 557 0 R (_performancesize_options) 153 0 R (_pipe_stages) 28 0 R (_pmpaddr015) 218 0 R (_pmpcfg03) 217 0 R (_privileged_instructions_including_zicsr) 371 0 R (_rem) 482 0 R (_remu) 484 0 R (_reset_state_configuration) 65 0 R] >> endobj -479 0 obj -[476 0 R /XYZ 0 426.83 null] +484 0 obj +[481 0 R /XYZ 0 426.83 null] endobj -480 0 obj -[476 0 R /XYZ 0 168.51 null] +485 0 obj +[481 0 R /XYZ 0 168.51 null] endobj -481 0 obj +486 0 obj << /Length 7037 >> stream @@ -81104,7 +81388,7 @@ Q endstream endobj -482 0 obj +487 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -81112,33 +81396,33 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 481 0 R +/Contents 486 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F2.0 25 0 R /F1.0 8 0 R /F3.0 26 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> >> endobj -483 0 obj -[482 0 R /XYZ 0 841.89 null] -endobj -484 0 obj -[482 0 R /XYZ 0 711.27 null] -endobj -485 0 obj -[482 0 R /XYZ 0 671.19 null] -endobj -486 0 obj -[482 0 R /XYZ 0 457.09 null] -endobj -487 0 obj -[482 0 R /XYZ 0 242.99 null] -endobj 488 0 obj +[487 0 R /XYZ 0 841.89 null] +endobj +489 0 obj +[487 0 R /XYZ 0 711.27 null] +endobj +490 0 obj +[487 0 R /XYZ 0 671.19 null] +endobj +491 0 obj +[487 0 R /XYZ 0 457.09 null] +endobj +492 0 obj +[487 0 R /XYZ 0 242.99 null] +endobj +493 0 obj << /Length 6909 >> stream @@ -81570,7 +81854,7 @@ Q endstream endobj -489 0 obj +494 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -81578,30 +81862,30 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 488 0 R +/Contents 493 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F3.0 26 0 R /F2.0 25 0 R /F1.0 8 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> endobj -490 0 obj -[489 0 R /XYZ 0 757.15 null] +495 0 obj +[494 0 R /XYZ 0 757.15 null] endobj -491 0 obj -[489 0 R /XYZ 0 717.07 null] +496 0 obj +[494 0 R /XYZ 0 717.07 null] endobj -492 0 obj -[489 0 R /XYZ 0 502.97 null] +497 0 obj +[494 0 R /XYZ 0 502.97 null] endobj -493 0 obj -[489 0 R /XYZ 0 170.95 null] +498 0 obj +[494 0 R /XYZ 0 170.95 null] endobj -494 0 obj +499 0 obj << /Length 7863 >> stream @@ -82093,7 +82377,7 @@ Q endstream endobj -495 0 obj +500 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -82101,24 +82385,24 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 494 0 R +/Contents 499 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F3.0 26 0 R /F1.0 8 0 R /F2.0 25 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> >> endobj -496 0 obj -[495 0 R /XYZ 0 651.15 null] +501 0 obj +[500 0 R /XYZ 0 651.15 null] endobj -497 0 obj -[495 0 R /XYZ 0 319.13 null] +502 0 obj +[500 0 R /XYZ 0 319.13 null] endobj -498 0 obj +503 0 obj << /Length 7577 >> stream @@ -82608,7 +82892,7 @@ Q endstream endobj -499 0 obj +504 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -82616,27 +82900,27 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 498 0 R +/Contents 503 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F2.0 25 0 R /F1.0 8 0 R /F3.0 26 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> endobj -500 0 obj -[499 0 R /XYZ 0 841.89 null] +505 0 obj +[504 0 R /XYZ 0 841.89 null] endobj -501 0 obj -[499 0 R /XYZ 0 552.37 null] +506 0 obj +[504 0 R /XYZ 0 552.37 null] endobj -502 0 obj -[499 0 R /XYZ 0 294.05 null] +507 0 obj +[504 0 R /XYZ 0 294.05 null] endobj -503 0 obj +508 0 obj << /Length 7733 >> stream @@ -83134,7 +83418,7 @@ Q endstream endobj -504 0 obj +509 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -83142,27 +83426,27 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 503 0 R +/Contents 508 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F2.0 25 0 R /F1.0 8 0 R /F3.0 26 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> >> endobj -505 0 obj -[504 0 R /XYZ 0 841.89 null] +510 0 obj +[509 0 R /XYZ 0 841.89 null] endobj -506 0 obj -[504 0 R /XYZ 0 522.89 null] +511 0 obj +[509 0 R /XYZ 0 522.89 null] endobj -507 0 obj -[504 0 R /XYZ 0 308.79 null] +512 0 obj +[509 0 R /XYZ 0 308.79 null] endobj -508 0 obj +513 0 obj << /Length 8196 >> stream @@ -83676,7 +83960,7 @@ Q endstream endobj -509 0 obj +514 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -83684,27 +83968,27 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 508 0 R +/Contents 513 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F3.0 26 0 R /F2.0 25 0 R /F1.0 8 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> endobj -510 0 obj -[509 0 R /XYZ 0 768.15 null] +515 0 obj +[514 0 R /XYZ 0 768.15 null] endobj -511 0 obj -[509 0 R /XYZ 0 509.83 null] +516 0 obj +[514 0 R /XYZ 0 509.83 null] endobj -512 0 obj -[509 0 R /XYZ 0 251.51 null] +517 0 obj +[514 0 R /XYZ 0 251.51 null] endobj -513 0 obj +518 0 obj << /Length 7628 >> stream @@ -84194,7 +84478,7 @@ Q endstream endobj -514 0 obj +519 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -84202,32 +84486,32 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 513 0 R +/Contents 518 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F3.0 26 0 R /F2.0 25 0 R /F1.0 8 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> >> endobj -515 0 obj -[514 0 R /XYZ 0 738.67 null] +520 0 obj +[519 0 R /XYZ 0 738.67 null] endobj -516 0 obj -[514 0 R /XYZ 0 480.35 null] +521 0 obj +[519 0 R /XYZ 0 480.35 null] endobj -517 0 obj +522 0 obj << /Limits [(_rv32i_register_register) (_slt)] -/Names [(_rv32i_register_register) 396 0 R (_sb) 463 0 R (_sext_b) 515 0 R (_sext_h) 516 0 R (_sh) 462 0 R (_sh1add) 485 0 R (_sh2add) 486 0 R (_sh3add) 487 0 R (_sll) 408 0 R (_slli) 425 0 R (_slt) 401 0 R] +/Names [(_rv32i_register_register) 401 0 R (_sb) 468 0 R (_sext_b) 520 0 R (_sext_h) 521 0 R (_sh) 467 0 R (_sh1add) 490 0 R (_sh2add) 491 0 R (_sh3add) 492 0 R (_sll) 413 0 R (_slli) 430 0 R (_slt) 406 0 R] >> endobj -518 0 obj -[514 0 R /XYZ 0 222.03 null] +523 0 obj +[519 0 R /XYZ 0 222.03 null] endobj -519 0 obj +524 0 obj << /Length 8095 >> stream @@ -84727,7 +85011,7 @@ Q endstream endobj -520 0 obj +525 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -84735,27 +85019,27 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 519 0 R +/Contents 524 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F3.0 26 0 R /F2.0 25 0 R /F1.0 8 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> endobj -521 0 obj -[520 0 R /XYZ 0 757.15 null] +526 0 obj +[525 0 R /XYZ 0 757.15 null] endobj -522 0 obj -[520 0 R /XYZ 0 498.83 null] +527 0 obj +[525 0 R /XYZ 0 498.83 null] endobj -523 0 obj -[520 0 R /XYZ 0 269.99 null] +528 0 obj +[525 0 R /XYZ 0 269.99 null] endobj -524 0 obj +529 0 obj << /Length 7602 >> stream @@ -85206,7 +85490,7 @@ Q endstream endobj -525 0 obj +530 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -85214,30 +85498,30 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 524 0 R +/Contents 529 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F3.0 26 0 R /F2.0 25 0 R /F1.0 8 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> >> endobj -526 0 obj -[525 0 R /XYZ 0 768.15 null] +531 0 obj +[530 0 R /XYZ 0 768.15 null] endobj -527 0 obj -[525 0 R /XYZ 0 554.05 null] +532 0 obj +[530 0 R /XYZ 0 554.05 null] endobj -528 0 obj -[525 0 R /XYZ 0 339.95 null] +533 0 obj +[530 0 R /XYZ 0 339.95 null] endobj -529 0 obj -[525 0 R /XYZ 0 125.85 null] +534 0 obj +[530 0 R /XYZ 0 125.85 null] endobj -530 0 obj +535 0 obj << /Length 6935 >> stream @@ -85661,7 +85945,7 @@ Q endstream endobj -531 0 obj +536 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -85669,30 +85953,30 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 530 0 R +/Contents 535 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F2.0 25 0 R /F1.0 8 0 R /F3.0 26 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> endobj -532 0 obj -[531 0 R /XYZ 0 841.89 null] +537 0 obj +[536 0 R /XYZ 0 841.89 null] endobj -533 0 obj -[531 0 R /XYZ 0 596.59 null] +538 0 obj +[536 0 R /XYZ 0 596.59 null] endobj -534 0 obj -[531 0 R /XYZ 0 382.49 null] +539 0 obj +[536 0 R /XYZ 0 382.49 null] endobj -535 0 obj -[531 0 R /XYZ 0 168.39 null] +540 0 obj +[536 0 R /XYZ 0 168.39 null] endobj -536 0 obj +541 0 obj << /Length 8113 >> stream @@ -86172,7 +86456,7 @@ Q endstream endobj -537 0 obj +542 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -86180,32 +86464,32 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 536 0 R +/Contents 541 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F3.0 26 0 R /F1.0 8 0 R /F2.0 25 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> >> endobj -538 0 obj -[537 0 R /XYZ 0 680.63 null] +543 0 obj +[542 0 R /XYZ 0 680.63 null] endobj -539 0 obj +544 0 obj << /Limits [(_bclri) (_bne)] -/Names [(_bclri) 533 0 R (_beq) 438 0 R (_bext) 534 0 R (_bexti) 535 0 R (_bge) 444 0 R (_bgeu) 446 0 R (_binv) 538 0 R (_binvi) 540 0 R (_bit_manipulation) 374 0 R (_blt) 443 0 R (_bltu) 445 0 R (_bne) 439 0 R] +/Names [(_bclri) 538 0 R (_beq) 443 0 R (_bext) 539 0 R (_bexti) 540 0 R (_bge) 449 0 R (_bgeu) 451 0 R (_binv) 543 0 R (_binvi) 545 0 R (_bit_manipulation) 374 0 R (_blt) 448 0 R (_bltu) 450 0 R (_bne) 444 0 R] >> endobj -540 0 obj -[537 0 R /XYZ 0 466.53 null] +545 0 obj +[542 0 R /XYZ 0 466.53 null] endobj -541 0 obj -[537 0 R /XYZ 0 252.43 null] +546 0 obj +[542 0 R /XYZ 0 252.43 null] endobj -542 0 obj +547 0 obj << /Length 7342 >> stream @@ -86661,7 +86945,7 @@ Q endstream endobj -543 0 obj +548 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -86669,40 +86953,40 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 542 0 R +/Contents 547 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F2.0 25 0 R /F1.0 8 0 R /F3.0 26 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> endobj -544 0 obj -[543 0 R /XYZ 0 841.89 null] -endobj -545 0 obj -[543 0 R /XYZ 0 596.59 null] -endobj -546 0 obj -<< /Limits [(_zba_bit_manipulation_address_generation) (config-parameters-section)] -/Names [(_zba_bit_manipulation_address_generation) 484 0 R (_zbb_bit_manipulation_basic) 490 0 R (_zbc_bit_manipulation_carry_less_multiply) 523 0 R (_zbkb_basic_bit_manipulation_for_cryptography) 545 0 R (_zbs_bit_manipulation_single_bit) 529 0 R (_zcb_extension) 377 0 R (_zcmp_extension) 378 0 R (_zext_b) 522 0 R (_zext_h) 521 0 R (_zip) 553 0 R (cfg-custom-extensions) 93 0 R (config-parameters-section) 64 0 R] ->> -endobj -547 0 obj -[543 0 R /XYZ 0 504.95 null] -endobj -548 0 obj -<< /Limits [(_clz) (_custom_power_control_csrs)] -/Names [(_clz) 492 0 R (_configuration_and_integration) 54 0 R (_cpop) 493 0 R (_csr_support) 108 0 R (_csrs) 174 0 R (_ctz) 496 0 R (_custom_debug_mode_csrs) 250 0 R (_custom_extensions) 285 0 R (_custom_interrupt_handling_csrs) 256 0 R (_custom_memory_protection_csrs) 277 0 R (_custom_power_control_csrs) 279 0 R] ->> -endobj 549 0 obj -[543 0 R /XYZ 0 231.89 null] +[548 0 R /XYZ 0 841.89 null] endobj 550 0 obj +[548 0 R /XYZ 0 596.59 null] +endobj +551 0 obj +<< /Limits [(_zba_bit_manipulation_address_generation) (config-parameters-section)] +/Names [(_zba_bit_manipulation_address_generation) 489 0 R (_zbb_bit_manipulation_basic) 495 0 R (_zbc_bit_manipulation_carry_less_multiply) 528 0 R (_zbkb_basic_bit_manipulation_for_cryptography) 550 0 R (_zbs_bit_manipulation_single_bit) 534 0 R (_zcb_extension) 377 0 R (_zcmp_extension) 378 0 R (_zext_b) 527 0 R (_zext_h) 526 0 R (_zip) 558 0 R (cfg-custom-extensions) 93 0 R (config-parameters-section) 64 0 R] +>> +endobj +552 0 obj +[548 0 R /XYZ 0 504.95 null] +endobj +553 0 obj +<< /Limits [(_clz) (_custom_power_control_csrs)] +/Names [(_clz) 497 0 R (_configuration_and_integration) 54 0 R (_cpop) 498 0 R (_csr_support) 108 0 R (_csrs) 174 0 R (_ctz) 501 0 R (_custom_debug_mode_csrs) 250 0 R (_custom_extensions) 285 0 R (_custom_interrupt_handling_csrs) 256 0 R (_custom_memory_protection_csrs) 277 0 R (_custom_power_control_csrs) 279 0 R] +>> +endobj +554 0 obj +[548 0 R /XYZ 0 231.89 null] +endobj +555 0 obj << /Length 7747 >> stream @@ -87194,7 +87478,7 @@ Q endstream endobj -551 0 obj +556 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -87202,27 +87486,27 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 550 0 R +/Contents 555 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F3.0 26 0 R /F2.0 25 0 R /F1.0 8 0 R >> -/XObject << /Stamp2 1139 0 R +/XObject << /Stamp2 1144 0 R >> >> >> endobj -552 0 obj -[551 0 R /XYZ 0 712.93 null] +557 0 obj +[556 0 R /XYZ 0 712.93 null] endobj -553 0 obj -[551 0 R /XYZ 0 439.87 null] +558 0 obj +[556 0 R /XYZ 0 439.87 null] endobj -554 0 obj -[551 0 R /XYZ 0 181.55 null] +559 0 obj +[556 0 R /XYZ 0 181.55 null] endobj -555 0 obj +560 0 obj << /Length 1583 >> stream @@ -87335,7 +87619,7 @@ Q endstream endobj -556 0 obj +561 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -87343,17 +87627,17 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 555 0 R +/Contents 560 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F1.0 8 0 R /F3.0 26 0 R >> -/XObject << /Stamp1 1138 0 R +/XObject << /Stamp1 1143 0 R >> >> >> endobj -557 0 obj +562 0 obj << /Border [0 0 0] /Dest (_introduction) /Subtype /Link @@ -87361,7 +87645,7 @@ endobj /Type /Annot >> endobj -558 0 obj +563 0 obj << /Border [0 0 0] /Dest (_introduction) /Subtype /Link @@ -87369,7 +87653,7 @@ endobj /Type /Annot >> endobj -559 0 obj +564 0 obj << /Border [0 0 0] /Dest (_architectural_overview) /Subtype /Link @@ -87377,7 +87661,7 @@ endobj /Type /Annot >> endobj -560 0 obj +565 0 obj << /Border [0 0 0] /Dest (_architectural_overview) /Subtype /Link @@ -87385,7 +87669,7 @@ endobj /Type /Annot >> endobj -561 0 obj +566 0 obj << /Border [0 0 0] /Dest (_pipe_stages) /Subtype /Link @@ -87393,7 +87677,7 @@ endobj /Type /Annot >> endobj -562 0 obj +567 0 obj << /Border [0 0 0] /Dest (_pipe_stages) /Subtype /Link @@ -87401,7 +87685,7 @@ endobj /Type /Annot >> endobj -563 0 obj +568 0 obj << /Border [0 0 0] /Dest (_bus_interfaces) /Subtype /Link @@ -87409,7 +87693,7 @@ endobj /Type /Annot >> endobj -564 0 obj +569 0 obj << /Border [0 0 0] /Dest (_bus_interfaces) /Subtype /Link @@ -87417,7 +87701,7 @@ endobj /Type /Annot >> endobj -565 0 obj +570 0 obj << /Border [0 0 0] /Dest (_multiplydivide) /Subtype /Link @@ -87425,7 +87709,7 @@ endobj /Type /Annot >> endobj -566 0 obj +571 0 obj << /Border [0 0 0] /Dest (_multiplydivide) /Subtype /Link @@ -87433,7 +87717,7 @@ endobj /Type /Annot >> endobj -567 0 obj +572 0 obj << /Border [0 0 0] /Dest (_list_of_risc_v_specifications) /Subtype /Link @@ -87441,7 +87725,7 @@ endobj /Type /Annot >> endobj -568 0 obj +573 0 obj << /Border [0 0 0] /Dest (_list_of_risc_v_specifications) /Subtype /Link @@ -87449,7 +87733,7 @@ endobj /Type /Annot >> endobj -569 0 obj +574 0 obj << /Border [0 0 0] /Dest (_configuration_and_integration) /Subtype /Link @@ -87457,7 +87741,7 @@ endobj /Type /Annot >> endobj -570 0 obj +575 0 obj << /Border [0 0 0] /Dest (_configuration_and_integration) /Subtype /Link @@ -87465,7 +87749,7 @@ endobj /Type /Annot >> endobj -571 0 obj +576 0 obj << /Border [0 0 0] /Dest (_hazard3_source_files) /Subtype /Link @@ -87473,7 +87757,7 @@ endobj /Type /Annot >> endobj -572 0 obj +577 0 obj << /Border [0 0 0] /Dest (_hazard3_source_files) /Subtype /Link @@ -87481,7 +87765,7 @@ endobj /Type /Annot >> endobj -573 0 obj +578 0 obj << /Border [0 0 0] /Dest (_top_level_modules) /Subtype /Link @@ -87489,7 +87773,7 @@ endobj /Type /Annot >> endobj -574 0 obj +579 0 obj << /Border [0 0 0] /Dest (_top_level_modules) /Subtype /Link @@ -87497,7 +87781,7 @@ endobj /Type /Annot >> endobj -575 0 obj +580 0 obj << /Border [0 0 0] /Dest (config-parameters-section) /Subtype /Link @@ -87505,7 +87789,7 @@ endobj /Type /Annot >> endobj -576 0 obj +581 0 obj << /Border [0 0 0] /Dest (config-parameters-section) /Subtype /Link @@ -87513,7 +87797,7 @@ endobj /Type /Annot >> endobj -577 0 obj +582 0 obj << /Border [0 0 0] /Dest (_reset_state_configuration) /Subtype /Link @@ -87521,7 +87805,7 @@ endobj /Type /Annot >> endobj -578 0 obj +583 0 obj << /Border [0 0 0] /Dest (_reset_state_configuration) /Subtype /Link @@ -87529,7 +87813,7 @@ endobj /Type /Annot >> endobj -579 0 obj +584 0 obj << /Border [0 0 0] /Dest (_standard_risc_v_isa_support) /Subtype /Link @@ -87537,7 +87821,7 @@ endobj /Type /Annot >> endobj -580 0 obj +585 0 obj << /Border [0 0 0] /Dest (_standard_risc_v_isa_support) /Subtype /Link @@ -87545,7 +87829,7 @@ endobj /Type /Annot >> endobj -581 0 obj +586 0 obj << /Border [0 0 0] /Dest (cfg-custom-extensions) /Subtype /Link @@ -87553,7 +87837,7 @@ endobj /Type /Annot >> endobj -582 0 obj +587 0 obj << /Border [0 0 0] /Dest (cfg-custom-extensions) /Subtype /Link @@ -87561,7 +87845,7 @@ endobj /Type /Annot >> endobj -583 0 obj +588 0 obj << /Border [0 0 0] /Dest (_csr_support) /Subtype /Link @@ -87569,7 +87853,7 @@ endobj /Type /Annot >> endobj -584 0 obj +589 0 obj << /Border [0 0 0] /Dest (_csr_support) /Subtype /Link @@ -87577,7 +87861,7 @@ endobj /Type /Annot >> endobj -585 0 obj +590 0 obj << /Border [0 0 0] /Dest (_external_interrupt_support) /Subtype /Link @@ -87585,7 +87869,7 @@ endobj /Type /Annot >> endobj -586 0 obj +591 0 obj << /Border [0 0 0] /Dest (_external_interrupt_support) /Subtype /Link @@ -87593,7 +87877,7 @@ endobj /Type /Annot >> endobj -587 0 obj +592 0 obj << /Border [0 0 0] /Dest (_identification_registers) /Subtype /Link @@ -87601,7 +87885,7 @@ endobj /Type /Annot >> endobj -588 0 obj +593 0 obj << /Border [0 0 0] /Dest (_identification_registers) /Subtype /Link @@ -87609,7 +87893,7 @@ endobj /Type /Annot >> endobj -589 0 obj +594 0 obj << /Border [0 0 0] /Dest (_performancesize_options) /Subtype /Link @@ -87617,7 +87901,7 @@ endobj /Type /Annot >> endobj -590 0 obj +595 0 obj << /Border [0 0 0] /Dest (_performancesize_options) /Subtype /Link @@ -87625,7 +87909,7 @@ endobj /Type /Annot >> endobj -591 0 obj +596 0 obj << /Border [0 0 0] /Dest (_interfaces_top_level_ports) /Subtype /Link @@ -87633,7 +87917,7 @@ endobj /Type /Annot >> endobj -592 0 obj +597 0 obj << /Border [0 0 0] /Dest (_interfaces_top_level_ports) /Subtype /Link @@ -87641,7 +87925,7 @@ endobj /Type /Annot >> endobj -593 0 obj +598 0 obj << /Border [0 0 0] /Dest (_csrs) /Subtype /Link @@ -87649,7 +87933,7 @@ endobj /Type /Annot >> endobj -594 0 obj +599 0 obj << /Border [0 0 0] /Dest (_csrs) /Subtype /Link @@ -87657,7 +87941,7 @@ endobj /Type /Annot >> endobj -595 0 obj +600 0 obj << /Border [0 0 0] /Dest (_standard_m_mode_identification_csrs) /Subtype /Link @@ -87665,7 +87949,7 @@ endobj /Type /Annot >> endobj -596 0 obj +601 0 obj << /Border [0 0 0] /Dest (_standard_m_mode_identification_csrs) /Subtype /Link @@ -87673,7 +87957,7 @@ endobj /Type /Annot >> endobj -597 0 obj +602 0 obj << /Border [0 0 0] /Dest (reg-mvendorid) /Subtype /Link @@ -87681,7 +87965,7 @@ endobj /Type /Annot >> endobj -598 0 obj +603 0 obj << /Border [0 0 0] /Dest (reg-mvendorid) /Subtype /Link @@ -87689,7 +87973,7 @@ endobj /Type /Annot >> endobj -599 0 obj +604 0 obj << /Border [0 0 0] /Dest (_marchid) /Subtype /Link @@ -87697,7 +87981,7 @@ endobj /Type /Annot >> endobj -600 0 obj +605 0 obj << /Border [0 0 0] /Dest (_marchid) /Subtype /Link @@ -87705,7 +87989,7 @@ endobj /Type /Annot >> endobj -601 0 obj +606 0 obj << /Border [0 0 0] /Dest (reg-mimpid) /Subtype /Link @@ -87713,7 +87997,7 @@ endobj /Type /Annot >> endobj -602 0 obj +607 0 obj << /Border [0 0 0] /Dest (reg-mimpid) /Subtype /Link @@ -87721,7 +88005,7 @@ endobj /Type /Annot >> endobj -603 0 obj +608 0 obj << /Border [0 0 0] /Dest (reg-mhartid) /Subtype /Link @@ -87729,7 +88013,7 @@ endobj /Type /Annot >> endobj -604 0 obj +609 0 obj << /Border [0 0 0] /Dest (reg-mhartid) /Subtype /Link @@ -87737,7 +88021,7 @@ endobj /Type /Annot >> endobj -605 0 obj +610 0 obj << /Border [0 0 0] /Dest (reg-mconfigptr) /Subtype /Link @@ -87745,7 +88029,7 @@ endobj /Type /Annot >> endobj -606 0 obj +611 0 obj << /Border [0 0 0] /Dest (reg-mconfigptr) /Subtype /Link @@ -87753,7 +88037,7 @@ endobj /Type /Annot >> endobj -607 0 obj +612 0 obj << /Border [0 0 0] /Dest (reg-misa) /Subtype /Link @@ -87761,7 +88045,7 @@ endobj /Type /Annot >> endobj -608 0 obj +613 0 obj << /Border [0 0 0] /Dest (reg-misa) /Subtype /Link @@ -87769,7 +88053,7 @@ endobj /Type /Annot >> endobj -609 0 obj +614 0 obj << /Border [0 0 0] /Dest (_standard_m_mode_trap_handling_csrs) /Subtype /Link @@ -87777,7 +88061,7 @@ endobj /Type /Annot >> endobj -610 0 obj +615 0 obj << /Border [0 0 0] /Dest (_standard_m_mode_trap_handling_csrs) /Subtype /Link @@ -87785,7 +88069,7 @@ endobj /Type /Annot >> endobj -611 0 obj +616 0 obj << /Border [0 0 0] /Dest (_mstatus) /Subtype /Link @@ -87793,7 +88077,7 @@ endobj /Type /Annot >> endobj -612 0 obj +617 0 obj << /Border [0 0 0] /Dest (_mstatus) /Subtype /Link @@ -87801,7 +88085,7 @@ endobj /Type /Annot >> endobj -613 0 obj +618 0 obj << /Border [0 0 0] /Dest (_mstatush) /Subtype /Link @@ -87809,7 +88093,7 @@ endobj /Type /Annot >> endobj -614 0 obj +619 0 obj << /Border [0 0 0] /Dest (_mstatush) /Subtype /Link @@ -87817,7 +88101,7 @@ endobj /Type /Annot >> endobj -615 0 obj +620 0 obj << /Border [0 0 0] /Dest (_medeleg) /Subtype /Link @@ -87825,7 +88109,7 @@ endobj /Type /Annot >> endobj -616 0 obj +621 0 obj << /Border [0 0 0] /Dest (_medeleg) /Subtype /Link @@ -87833,7 +88117,7 @@ endobj /Type /Annot >> endobj -617 0 obj +622 0 obj << /Border [0 0 0] /Dest (_mideleg) /Subtype /Link @@ -87841,7 +88125,7 @@ endobj /Type /Annot >> endobj -618 0 obj +623 0 obj << /Border [0 0 0] /Dest (_mideleg) /Subtype /Link @@ -87849,7 +88133,7 @@ endobj /Type /Annot >> endobj -619 0 obj +624 0 obj << /Border [0 0 0] /Dest (_mie) /Subtype /Link @@ -87857,7 +88141,7 @@ endobj /Type /Annot >> endobj -620 0 obj +625 0 obj << /Border [0 0 0] /Dest (_mie) /Subtype /Link @@ -87865,7 +88149,7 @@ endobj /Type /Annot >> endobj -621 0 obj +626 0 obj << /Border [0 0 0] /Dest (reg-mip) /Subtype /Link @@ -87873,7 +88157,7 @@ endobj /Type /Annot >> endobj -622 0 obj +627 0 obj << /Border [0 0 0] /Dest (reg-mip) /Subtype /Link @@ -87881,7 +88165,7 @@ endobj /Type /Annot >> endobj -623 0 obj +628 0 obj << /Border [0 0 0] /Dest (reg-mtvec) /Subtype /Link @@ -87889,7 +88173,7 @@ endobj /Type /Annot >> endobj -624 0 obj +629 0 obj << /Border [0 0 0] /Dest (reg-mtvec) /Subtype /Link @@ -87897,7 +88181,7 @@ endobj /Type /Annot >> endobj -625 0 obj +630 0 obj << /Border [0 0 0] /Dest (_mscratch) /Subtype /Link @@ -87905,7 +88189,7 @@ endobj /Type /Annot >> endobj -626 0 obj +631 0 obj << /Border [0 0 0] /Dest (_mscratch) /Subtype /Link @@ -87913,7 +88197,7 @@ endobj /Type /Annot >> endobj -627 0 obj +632 0 obj << /Border [0 0 0] /Dest (_mepc) /Subtype /Link @@ -87921,7 +88205,7 @@ endobj /Type /Annot >> endobj -628 0 obj +633 0 obj << /Border [0 0 0] /Dest (_mepc) /Subtype /Link @@ -87929,7 +88213,7 @@ endobj /Type /Annot >> endobj -629 0 obj +634 0 obj << /Border [0 0 0] /Dest (_mcause) /Subtype /Link @@ -87937,7 +88221,7 @@ endobj /Type /Annot >> endobj -630 0 obj +635 0 obj << /Border [0 0 0] /Dest (_mcause) /Subtype /Link @@ -87945,7 +88229,7 @@ endobj /Type /Annot >> endobj -631 0 obj +636 0 obj << /Border [0 0 0] /Dest (_mtval) /Subtype /Link @@ -87953,7 +88237,7 @@ endobj /Type /Annot >> endobj -632 0 obj +637 0 obj << /Border [0 0 0] /Dest (_mtval) /Subtype /Link @@ -87961,7 +88245,7 @@ endobj /Type /Annot >> endobj -633 0 obj +638 0 obj << /Border [0 0 0] /Dest (_mcounteren) /Subtype /Link @@ -87969,7 +88253,7 @@ endobj /Type /Annot >> endobj -634 0 obj +639 0 obj << /Border [0 0 0] /Dest (_mcounteren) /Subtype /Link @@ -87977,7 +88261,7 @@ endobj /Type /Annot >> endobj -635 0 obj +640 0 obj << /Border [0 0 0] /Dest (_standard_memory_protection_csrs) /Subtype /Link @@ -87985,7 +88269,7 @@ endobj /Type /Annot >> endobj -636 0 obj +641 0 obj << /Border [0 0 0] /Dest (_standard_memory_protection_csrs) /Subtype /Link @@ -87993,7 +88277,7 @@ endobj /Type /Annot >> endobj -637 0 obj +642 0 obj << /Border [0 0 0] /Dest (_pmpcfg03) /Subtype /Link @@ -88001,7 +88285,7 @@ endobj /Type /Annot >> endobj -638 0 obj +643 0 obj << /Border [0 0 0] /Dest (_pmpcfg03) /Subtype /Link @@ -88009,7 +88293,7 @@ endobj /Type /Annot >> endobj -639 0 obj +644 0 obj << /Border [0 0 0] /Dest (_pmpaddr015) /Subtype /Link @@ -88017,7 +88301,7 @@ endobj /Type /Annot >> endobj -640 0 obj +645 0 obj << /Border [0 0 0] /Dest (_pmpaddr015) /Subtype /Link @@ -88025,7 +88309,7 @@ endobj /Type /Annot >> endobj -641 0 obj +646 0 obj << /Border [0 0 0] /Dest (_standard_m_mode_performance_counters) /Subtype /Link @@ -88033,7 +88317,7 @@ endobj /Type /Annot >> endobj -642 0 obj +647 0 obj << /Border [0 0 0] /Dest (_standard_m_mode_performance_counters) /Subtype /Link @@ -88041,7 +88325,7 @@ endobj /Type /Annot >> endobj -643 0 obj +648 0 obj << /Border [0 0 0] /Dest (_mcycle) /Subtype /Link @@ -88049,7 +88333,7 @@ endobj /Type /Annot >> endobj -644 0 obj +649 0 obj << /Border [0 0 0] /Dest (_mcycle) /Subtype /Link @@ -88057,7 +88341,7 @@ endobj /Type /Annot >> endobj -645 0 obj +650 0 obj << /Border [0 0 0] /Dest (_mcycleh) /Subtype /Link @@ -88065,7 +88349,7 @@ endobj /Type /Annot >> endobj -646 0 obj +651 0 obj << /Border [0 0 0] /Dest (_mcycleh) /Subtype /Link @@ -88073,7 +88357,7 @@ endobj /Type /Annot >> endobj -647 0 obj +652 0 obj << /Border [0 0 0] /Dest (_minstret) /Subtype /Link @@ -88081,7 +88365,7 @@ endobj /Type /Annot >> endobj -648 0 obj +653 0 obj << /Border [0 0 0] /Dest (_minstret) /Subtype /Link @@ -88089,7 +88373,7 @@ endobj /Type /Annot >> endobj -649 0 obj +654 0 obj << /Border [0 0 0] /Dest (_minstreth) /Subtype /Link @@ -88097,7 +88381,7 @@ endobj /Type /Annot >> endobj -650 0 obj +655 0 obj << /Border [0 0 0] /Dest (_minstreth) /Subtype /Link @@ -88105,7 +88389,7 @@ endobj /Type /Annot >> endobj -651 0 obj +656 0 obj << /Border [0 0 0] /Dest (_mhpmcounter331) /Subtype /Link @@ -88113,7 +88397,7 @@ endobj /Type /Annot >> endobj -652 0 obj +657 0 obj << /Border [0 0 0] /Dest (_mhpmcounter331) /Subtype /Link @@ -88121,7 +88405,7 @@ endobj /Type /Annot >> endobj -653 0 obj +658 0 obj << /Border [0 0 0] /Dest (_mhpmcounter331h) /Subtype /Link @@ -88129,7 +88413,7 @@ endobj /Type /Annot >> endobj -654 0 obj +659 0 obj << /Border [0 0 0] /Dest (_mhpmcounter331h) /Subtype /Link @@ -88137,7 +88421,7 @@ endobj /Type /Annot >> endobj -655 0 obj +660 0 obj << /Border [0 0 0] /Dest (reg-mcountinhibit) /Subtype /Link @@ -88145,7 +88429,7 @@ endobj /Type /Annot >> endobj -656 0 obj +661 0 obj << /Border [0 0 0] /Dest (reg-mcountinhibit) /Subtype /Link @@ -88153,7 +88437,7 @@ endobj /Type /Annot >> endobj -657 0 obj +662 0 obj << /Border [0 0 0] /Dest (_mhpmevent331) /Subtype /Link @@ -88161,7 +88445,7 @@ endobj /Type /Annot >> endobj -658 0 obj +663 0 obj << /Border [0 0 0] /Dest (_mhpmevent331) /Subtype /Link @@ -88169,7 +88453,7 @@ endobj /Type /Annot >> endobj -659 0 obj +664 0 obj << /Border [0 0 0] /Dest (_standard_trigger_csrs) /Subtype /Link @@ -88177,7 +88461,7 @@ endobj /Type /Annot >> endobj -660 0 obj +665 0 obj << /Border [0 0 0] /Dest (_standard_trigger_csrs) /Subtype /Link @@ -88185,7 +88469,7 @@ endobj /Type /Annot >> endobj -661 0 obj +666 0 obj << /Border [0 0 0] /Dest (_tselect) /Subtype /Link @@ -88193,7 +88477,7 @@ endobj /Type /Annot >> endobj -662 0 obj +667 0 obj << /Border [0 0 0] /Dest (_tselect) /Subtype /Link @@ -88201,7 +88485,7 @@ endobj /Type /Annot >> endobj -663 0 obj +668 0 obj << /Border [0 0 0] /Dest (_tdata13) /Subtype /Link @@ -88209,7 +88493,7 @@ endobj /Type /Annot >> endobj -664 0 obj +669 0 obj << /Border [0 0 0] /Dest (_tdata13) /Subtype /Link @@ -88217,7 +88501,7 @@ endobj /Type /Annot >> endobj -665 0 obj +670 0 obj << /Border [0 0 0] /Dest (debug-csr-section) /Subtype /Link @@ -88225,7 +88509,7 @@ endobj /Type /Annot >> endobj -666 0 obj +671 0 obj << /Border [0 0 0] /Dest (debug-csr-section) /Subtype /Link @@ -88233,7 +88517,7 @@ endobj /Type /Annot >> endobj -667 0 obj +672 0 obj << /Border [0 0 0] /Dest (reg-dcsr) /Subtype /Link @@ -88241,7 +88525,7 @@ endobj /Type /Annot >> endobj -668 0 obj +673 0 obj << /Border [0 0 0] /Dest (reg-dcsr) /Subtype /Link @@ -88249,7 +88533,7 @@ endobj /Type /Annot >> endobj -669 0 obj +674 0 obj << /Border [0 0 0] /Dest (_dpc) /Subtype /Link @@ -88257,7 +88541,7 @@ endobj /Type /Annot >> endobj -670 0 obj +675 0 obj << /Border [0 0 0] /Dest (_dpc) /Subtype /Link @@ -88265,7 +88549,7 @@ endobj /Type /Annot >> endobj -671 0 obj +676 0 obj << /Border [0 0 0] /Dest (_dscratch0) /Subtype /Link @@ -88273,7 +88557,7 @@ endobj /Type /Annot >> endobj -672 0 obj +677 0 obj << /Border [0 0 0] /Dest (_dscratch0) /Subtype /Link @@ -88281,7 +88565,7 @@ endobj /Type /Annot >> endobj -673 0 obj +678 0 obj << /Border [0 0 0] /Dest (_dscratch1) /Subtype /Link @@ -88289,7 +88573,7 @@ endobj /Type /Annot >> endobj -674 0 obj +679 0 obj << /Border [0 0 0] /Dest (_dscratch1) /Subtype /Link @@ -88297,7 +88581,7 @@ endobj /Type /Annot >> endobj -675 0 obj +680 0 obj << /Border [0 0 0] /Dest (_custom_debug_mode_csrs) /Subtype /Link @@ -88305,7 +88589,7 @@ endobj /Type /Annot >> endobj -676 0 obj +681 0 obj << /Border [0 0 0] /Dest (_custom_debug_mode_csrs) /Subtype /Link @@ -88313,7 +88597,7 @@ endobj /Type /Annot >> endobj -677 0 obj +682 0 obj << /Border [0 0 0] /Dest (reg-dmdata0) /Subtype /Link @@ -88321,7 +88605,7 @@ endobj /Type /Annot >> endobj -678 0 obj +683 0 obj << /Border [0 0 0] /Dest (reg-dmdata0) /Subtype /Link @@ -88329,7 +88613,7 @@ endobj /Type /Annot >> endobj -679 0 obj +684 0 obj << /Border [0 0 0] /Dest (_custom_interrupt_handling_csrs) /Subtype /Link @@ -88337,7 +88621,7 @@ endobj /Type /Annot >> endobj -680 0 obj +685 0 obj << /Border [0 0 0] /Dest (_custom_interrupt_handling_csrs) /Subtype /Link @@ -88345,7 +88629,7 @@ endobj /Type /Annot >> endobj -681 0 obj +686 0 obj << /Border [0 0 0] /Dest (reg-meiea) /Subtype /Link @@ -88353,7 +88637,7 @@ endobj /Type /Annot >> endobj -682 0 obj +687 0 obj << /Border [0 0 0] /Dest (reg-meiea) /Subtype /Link @@ -88361,7 +88645,7 @@ endobj /Type /Annot >> endobj -683 0 obj +688 0 obj << /Border [0 0 0] /Dest (reg-meipa) /Subtype /Link @@ -88369,7 +88653,7 @@ endobj /Type /Annot >> endobj -684 0 obj +689 0 obj << /Border [0 0 0] /Dest (reg-meipa) /Subtype /Link @@ -88377,7 +88661,7 @@ endobj /Type /Annot >> endobj -685 0 obj +690 0 obj << /Border [0 0 0] /Dest (reg-meifa) /Subtype /Link @@ -88385,7 +88669,7 @@ endobj /Type /Annot >> endobj -686 0 obj +691 0 obj << /Border [0 0 0] /Dest (reg-meifa) /Subtype /Link @@ -88393,7 +88677,7 @@ endobj /Type /Annot >> endobj -687 0 obj +692 0 obj << /Border [0 0 0] /Dest (reg-meipra) /Subtype /Link @@ -88401,7 +88685,7 @@ endobj /Type /Annot >> endobj -688 0 obj +693 0 obj << /Border [0 0 0] /Dest (reg-meipra) /Subtype /Link @@ -88409,7 +88693,7 @@ endobj /Type /Annot >> endobj -689 0 obj +694 0 obj << /Border [0 0 0] /Dest (reg-meinext) /Subtype /Link @@ -88417,7 +88701,7 @@ endobj /Type /Annot >> endobj -690 0 obj +695 0 obj << /Border [0 0 0] /Dest (reg-meinext) /Subtype /Link @@ -88425,7 +88709,7 @@ endobj /Type /Annot >> endobj -691 0 obj +696 0 obj << /Border [0 0 0] /Dest (reg-meicontext) /Subtype /Link @@ -88433,7 +88717,7 @@ endobj /Type /Annot >> endobj -692 0 obj +697 0 obj << /Border [0 0 0] /Dest (reg-meicontext) /Subtype /Link @@ -88441,7 +88725,7 @@ endobj /Type /Annot >> endobj -693 0 obj +698 0 obj << /Border [0 0 0] /Dest (_custom_memory_protection_csrs) /Subtype /Link @@ -88449,7 +88733,7 @@ endobj /Type /Annot >> endobj -694 0 obj +699 0 obj << /Border [0 0 0] /Dest (_custom_memory_protection_csrs) /Subtype /Link @@ -88457,7 +88741,7 @@ endobj /Type /Annot >> endobj -695 0 obj +700 0 obj << /Border [0 0 0] /Dest (reg-pmpcfgm0) /Subtype /Link @@ -88465,7 +88749,7 @@ endobj /Type /Annot >> endobj -696 0 obj +701 0 obj << /Border [0 0 0] /Dest (reg-pmpcfgm0) /Subtype /Link @@ -88473,7 +88757,7 @@ endobj /Type /Annot >> endobj -697 0 obj +702 0 obj << /Border [0 0 0] /Dest (_custom_power_control_csrs) /Subtype /Link @@ -88481,7 +88765,7 @@ endobj /Type /Annot >> endobj -698 0 obj +703 0 obj << /Border [0 0 0] /Dest (_custom_power_control_csrs) /Subtype /Link @@ -88489,7 +88773,7 @@ endobj /Type /Annot >> endobj -699 0 obj +704 0 obj << /Border [0 0 0] /Dest (reg-msleep) /Subtype /Link @@ -88497,7 +88781,7 @@ endobj /Type /Annot >> endobj -700 0 obj +705 0 obj << /Border [0 0 0] /Dest (reg-msleep) /Subtype /Link @@ -88505,7 +88789,7 @@ endobj /Type /Annot >> endobj -701 0 obj +706 0 obj << /Border [0 0 0] /Dest (_custom_extensions) /Subtype /Link @@ -88513,7 +88797,7 @@ endobj /Type /Annot >> endobj -702 0 obj +707 0 obj << /Border [0 0 0] /Dest (_custom_extensions) /Subtype /Link @@ -88521,7 +88805,7 @@ endobj /Type /Annot >> endobj -703 0 obj +708 0 obj << /Border [0 0 0] /Dest (extension-xh3irq-section) /Subtype /Link @@ -88529,7 +88813,7 @@ endobj /Type /Annot >> endobj -704 0 obj +709 0 obj << /Border [0 0 0] /Dest (extension-xh3irq-section) /Subtype /Link @@ -88537,7 +88821,7 @@ endobj /Type /Annot >> endobj -705 0 obj +710 0 obj << /Border [0 0 0] /Dest (extension-xh3pmpm-section) /Subtype /Link @@ -88545,7 +88829,7 @@ endobj /Type /Annot >> endobj -706 0 obj +711 0 obj << /Border [0 0 0] /Dest (extension-xh3pmpm-section) /Subtype /Link @@ -88553,7 +88837,7 @@ endobj /Type /Annot >> endobj -707 0 obj +712 0 obj << /Border [0 0 0] /Dest (extension-xh3power-section) /Subtype /Link @@ -88561,7 +88845,7 @@ endobj /Type /Annot >> endobj -708 0 obj +713 0 obj << /Border [0 0 0] /Dest (extension-xh3power-section) /Subtype /Link @@ -88569,7 +88853,7 @@ endobj /Type /Annot >> endobj -709 0 obj +714 0 obj << /Border [0 0 0] /Dest (_h3_block) /Subtype /Link @@ -88577,7 +88861,7 @@ endobj /Type /Annot >> endobj -710 0 obj +715 0 obj << /Border [0 0 0] /Dest (_h3_block) /Subtype /Link @@ -88585,7 +88869,7 @@ endobj /Type /Annot >> endobj -711 0 obj +716 0 obj << /Border [0 0 0] /Dest (_h3_unblock) /Subtype /Link @@ -88593,7 +88877,7 @@ endobj /Type /Annot >> endobj -712 0 obj +717 0 obj << /Border [0 0 0] /Dest (_h3_unblock) /Subtype /Link @@ -88601,7 +88885,7 @@ endobj /Type /Annot >> endobj -713 0 obj +718 0 obj << /Border [0 0 0] /Dest (extension-xh3bextm-section) /Subtype /Link @@ -88609,7 +88893,7 @@ endobj /Type /Annot >> endobj -714 0 obj +719 0 obj << /Border [0 0 0] /Dest (extension-xh3bextm-section) /Subtype /Link @@ -88617,7 +88901,7 @@ endobj /Type /Annot >> endobj -715 0 obj +720 0 obj << /Border [0 0 0] /Dest (_h3_bextm) /Subtype /Link @@ -88625,7 +88909,7 @@ endobj /Type /Annot >> endobj -716 0 obj +721 0 obj << /Border [0 0 0] /Dest (_h3_bextm) /Subtype /Link @@ -88633,7 +88917,7 @@ endobj /Type /Annot >> endobj -717 0 obj +722 0 obj << /Border [0 0 0] /Dest (_h3_bextmi) /Subtype /Link @@ -88641,7 +88925,7 @@ endobj /Type /Annot >> endobj -718 0 obj +723 0 obj << /Border [0 0 0] /Dest (_h3_bextmi) /Subtype /Link @@ -88649,7 +88933,7 @@ endobj /Type /Annot >> endobj -719 0 obj +724 0 obj << /Border [0 0 0] /Dest (debug-chapter) /Subtype /Link @@ -88657,7 +88941,7 @@ endobj /Type /Annot >> endobj -720 0 obj +725 0 obj << /Border [0 0 0] /Dest (debug-chapter) /Subtype /Link @@ -88665,7 +88949,7 @@ endobj /Type /Annot >> endobj -721 0 obj +726 0 obj << /Border [0 0 0] /Dest (_debug_topologies) /Subtype /Link @@ -88673,7 +88957,7 @@ endobj /Type /Annot >> endobj -722 0 obj +727 0 obj << /Border [0 0 0] /Dest (_debug_topologies) /Subtype /Link @@ -88681,7 +88965,7 @@ endobj /Type /Annot >> endobj -723 0 obj +728 0 obj << /Border [0 0 0] /Dest (_implementation_defined_behaviour) /Subtype /Link @@ -88689,7 +88973,7 @@ endobj /Type /Annot >> endobj -724 0 obj +729 0 obj << /Border [0 0 0] /Dest (_implementation_defined_behaviour) /Subtype /Link @@ -88697,7 +88981,7 @@ endobj /Type /Annot >> endobj -725 0 obj +730 0 obj << /Border [0 0 0] /Dest (_debug_module_to_core_interface) /Subtype /Link @@ -88705,7 +88989,7 @@ endobj /Type /Annot >> endobj -726 0 obj +731 0 obj << /Border [0 0 0] /Dest (_debug_module_to_core_interface) /Subtype /Link @@ -88713,7 +88997,7 @@ endobj /Type /Annot >> endobj -727 0 obj +732 0 obj << /Border [0 0 0] /Dest (_instruction_cycle_counts) /Subtype /Link @@ -88721,7 +89005,7 @@ endobj /Type /Annot >> endobj -728 0 obj +733 0 obj << /Border [0 0 0] /Dest (_instruction_cycle_counts) /Subtype /Link @@ -88729,7 +89013,7 @@ endobj /Type /Annot >> endobj -729 0 obj +734 0 obj << /Border [0 0 0] /Dest (_rv32i) /Subtype /Link @@ -88737,7 +89021,7 @@ endobj /Type /Annot >> endobj -730 0 obj +735 0 obj << /Border [0 0 0] /Dest (_rv32i) /Subtype /Link @@ -88745,7 +89029,7 @@ endobj /Type /Annot >> endobj -731 0 obj +736 0 obj << /Border [0 0 0] /Dest (_m_extension) /Subtype /Link @@ -88753,7 +89037,7 @@ endobj /Type /Annot >> endobj -732 0 obj +737 0 obj << /Border [0 0 0] /Dest (_m_extension) /Subtype /Link @@ -88761,7 +89045,7 @@ endobj /Type /Annot >> endobj -733 0 obj +738 0 obj << /Border [0 0 0] /Dest (_a_extension) /Subtype /Link @@ -88769,7 +89053,7 @@ endobj /Type /Annot >> endobj -734 0 obj +739 0 obj << /Border [0 0 0] /Dest (_a_extension) /Subtype /Link @@ -88777,7 +89061,7 @@ endobj /Type /Annot >> endobj -735 0 obj +740 0 obj << /Border [0 0 0] /Dest (_c_extension) /Subtype /Link @@ -88785,7 +89069,7 @@ endobj /Type /Annot >> endobj -736 0 obj +741 0 obj << /Border [0 0 0] /Dest (_c_extension) /Subtype /Link @@ -88793,7 +89077,7 @@ endobj /Type /Annot >> endobj -737 0 obj +742 0 obj << /Border [0 0 0] /Dest (_privileged_instructions_including_zicsr) /Subtype /Link @@ -88801,7 +89085,7 @@ endobj /Type /Annot >> endobj -738 0 obj +743 0 obj << /Border [0 0 0] /Dest (_privileged_instructions_including_zicsr) /Subtype /Link @@ -88809,7 +89093,7 @@ endobj /Type /Annot >> endobj -739 0 obj +744 0 obj << /Border [0 0 0] /Dest (_bit_manipulation) /Subtype /Link @@ -88817,7 +89101,7 @@ endobj /Type /Annot >> endobj -740 0 obj +745 0 obj << /Border [0 0 0] /Dest (_bit_manipulation) /Subtype /Link @@ -88825,7 +89109,7 @@ endobj /Type /Annot >> endobj -741 0 obj +746 0 obj << /Border [0 0 0] /Dest (_zcb_extension) /Subtype /Link @@ -88833,7 +89117,7 @@ endobj /Type /Annot >> endobj -742 0 obj +747 0 obj << /Border [0 0 0] /Dest (_zcb_extension) /Subtype /Link @@ -88841,7 +89125,7 @@ endobj /Type /Annot >> endobj -743 0 obj +748 0 obj << /Border [0 0 0] /Dest (_zcmp_extension) /Subtype /Link @@ -88849,7 +89133,7 @@ endobj /Type /Annot >> endobj -744 0 obj +749 0 obj << /Border [0 0 0] /Dest (_zcmp_extension) /Subtype /Link @@ -88857,7 +89141,7 @@ endobj /Type /Annot >> endobj -745 0 obj +750 0 obj << /Border [0 0 0] /Dest (_branch_predictor) /Subtype /Link @@ -88865,7 +89149,7 @@ endobj /Type /Annot >> endobj -746 0 obj +751 0 obj << /Border [0 0 0] /Dest (_branch_predictor) /Subtype /Link @@ -88873,7 +89157,7 @@ endobj /Type /Annot >> endobj -747 0 obj +752 0 obj << /Border [0 0 0] /Dest (_instruction_pseudocode) /Subtype /Link @@ -88881,7 +89165,7 @@ endobj /Type /Annot >> endobj -748 0 obj +753 0 obj << /Border [0 0 0] /Dest (_instruction_pseudocode) /Subtype /Link @@ -88889,7 +89173,7 @@ endobj /Type /Annot >> endobj -749 0 obj +754 0 obj << /Border [0 0 0] /Dest (_rv32i_register_register) /Subtype /Link @@ -88897,7 +89181,7 @@ endobj /Type /Annot >> endobj -750 0 obj +755 0 obj << /Border [0 0 0] /Dest (_rv32i_register_register) /Subtype /Link @@ -88905,7 +89189,7 @@ endobj /Type /Annot >> endobj -751 0 obj +756 0 obj << /Border [0 0 0] /Dest (_add) /Subtype /Link @@ -88913,7 +89197,7 @@ endobj /Type /Annot >> endobj -752 0 obj +757 0 obj << /Border [0 0 0] /Dest (_add) /Subtype /Link @@ -88921,7 +89205,7 @@ endobj /Type /Annot >> endobj -753 0 obj +758 0 obj << /Border [0 0 0] /Dest (_sub) /Subtype /Link @@ -88929,7 +89213,7 @@ endobj /Type /Annot >> endobj -754 0 obj +759 0 obj << /Border [0 0 0] /Dest (_sub) /Subtype /Link @@ -88937,7 +89221,7 @@ endobj /Type /Annot >> endobj -755 0 obj +760 0 obj << /Border [0 0 0] /Dest (_slt) /Subtype /Link @@ -88945,7 +89229,7 @@ endobj /Type /Annot >> endobj -756 0 obj +761 0 obj << /Border [0 0 0] /Dest (_slt) /Subtype /Link @@ -88953,7 +89237,7 @@ endobj /Type /Annot >> endobj -757 0 obj +762 0 obj << /Border [0 0 0] /Dest (_sltu) /Subtype /Link @@ -88961,7 +89245,7 @@ endobj /Type /Annot >> endobj -758 0 obj +763 0 obj << /Border [0 0 0] /Dest (_sltu) /Subtype /Link @@ -88969,7 +89253,7 @@ endobj /Type /Annot >> endobj -759 0 obj +764 0 obj << /Border [0 0 0] /Dest (_and) /Subtype /Link @@ -88977,7 +89261,7 @@ endobj /Type /Annot >> endobj -760 0 obj +765 0 obj << /Border [0 0 0] /Dest (_and) /Subtype /Link @@ -88985,7 +89269,7 @@ endobj /Type /Annot >> endobj -761 0 obj +766 0 obj << /Border [0 0 0] /Dest (_or) /Subtype /Link @@ -88993,7 +89277,7 @@ endobj /Type /Annot >> endobj -762 0 obj +767 0 obj << /Border [0 0 0] /Dest (_or) /Subtype /Link @@ -89001,7 +89285,7 @@ endobj /Type /Annot >> endobj -763 0 obj +768 0 obj << /Border [0 0 0] /Dest (_xor) /Subtype /Link @@ -89009,7 +89293,7 @@ endobj /Type /Annot >> endobj -764 0 obj +769 0 obj << /Border [0 0 0] /Dest (_xor) /Subtype /Link @@ -89017,7 +89301,7 @@ endobj /Type /Annot >> endobj -765 0 obj +770 0 obj << /Border [0 0 0] /Dest (_sll) /Subtype /Link @@ -89025,7 +89309,7 @@ endobj /Type /Annot >> endobj -766 0 obj +771 0 obj << /Border [0 0 0] /Dest (_sll) /Subtype /Link @@ -89033,7 +89317,7 @@ endobj /Type /Annot >> endobj -767 0 obj +772 0 obj << /Border [0 0 0] /Dest (_srl) /Subtype /Link @@ -89041,7 +89325,7 @@ endobj /Type /Annot >> endobj -768 0 obj +773 0 obj << /Border [0 0 0] /Dest (_srl) /Subtype /Link @@ -89049,7 +89333,7 @@ endobj /Type /Annot >> endobj -769 0 obj +774 0 obj << /Border [0 0 0] /Dest (_sra) /Subtype /Link @@ -89057,7 +89341,7 @@ endobj /Type /Annot >> endobj -770 0 obj +775 0 obj << /Border [0 0 0] /Dest (_sra) /Subtype /Link @@ -89065,7 +89349,7 @@ endobj /Type /Annot >> endobj -771 0 obj +776 0 obj << /Border [0 0 0] /Dest (_rv32i_register_immediate) /Subtype /Link @@ -89073,7 +89357,7 @@ endobj /Type /Annot >> endobj -772 0 obj +777 0 obj << /Border [0 0 0] /Dest (_rv32i_register_immediate) /Subtype /Link @@ -89081,7 +89365,7 @@ endobj /Type /Annot >> endobj -773 0 obj +778 0 obj << /Border [0 0 0] /Dest (_addi) /Subtype /Link @@ -89089,7 +89373,7 @@ endobj /Type /Annot >> endobj -774 0 obj +779 0 obj << /Border [0 0 0] /Dest (_addi) /Subtype /Link @@ -89097,7 +89381,7 @@ endobj /Type /Annot >> endobj -775 0 obj +780 0 obj << /Border [0 0 0] /Dest (_slti) /Subtype /Link @@ -89105,7 +89389,7 @@ endobj /Type /Annot >> endobj -776 0 obj +781 0 obj << /Border [0 0 0] /Dest (_slti) /Subtype /Link @@ -89113,7 +89397,7 @@ endobj /Type /Annot >> endobj -777 0 obj +782 0 obj << /Border [0 0 0] /Dest (_sltiu) /Subtype /Link @@ -89121,7 +89405,7 @@ endobj /Type /Annot >> endobj -778 0 obj +783 0 obj << /Border [0 0 0] /Dest (_sltiu) /Subtype /Link @@ -89129,7 +89413,7 @@ endobj /Type /Annot >> endobj -779 0 obj +784 0 obj << /Border [0 0 0] /Dest (_andi) /Subtype /Link @@ -89137,7 +89421,7 @@ endobj /Type /Annot >> endobj -780 0 obj +785 0 obj << /Border [0 0 0] /Dest (_andi) /Subtype /Link @@ -89145,7 +89429,7 @@ endobj /Type /Annot >> endobj -781 0 obj +786 0 obj << /Border [0 0 0] /Dest (_ori) /Subtype /Link @@ -89153,7 +89437,7 @@ endobj /Type /Annot >> endobj -782 0 obj +787 0 obj << /Border [0 0 0] /Dest (_ori) /Subtype /Link @@ -89161,7 +89445,7 @@ endobj /Type /Annot >> endobj -783 0 obj +788 0 obj << /Border [0 0 0] /Dest (_xori) /Subtype /Link @@ -89169,7 +89453,7 @@ endobj /Type /Annot >> endobj -784 0 obj +789 0 obj << /Border [0 0 0] /Dest (_xori) /Subtype /Link @@ -89177,7 +89461,7 @@ endobj /Type /Annot >> endobj -785 0 obj +790 0 obj << /Border [0 0 0] /Dest (_slli) /Subtype /Link @@ -89185,7 +89469,7 @@ endobj /Type /Annot >> endobj -786 0 obj +791 0 obj << /Border [0 0 0] /Dest (_slli) /Subtype /Link @@ -89193,7 +89477,7 @@ endobj /Type /Annot >> endobj -787 0 obj +792 0 obj << /Border [0 0 0] /Dest (_srli) /Subtype /Link @@ -89201,7 +89485,7 @@ endobj /Type /Annot >> endobj -788 0 obj +793 0 obj << /Border [0 0 0] /Dest (_srli) /Subtype /Link @@ -89209,7 +89493,7 @@ endobj /Type /Annot >> endobj -789 0 obj +794 0 obj << /Border [0 0 0] /Dest (_srai) /Subtype /Link @@ -89217,7 +89501,7 @@ endobj /Type /Annot >> endobj -790 0 obj +795 0 obj << /Border [0 0 0] /Dest (_srai) /Subtype /Link @@ -89225,7 +89509,7 @@ endobj /Type /Annot >> endobj -791 0 obj +796 0 obj << /Border [0 0 0] /Dest (_rv32i_large_immediate) /Subtype /Link @@ -89233,7 +89517,7 @@ endobj /Type /Annot >> endobj -792 0 obj +797 0 obj << /Border [0 0 0] /Dest (_rv32i_large_immediate) /Subtype /Link @@ -89241,7 +89525,7 @@ endobj /Type /Annot >> endobj -793 0 obj +798 0 obj << /Border [0 0 0] /Dest (_lui) /Subtype /Link @@ -89249,7 +89533,7 @@ endobj /Type /Annot >> endobj -794 0 obj +799 0 obj << /Border [0 0 0] /Dest (_lui) /Subtype /Link @@ -89257,7 +89541,7 @@ endobj /Type /Annot >> endobj -795 0 obj +800 0 obj << /Border [0 0 0] /Dest (_auipc) /Subtype /Link @@ -89265,7 +89549,7 @@ endobj /Type /Annot >> endobj -796 0 obj +801 0 obj << /Border [0 0 0] /Dest (_auipc) /Subtype /Link @@ -89273,7 +89557,7 @@ endobj /Type /Annot >> endobj -797 0 obj +802 0 obj << /Border [0 0 0] /Dest (_rv32i_control_transfer) /Subtype /Link @@ -89281,7 +89565,7 @@ endobj /Type /Annot >> endobj -798 0 obj +803 0 obj << /Border [0 0 0] /Dest (_rv32i_control_transfer) /Subtype /Link @@ -89289,7 +89573,7 @@ endobj /Type /Annot >> endobj -799 0 obj +804 0 obj << /Border [0 0 0] /Dest (_jal) /Subtype /Link @@ -89297,7 +89581,7 @@ endobj /Type /Annot >> endobj -800 0 obj +805 0 obj << /Border [0 0 0] /Dest (_jal) /Subtype /Link @@ -89305,7 +89589,7 @@ endobj /Type /Annot >> endobj -801 0 obj +806 0 obj << /Border [0 0 0] /Dest (_jalr) /Subtype /Link @@ -89313,7 +89597,7 @@ endobj /Type /Annot >> endobj -802 0 obj +807 0 obj << /Border [0 0 0] /Dest (_jalr) /Subtype /Link @@ -89321,7 +89605,7 @@ endobj /Type /Annot >> endobj -803 0 obj +808 0 obj << /Border [0 0 0] /Dest (_beq) /Subtype /Link @@ -89329,7 +89613,7 @@ endobj /Type /Annot >> endobj -804 0 obj +809 0 obj << /Border [0 0 0] /Dest (_beq) /Subtype /Link @@ -89337,7 +89621,7 @@ endobj /Type /Annot >> endobj -805 0 obj +810 0 obj << /Border [0 0 0] /Dest (_bne) /Subtype /Link @@ -89345,7 +89629,7 @@ endobj /Type /Annot >> endobj -806 0 obj +811 0 obj << /Border [0 0 0] /Dest (_bne) /Subtype /Link @@ -89353,7 +89637,7 @@ endobj /Type /Annot >> endobj -807 0 obj +812 0 obj << /Border [0 0 0] /Dest (_blt) /Subtype /Link @@ -89361,7 +89645,7 @@ endobj /Type /Annot >> endobj -808 0 obj +813 0 obj << /Border [0 0 0] /Dest (_blt) /Subtype /Link @@ -89369,7 +89653,7 @@ endobj /Type /Annot >> endobj -809 0 obj +814 0 obj << /Border [0 0 0] /Dest (_bge) /Subtype /Link @@ -89377,7 +89661,7 @@ endobj /Type /Annot >> endobj -810 0 obj +815 0 obj << /Border [0 0 0] /Dest (_bge) /Subtype /Link @@ -89385,7 +89669,7 @@ endobj /Type /Annot >> endobj -811 0 obj +816 0 obj << /Border [0 0 0] /Dest (_bltu) /Subtype /Link @@ -89393,7 +89677,7 @@ endobj /Type /Annot >> endobj -812 0 obj +817 0 obj << /Border [0 0 0] /Dest (_bltu) /Subtype /Link @@ -89401,7 +89685,7 @@ endobj /Type /Annot >> endobj -813 0 obj +818 0 obj << /Border [0 0 0] /Dest (_bgeu) /Subtype /Link @@ -89409,7 +89693,7 @@ endobj /Type /Annot >> endobj -814 0 obj +819 0 obj << /Border [0 0 0] /Dest (_bgeu) /Subtype /Link @@ -89417,7 +89701,7 @@ endobj /Type /Annot >> endobj -815 0 obj +820 0 obj << /Border [0 0 0] /Dest (_rv32i_load_and_store) /Subtype /Link @@ -89425,7 +89709,7 @@ endobj /Type /Annot >> endobj -816 0 obj +821 0 obj << /Border [0 0 0] /Dest (_rv32i_load_and_store) /Subtype /Link @@ -89433,7 +89717,7 @@ endobj /Type /Annot >> endobj -817 0 obj +822 0 obj << /Border [0 0 0] /Dest (_lw) /Subtype /Link @@ -89441,7 +89725,7 @@ endobj /Type /Annot >> endobj -818 0 obj +823 0 obj << /Border [0 0 0] /Dest (_lw) /Subtype /Link @@ -89449,7 +89733,7 @@ endobj /Type /Annot >> endobj -819 0 obj +824 0 obj << /Border [0 0 0] /Dest (_lh) /Subtype /Link @@ -89457,7 +89741,7 @@ endobj /Type /Annot >> endobj -820 0 obj +825 0 obj << /Border [0 0 0] /Dest (_lh) /Subtype /Link @@ -89465,7 +89749,7 @@ endobj /Type /Annot >> endobj -821 0 obj +826 0 obj << /Border [0 0 0] /Dest (_lhu) /Subtype /Link @@ -89473,7 +89757,7 @@ endobj /Type /Annot >> endobj -822 0 obj +827 0 obj << /Border [0 0 0] /Dest (_lhu) /Subtype /Link @@ -89481,7 +89765,7 @@ endobj /Type /Annot >> endobj -823 0 obj +828 0 obj << /Border [0 0 0] /Dest (_lb) /Subtype /Link @@ -89489,7 +89773,7 @@ endobj /Type /Annot >> endobj -824 0 obj +829 0 obj << /Border [0 0 0] /Dest (_lb) /Subtype /Link @@ -89497,7 +89781,7 @@ endobj /Type /Annot >> endobj -825 0 obj +830 0 obj << /Border [0 0 0] /Dest (_lbu) /Subtype /Link @@ -89505,7 +89789,7 @@ endobj /Type /Annot >> endobj -826 0 obj +831 0 obj << /Border [0 0 0] /Dest (_lbu) /Subtype /Link @@ -89513,7 +89797,7 @@ endobj /Type /Annot >> endobj -827 0 obj +832 0 obj << /Border [0 0 0] /Dest (_sw) /Subtype /Link @@ -89521,7 +89805,7 @@ endobj /Type /Annot >> endobj -828 0 obj +833 0 obj << /Border [0 0 0] /Dest (_sw) /Subtype /Link @@ -89529,7 +89813,7 @@ endobj /Type /Annot >> endobj -829 0 obj +834 0 obj << /Border [0 0 0] /Dest (_sh) /Subtype /Link @@ -89537,7 +89821,7 @@ endobj /Type /Annot >> endobj -830 0 obj +835 0 obj << /Border [0 0 0] /Dest (_sh) /Subtype /Link @@ -89545,7 +89829,7 @@ endobj /Type /Annot >> endobj -831 0 obj +836 0 obj << /Border [0 0 0] /Dest (_sb) /Subtype /Link @@ -89553,7 +89837,7 @@ endobj /Type /Annot >> endobj -832 0 obj +837 0 obj << /Border [0 0 0] /Dest (_sb) /Subtype /Link @@ -89561,7 +89845,7 @@ endobj /Type /Annot >> endobj -833 0 obj +838 0 obj << /Border [0 0 0] /Dest (_m_extension_2) /Subtype /Link @@ -89569,7 +89853,7 @@ endobj /Type /Annot >> endobj -834 0 obj +839 0 obj << /Border [0 0 0] /Dest (_m_extension_2) /Subtype /Link @@ -89577,7 +89861,7 @@ endobj /Type /Annot >> endobj -835 0 obj +840 0 obj << /Border [0 0 0] /Dest (_mul) /Subtype /Link @@ -89585,7 +89869,7 @@ endobj /Type /Annot >> endobj -836 0 obj +841 0 obj << /Border [0 0 0] /Dest (_mul) /Subtype /Link @@ -89593,7 +89877,7 @@ endobj /Type /Annot >> endobj -837 0 obj +842 0 obj << /Border [0 0 0] /Dest (_mulh) /Subtype /Link @@ -89601,7 +89885,7 @@ endobj /Type /Annot >> endobj -838 0 obj +843 0 obj << /Border [0 0 0] /Dest (_mulh) /Subtype /Link @@ -89609,7 +89893,7 @@ endobj /Type /Annot >> endobj -839 0 obj +844 0 obj << /Border [0 0 0] /Dest (_mulhsu) /Subtype /Link @@ -89617,7 +89901,7 @@ endobj /Type /Annot >> endobj -840 0 obj +845 0 obj << /Border [0 0 0] /Dest (_mulhsu) /Subtype /Link @@ -89625,7 +89909,7 @@ endobj /Type /Annot >> endobj -841 0 obj +846 0 obj << /Border [0 0 0] /Dest (_mulhu) /Subtype /Link @@ -89633,7 +89917,7 @@ endobj /Type /Annot >> endobj -842 0 obj +847 0 obj << /Border [0 0 0] /Dest (_mulhu) /Subtype /Link @@ -89641,7 +89925,7 @@ endobj /Type /Annot >> endobj -843 0 obj +848 0 obj << /Border [0 0 0] /Dest (_div) /Subtype /Link @@ -89649,7 +89933,7 @@ endobj /Type /Annot >> endobj -844 0 obj +849 0 obj << /Border [0 0 0] /Dest (_div) /Subtype /Link @@ -89657,7 +89941,7 @@ endobj /Type /Annot >> endobj -845 0 obj +850 0 obj << /Border [0 0 0] /Dest (_divu) /Subtype /Link @@ -89665,7 +89949,7 @@ endobj /Type /Annot >> endobj -846 0 obj +851 0 obj << /Border [0 0 0] /Dest (_divu) /Subtype /Link @@ -89673,7 +89957,7 @@ endobj /Type /Annot >> endobj -847 0 obj +852 0 obj << /Border [0 0 0] /Dest (_rem) /Subtype /Link @@ -89681,7 +89965,7 @@ endobj /Type /Annot >> endobj -848 0 obj +853 0 obj << /Border [0 0 0] /Dest (_rem) /Subtype /Link @@ -89689,7 +89973,7 @@ endobj /Type /Annot >> endobj -849 0 obj +854 0 obj << /Border [0 0 0] /Dest (_remu) /Subtype /Link @@ -89697,7 +89981,7 @@ endobj /Type /Annot >> endobj -850 0 obj +855 0 obj << /Border [0 0 0] /Dest (_remu) /Subtype /Link @@ -89705,7 +89989,7 @@ endobj /Type /Annot >> endobj -851 0 obj +856 0 obj << /Border [0 0 0] /Dest (_a_extension_2) /Subtype /Link @@ -89713,7 +89997,7 @@ endobj /Type /Annot >> endobj -852 0 obj +857 0 obj << /Border [0 0 0] /Dest (_a_extension_2) /Subtype /Link @@ -89721,7 +90005,7 @@ endobj /Type /Annot >> endobj -853 0 obj +858 0 obj << /Border [0 0 0] /Dest (_c_extension_2) /Subtype /Link @@ -89729,7 +90013,7 @@ endobj /Type /Annot >> endobj -854 0 obj +859 0 obj << /Border [0 0 0] /Dest (_c_extension_2) /Subtype /Link @@ -89737,7 +90021,7 @@ endobj /Type /Annot >> endobj -855 0 obj +860 0 obj << /Border [0 0 0] /Dest (_zba_bit_manipulation_address_generation) /Subtype /Link @@ -89745,7 +90029,7 @@ endobj /Type /Annot >> endobj -856 0 obj +861 0 obj << /Border [0 0 0] /Dest (_zba_bit_manipulation_address_generation) /Subtype /Link @@ -89753,7 +90037,7 @@ endobj /Type /Annot >> endobj -857 0 obj +862 0 obj << /Border [0 0 0] /Dest (_sh1add) /Subtype /Link @@ -89761,7 +90045,7 @@ endobj /Type /Annot >> endobj -858 0 obj +863 0 obj << /Border [0 0 0] /Dest (_sh1add) /Subtype /Link @@ -89769,7 +90053,7 @@ endobj /Type /Annot >> endobj -859 0 obj +864 0 obj << /Border [0 0 0] /Dest (_sh2add) /Subtype /Link @@ -89777,7 +90061,7 @@ endobj /Type /Annot >> endobj -860 0 obj +865 0 obj << /Border [0 0 0] /Dest (_sh2add) /Subtype /Link @@ -89785,7 +90069,7 @@ endobj /Type /Annot >> endobj -861 0 obj +866 0 obj << /Border [0 0 0] /Dest (_sh3add) /Subtype /Link @@ -89793,7 +90077,7 @@ endobj /Type /Annot >> endobj -862 0 obj +867 0 obj << /Border [0 0 0] /Dest (_sh3add) /Subtype /Link @@ -89801,7 +90085,7 @@ endobj /Type /Annot >> endobj -863 0 obj +868 0 obj << /Border [0 0 0] /Dest (_zbb_bit_manipulation_basic) /Subtype /Link @@ -89809,7 +90093,7 @@ endobj /Type /Annot >> endobj -864 0 obj +869 0 obj << /Border [0 0 0] /Dest (_zbb_bit_manipulation_basic) /Subtype /Link @@ -89817,7 +90101,7 @@ endobj /Type /Annot >> endobj -865 0 obj +870 0 obj << /Border [0 0 0] /Dest (_andn) /Subtype /Link @@ -89825,7 +90109,7 @@ endobj /Type /Annot >> endobj -866 0 obj +871 0 obj << /Border [0 0 0] /Dest (_andn) /Subtype /Link @@ -89833,7 +90117,7 @@ endobj /Type /Annot >> endobj -867 0 obj +872 0 obj << /Border [0 0 0] /Dest (_clz) /Subtype /Link @@ -89841,7 +90125,7 @@ endobj /Type /Annot >> endobj -868 0 obj +873 0 obj << /Border [0 0 0] /Dest (_clz) /Subtype /Link @@ -89849,7 +90133,7 @@ endobj /Type /Annot >> endobj -869 0 obj +874 0 obj << /Border [0 0 0] /Dest (_cpop) /Subtype /Link @@ -89857,7 +90141,7 @@ endobj /Type /Annot >> endobj -870 0 obj +875 0 obj << /Border [0 0 0] /Dest (_cpop) /Subtype /Link @@ -89865,7 +90149,7 @@ endobj /Type /Annot >> endobj -871 0 obj +876 0 obj << /Border [0 0 0] /Dest (_ctz) /Subtype /Link @@ -89873,7 +90157,7 @@ endobj /Type /Annot >> endobj -872 0 obj +877 0 obj << /Border [0 0 0] /Dest (_ctz) /Subtype /Link @@ -89881,7 +90165,7 @@ endobj /Type /Annot >> endobj -873 0 obj +878 0 obj << /Border [0 0 0] /Dest (_max) /Subtype /Link @@ -89889,7 +90173,7 @@ endobj /Type /Annot >> endobj -874 0 obj +879 0 obj << /Border [0 0 0] /Dest (_max) /Subtype /Link @@ -89897,7 +90181,7 @@ endobj /Type /Annot >> endobj -875 0 obj +880 0 obj << /Border [0 0 0] /Dest (_maxu) /Subtype /Link @@ -89905,7 +90189,7 @@ endobj /Type /Annot >> endobj -876 0 obj +881 0 obj << /Border [0 0 0] /Dest (_maxu) /Subtype /Link @@ -89913,7 +90197,7 @@ endobj /Type /Annot >> endobj -877 0 obj +882 0 obj << /Border [0 0 0] /Dest (_min) /Subtype /Link @@ -89921,7 +90205,7 @@ endobj /Type /Annot >> endobj -878 0 obj +883 0 obj << /Border [0 0 0] /Dest (_min) /Subtype /Link @@ -89929,7 +90213,7 @@ endobj /Type /Annot >> endobj -879 0 obj +884 0 obj << /Border [0 0 0] /Dest (_minu) /Subtype /Link @@ -89937,7 +90221,7 @@ endobj /Type /Annot >> endobj -880 0 obj +885 0 obj << /Border [0 0 0] /Dest (_minu) /Subtype /Link @@ -89945,7 +90229,7 @@ endobj /Type /Annot >> endobj -881 0 obj +886 0 obj << /Border [0 0 0] /Dest (_orc_b) /Subtype /Link @@ -89953,7 +90237,7 @@ endobj /Type /Annot >> endobj -882 0 obj +887 0 obj << /Border [0 0 0] /Dest (_orc_b) /Subtype /Link @@ -89961,7 +90245,7 @@ endobj /Type /Annot >> endobj -883 0 obj +888 0 obj << /Border [0 0 0] /Dest (_orn) /Subtype /Link @@ -89969,7 +90253,7 @@ endobj /Type /Annot >> endobj -884 0 obj +889 0 obj << /Border [0 0 0] /Dest (_orn) /Subtype /Link @@ -89977,7 +90261,7 @@ endobj /Type /Annot >> endobj -885 0 obj +890 0 obj << /Border [0 0 0] /Dest (_rev8) /Subtype /Link @@ -89985,7 +90269,7 @@ endobj /Type /Annot >> endobj -886 0 obj +891 0 obj << /Border [0 0 0] /Dest (_rev8) /Subtype /Link @@ -89993,7 +90277,7 @@ endobj /Type /Annot >> endobj -887 0 obj +892 0 obj << /Border [0 0 0] /Dest (_rol) /Subtype /Link @@ -90001,7 +90285,7 @@ endobj /Type /Annot >> endobj -888 0 obj +893 0 obj << /Border [0 0 0] /Dest (_rol) /Subtype /Link @@ -90009,7 +90293,7 @@ endobj /Type /Annot >> endobj -889 0 obj +894 0 obj << /Border [0 0 0] /Dest (_ror) /Subtype /Link @@ -90017,7 +90301,7 @@ endobj /Type /Annot >> endobj -890 0 obj +895 0 obj << /Border [0 0 0] /Dest (_ror) /Subtype /Link @@ -90025,7 +90309,7 @@ endobj /Type /Annot >> endobj -891 0 obj +896 0 obj << /Border [0 0 0] /Dest (_rori) /Subtype /Link @@ -90033,7 +90317,7 @@ endobj /Type /Annot >> endobj -892 0 obj +897 0 obj << /Border [0 0 0] /Dest (_rori) /Subtype /Link @@ -90041,7 +90325,7 @@ endobj /Type /Annot >> endobj -893 0 obj +898 0 obj << /Border [0 0 0] /Dest (_sext_b) /Subtype /Link @@ -90049,7 +90333,7 @@ endobj /Type /Annot >> endobj -894 0 obj +899 0 obj << /Border [0 0 0] /Dest (_sext_b) /Subtype /Link @@ -90057,7 +90341,7 @@ endobj /Type /Annot >> endobj -895 0 obj +900 0 obj << /Border [0 0 0] /Dest (_sext_h) /Subtype /Link @@ -90065,7 +90349,7 @@ endobj /Type /Annot >> endobj -896 0 obj +901 0 obj << /Border [0 0 0] /Dest (_sext_h) /Subtype /Link @@ -90073,7 +90357,7 @@ endobj /Type /Annot >> endobj -897 0 obj +902 0 obj << /Border [0 0 0] /Dest (_xnor) /Subtype /Link @@ -90081,7 +90365,7 @@ endobj /Type /Annot >> endobj -898 0 obj +903 0 obj << /Border [0 0 0] /Dest (_xnor) /Subtype /Link @@ -90089,7 +90373,7 @@ endobj /Type /Annot >> endobj -899 0 obj +904 0 obj << /Border [0 0 0] /Dest (_zext_h) /Subtype /Link @@ -90097,7 +90381,7 @@ endobj /Type /Annot >> endobj -900 0 obj +905 0 obj << /Border [0 0 0] /Dest (_zext_h) /Subtype /Link @@ -90105,7 +90389,7 @@ endobj /Type /Annot >> endobj -901 0 obj +906 0 obj << /Border [0 0 0] /Dest (_zext_b) /Subtype /Link @@ -90113,7 +90397,7 @@ endobj /Type /Annot >> endobj -902 0 obj +907 0 obj << /Border [0 0 0] /Dest (_zext_b) /Subtype /Link @@ -90121,7 +90405,7 @@ endobj /Type /Annot >> endobj -903 0 obj +908 0 obj << /Border [0 0 0] /Dest (_zbc_bit_manipulation_carry_less_multiply) /Subtype /Link @@ -90129,7 +90413,7 @@ endobj /Type /Annot >> endobj -904 0 obj +909 0 obj << /Border [0 0 0] /Dest (_zbc_bit_manipulation_carry_less_multiply) /Subtype /Link @@ -90137,7 +90421,7 @@ endobj /Type /Annot >> endobj -905 0 obj +910 0 obj << /Border [0 0 0] /Dest (_clmul) /Subtype /Link @@ -90145,7 +90429,7 @@ endobj /Type /Annot >> endobj -906 0 obj +911 0 obj << /Border [0 0 0] /Dest (_clmul) /Subtype /Link @@ -90153,7 +90437,7 @@ endobj /Type /Annot >> endobj -907 0 obj +912 0 obj << /Border [0 0 0] /Dest (_clmulh) /Subtype /Link @@ -90161,7 +90445,7 @@ endobj /Type /Annot >> endobj -908 0 obj +913 0 obj << /Border [0 0 0] /Dest (_clmulh) /Subtype /Link @@ -90169,7 +90453,7 @@ endobj /Type /Annot >> endobj -909 0 obj +914 0 obj << /Border [0 0 0] /Dest (_clmulr) /Subtype /Link @@ -90177,7 +90461,7 @@ endobj /Type /Annot >> endobj -910 0 obj +915 0 obj << /Border [0 0 0] /Dest (_clmulr) /Subtype /Link @@ -90185,7 +90469,7 @@ endobj /Type /Annot >> endobj -911 0 obj +916 0 obj << /Border [0 0 0] /Dest (_zbs_bit_manipulation_single_bit) /Subtype /Link @@ -90193,7 +90477,7 @@ endobj /Type /Annot >> endobj -912 0 obj +917 0 obj << /Border [0 0 0] /Dest (_zbs_bit_manipulation_single_bit) /Subtype /Link @@ -90201,7 +90485,7 @@ endobj /Type /Annot >> endobj -913 0 obj +918 0 obj << /Border [0 0 0] /Dest (_bclr) /Subtype /Link @@ -90209,7 +90493,7 @@ endobj /Type /Annot >> endobj -914 0 obj +919 0 obj << /Border [0 0 0] /Dest (_bclr) /Subtype /Link @@ -90217,7 +90501,7 @@ endobj /Type /Annot >> endobj -915 0 obj +920 0 obj << /Border [0 0 0] /Dest (_bclri) /Subtype /Link @@ -90225,7 +90509,7 @@ endobj /Type /Annot >> endobj -916 0 obj +921 0 obj << /Border [0 0 0] /Dest (_bclri) /Subtype /Link @@ -90233,7 +90517,7 @@ endobj /Type /Annot >> endobj -917 0 obj +922 0 obj << /Border [0 0 0] /Dest (_bext) /Subtype /Link @@ -90241,7 +90525,7 @@ endobj /Type /Annot >> endobj -918 0 obj +923 0 obj << /Border [0 0 0] /Dest (_bext) /Subtype /Link @@ -90249,7 +90533,7 @@ endobj /Type /Annot >> endobj -919 0 obj +924 0 obj << /Border [0 0 0] /Dest (_bexti) /Subtype /Link @@ -90257,7 +90541,7 @@ endobj /Type /Annot >> endobj -920 0 obj +925 0 obj << /Border [0 0 0] /Dest (_bexti) /Subtype /Link @@ -90265,7 +90549,7 @@ endobj /Type /Annot >> endobj -921 0 obj +926 0 obj << /Border [0 0 0] /Dest (_binv) /Subtype /Link @@ -90273,7 +90557,7 @@ endobj /Type /Annot >> endobj -922 0 obj +927 0 obj << /Border [0 0 0] /Dest (_binv) /Subtype /Link @@ -90281,7 +90565,7 @@ endobj /Type /Annot >> endobj -923 0 obj +928 0 obj << /Border [0 0 0] /Dest (_binvi) /Subtype /Link @@ -90289,7 +90573,7 @@ endobj /Type /Annot >> endobj -924 0 obj +929 0 obj << /Border [0 0 0] /Dest (_binvi) /Subtype /Link @@ -90297,7 +90581,7 @@ endobj /Type /Annot >> endobj -925 0 obj +930 0 obj << /Border [0 0 0] /Dest (_bset) /Subtype /Link @@ -90305,7 +90589,7 @@ endobj /Type /Annot >> endobj -926 0 obj +931 0 obj << /Border [0 0 0] /Dest (_bset) /Subtype /Link @@ -90313,7 +90597,7 @@ endobj /Type /Annot >> endobj -927 0 obj +932 0 obj << /Border [0 0 0] /Dest (_bseti) /Subtype /Link @@ -90321,7 +90605,7 @@ endobj /Type /Annot >> endobj -928 0 obj +933 0 obj << /Border [0 0 0] /Dest (_bseti) /Subtype /Link @@ -90329,7 +90613,7 @@ endobj /Type /Annot >> endobj -929 0 obj +934 0 obj << /Border [0 0 0] /Dest (_zbkb_basic_bit_manipulation_for_cryptography) /Subtype /Link @@ -90337,7 +90621,7 @@ endobj /Type /Annot >> endobj -930 0 obj +935 0 obj << /Border [0 0 0] /Dest (_zbkb_basic_bit_manipulation_for_cryptography) /Subtype /Link @@ -90345,7 +90629,7 @@ endobj /Type /Annot >> endobj -931 0 obj +936 0 obj << /Border [0 0 0] /Dest (_brev8) /Subtype /Link @@ -90353,7 +90637,7 @@ endobj /Type /Annot >> endobj -932 0 obj +937 0 obj << /Border [0 0 0] /Dest (_brev8) /Subtype /Link @@ -90361,7 +90645,7 @@ endobj /Type /Annot >> endobj -933 0 obj +938 0 obj << /Border [0 0 0] /Dest (_pack) /Subtype /Link @@ -90369,7 +90653,7 @@ endobj /Type /Annot >> endobj -934 0 obj +939 0 obj << /Border [0 0 0] /Dest (_pack) /Subtype /Link @@ -90377,7 +90661,7 @@ endobj /Type /Annot >> endobj -935 0 obj +940 0 obj << /Border [0 0 0] /Dest (_packh) /Subtype /Link @@ -90385,7 +90669,7 @@ endobj /Type /Annot >> endobj -936 0 obj +941 0 obj << /Border [0 0 0] /Dest (_packh) /Subtype /Link @@ -90393,7 +90677,7 @@ endobj /Type /Annot >> endobj -937 0 obj +942 0 obj << /Border [0 0 0] /Dest (_zip) /Subtype /Link @@ -90401,7 +90685,7 @@ endobj /Type /Annot >> endobj -938 0 obj +943 0 obj << /Border [0 0 0] /Dest (_zip) /Subtype /Link @@ -90409,7 +90693,7 @@ endobj /Type /Annot >> endobj -939 0 obj +944 0 obj << /Border [0 0 0] /Dest (_unzip) /Subtype /Link @@ -90417,7 +90701,7 @@ endobj /Type /Annot >> endobj -940 0 obj +945 0 obj << /Border [0 0 0] /Dest (_unzip) /Subtype /Link @@ -90425,1758 +90709,1758 @@ endobj /Type /Annot >> endobj -941 0 obj +946 0 obj << /Type /Outlines /Count 194 -/First 942 0 R -/Last 1039 0 R ->> -endobj -942 0 obj -<< /Title -/Parent 941 0 R -/Count 0 -/Next 943 0 R -/Dest [7 0 R /XYZ 0 841.89 null] ->> -endobj -943 0 obj -<< /Title -/Parent 941 0 R -/Count 0 -/Next 944 0 R -/Prev 942 0 R -/Dest [10 0 R /XYZ 0 841.89 null] ->> -endobj -944 0 obj -<< /Title -/Parent 941 0 R -/Count 5 -/First 945 0 R -/Last 949 0 R -/Next 950 0 R -/Prev 943 0 R -/Dest [23 0 R /XYZ 0 841.89 null] ->> -endobj -945 0 obj -<< /Title -/Parent 944 0 R -/Count 3 -/First 946 0 R -/Last 948 0 R -/Next 949 0 R -/Dest [23 0 R /XYZ 0 382.91 null] ->> -endobj -946 0 obj -<< /Title -/Parent 945 0 R -/Count 0 -/Next 947 0 R -/Dest [23 0 R /XYZ 0 342.83 null] +/First 947 0 R +/Last 1044 0 R >> endobj 947 0 obj -<< /Title -/Parent 945 0 R +<< /Title +/Parent 946 0 R /Count 0 /Next 948 0 R -/Prev 946 0 R -/Dest [31 0 R /XYZ 0 718.77 null] +/Dest [7 0 R /XYZ 0 841.89 null] >> endobj 948 0 obj -<< /Title -/Parent 945 0 R +<< /Title +/Parent 946 0 R /Count 0 +/Next 949 0 R /Prev 947 0 R -/Dest [31 0 R /XYZ 0 519.47 null] +/Dest [10 0 R /XYZ 0 841.89 null] >> endobj 949 0 obj -<< /Title -/Parent 944 0 R -/Count 0 -/Prev 945 0 R -/Dest [31 0 R /XYZ 0 351.73 null] +<< /Title +/Parent 946 0 R +/Count 5 +/First 950 0 R +/Last 954 0 R +/Next 955 0 R +/Prev 948 0 R +/Dest [23 0 R /XYZ 0 841.89 null] >> endobj 950 0 obj -<< /Title -/Parent 941 0 R -/Count 11 +<< /Title +/Parent 949 0 R +/Count 3 /First 951 0 R -/Last 961 0 R -/Next 962 0 R -/Prev 944 0 R -/Dest [53 0 R /XYZ 0 841.89 null] +/Last 953 0 R +/Next 954 0 R +/Dest [23 0 R /XYZ 0 382.91 null] >> endobj 951 0 obj -<< /Title +<< /Title /Parent 950 0 R /Count 0 /Next 952 0 R -/Dest [53 0 R /XYZ 0 765.17 null] +/Dest [23 0 R /XYZ 0 342.83 null] >> endobj 952 0 obj -<< /Title +<< /Title /Parent 950 0 R /Count 0 /Next 953 0 R /Prev 951 0 R -/Dest [53 0 R /XYZ 0 432.17 null] +/Dest [31 0 R /XYZ 0 718.77 null] >> endobj 953 0 obj -<< /Title +<< /Title /Parent 950 0 R -/Count 7 -/First 954 0 R -/Last 960 0 R -/Next 961 0 R +/Count 0 /Prev 952 0 R -/Dest [53 0 R /XYZ 0 164.51 null] +/Dest [31 0 R /XYZ 0 519.47 null] >> endobj 954 0 obj -<< /Title -/Parent 953 0 R +<< /Title +/Parent 949 0 R /Count 0 -/Next 955 0 R -/Dest [53 0 R /XYZ 0 124.43 null] +/Prev 950 0 R +/Dest [31 0 R /XYZ 0 351.73 null] >> endobj 955 0 obj -<< /Title -/Parent 953 0 R -/Count 0 -/Next 956 0 R -/Prev 954 0 R -/Dest [67 0 R /XYZ 0 596.25 null] +<< /Title +/Parent 946 0 R +/Count 11 +/First 956 0 R +/Last 966 0 R +/Next 967 0 R +/Prev 949 0 R +/Dest [53 0 R /XYZ 0 841.89 null] >> endobj 956 0 obj -<< /Title -/Parent 953 0 R +<< /Title +/Parent 955 0 R /Count 0 /Next 957 0 R -/Prev 955 0 R -/Dest [83 0 R /XYZ 0 165.69 null] +/Dest [53 0 R /XYZ 0 765.17 null] >> endobj 957 0 obj -<< /Title -/Parent 953 0 R +<< /Title +/Parent 955 0 R /Count 0 /Next 958 0 R /Prev 956 0 R -/Dest [98 0 R /XYZ 0 458.67 null] +/Dest [53 0 R /XYZ 0 432.17 null] >> endobj 958 0 obj -<< /Title -/Parent 953 0 R -/Count 0 -/Next 959 0 R +<< /Title +/Parent 955 0 R +/Count 7 +/First 959 0 R +/Last 965 0 R +/Next 966 0 R /Prev 957 0 R -/Dest [128 0 R /XYZ 0 375.33 null] +/Dest [53 0 R /XYZ 0 164.51 null] >> endobj 959 0 obj -<< /Title -/Parent 953 0 R +<< /Title +/Parent 958 0 R /Count 0 /Next 960 0 R -/Prev 958 0 R -/Dest [140 0 R /XYZ 0 681.69 null] +/Dest [53 0 R /XYZ 0 124.43 null] >> endobj 960 0 obj -<< /Title -/Parent 953 0 R +<< /Title +/Parent 958 0 R /Count 0 +/Next 961 0 R /Prev 959 0 R -/Dest [140 0 R /XYZ 0 243.53 null] +/Dest [67 0 R /XYZ 0 596.25 null] >> endobj 961 0 obj -<< /Title -/Parent 950 0 R +<< /Title +/Parent 958 0 R /Count 0 -/Prev 953 0 R -/Dest [168 0 R /XYZ 0 583.77 null] +/Next 962 0 R +/Prev 960 0 R +/Dest [83 0 R /XYZ 0 165.69 null] >> endobj 962 0 obj -<< /Title -/Parent 941 0 R -/Count 53 -/First 963 0 R -/Last 1014 0 R -/Next 1016 0 R -/Prev 950 0 R -/Dest [173 0 R /XYZ 0 841.89 null] +<< /Title +/Parent 958 0 R +/Count 0 +/Next 963 0 R +/Prev 961 0 R +/Dest [98 0 R /XYZ 0 458.67 null] >> endobj 963 0 obj -<< /Title -/Parent 962 0 R -/Count 6 -/First 964 0 R -/Last 969 0 R -/Next 970 0 R -/Dest [173 0 R /XYZ 0 547.59 null] +<< /Title +/Parent 958 0 R +/Count 0 +/Next 964 0 R +/Prev 962 0 R +/Dest [128 0 R /XYZ 0 375.33 null] >> endobj 964 0 obj -<< /Title -/Parent 963 0 R +<< /Title +/Parent 958 0 R /Count 0 /Next 965 0 R -/Dest [173 0 R /XYZ 0 507.51 null] +/Prev 963 0 R +/Dest [140 0 R /XYZ 0 681.69 null] >> endobj 965 0 obj -<< /Title -/Parent 963 0 R +<< /Title +/Parent 958 0 R /Count 0 -/Next 966 0 R /Prev 964 0 R -/Dest [173 0 R /XYZ 0 309.77 null] +/Dest [140 0 R /XYZ 0 243.53 null] >> endobj 966 0 obj -<< /Title -/Parent 963 0 R +<< /Title +/Parent 955 0 R /Count 0 -/Next 967 0 R -/Prev 965 0 R -/Dest [173 0 R /XYZ 0 143.59 null] +/Prev 958 0 R +/Dest [168 0 R /XYZ 0 583.77 null] >> endobj 967 0 obj -<< /Title -/Parent 963 0 R -/Count 0 -/Next 968 0 R -/Prev 966 0 R -/Dest [182 0 R /XYZ 0 734.55 null] +<< /Title +/Parent 946 0 R +/Count 53 +/First 968 0 R +/Last 1019 0 R +/Next 1021 0 R +/Prev 955 0 R +/Dest [173 0 R /XYZ 0 841.89 null] >> endobj 968 0 obj -<< /Title -/Parent 963 0 R -/Count 0 -/Next 969 0 R -/Prev 967 0 R -/Dest [182 0 R /XYZ 0 574.37 null] +<< /Title +/Parent 967 0 R +/Count 6 +/First 969 0 R +/Last 974 0 R +/Next 975 0 R +/Dest [173 0 R /XYZ 0 547.59 null] >> endobj 969 0 obj -<< /Title -/Parent 963 0 R +<< /Title +/Parent 968 0 R /Count 0 -/Prev 968 0 R -/Dest [182 0 R /XYZ 0 398.41 null] +/Next 970 0 R +/Dest [173 0 R /XYZ 0 507.51 null] >> endobj 970 0 obj -<< /Title -/Parent 962 0 R -/Count 12 -/First 971 0 R -/Last 982 0 R -/Next 983 0 R -/Prev 963 0 R -/Dest [182 0 R /XYZ 0 113.55 null] +<< /Title +/Parent 968 0 R +/Count 0 +/Next 971 0 R +/Prev 969 0 R +/Dest [173 0 R /XYZ 0 309.77 null] >> endobj 971 0 obj -<< /Title -/Parent 970 0 R +<< /Title +/Parent 968 0 R /Count 0 /Next 972 0 R -/Dest [189 0 R /XYZ 0 841.89 null] +/Prev 970 0 R +/Dest [173 0 R /XYZ 0 143.59 null] >> endobj 972 0 obj -<< /Title -/Parent 970 0 R +<< /Title +/Parent 968 0 R /Count 0 /Next 973 0 R /Prev 971 0 R -/Dest [189 0 R /XYZ 0 421.37 null] +/Dest [182 0 R /XYZ 0 734.55 null] >> endobj 973 0 obj -<< /Title -/Parent 970 0 R +<< /Title +/Parent 968 0 R /Count 0 /Next 974 0 R /Prev 972 0 R -/Dest [189 0 R /XYZ 0 332.53 null] +/Dest [182 0 R /XYZ 0 574.37 null] >> endobj 974 0 obj -<< /Title -/Parent 970 0 R +<< /Title +/Parent 968 0 R /Count 0 -/Next 975 0 R /Prev 973 0 R -/Dest [189 0 R /XYZ 0 227.91 null] +/Dest [182 0 R /XYZ 0 398.41 null] >> endobj 975 0 obj -<< /Title -/Parent 970 0 R -/Count 0 -/Next 976 0 R -/Prev 974 0 R -/Dest [189 0 R /XYZ 0 123.29 null] +<< /Title +/Parent 967 0 R +/Count 12 +/First 976 0 R +/Last 987 0 R +/Next 988 0 R +/Prev 968 0 R +/Dest [182 0 R /XYZ 0 113.55 null] >> endobj 976 0 obj -<< /Title -/Parent 970 0 R +<< /Title +/Parent 975 0 R /Count 0 /Next 977 0 R -/Prev 975 0 R -/Dest [197 0 R /XYZ 0 489.19 null] +/Dest [189 0 R /XYZ 0 841.89 null] >> endobj 977 0 obj -<< /Title -/Parent 970 0 R +<< /Title +/Parent 975 0 R /Count 0 /Next 978 0 R /Prev 976 0 R -/Dest [204 0 R /XYZ 0 841.89 null] +/Dest [189 0 R /XYZ 0 421.37 null] >> endobj 978 0 obj -<< /Title -/Parent 970 0 R +<< /Title +/Parent 975 0 R /Count 0 /Next 979 0 R /Prev 977 0 R -/Dest [204 0 R /XYZ 0 482.49 null] +/Dest [189 0 R /XYZ 0 332.53 null] >> endobj 979 0 obj -<< /Title -/Parent 970 0 R +<< /Title +/Parent 975 0 R /Count 0 /Next 980 0 R /Prev 978 0 R -/Dest [204 0 R /XYZ 0 377.87 null] +/Dest [189 0 R /XYZ 0 227.91 null] >> endobj 980 0 obj -<< /Title -/Parent 970 0 R +<< /Title +/Parent 975 0 R /Count 0 /Next 981 0 R /Prev 979 0 R -/Dest [204 0 R /XYZ 0 154.57 null] +/Dest [189 0 R /XYZ 0 123.29 null] >> endobj 981 0 obj -<< /Title -/Parent 970 0 R +<< /Title +/Parent 975 0 R /Count 0 /Next 982 0 R /Prev 980 0 R -/Dest [210 0 R /XYZ 0 322.51 null] +/Dest [197 0 R /XYZ 0 489.19 null] >> endobj 982 0 obj -<< /Title -/Parent 970 0 R +<< /Title +/Parent 975 0 R /Count 0 +/Next 983 0 R /Prev 981 0 R -/Dest [210 0 R /XYZ 0 233.67 null] +/Dest [204 0 R /XYZ 0 841.89 null] >> endobj 983 0 obj -<< /Title -/Parent 962 0 R -/Count 2 -/First 984 0 R -/Last 985 0 R -/Next 986 0 R -/Prev 970 0 R -/Dest [215 0 R /XYZ 0 627.87 null] +<< /Title +/Parent 975 0 R +/Count 0 +/Next 984 0 R +/Prev 982 0 R +/Dest [204 0 R /XYZ 0 482.49 null] >> endobj 984 0 obj -<< /Title -/Parent 983 0 R +<< /Title +/Parent 975 0 R /Count 0 /Next 985 0 R -/Dest [215 0 R /XYZ 0 587.79 null] +/Prev 983 0 R +/Dest [204 0 R /XYZ 0 377.87 null] >> endobj 985 0 obj -<< /Title -/Parent 983 0 R +<< /Title +/Parent 975 0 R /Count 0 +/Next 986 0 R /Prev 984 0 R -/Dest [215 0 R /XYZ 0 105.35 null] +/Dest [204 0 R /XYZ 0 154.57 null] >> endobj 986 0 obj -<< /Title -/Parent 962 0 R -/Count 8 -/First 987 0 R -/Last 994 0 R -/Next 995 0 R -/Prev 983 0 R -/Dest [220 0 R /XYZ 0 609.87 null] +<< /Title +/Parent 975 0 R +/Count 0 +/Next 987 0 R +/Prev 985 0 R +/Dest [210 0 R /XYZ 0 322.51 null] >> endobj 987 0 obj -<< /Title -/Parent 986 0 R +<< /Title +/Parent 975 0 R /Count 0 -/Next 988 0 R -/Dest [220 0 R /XYZ 0 569.79 null] +/Prev 986 0 R +/Dest [210 0 R /XYZ 0 233.67 null] >> endobj 988 0 obj -<< /Title -/Parent 986 0 R -/Count 0 -/Next 989 0 R -/Prev 987 0 R -/Dest [220 0 R /XYZ 0 405.83 null] +<< /Title +/Parent 967 0 R +/Count 2 +/First 989 0 R +/Last 990 0 R +/Next 991 0 R +/Prev 975 0 R +/Dest [215 0 R /XYZ 0 627.87 null] >> endobj 989 0 obj -<< /Title -/Parent 986 0 R +<< /Title +/Parent 988 0 R /Count 0 /Next 990 0 R -/Prev 988 0 R -/Dest [220 0 R /XYZ 0 241.87 null] +/Dest [215 0 R /XYZ 0 587.79 null] >> endobj 990 0 obj -<< /Title -/Parent 986 0 R +<< /Title +/Parent 988 0 R /Count 0 -/Next 991 0 R /Prev 989 0 R -/Dest [229 0 R /XYZ 0 841.89 null] +/Dest [215 0 R /XYZ 0 105.35 null] >> endobj 991 0 obj -<< /Title -/Parent 986 0 R -/Count 0 -/Next 992 0 R -/Prev 990 0 R -/Dest [229 0 R /XYZ 0 690.29 null] +<< /Title +/Parent 967 0 R +/Count 8 +/First 992 0 R +/Last 999 0 R +/Next 1000 0 R +/Prev 988 0 R +/Dest [220 0 R /XYZ 0 609.87 null] >> endobj 992 0 obj -<< /Title -/Parent 986 0 R +<< /Title +/Parent 991 0 R /Count 0 /Next 993 0 R -/Prev 991 0 R -/Dest [229 0 R /XYZ 0 601.45 null] +/Dest [220 0 R /XYZ 0 569.79 null] >> endobj 993 0 obj -<< /Title -/Parent 986 0 R +<< /Title +/Parent 991 0 R /Count 0 /Next 994 0 R /Prev 992 0 R -/Dest [229 0 R /XYZ 0 512.61 null] +/Dest [220 0 R /XYZ 0 405.83 null] >> endobj 994 0 obj -<< /Title -/Parent 986 0 R +<< /Title +/Parent 991 0 R /Count 0 +/Next 995 0 R /Prev 993 0 R -/Dest [229 0 R /XYZ 0 346.43 null] +/Dest [220 0 R /XYZ 0 241.87 null] >> endobj 995 0 obj -<< /Title -/Parent 962 0 R -/Count 2 -/First 996 0 R -/Last 997 0 R -/Next 998 0 R -/Prev 986 0 R -/Dest [229 0 R /XYZ 0 257.59 null] +<< /Title +/Parent 991 0 R +/Count 0 +/Next 996 0 R +/Prev 994 0 R +/Dest [229 0 R /XYZ 0 841.89 null] >> endobj 996 0 obj -<< /Title -/Parent 995 0 R +<< /Title +/Parent 991 0 R /Count 0 /Next 997 0 R -/Dest [229 0 R /XYZ 0 217.51 null] +/Prev 995 0 R +/Dest [229 0 R /XYZ 0 690.29 null] >> endobj 997 0 obj -<< /Title -/Parent 995 0 R +<< /Title +/Parent 991 0 R /Count 0 +/Next 998 0 R /Prev 996 0 R -/Dest [229 0 R /XYZ 0 128.67 null] +/Dest [229 0 R /XYZ 0 601.45 null] >> endobj 998 0 obj -<< /Title -/Parent 962 0 R -/Count 4 -/First 999 0 R -/Last 1002 0 R -/Next 1003 0 R -/Prev 995 0 R -/Dest [240 0 R /XYZ 0 841.89 null] +<< /Title +/Parent 991 0 R +/Count 0 +/Next 999 0 R +/Prev 997 0 R +/Dest [229 0 R /XYZ 0 512.61 null] >> endobj 999 0 obj -<< /Title -/Parent 998 0 R +<< /Title +/Parent 991 0 R /Count 0 -/Next 1000 0 R -/Dest [240 0 R /XYZ 0 683.49 null] +/Prev 998 0 R +/Dest [229 0 R /XYZ 0 346.43 null] >> endobj 1000 0 obj -<< /Title -/Parent 998 0 R -/Count 0 -/Next 1001 0 R -/Prev 999 0 R -/Dest [245 0 R /XYZ 0 653.43 null] +<< /Title +/Parent 967 0 R +/Count 2 +/First 1001 0 R +/Last 1002 0 R +/Next 1003 0 R +/Prev 991 0 R +/Dest [229 0 R /XYZ 0 257.59 null] >> endobj 1001 0 obj -<< /Title -/Parent 998 0 R +<< /Title +/Parent 1000 0 R /Count 0 /Next 1002 0 R -/Prev 1000 0 R -/Dest [245 0 R /XYZ 0 533.03 null] +/Dest [229 0 R /XYZ 0 217.51 null] >> endobj 1002 0 obj -<< /Title -/Parent 998 0 R +<< /Title +/Parent 1000 0 R /Count 0 /Prev 1001 0 R -/Dest [245 0 R /XYZ 0 400.63 null] +/Dest [229 0 R /XYZ 0 128.67 null] >> endobj 1003 0 obj -<< /Title -/Parent 962 0 R -/Count 1 +<< /Title +/Parent 967 0 R +/Count 4 /First 1004 0 R -/Last 1004 0 R -/Next 1005 0 R -/Prev 998 0 R -/Dest [245 0 R /XYZ 0 311.79 null] +/Last 1007 0 R +/Next 1008 0 R +/Prev 1000 0 R +/Dest [240 0 R /XYZ 0 841.89 null] >> endobj 1004 0 obj -<< /Title +<< /Title /Parent 1003 0 R /Count 0 +/Next 1005 0 R +/Dest [240 0 R /XYZ 0 683.49 null] +>> +endobj +1005 0 obj +<< /Title +/Parent 1003 0 R +/Count 0 +/Next 1006 0 R +/Prev 1004 0 R +/Dest [245 0 R /XYZ 0 653.43 null] +>> +endobj +1006 0 obj +<< /Title +/Parent 1003 0 R +/Count 0 +/Next 1007 0 R +/Prev 1005 0 R +/Dest [245 0 R /XYZ 0 533.03 null] +>> +endobj +1007 0 obj +<< /Title +/Parent 1003 0 R +/Count 0 +/Prev 1006 0 R +/Dest [245 0 R /XYZ 0 400.63 null] +>> +endobj +1008 0 obj +<< /Title +/Parent 967 0 R +/Count 1 +/First 1009 0 R +/Last 1009 0 R +/Next 1010 0 R +/Prev 1003 0 R +/Dest [245 0 R /XYZ 0 311.79 null] +>> +endobj +1009 0 obj +<< /Title +/Parent 1008 0 R +/Count 0 /Dest [245 0 R /XYZ 0 271.71 null] >> endobj -1005 0 obj +1010 0 obj << /Title -/Parent 962 0 R +/Parent 967 0 R /Count 6 -/First 1006 0 R -/Last 1011 0 R -/Next 1012 0 R -/Prev 1003 0 R +/First 1011 0 R +/Last 1016 0 R +/Next 1017 0 R +/Prev 1008 0 R /Dest [254 0 R /XYZ 0 734.55 null] >> endobj -1006 0 obj +1011 0 obj << /Title -/Parent 1005 0 R +/Parent 1010 0 R /Count 0 -/Next 1007 0 R +/Next 1012 0 R /Dest [254 0 R /XYZ 0 694.47 null] >> endobj -1007 0 obj +1012 0 obj << /Title -/Parent 1005 0 R +/Parent 1010 0 R /Count 0 -/Next 1008 0 R -/Prev 1006 0 R +/Next 1013 0 R +/Prev 1011 0 R /Dest [254 0 R /XYZ 0 234.49 null] >> endobj -1008 0 obj +1013 0 obj << /Title -/Parent 1005 0 R +/Parent 1010 0 R /Count 0 -/Next 1009 0 R -/Prev 1007 0 R +/Next 1014 0 R +/Prev 1012 0 R /Dest [261 0 R /XYZ 0 423.63 null] >> endobj -1009 0 obj +1014 0 obj << /Title -/Parent 1005 0 R +/Parent 1010 0 R /Count 0 -/Next 1010 0 R -/Prev 1008 0 R +/Next 1015 0 R +/Prev 1013 0 R /Dest [264 0 R /XYZ 0 734.55 null] >> endobj -1010 0 obj +1015 0 obj << /Title -/Parent 1005 0 R +/Parent 1010 0 R /Count 0 -/Next 1011 0 R -/Prev 1009 0 R +/Next 1016 0 R +/Prev 1014 0 R /Dest [264 0 R /XYZ 0 408.35 null] >> endobj -1011 0 obj +1016 0 obj << /Title -/Parent 1005 0 R +/Parent 1010 0 R /Count 0 -/Prev 1010 0 R +/Prev 1015 0 R /Dest [269 0 R /XYZ 0 681.21 null] >> endobj -1012 0 obj +1017 0 obj << /Title -/Parent 962 0 R +/Parent 967 0 R /Count 1 -/First 1013 0 R -/Last 1013 0 R -/Next 1014 0 R -/Prev 1005 0 R +/First 1018 0 R +/Last 1018 0 R +/Next 1019 0 R +/Prev 1010 0 R /Dest [276 0 R /XYZ 0 753.41 null] >> endobj -1013 0 obj +1018 0 obj << /Title -/Parent 1012 0 R +/Parent 1017 0 R /Count 0 /Dest [276 0 R /XYZ 0 713.33 null] >> endobj -1014 0 obj +1019 0 obj << /Title -/Parent 962 0 R +/Parent 967 0 R /Count 1 -/First 1015 0 R -/Last 1015 0 R -/Prev 1012 0 R +/First 1020 0 R +/Last 1020 0 R +/Prev 1017 0 R /Dest [276 0 R /XYZ 0 321.79 null] >> endobj -1015 0 obj +1020 0 obj << /Title -/Parent 1014 0 R +/Parent 1019 0 R /Count 0 /Dest [276 0 R /XYZ 0 281.71 null] >> endobj -1016 0 obj +1021 0 obj << /Title -/Parent 941 0 R +/Parent 946 0 R /Count 8 -/First 1017 0 R -/Last 1022 0 R -/Next 1025 0 R -/Prev 962 0 R +/First 1022 0 R +/Last 1027 0 R +/Next 1030 0 R +/Prev 967 0 R /Dest [284 0 R /XYZ 0 841.89 null] >> endobj -1017 0 obj +1022 0 obj << /Title -/Parent 1016 0 R +/Parent 1021 0 R /Count 0 -/Next 1018 0 R +/Next 1023 0 R /Dest [284 0 R /XYZ 0 646.49 null] >> endobj -1018 0 obj +1023 0 obj << /Title -/Parent 1016 0 R +/Parent 1021 0 R /Count 0 -/Next 1019 0 R -/Prev 1017 0 R +/Next 1024 0 R +/Prev 1022 0 R /Dest [284 0 R /XYZ 0 279.71 null] >> endobj -1019 0 obj +1024 0 obj << /Title -/Parent 1016 0 R +/Parent 1021 0 R /Count 2 -/First 1020 0 R -/Last 1021 0 R -/Next 1022 0 R -/Prev 1018 0 R +/First 1025 0 R +/Last 1026 0 R +/Next 1027 0 R +/Prev 1023 0 R /Dest [284 0 R /XYZ 0 152.51 null] >> endobj -1020 0 obj +1025 0 obj << /Title -/Parent 1019 0 R +/Parent 1024 0 R /Count 0 -/Next 1021 0 R +/Next 1026 0 R /Dest [301 0 R /XYZ 0 671.43 null] >> endobj -1021 0 obj +1026 0 obj << /Title -/Parent 1019 0 R +/Parent 1024 0 R /Count 0 -/Prev 1020 0 R +/Prev 1025 0 R /Dest [301 0 R /XYZ 0 206.27 null] >> endobj -1022 0 obj +1027 0 obj << /Title -/Parent 1016 0 R +/Parent 1021 0 R /Count 2 -/First 1023 0 R -/Last 1024 0 R -/Prev 1019 0 R +/First 1028 0 R +/Last 1029 0 R +/Prev 1024 0 R /Dest [305 0 R /XYZ 0 623.37 null] >> endobj -1023 0 obj +1028 0 obj << /Title -/Parent 1022 0 R +/Parent 1027 0 R /Count 0 -/Next 1024 0 R +/Next 1029 0 R /Dest [305 0 R /XYZ 0 539.73 null] >> endobj -1024 0 obj +1029 0 obj << /Title -/Parent 1022 0 R +/Parent 1027 0 R /Count 0 -/Prev 1023 0 R +/Prev 1028 0 R /Dest [310 0 R /XYZ 0 485.27 null] >> endobj -1025 0 obj +1030 0 obj << /Title -/Parent 941 0 R +/Parent 946 0 R /Count 3 -/First 1026 0 R -/Last 1028 0 R -/Next 1029 0 R -/Prev 1016 0 R +/First 1031 0 R +/Last 1033 0 R +/Next 1034 0 R +/Prev 1021 0 R /Dest [315 0 R /XYZ 0 841.89 null] >> endobj -1026 0 obj +1031 0 obj << /Title -/Parent 1025 0 R +/Parent 1030 0 R /Count 0 -/Next 1027 0 R +/Next 1032 0 R /Dest [315 0 R /XYZ 0 553.37 null] >> endobj -1027 0 obj -<< /Title -/Parent 1025 0 R -/Count 0 -/Next 1028 0 R -/Prev 1026 0 R -/Dest [321 0 R /XYZ 0 146.52193 null] ->> -endobj -1028 0 obj -<< /Title -/Parent 1025 0 R -/Count 0 -/Prev 1027 0 R -/Dest [328 0 R /XYZ 0 687.21 null] ->> -endobj -1029 0 obj -<< /Title -/Parent 941 0 R -/Count 9 -/First 1030 0 R -/Last 1038 0 R -/Next 1039 0 R -/Prev 1025 0 R -/Dest [331 0 R /XYZ 0 841.89 null] ->> -endobj -1030 0 obj -<< /Title -/Parent 1029 0 R -/Count 0 -/Next 1031 0 R -/Dest [331 0 R /XYZ 0 705.83 null] ->> -endobj -1031 0 obj -<< /Title -/Parent 1029 0 R -/Count 0 -/Next 1032 0 R -/Prev 1030 0 R -/Dest [338 0 R /XYZ 0 445.41 null] ->> -endobj 1032 0 obj -<< /Title -/Parent 1029 0 R +<< /Title +/Parent 1030 0 R /Count 0 /Next 1033 0 R /Prev 1031 0 R -/Dest [353 0 R /XYZ 0 841.89 null] +/Dest [321 0 R /XYZ 0 146.52193 null] >> endobj 1033 0 obj -<< /Title -/Parent 1029 0 R +<< /Title +/Parent 1030 0 R /Count 0 -/Next 1034 0 R /Prev 1032 0 R -/Dest [353 0 R /XYZ 0 453.69 null] +/Dest [328 0 R /XYZ 0 687.21 null] >> endobj 1034 0 obj -<< /Title -/Parent 1029 0 R -/Count 0 -/Next 1035 0 R -/Prev 1033 0 R -/Dest [353 0 R /XYZ 0 294.93 null] +<< /Title +/Parent 946 0 R +/Count 9 +/First 1035 0 R +/Last 1043 0 R +/Next 1044 0 R +/Prev 1030 0 R +/Dest [331 0 R /XYZ 0 841.89 null] >> endobj 1035 0 obj -<< /Title -/Parent 1029 0 R +<< /Title +/Parent 1034 0 R /Count 0 /Next 1036 0 R -/Prev 1034 0 R -/Dest [373 0 R /XYZ 0 728.55 null] +/Dest [331 0 R /XYZ 0 705.83 null] >> endobj 1036 0 obj -<< /Title -/Parent 1029 0 R +<< /Title +/Parent 1034 0 R /Count 0 /Next 1037 0 R /Prev 1035 0 R -/Dest [376 0 R /XYZ 0 445.41 null] +/Dest [338 0 R /XYZ 0 445.41 null] >> endobj 1037 0 obj -<< /Title -/Parent 1029 0 R +<< /Title +/Parent 1034 0 R /Count 0 /Next 1038 0 R /Prev 1036 0 R -/Dest [376 0 R /XYZ 0 278.43 null] +/Dest [353 0 R /XYZ 0 841.89 null] >> endobj 1038 0 obj -<< /Title -/Parent 1029 0 R +<< /Title +/Parent 1034 0 R /Count 0 +/Next 1039 0 R /Prev 1037 0 R -/Dest [382 0 R /XYZ 0 841.89 null] +/Dest [353 0 R /XYZ 0 453.69 null] >> endobj 1039 0 obj -<< /Title -/Parent 941 0 R -/Count 96 -/First 1040 0 R -/Last 1130 0 R -/Prev 1029 0 R -/Dest [394 0 R /XYZ 0 841.89 null] +<< /Title +/Parent 1034 0 R +/Count 0 +/Next 1040 0 R +/Prev 1038 0 R +/Dest [353 0 R /XYZ 0 294.93 null] >> endobj 1040 0 obj -<< /Title -/Parent 1039 0 R -/Count 10 -/First 1041 0 R -/Last 1050 0 R -/Next 1051 0 R -/Dest [394 0 R /XYZ 0 612.71 null] +<< /Title +/Parent 1034 0 R +/Count 0 +/Next 1041 0 R +/Prev 1039 0 R +/Dest [373 0 R /XYZ 0 728.55 null] >> endobj 1041 0 obj -<< /Title -/Parent 1040 0 R +<< /Title +/Parent 1034 0 R /Count 0 /Next 1042 0 R -/Dest [394 0 R /XYZ 0 485.51 null] +/Prev 1040 0 R +/Dest [376 0 R /XYZ 0 445.41 null] >> endobj 1042 0 obj -<< /Title -/Parent 1040 0 R +<< /Title +/Parent 1034 0 R /Count 0 /Next 1043 0 R /Prev 1041 0 R -/Dest [394 0 R /XYZ 0 271.41 null] +/Dest [376 0 R /XYZ 0 278.43 null] >> endobj 1043 0 obj -<< /Title -/Parent 1040 0 R +<< /Title +/Parent 1034 0 R /Count 0 -/Next 1044 0 R /Prev 1042 0 R -/Dest [400 0 R /XYZ 0 841.89 null] +/Dest [386 0 R /XYZ 0 841.89 null] >> endobj 1044 0 obj -<< /Title -/Parent 1040 0 R -/Count 0 -/Next 1045 0 R -/Prev 1043 0 R -/Dest [400 0 R /XYZ 0 596.59 null] +<< /Title +/Parent 946 0 R +/Count 96 +/First 1045 0 R +/Last 1135 0 R +/Prev 1034 0 R +/Dest [399 0 R /XYZ 0 841.89 null] >> endobj 1045 0 obj -<< /Title -/Parent 1040 0 R -/Count 0 -/Next 1046 0 R -/Prev 1044 0 R -/Dest [400 0 R /XYZ 0 382.49 null] +<< /Title +/Parent 1044 0 R +/Count 10 +/First 1046 0 R +/Last 1055 0 R +/Next 1056 0 R +/Dest [399 0 R /XYZ 0 612.71 null] >> endobj 1046 0 obj -<< /Title -/Parent 1040 0 R +<< /Title +/Parent 1045 0 R /Count 0 /Next 1047 0 R -/Prev 1045 0 R -/Dest [400 0 R /XYZ 0 168.39 null] +/Dest [399 0 R /XYZ 0 485.51 null] >> endobj 1047 0 obj -<< /Title -/Parent 1040 0 R +<< /Title +/Parent 1045 0 R /Count 0 /Next 1048 0 R /Prev 1046 0 R -/Dest [406 0 R /XYZ 0 680.63 null] +/Dest [399 0 R /XYZ 0 271.41 null] >> endobj 1048 0 obj -<< /Title -/Parent 1040 0 R +<< /Title +/Parent 1045 0 R /Count 0 /Next 1049 0 R /Prev 1047 0 R -/Dest [406 0 R /XYZ 0 466.53 null] +/Dest [405 0 R /XYZ 0 841.89 null] >> endobj 1049 0 obj -<< /Title -/Parent 1040 0 R +<< /Title +/Parent 1045 0 R /Count 0 /Next 1050 0 R /Prev 1048 0 R -/Dest [406 0 R /XYZ 0 252.43 null] +/Dest [405 0 R /XYZ 0 596.59 null] >> endobj 1050 0 obj -<< /Title -/Parent 1040 0 R +<< /Title +/Parent 1045 0 R /Count 0 +/Next 1051 0 R /Prev 1049 0 R -/Dest [412 0 R /XYZ 0 841.89 null] +/Dest [405 0 R /XYZ 0 382.49 null] >> endobj 1051 0 obj -<< /Title -/Parent 1039 0 R -/Count 9 -/First 1052 0 R -/Last 1060 0 R -/Next 1061 0 R -/Prev 1040 0 R -/Dest [412 0 R /XYZ 0 596.59 null] +<< /Title +/Parent 1045 0 R +/Count 0 +/Next 1052 0 R +/Prev 1050 0 R +/Dest [405 0 R /XYZ 0 168.39 null] >> endobj 1052 0 obj -<< /Title -/Parent 1051 0 R +<< /Title +/Parent 1045 0 R /Count 0 /Next 1053 0 R -/Dest [412 0 R /XYZ 0 556.51 null] +/Prev 1051 0 R +/Dest [411 0 R /XYZ 0 680.63 null] >> endobj 1053 0 obj -<< /Title -/Parent 1051 0 R +<< /Title +/Parent 1045 0 R /Count 0 /Next 1054 0 R /Prev 1052 0 R -/Dest [412 0 R /XYZ 0 342.41 null] +/Dest [411 0 R /XYZ 0 466.53 null] >> endobj 1054 0 obj -<< /Title -/Parent 1051 0 R +<< /Title +/Parent 1045 0 R /Count 0 /Next 1055 0 R /Prev 1053 0 R -/Dest [412 0 R /XYZ 0 128.31 null] +/Dest [411 0 R /XYZ 0 252.43 null] >> endobj 1055 0 obj -<< /Title -/Parent 1051 0 R +<< /Title +/Parent 1045 0 R /Count 0 -/Next 1056 0 R /Prev 1054 0 R -/Dest [419 0 R /XYZ 0 680.63 null] +/Dest [417 0 R /XYZ 0 841.89 null] >> endobj 1056 0 obj -<< /Title -/Parent 1051 0 R -/Count 0 -/Next 1057 0 R -/Prev 1055 0 R -/Dest [419 0 R /XYZ 0 466.53 null] +<< /Title +/Parent 1044 0 R +/Count 9 +/First 1057 0 R +/Last 1065 0 R +/Next 1066 0 R +/Prev 1045 0 R +/Dest [417 0 R /XYZ 0 596.59 null] >> endobj 1057 0 obj -<< /Title -/Parent 1051 0 R +<< /Title +/Parent 1056 0 R /Count 0 /Next 1058 0 R -/Prev 1056 0 R -/Dest [419 0 R /XYZ 0 252.43 null] +/Dest [417 0 R /XYZ 0 556.51 null] >> endobj 1058 0 obj -<< /Title -/Parent 1051 0 R +<< /Title +/Parent 1056 0 R /Count 0 /Next 1059 0 R /Prev 1057 0 R -/Dest [424 0 R /XYZ 0 841.89 null] +/Dest [417 0 R /XYZ 0 342.41 null] >> endobj 1059 0 obj -<< /Title -/Parent 1051 0 R +<< /Title +/Parent 1056 0 R /Count 0 /Next 1060 0 R /Prev 1058 0 R -/Dest [424 0 R /XYZ 0 596.59 null] +/Dest [417 0 R /XYZ 0 128.31 null] >> endobj 1060 0 obj -<< /Title -/Parent 1051 0 R +<< /Title +/Parent 1056 0 R /Count 0 +/Next 1061 0 R /Prev 1059 0 R -/Dest [424 0 R /XYZ 0 382.49 null] +/Dest [424 0 R /XYZ 0 680.63 null] >> endobj 1061 0 obj -<< /Title -/Parent 1039 0 R -/Count 2 -/First 1062 0 R -/Last 1063 0 R -/Next 1064 0 R -/Prev 1051 0 R -/Dest [424 0 R /XYZ 0 168.39 null] +<< /Title +/Parent 1056 0 R +/Count 0 +/Next 1062 0 R +/Prev 1060 0 R +/Dest [424 0 R /XYZ 0 466.53 null] >> endobj 1062 0 obj -<< /Title -/Parent 1061 0 R +<< /Title +/Parent 1056 0 R /Count 0 /Next 1063 0 R -/Dest [424 0 R /XYZ 0 128.31 null] +/Prev 1061 0 R +/Dest [424 0 R /XYZ 0 252.43 null] >> endobj 1063 0 obj -<< /Title -/Parent 1061 0 R +<< /Title +/Parent 1056 0 R /Count 0 +/Next 1064 0 R /Prev 1062 0 R -/Dest [431 0 R /XYZ 0 652.85 null] +/Dest [429 0 R /XYZ 0 841.89 null] >> endobj 1064 0 obj -<< /Title -/Parent 1039 0 R -/Count 8 -/First 1065 0 R -/Last 1072 0 R -/Next 1073 0 R -/Prev 1061 0 R -/Dest [431 0 R /XYZ 0 410.97 null] +<< /Title +/Parent 1056 0 R +/Count 0 +/Next 1065 0 R +/Prev 1063 0 R +/Dest [429 0 R /XYZ 0 596.59 null] >> endobj 1065 0 obj -<< /Title -/Parent 1064 0 R +<< /Title +/Parent 1056 0 R /Count 0 -/Next 1066 0 R -/Dest [431 0 R /XYZ 0 370.89 null] +/Prev 1064 0 R +/Dest [429 0 R /XYZ 0 382.49 null] >> endobj 1066 0 obj -<< /Title -/Parent 1064 0 R -/Count 0 -/Next 1067 0 R -/Prev 1065 0 R -/Dest [436 0 R /XYZ 0 841.89 null] +<< /Title +/Parent 1044 0 R +/Count 2 +/First 1067 0 R +/Last 1068 0 R +/Next 1069 0 R +/Prev 1056 0 R +/Dest [429 0 R /XYZ 0 168.39 null] >> endobj 1067 0 obj -<< /Title -/Parent 1064 0 R +<< /Title +/Parent 1066 0 R /Count 0 /Next 1068 0 R -/Prev 1066 0 R -/Dest [436 0 R /XYZ 0 500.81 null] +/Dest [429 0 R /XYZ 0 128.31 null] >> endobj 1068 0 obj -<< /Title -/Parent 1064 0 R +<< /Title +/Parent 1066 0 R /Count 0 -/Next 1069 0 R /Prev 1067 0 R -/Dest [436 0 R /XYZ 0 271.97 null] +/Dest [436 0 R /XYZ 0 652.85 null] >> endobj 1069 0 obj -<< /Title -/Parent 1064 0 R -/Count 0 -/Next 1070 0 R -/Prev 1068 0 R -/Dest [442 0 R /XYZ 0 841.89 null] +<< /Title +/Parent 1044 0 R +/Count 8 +/First 1070 0 R +/Last 1077 0 R +/Next 1078 0 R +/Prev 1066 0 R +/Dest [436 0 R /XYZ 0 410.97 null] >> endobj 1070 0 obj -<< /Title -/Parent 1064 0 R +<< /Title +/Parent 1069 0 R /Count 0 /Next 1071 0 R -/Prev 1069 0 R -/Dest [442 0 R /XYZ 0 581.85 null] +/Dest [436 0 R /XYZ 0 370.89 null] >> endobj 1071 0 obj -<< /Title -/Parent 1064 0 R +<< /Title +/Parent 1069 0 R /Count 0 /Next 1072 0 R /Prev 1070 0 R -/Dest [442 0 R /XYZ 0 353.01 null] +/Dest [441 0 R /XYZ 0 841.89 null] >> endobj 1072 0 obj -<< /Title -/Parent 1064 0 R +<< /Title +/Parent 1069 0 R /Count 0 +/Next 1073 0 R /Prev 1071 0 R -/Dest [442 0 R /XYZ 0 124.17 null] +/Dest [441 0 R /XYZ 0 500.81 null] >> endobj 1073 0 obj -<< /Title -/Parent 1039 0 R -/Count 8 -/First 1074 0 R -/Last 1081 0 R -/Next 1082 0 R -/Prev 1064 0 R -/Dest [448 0 R /XYZ 0 638.11 null] +<< /Title +/Parent 1069 0 R +/Count 0 +/Next 1074 0 R +/Prev 1072 0 R +/Dest [441 0 R /XYZ 0 271.97 null] >> endobj 1074 0 obj -<< /Title -/Parent 1073 0 R +<< /Title +/Parent 1069 0 R /Count 0 /Next 1075 0 R -/Dest [448 0 R /XYZ 0 598.03 null] +/Prev 1073 0 R +/Dest [447 0 R /XYZ 0 841.89 null] >> endobj 1075 0 obj -<< /Title -/Parent 1073 0 R +<< /Title +/Parent 1069 0 R /Count 0 /Next 1076 0 R /Prev 1074 0 R -/Dest [448 0 R /XYZ 0 295.49 null] +/Dest [447 0 R /XYZ 0 581.85 null] >> endobj 1076 0 obj -<< /Title -/Parent 1073 0 R +<< /Title +/Parent 1069 0 R /Count 0 /Next 1077 0 R /Prev 1075 0 R -/Dest [454 0 R /XYZ 0 753.41 null] +/Dest [447 0 R /XYZ 0 353.01 null] >> endobj 1077 0 obj -<< /Title -/Parent 1073 0 R +<< /Title +/Parent 1069 0 R /Count 0 -/Next 1078 0 R /Prev 1076 0 R -/Dest [454 0 R /XYZ 0 465.61 null] +/Dest [447 0 R /XYZ 0 124.17 null] >> endobj 1078 0 obj -<< /Title -/Parent 1073 0 R -/Count 0 -/Next 1079 0 R -/Prev 1077 0 R -/Dest [454 0 R /XYZ 0 192.55 null] +<< /Title +/Parent 1044 0 R +/Count 8 +/First 1079 0 R +/Last 1086 0 R +/Next 1087 0 R +/Prev 1069 0 R +/Dest [453 0 R /XYZ 0 638.11 null] >> endobj 1079 0 obj -<< /Title -/Parent 1073 0 R +<< /Title +/Parent 1078 0 R /Count 0 /Next 1080 0 R -/Prev 1078 0 R -/Dest [460 0 R /XYZ 0 685.15 null] +/Dest [453 0 R /XYZ 0 598.03 null] >> endobj 1080 0 obj -<< /Title -/Parent 1073 0 R +<< /Title +/Parent 1078 0 R /Count 0 /Next 1081 0 R /Prev 1079 0 R -/Dest [460 0 R /XYZ 0 412.09 null] +/Dest [453 0 R /XYZ 0 295.49 null] >> endobj 1081 0 obj -<< /Title -/Parent 1073 0 R +<< /Title +/Parent 1078 0 R /Count 0 +/Next 1082 0 R /Prev 1080 0 R -/Dest [460 0 R /XYZ 0 168.51 null] +/Dest [459 0 R /XYZ 0 753.41 null] >> endobj 1082 0 obj -<< /Title -/Parent 1039 0 R -/Count 8 -/First 1083 0 R -/Last 1090 0 R -/Next 1091 0 R -/Prev 1073 0 R -/Dest [465 0 R /XYZ 0 691.63 null] +<< /Title +/Parent 1078 0 R +/Count 0 +/Next 1083 0 R +/Prev 1081 0 R +/Dest [459 0 R /XYZ 0 465.61 null] >> endobj 1083 0 obj -<< /Title -/Parent 1082 0 R +<< /Title +/Parent 1078 0 R /Count 0 /Next 1084 0 R -/Dest [465 0 R /XYZ 0 651.55 null] +/Prev 1082 0 R +/Dest [459 0 R /XYZ 0 192.55 null] >> endobj 1084 0 obj -<< /Title -/Parent 1082 0 R +<< /Title +/Parent 1078 0 R /Count 0 /Next 1085 0 R /Prev 1083 0 R -/Dest [465 0 R /XYZ 0 437.45 null] +/Dest [465 0 R /XYZ 0 685.15 null] >> endobj 1085 0 obj -<< /Title -/Parent 1082 0 R +<< /Title +/Parent 1078 0 R /Count 0 /Next 1086 0 R /Prev 1084 0 R -/Dest [465 0 R /XYZ 0 193.87 null] +/Dest [465 0 R /XYZ 0 412.09 null] >> endobj 1086 0 obj -<< /Title -/Parent 1082 0 R +<< /Title +/Parent 1078 0 R /Count 0 -/Next 1087 0 R /Prev 1085 0 R -/Dest [471 0 R /XYZ 0 699.89 null] +/Dest [465 0 R /XYZ 0 168.51 null] >> endobj 1087 0 obj -<< /Title -/Parent 1082 0 R -/Count 0 -/Next 1088 0 R -/Prev 1086 0 R -/Dest [471 0 R /XYZ 0 471.05 null] +<< /Title +/Parent 1044 0 R +/Count 8 +/First 1088 0 R +/Last 1095 0 R +/Next 1096 0 R +/Prev 1078 0 R +/Dest [470 0 R /XYZ 0 691.63 null] >> endobj 1088 0 obj -<< /Title -/Parent 1082 0 R +<< /Title +/Parent 1087 0 R /Count 0 /Next 1089 0 R -/Prev 1087 0 R -/Dest [471 0 R /XYZ 0 183.25 null] +/Dest [470 0 R /XYZ 0 651.55 null] >> endobj 1089 0 obj -<< /Title -/Parent 1082 0 R +<< /Title +/Parent 1087 0 R /Count 0 /Next 1090 0 R /Prev 1088 0 R -/Dest [476 0 R /XYZ 0 685.15 null] +/Dest [470 0 R /XYZ 0 437.45 null] >> endobj 1090 0 obj -<< /Title -/Parent 1082 0 R +<< /Title +/Parent 1087 0 R /Count 0 +/Next 1091 0 R /Prev 1089 0 R -/Dest [476 0 R /XYZ 0 426.83 null] +/Dest [470 0 R /XYZ 0 193.87 null] >> endobj 1091 0 obj -<< /Title -/Parent 1039 0 R +<< /Title +/Parent 1087 0 R /Count 0 /Next 1092 0 R -/Prev 1082 0 R -/Dest [476 0 R /XYZ 0 168.51 null] +/Prev 1090 0 R +/Dest [476 0 R /XYZ 0 699.89 null] >> endobj 1092 0 obj -<< /Title -/Parent 1039 0 R +<< /Title +/Parent 1087 0 R /Count 0 /Next 1093 0 R /Prev 1091 0 R -/Dest [482 0 R /XYZ 0 841.89 null] +/Dest [476 0 R /XYZ 0 471.05 null] >> endobj 1093 0 obj -<< /Title -/Parent 1039 0 R -/Count 3 -/First 1094 0 R -/Last 1096 0 R -/Next 1097 0 R +<< /Title +/Parent 1087 0 R +/Count 0 +/Next 1094 0 R /Prev 1092 0 R -/Dest [482 0 R /XYZ 0 711.27 null] +/Dest [476 0 R /XYZ 0 183.25 null] >> endobj 1094 0 obj -<< /Title -/Parent 1093 0 R +<< /Title +/Parent 1087 0 R /Count 0 /Next 1095 0 R -/Dest [482 0 R /XYZ 0 671.19 null] +/Prev 1093 0 R +/Dest [481 0 R /XYZ 0 685.15 null] >> endobj 1095 0 obj -<< /Title -/Parent 1093 0 R +<< /Title +/Parent 1087 0 R /Count 0 -/Next 1096 0 R /Prev 1094 0 R -/Dest [482 0 R /XYZ 0 457.09 null] +/Dest [481 0 R /XYZ 0 426.83 null] >> endobj 1096 0 obj -<< /Title -/Parent 1093 0 R +<< /Title +/Parent 1044 0 R /Count 0 -/Prev 1095 0 R -/Dest [482 0 R /XYZ 0 242.99 null] +/Next 1097 0 R +/Prev 1087 0 R +/Dest [481 0 R /XYZ 0 168.51 null] >> endobj 1097 0 obj -<< /Title -/Parent 1039 0 R -/Count 19 -/First 1098 0 R -/Last 1116 0 R -/Next 1117 0 R -/Prev 1093 0 R -/Dest [489 0 R /XYZ 0 757.15 null] +<< /Title +/Parent 1044 0 R +/Count 0 +/Next 1098 0 R +/Prev 1096 0 R +/Dest [487 0 R /XYZ 0 841.89 null] >> endobj 1098 0 obj -<< /Title -/Parent 1097 0 R -/Count 0 -/Next 1099 0 R -/Dest [489 0 R /XYZ 0 717.07 null] +<< /Title +/Parent 1044 0 R +/Count 3 +/First 1099 0 R +/Last 1101 0 R +/Next 1102 0 R +/Prev 1097 0 R +/Dest [487 0 R /XYZ 0 711.27 null] >> endobj 1099 0 obj -<< /Title -/Parent 1097 0 R +<< /Title +/Parent 1098 0 R /Count 0 /Next 1100 0 R -/Prev 1098 0 R -/Dest [489 0 R /XYZ 0 502.97 null] +/Dest [487 0 R /XYZ 0 671.19 null] >> endobj 1100 0 obj -<< /Title -/Parent 1097 0 R +<< /Title +/Parent 1098 0 R /Count 0 /Next 1101 0 R /Prev 1099 0 R -/Dest [489 0 R /XYZ 0 170.95 null] +/Dest [487 0 R /XYZ 0 457.09 null] >> endobj 1101 0 obj -<< /Title -/Parent 1097 0 R +<< /Title +/Parent 1098 0 R /Count 0 -/Next 1102 0 R /Prev 1100 0 R -/Dest [495 0 R /XYZ 0 651.15 null] +/Dest [487 0 R /XYZ 0 242.99 null] >> endobj 1102 0 obj -<< /Title -/Parent 1097 0 R -/Count 0 -/Next 1103 0 R -/Prev 1101 0 R -/Dest [495 0 R /XYZ 0 319.13 null] +<< /Title +/Parent 1044 0 R +/Count 19 +/First 1103 0 R +/Last 1121 0 R +/Next 1122 0 R +/Prev 1098 0 R +/Dest [494 0 R /XYZ 0 757.15 null] >> endobj 1103 0 obj -<< /Title -/Parent 1097 0 R +<< /Title +/Parent 1102 0 R /Count 0 /Next 1104 0 R -/Prev 1102 0 R -/Dest [499 0 R /XYZ 0 841.89 null] +/Dest [494 0 R /XYZ 0 717.07 null] >> endobj 1104 0 obj -<< /Title -/Parent 1097 0 R +<< /Title +/Parent 1102 0 R /Count 0 /Next 1105 0 R /Prev 1103 0 R -/Dest [499 0 R /XYZ 0 552.37 null] +/Dest [494 0 R /XYZ 0 502.97 null] >> endobj 1105 0 obj -<< /Title -/Parent 1097 0 R +<< /Title +/Parent 1102 0 R /Count 0 /Next 1106 0 R /Prev 1104 0 R -/Dest [499 0 R /XYZ 0 294.05 null] +/Dest [494 0 R /XYZ 0 170.95 null] >> endobj 1106 0 obj -<< /Title -/Parent 1097 0 R +<< /Title +/Parent 1102 0 R /Count 0 /Next 1107 0 R /Prev 1105 0 R -/Dest [504 0 R /XYZ 0 841.89 null] +/Dest [500 0 R /XYZ 0 651.15 null] >> endobj 1107 0 obj -<< /Title -/Parent 1097 0 R +<< /Title +/Parent 1102 0 R /Count 0 /Next 1108 0 R /Prev 1106 0 R -/Dest [504 0 R /XYZ 0 522.89 null] +/Dest [500 0 R /XYZ 0 319.13 null] >> endobj 1108 0 obj -<< /Title -/Parent 1097 0 R +<< /Title +/Parent 1102 0 R /Count 0 /Next 1109 0 R /Prev 1107 0 R -/Dest [504 0 R /XYZ 0 308.79 null] +/Dest [504 0 R /XYZ 0 841.89 null] >> endobj 1109 0 obj -<< /Title -/Parent 1097 0 R +<< /Title +/Parent 1102 0 R /Count 0 /Next 1110 0 R /Prev 1108 0 R -/Dest [509 0 R /XYZ 0 768.15 null] +/Dest [504 0 R /XYZ 0 552.37 null] >> endobj 1110 0 obj -<< /Title -/Parent 1097 0 R +<< /Title +/Parent 1102 0 R /Count 0 /Next 1111 0 R /Prev 1109 0 R -/Dest [509 0 R /XYZ 0 509.83 null] +/Dest [504 0 R /XYZ 0 294.05 null] >> endobj 1111 0 obj -<< /Title -/Parent 1097 0 R +<< /Title +/Parent 1102 0 R /Count 0 /Next 1112 0 R /Prev 1110 0 R -/Dest [509 0 R /XYZ 0 251.51 null] +/Dest [509 0 R /XYZ 0 841.89 null] >> endobj 1112 0 obj -<< /Title -/Parent 1097 0 R +<< /Title +/Parent 1102 0 R /Count 0 /Next 1113 0 R /Prev 1111 0 R -/Dest [514 0 R /XYZ 0 738.67 null] +/Dest [509 0 R /XYZ 0 522.89 null] >> endobj 1113 0 obj -<< /Title -/Parent 1097 0 R +<< /Title +/Parent 1102 0 R /Count 0 /Next 1114 0 R /Prev 1112 0 R -/Dest [514 0 R /XYZ 0 480.35 null] +/Dest [509 0 R /XYZ 0 308.79 null] >> endobj 1114 0 obj -<< /Title -/Parent 1097 0 R +<< /Title +/Parent 1102 0 R /Count 0 /Next 1115 0 R /Prev 1113 0 R -/Dest [514 0 R /XYZ 0 222.03 null] +/Dest [514 0 R /XYZ 0 768.15 null] >> endobj 1115 0 obj -<< /Title -/Parent 1097 0 R +<< /Title +/Parent 1102 0 R /Count 0 /Next 1116 0 R /Prev 1114 0 R -/Dest [520 0 R /XYZ 0 757.15 null] +/Dest [514 0 R /XYZ 0 509.83 null] >> endobj 1116 0 obj -<< /Title -/Parent 1097 0 R +<< /Title +/Parent 1102 0 R /Count 0 +/Next 1117 0 R /Prev 1115 0 R -/Dest [520 0 R /XYZ 0 498.83 null] +/Dest [514 0 R /XYZ 0 251.51 null] >> endobj 1117 0 obj -<< /Title -/Parent 1039 0 R -/Count 3 -/First 1118 0 R -/Last 1120 0 R -/Next 1121 0 R -/Prev 1097 0 R -/Dest [520 0 R /XYZ 0 269.99 null] +<< /Title +/Parent 1102 0 R +/Count 0 +/Next 1118 0 R +/Prev 1116 0 R +/Dest [519 0 R /XYZ 0 738.67 null] >> endobj 1118 0 obj -<< /Title -/Parent 1117 0 R +<< /Title +/Parent 1102 0 R /Count 0 /Next 1119 0 R -/Dest [525 0 R /XYZ 0 768.15 null] +/Prev 1117 0 R +/Dest [519 0 R /XYZ 0 480.35 null] >> endobj 1119 0 obj -<< /Title -/Parent 1117 0 R +<< /Title +/Parent 1102 0 R /Count 0 /Next 1120 0 R /Prev 1118 0 R -/Dest [525 0 R /XYZ 0 554.05 null] +/Dest [519 0 R /XYZ 0 222.03 null] >> endobj 1120 0 obj -<< /Title -/Parent 1117 0 R +<< /Title +/Parent 1102 0 R /Count 0 +/Next 1121 0 R /Prev 1119 0 R -/Dest [525 0 R /XYZ 0 339.95 null] +/Dest [525 0 R /XYZ 0 757.15 null] >> endobj 1121 0 obj -<< /Title -/Parent 1039 0 R -/Count 8 -/First 1122 0 R -/Last 1129 0 R -/Next 1130 0 R -/Prev 1117 0 R -/Dest [525 0 R /XYZ 0 125.85 null] +<< /Title +/Parent 1102 0 R +/Count 0 +/Prev 1120 0 R +/Dest [525 0 R /XYZ 0 498.83 null] >> endobj 1122 0 obj -<< /Title -/Parent 1121 0 R -/Count 0 -/Next 1123 0 R -/Dest [531 0 R /XYZ 0 841.89 null] +<< /Title +/Parent 1044 0 R +/Count 3 +/First 1123 0 R +/Last 1125 0 R +/Next 1126 0 R +/Prev 1102 0 R +/Dest [525 0 R /XYZ 0 269.99 null] >> endobj 1123 0 obj -<< /Title -/Parent 1121 0 R +<< /Title +/Parent 1122 0 R /Count 0 /Next 1124 0 R -/Prev 1122 0 R -/Dest [531 0 R /XYZ 0 596.59 null] +/Dest [530 0 R /XYZ 0 768.15 null] >> endobj 1124 0 obj -<< /Title -/Parent 1121 0 R +<< /Title +/Parent 1122 0 R /Count 0 /Next 1125 0 R /Prev 1123 0 R -/Dest [531 0 R /XYZ 0 382.49 null] +/Dest [530 0 R /XYZ 0 554.05 null] >> endobj 1125 0 obj -<< /Title -/Parent 1121 0 R +<< /Title +/Parent 1122 0 R /Count 0 -/Next 1126 0 R /Prev 1124 0 R -/Dest [531 0 R /XYZ 0 168.39 null] +/Dest [530 0 R /XYZ 0 339.95 null] >> endobj 1126 0 obj -<< /Title -/Parent 1121 0 R -/Count 0 -/Next 1127 0 R -/Prev 1125 0 R -/Dest [537 0 R /XYZ 0 680.63 null] +<< /Title +/Parent 1044 0 R +/Count 8 +/First 1127 0 R +/Last 1134 0 R +/Next 1135 0 R +/Prev 1122 0 R +/Dest [530 0 R /XYZ 0 125.85 null] >> endobj 1127 0 obj -<< /Title -/Parent 1121 0 R +<< /Title +/Parent 1126 0 R /Count 0 /Next 1128 0 R -/Prev 1126 0 R -/Dest [537 0 R /XYZ 0 466.53 null] +/Dest [536 0 R /XYZ 0 841.89 null] >> endobj 1128 0 obj -<< /Title -/Parent 1121 0 R +<< /Title +/Parent 1126 0 R /Count 0 /Next 1129 0 R /Prev 1127 0 R -/Dest [537 0 R /XYZ 0 252.43 null] +/Dest [536 0 R /XYZ 0 596.59 null] >> endobj 1129 0 obj -<< /Title -/Parent 1121 0 R +<< /Title +/Parent 1126 0 R /Count 0 +/Next 1130 0 R /Prev 1128 0 R -/Dest [543 0 R /XYZ 0 841.89 null] +/Dest [536 0 R /XYZ 0 382.49 null] >> endobj 1130 0 obj -<< /Title -/Parent 1039 0 R -/Count 5 -/First 1131 0 R -/Last 1135 0 R -/Prev 1121 0 R -/Dest [543 0 R /XYZ 0 596.59 null] +<< /Title +/Parent 1126 0 R +/Count 0 +/Next 1131 0 R +/Prev 1129 0 R +/Dest [536 0 R /XYZ 0 168.39 null] >> endobj 1131 0 obj -<< /Title -/Parent 1130 0 R +<< /Title +/Parent 1126 0 R /Count 0 /Next 1132 0 R -/Dest [543 0 R /XYZ 0 504.95 null] +/Prev 1130 0 R +/Dest [542 0 R /XYZ 0 680.63 null] >> endobj 1132 0 obj -<< /Title -/Parent 1130 0 R +<< /Title +/Parent 1126 0 R /Count 0 /Next 1133 0 R /Prev 1131 0 R -/Dest [543 0 R /XYZ 0 231.89 null] +/Dest [542 0 R /XYZ 0 466.53 null] >> endobj 1133 0 obj -<< /Title -/Parent 1130 0 R +<< /Title +/Parent 1126 0 R /Count 0 /Next 1134 0 R /Prev 1132 0 R -/Dest [551 0 R /XYZ 0 712.93 null] +/Dest [542 0 R /XYZ 0 252.43 null] >> endobj 1134 0 obj -<< /Title -/Parent 1130 0 R +<< /Title +/Parent 1126 0 R /Count 0 -/Next 1135 0 R /Prev 1133 0 R -/Dest [551 0 R /XYZ 0 439.87 null] +/Dest [548 0 R /XYZ 0 841.89 null] >> endobj 1135 0 obj -<< /Title -/Parent 1130 0 R -/Count 0 -/Prev 1134 0 R -/Dest [551 0 R /XYZ 0 181.55 null] +<< /Title +/Parent 1044 0 R +/Count 5 +/First 1136 0 R +/Last 1140 0 R +/Prev 1126 0 R +/Dest [548 0 R /XYZ 0 596.59 null] >> endobj 1136 0 obj +<< /Title +/Parent 1135 0 R +/Count 0 +/Next 1137 0 R +/Dest [548 0 R /XYZ 0 504.95 null] +>> +endobj +1137 0 obj +<< /Title +/Parent 1135 0 R +/Count 0 +/Next 1138 0 R +/Prev 1136 0 R +/Dest [548 0 R /XYZ 0 231.89 null] +>> +endobj +1138 0 obj +<< /Title +/Parent 1135 0 R +/Count 0 +/Next 1139 0 R +/Prev 1137 0 R +/Dest [556 0 R /XYZ 0 712.93 null] +>> +endobj +1139 0 obj +<< /Title +/Parent 1135 0 R +/Count 0 +/Next 1140 0 R +/Prev 1138 0 R +/Dest [556 0 R /XYZ 0 439.87 null] +>> +endobj +1140 0 obj +<< /Title +/Parent 1135 0 R +/Count 0 +/Prev 1139 0 R +/Dest [556 0 R /XYZ 0 181.55 null] +>> +endobj +1141 0 obj << /Nums [0 << /P (i) >> 1 << /P (ii) >> 2 << /P (iii) @@ -92261,10 +92545,10 @@ endobj >>] >> endobj -1137 0 obj +1142 0 obj [23 0 R /XYZ 0 841.89 null] endobj -1138 0 obj +1143 0 obj << /Type /XObject /Subtype /Form /BBox [0 0 595.28 841.89] @@ -92292,7 +92576,7 @@ Q endstream endobj -1139 0 obj +1144 0 obj << /Type /XObject /Subtype /Form /BBox [0 0 595.28 841.89] @@ -92320,7 +92604,7 @@ Q endstream endobj -1140 0 obj +1145 0 obj << /Length1 17992 /Length 11190 /Filter [/FlateDecode] @@ -92381,10 +92665,10 @@ Wj o2!('o?"KoxnqubP>Ȓ5M,Dg()%BԤȆEE}t2ARz_u_i7 F-Ad_ ȪjQXDw XLڄ!>;}(.":)> endobj -1142 0 obj +1147 0 obj << /Length 1278 /Filter [/FlateDecode] >> @@ -92404,10 +92688,10 @@ x JJ특xxx+!ÊwBxbx+ށr;2kΜJYeY7+|x oS7+[ƛețךyޢoV浖 -㭌"RW*4XqC^J[(^1»y]k}YM-x e#e_y+h܊7k:/Z5dUluz5n[eB&Si|y(%q& %+S%*ABijPU6\h,(+L,4G5sh>:OVRP*#i|e0U,*oU/*[U,Nmlx:&\}M)L2\%CHF7էʻBL)jƻJ1:%H߯:=S۳zrmkO媳7 endstream endobj -1143 0 obj +1148 0 obj [259 500 408 500 500 500 500 500 346 346 500 559 250 310 250 288 559 559 559 559 559 559 559 559 559 559 286 286 559 559 559 500 500 705 653 613 727 623 589 713 792 367 356 700 623 937 763 742 604 742 655 543 612 716 674 1046 660 625 591 359 500 359 500 458 500 562 613 492 613 535 369 538 634 319 299 584 310 944 645 577 613 613 471 451 352 634 579 861 578 564 511 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 361 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 857 259 500 500 500 500 500 500 1000 500 500 500 250 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500] endobj -1144 0 obj +1149 0 obj << /Length1 16832 /Length 10747 /Filter [/FlateDecode] @@ -92453,10 +92737,10 @@ p3 M+(z>k=l3p5/B3 0+:xwya%kZ:QpO\ PG>o `h-=y8RWϼf FpUD;|F$ S<!r^*n*Ҡ6k2σ~NDl1suhp3acf'? @zZ>W@(@TTe&AZPd&3a8dF\?. BQ!d_ŐCBUv?lsjEm1']kQ: _E %oL endstream endobj -1145 0 obj +1150 0 obj << /Type /FontDescriptor /FontName /62c961+NotoSerif-Bold -/FontFile2 1144 0 R +/FontFile2 1149 0 R /FontBBox [-212 -250 1306 1058] /Flags 6 /StemV 0 @@ -92467,7 +92751,7 @@ endobj /XHeight 1098 >> endobj -1146 0 obj +1151 0 obj << /Length 1278 /Filter [/FlateDecode] >> @@ -92476,10 +92760,10 @@ x JJ특xxx+!ÊwBxbx+ށr;2kΜJYeY7+|x oS7+[ƛețךyޢoV浖 -㭌"RW*4XqC^J[(^1»y]k}YM-x e#e_y+h܊7k:/Z5dUluz5n[eB&Si|y(%q& %+S%*ABijPU6\h,(+L,4G5sh>:OVRP*#i|e0U,*oU/*[U,Nmlx:&\}M)L2\%CHF7էʻBL)jƻJ1:%H߯:=S۳zrmkO媳7 endstream endobj -1147 0 obj +1152 0 obj [259 600 600 600 600 600 600 600 399 399 600 600 600 310 293 288 559 559 559 559 559 559 559 559 559 559 304 600 600 600 600 600 600 752 671 667 767 652 621 769 818 400 600 733 653 952 788 787 638 787 707 585 652 747 698 1066 731 692 666 600 600 600 600 458 600 599 648 526 648 570 407 560 666 352 345 636 352 985 666 612 645 647 522 487 404 666 605 855 645 579 528 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 912 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600] endobj -1148 0 obj +1153 0 obj << /Length1 9504 /Length 6487 /Filter [/FlateDecode] @@ -92517,10 +92801,10 @@ Q G׎:P'Z w5 'G b| endstream endobj -1149 0 obj +1154 0 obj << /Type /FontDescriptor /FontName /21c162+mplus1mn-regular -/FontFile2 1148 0 R +/FontFile2 1153 0 R /FontBBox [0 -270 1000 1025] /Flags 4 /StemV 0 @@ -92531,7 +92815,7 @@ endobj /XHeight 0 >> endobj -1150 0 obj +1155 0 obj << /Length 1278 /Filter [/FlateDecode] >> @@ -92540,10 +92824,10 @@ x JJ특xxx+!ÊwBxbx+ށr;2kΜJYeY7+|x oS7+[ƛețךyޢoV浖 -㭌"RW*4XqC^J[(^1»y]k}YM-x e#e_y+h܊7k:/Z5dUluz5n[eB&Si|y(%q& %+S%*ABijPU6\h,(+L,4G5sh>:OVRP*#i|e0U,*oU/*[U,Nmlx:&\}M)L2\%CHF7էʻBL)jƻJ1:%H߯:=S۳zrmkO媳7 endstream endobj -1151 0 obj +1156 0 obj [500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 364 500 500 500 500 500 500 500 364 500 500 364 500 500 500 500 500 500 500 500 500 500 500 500 500 500 364 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 1000 500 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364] endobj -1152 0 obj +1157 0 obj << /Length1 6700 /Length 3825 /Filter [/FlateDecode] @@ -92563,10 +92847,10 @@ Q [ endstream endobj -1153 0 obj +1158 0 obj << /Type /FontDescriptor /FontName /733c2a+NotoSerif -/FontFile2 1152 0 R +/FontFile2 1157 0 R /FontBBox [-212 -250 1246 1047] /Flags 6 /StemV 0 @@ -92577,7 +92861,7 @@ endobj /XHeight 1098 >> endobj -1154 0 obj +1159 0 obj << /Length 247 /Filter [/FlateDecode] >> @@ -92585,10 +92869,10 @@ stream x]Pn CMHJ/> TBTՌvf-;/}:l%atZoʕifC->H$K=8aBaӀ|XSB(E-8 zM@Y{{_=z_[*.eL$m 0+"b9L@!8vJT}j׀A=YsNlNb~v endstream endobj -1155 0 obj +1160 0 obj [259 354 200 1000 559 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500] endobj -1156 0 obj +1161 0 obj << /Length1 10280 /Length 6548 /Filter [/FlateDecode] @@ -92622,10 +92906,10 @@ OF tjSS Wq endstream endobj -1157 0 obj +1162 0 obj << /Type /FontDescriptor /FontName /865140+NotoSerif-Italic -/FontFile2 1156 0 R +/FontFile2 1161 0 R /FontBBox [-254 -250 1238 1047] /Flags 70 /StemV 0 @@ -92636,7 +92920,7 @@ endobj /XHeight 1098 >> endobj -1158 0 obj +1163 0 obj << /Length 1278 /Filter [/FlateDecode] >> @@ -92645,11 +92929,11 @@ x JJ특xxx+!ÊwBxbx+ށr;2kΜJYeY7+|x oS7+[ƛețךyޢoV浖 -㭌"RW*4XqC^J[(^1»y]k}YM-x e#e_y+h܊7k:/Z5dUluz5n[eB&Si|y(%q& %+S%*ABijPU6\h,(+L,4G5sh>:OVRP*#i|e0U,*oU/*[U,Nmlx:&\}M)L2\%CHF7էʻBL)jƻJ1:%H߯:=S۳zrmkO媳7 endstream endobj -1159 0 obj +1164 0 obj [259 600 600 600 600 600 600 600 346 346 600 600 600 600 250 600 559 559 600 600 600 600 559 600 600 600 600 600 600 600 600 600 600 600 600 600 600 623 600 713 600 600 356 600 600 600 600 742 620 600 664 600 600 600 600 600 600 600 600 600 600 600 600 600 600 579 562 486 579 493 317 556 599 304 600 568 304 895 599 574 577 600 467 463 368 599 538 818 545 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 250 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600] endobj xref -0 1160 +0 1165 0000000000 65535 f 0000000015 00000 n 0000000239 00000 n @@ -92959,862 +93243,867 @@ xref 0000707134 00000 n 0000707180 00000 n 0000707226 00000 n -0000707690 00000 n -0000734670 00000 n -0000735041 00000 n -0000735087 00000 n -0000739945 00000 n -0000740290 00000 n -0000747758 00000 n -0000748143 00000 n -0000748189 00000 n -0000748235 00000 n -0000792672 00000 n -0000795023 00000 n -0000801666 00000 n -0000802037 00000 n -0000802086 00000 n -0000820583 00000 n -0000820967 00000 n -0000821093 00000 n -0000821223 00000 n -0000829603 00000 n -0000829961 00000 n -0000830007 00000 n -0000871208 00000 n -0000871592 00000 n -0000871638 00000 n -0000871684 00000 n -0000871737 00000 n -0000871873 00000 n -0000872009 00000 n -0000917759 00000 n -0000918228 00000 n -0000918366 00000 n -0000918504 00000 n -0000918642 00000 n -0000918780 00000 n -0000918918 00000 n -0000919056 00000 n -0000919108 00000 n -0000919246 00000 n -0000919384 00000 n -0000919522 00000 n -0000919660 00000 n -0000919798 00000 n -0000919844 00000 n -0000960142 00000 n -0000960614 00000 n -0000960660 00000 n -0000960798 00000 n -0000960851 00000 n -0000960989 00000 n -0000961127 00000 n -0000961265 00000 n -0000961319 00000 n -0000961457 00000 n -0000961595 00000 n -0000961733 00000 n -0000961871 00000 n -0000962009 00000 n -0000962147 00000 n -0000962285 00000 n -0000962423 00000 n -0000962561 00000 n -0000962607 00000 n -0000962653 00000 n -0001009774 00000 n -0001010132 00000 n -0001010178 00000 n -0001050126 00000 n -0001050524 00000 n -0001050570 00000 n -0001050616 00000 n -0001050754 00000 n -0001050892 00000 n -0001064466 00000 n -0001064893 00000 n -0001064939 00000 n -0001064992 00000 n -0001065417 00000 n -0001065549 00000 n -0001065602 00000 n -0001065734 00000 n -0001065787 00000 n -0001065919 00000 n -0001065970 00000 n -0001066098 00000 n -0001076271 00000 n -0001076629 00000 n -0001076675 00000 n -0001076721 00000 n -0001076767 00000 n -0001076813 00000 n -0001083642 00000 n -0001084000 00000 n -0001084046 00000 n -0001084092 00000 n -0001084138 00000 n -0001084184 00000 n -0001092199 00000 n -0001092557 00000 n -0001092603 00000 n -0001092649 00000 n -0001092960 00000 n -0001093006 00000 n -0001100153 00000 n -0001100511 00000 n -0001100557 00000 n -0001100603 00000 n -0001100649 00000 n -0001100695 00000 n -0001100741 00000 n -0001108820 00000 n -0001109178 00000 n -0001109224 00000 n -0001109270 00000 n -0001109316 00000 n -0001116401 00000 n -0001116759 00000 n -0001116805 00000 n -0001116851 00000 n -0001116897 00000 n -0001116943 00000 n -0001116989 00000 n -0001126676 00000 n -0001127034 00000 n -0001127080 00000 n -0001127126 00000 n -0001127172 00000 n -0001136781 00000 n -0001137139 00000 n -0001137185 00000 n -0001137231 00000 n -0001137277 00000 n -0001137553 00000 n -0001144898 00000 n -0001145256 00000 n -0001145302 00000 n -0001145348 00000 n -0001145394 00000 n -0001145440 00000 n -0001153373 00000 n -0001153731 00000 n -0001153777 00000 n -0001154157 00000 n -0001154203 00000 n -0001154249 00000 n -0001162386 00000 n -0001162744 00000 n -0001162790 00000 n -0001162836 00000 n -0001163146 00000 n -0001163192 00000 n -0001171166 00000 n -0001171524 00000 n -0001171570 00000 n -0001171616 00000 n -0001171662 00000 n -0001179985 00000 n -0001180356 00000 n -0001180402 00000 n -0001180448 00000 n -0001180494 00000 n -0001180540 00000 n -0001188604 00000 n -0001188962 00000 n -0001189008 00000 n -0001189054 00000 n -0001189100 00000 n -0001195855 00000 n -0001196213 00000 n -0001196259 00000 n -0001196692 00000 n -0001196738 00000 n -0001196784 00000 n -0001203875 00000 n -0001204233 00000 n -0001204279 00000 n -0001204325 00000 n -0001204371 00000 n -0001204417 00000 n -0001204463 00000 n -0001211426 00000 n -0001211784 00000 n -0001211830 00000 n -0001211876 00000 n -0001211922 00000 n -0001211968 00000 n -0001219885 00000 n -0001220243 00000 n -0001220289 00000 n -0001220335 00000 n -0001227966 00000 n -0001228324 00000 n -0001228370 00000 n -0001228416 00000 n -0001228462 00000 n -0001236249 00000 n -0001236607 00000 n -0001236653 00000 n -0001236699 00000 n -0001236745 00000 n -0001244995 00000 n -0001245353 00000 n -0001245399 00000 n -0001245445 00000 n -0001245491 00000 n -0001253173 00000 n -0001253531 00000 n -0001253577 00000 n -0001253623 00000 n -0001253898 00000 n -0001253944 00000 n -0001262093 00000 n -0001262451 00000 n -0001262497 00000 n -0001262543 00000 n -0001262589 00000 n -0001270245 00000 n -0001270603 00000 n -0001270649 00000 n -0001270695 00000 n -0001270741 00000 n -0001270787 00000 n -0001277776 00000 n -0001278134 00000 n -0001278180 00000 n -0001278226 00000 n -0001278272 00000 n -0001278318 00000 n -0001286485 00000 n -0001286843 00000 n -0001286889 00000 n -0001287150 00000 n -0001287196 00000 n -0001287242 00000 n -0001294638 00000 n -0001294996 00000 n -0001295042 00000 n -0001295088 00000 n -0001295609 00000 n -0001295655 00000 n -0001296041 00000 n -0001296087 00000 n -0001303888 00000 n -0001304246 00000 n -0001304292 00000 n -0001304338 00000 n -0001304384 00000 n -0001306021 00000 n -0001306366 00000 n -0001306491 00000 n -0001306618 00000 n -0001306755 00000 n -0001306892 00000 n -0001307017 00000 n -0001307143 00000 n -0001307270 00000 n -0001307399 00000 n -0001307527 00000 n -0001307656 00000 n -0001307799 00000 n -0001307943 00000 n -0001308087 00000 n -0001308231 00000 n -0001308365 00000 n -0001308500 00000 n -0001308632 00000 n -0001308764 00000 n -0001308903 00000 n -0001309042 00000 n -0001309182 00000 n -0001309322 00000 n -0001309464 00000 n -0001309606 00000 n -0001309740 00000 n -0001309875 00000 n -0001310000 00000 n -0001310126 00000 n -0001310266 00000 n -0001310407 00000 n -0001310544 00000 n -0001310682 00000 n -0001310818 00000 n -0001310955 00000 n -0001311096 00000 n -0001311236 00000 n -0001311352 00000 n -0001311470 00000 n -0001311619 00000 n -0001311768 00000 n -0001311893 00000 n -0001312019 00000 n -0001312140 00000 n -0001312261 00000 n -0001312383 00000 n -0001312506 00000 n -0001312630 00000 n -0001312754 00000 n -0001312880 00000 n -0001313007 00000 n -0001313127 00000 n -0001313248 00000 n -0001313397 00000 n -0001313545 00000 n -0001313665 00000 n -0001313786 00000 n -0001313907 00000 n -0001314029 00000 n -0001314149 00000 n -0001314270 00000 n -0001314389 00000 n -0001314510 00000 n -0001314626 00000 n -0001314743 00000 n -0001314862 00000 n -0001314982 00000 n -0001315103 00000 n -0001315225 00000 n -0001315348 00000 n -0001315470 00000 n -0001315587 00000 n -0001315705 00000 n -0001315823 00000 n -0001315941 00000 n -0001316057 00000 n -0001316174 00000 n -0001316298 00000 n -0001316422 00000 n -0001316567 00000 n -0001316712 00000 n -0001316833 00000 n -0001316955 00000 n -0001317079 00000 n -0001317203 00000 n -0001317352 00000 n -0001317502 00000 n -0001317622 00000 n -0001317742 00000 n -0001317863 00000 n -0001317984 00000 n -0001318106 00000 n -0001318228 00000 n -0001318351 00000 n -0001318474 00000 n -0001318602 00000 n -0001318730 00000 n -0001318859 00000 n -0001318988 00000 n -0001319118 00000 n -0001319248 00000 n -0001319374 00000 n -0001319500 00000 n +0000707740 00000 n +0000734720 00000 n +0000735091 00000 n +0000735137 00000 n +0000739995 00000 n +0000740340 00000 n +0000747808 00000 n +0000748193 00000 n +0000748239 00000 n +0000748285 00000 n +0000792722 00000 n +0000795073 00000 n +0000801716 00000 n +0000802087 00000 n +0000802136 00000 n +0000820633 00000 n +0000821017 00000 n +0000821143 00000 n +0000821273 00000 n +0000829653 00000 n +0000830011 00000 n +0000830057 00000 n +0000871258 00000 n +0000871642 00000 n +0000871688 00000 n +0000871734 00000 n +0000871787 00000 n +0000871923 00000 n +0000872059 00000 n +0000917809 00000 n +0000918278 00000 n +0000918416 00000 n +0000918554 00000 n +0000918692 00000 n +0000918830 00000 n +0000918968 00000 n +0000919106 00000 n +0000919158 00000 n +0000919296 00000 n +0000919434 00000 n +0000919572 00000 n +0000919710 00000 n +0000919848 00000 n +0000919894 00000 n +0000960192 00000 n +0000960664 00000 n +0000960710 00000 n +0000960848 00000 n +0000960901 00000 n +0000961039 00000 n +0000961177 00000 n +0000961315 00000 n +0000961369 00000 n +0000961507 00000 n +0000961645 00000 n +0000961783 00000 n +0000961921 00000 n +0000962059 00000 n +0000962197 00000 n +0000962335 00000 n +0000962473 00000 n +0000962611 00000 n +0000962657 00000 n +0000962703 00000 n +0001009824 00000 n +0001010182 00000 n +0001010228 00000 n +0001051196 00000 n +0001051610 00000 n +0001051656 00000 n +0001051702 00000 n +0001051756 00000 n +0001052181 00000 n +0001052319 00000 n +0001052457 00000 n +0001052595 00000 n +0001052733 00000 n +0001067907 00000 n +0001068342 00000 n +0001068388 00000 n +0001068441 00000 n +0001068573 00000 n +0001068626 00000 n +0001068758 00000 n +0001068811 00000 n +0001068943 00000 n +0001068996 00000 n +0001069128 00000 n +0001069180 00000 n +0001069310 00000 n +0001079483 00000 n +0001079841 00000 n +0001079887 00000 n +0001079933 00000 n +0001079979 00000 n +0001080025 00000 n +0001086854 00000 n +0001087212 00000 n +0001087258 00000 n +0001087304 00000 n +0001087350 00000 n +0001087396 00000 n +0001095411 00000 n +0001095769 00000 n +0001095815 00000 n +0001095861 00000 n +0001096172 00000 n +0001096218 00000 n +0001103365 00000 n +0001103723 00000 n +0001103769 00000 n +0001103815 00000 n +0001103861 00000 n +0001103907 00000 n +0001103953 00000 n +0001112032 00000 n +0001112390 00000 n +0001112436 00000 n +0001112482 00000 n +0001112528 00000 n +0001119613 00000 n +0001119971 00000 n +0001120017 00000 n +0001120063 00000 n +0001120109 00000 n +0001120155 00000 n +0001120201 00000 n +0001129888 00000 n +0001130246 00000 n +0001130292 00000 n +0001130338 00000 n +0001130384 00000 n +0001139993 00000 n +0001140351 00000 n +0001140397 00000 n +0001140443 00000 n +0001140489 00000 n +0001140765 00000 n +0001148110 00000 n +0001148468 00000 n +0001148514 00000 n +0001148560 00000 n +0001148606 00000 n +0001148652 00000 n +0001156585 00000 n +0001156943 00000 n +0001156989 00000 n +0001157369 00000 n +0001157415 00000 n +0001157461 00000 n +0001165598 00000 n +0001165956 00000 n +0001166002 00000 n +0001166048 00000 n +0001166358 00000 n +0001166404 00000 n +0001174378 00000 n +0001174736 00000 n +0001174782 00000 n +0001174828 00000 n +0001174874 00000 n +0001183197 00000 n +0001183568 00000 n +0001183614 00000 n +0001183660 00000 n +0001183706 00000 n +0001183752 00000 n +0001191816 00000 n +0001192174 00000 n +0001192220 00000 n +0001192266 00000 n +0001192312 00000 n +0001199067 00000 n +0001199425 00000 n +0001199471 00000 n +0001199904 00000 n +0001199950 00000 n +0001199996 00000 n +0001207087 00000 n +0001207445 00000 n +0001207491 00000 n +0001207537 00000 n +0001207583 00000 n +0001207629 00000 n +0001207675 00000 n +0001214638 00000 n +0001214996 00000 n +0001215042 00000 n +0001215088 00000 n +0001215134 00000 n +0001215180 00000 n +0001223097 00000 n +0001223455 00000 n +0001223501 00000 n +0001223547 00000 n +0001231178 00000 n +0001231536 00000 n +0001231582 00000 n +0001231628 00000 n +0001231674 00000 n +0001239461 00000 n +0001239819 00000 n +0001239865 00000 n +0001239911 00000 n +0001239957 00000 n +0001248207 00000 n +0001248565 00000 n +0001248611 00000 n +0001248657 00000 n +0001248703 00000 n +0001256385 00000 n +0001256743 00000 n +0001256789 00000 n +0001256835 00000 n +0001257110 00000 n +0001257156 00000 n +0001265305 00000 n +0001265663 00000 n +0001265709 00000 n +0001265755 00000 n +0001265801 00000 n +0001273457 00000 n +0001273815 00000 n +0001273861 00000 n +0001273907 00000 n +0001273953 00000 n +0001273999 00000 n +0001280988 00000 n +0001281346 00000 n +0001281392 00000 n +0001281438 00000 n +0001281484 00000 n +0001281530 00000 n +0001289697 00000 n +0001290055 00000 n +0001290101 00000 n +0001290362 00000 n +0001290408 00000 n +0001290454 00000 n +0001297850 00000 n +0001298208 00000 n +0001298254 00000 n +0001298300 00000 n +0001298821 00000 n +0001298867 00000 n +0001299253 00000 n +0001299299 00000 n +0001307100 00000 n +0001307458 00000 n +0001307504 00000 n +0001307550 00000 n +0001307596 00000 n +0001309233 00000 n +0001309578 00000 n +0001309703 00000 n +0001309830 00000 n +0001309967 00000 n +0001310104 00000 n +0001310229 00000 n +0001310355 00000 n +0001310482 00000 n +0001310611 00000 n +0001310739 00000 n +0001310868 00000 n +0001311011 00000 n +0001311155 00000 n +0001311299 00000 n +0001311443 00000 n +0001311577 00000 n +0001311712 00000 n +0001311844 00000 n +0001311976 00000 n +0001312115 00000 n +0001312254 00000 n +0001312394 00000 n +0001312534 00000 n +0001312676 00000 n +0001312818 00000 n +0001312952 00000 n +0001313087 00000 n +0001313212 00000 n +0001313338 00000 n +0001313478 00000 n +0001313619 00000 n +0001313756 00000 n +0001313894 00000 n +0001314030 00000 n +0001314167 00000 n +0001314308 00000 n +0001314448 00000 n +0001314564 00000 n +0001314682 00000 n +0001314831 00000 n +0001314980 00000 n +0001315105 00000 n +0001315231 00000 n +0001315352 00000 n +0001315473 00000 n +0001315595 00000 n +0001315718 00000 n +0001315842 00000 n +0001315966 00000 n +0001316092 00000 n +0001316219 00000 n +0001316339 00000 n +0001316460 00000 n +0001316609 00000 n +0001316757 00000 n +0001316877 00000 n +0001316998 00000 n +0001317119 00000 n +0001317241 00000 n +0001317361 00000 n +0001317482 00000 n +0001317601 00000 n +0001317722 00000 n +0001317838 00000 n +0001317955 00000 n +0001318074 00000 n +0001318194 00000 n +0001318315 00000 n +0001318437 00000 n +0001318560 00000 n +0001318682 00000 n +0001318799 00000 n +0001318917 00000 n +0001319035 00000 n +0001319153 00000 n +0001319269 00000 n +0001319386 00000 n +0001319510 00000 n 0001319634 00000 n -0001319769 00000 n -0001319890 00000 n -0001320011 00000 n -0001320131 00000 n -0001320252 00000 n -0001320381 00000 n -0001320511 00000 n -0001320632 00000 n -0001320753 00000 n -0001320869 00000 n -0001320986 00000 n -0001321110 00000 n -0001321233 00000 n -0001321357 00000 n -0001321480 00000 n -0001321615 00000 n -0001321751 00000 n -0001321875 00000 n -0001321999 00000 n -0001322143 00000 n -0001322287 00000 n -0001322409 00000 n -0001322531 00000 n -0001322653 00000 n -0001322775 00000 n -0001322897 00000 n -0001323019 00000 n -0001323143 00000 n -0001323266 00000 n -0001323389 00000 n -0001323513 00000 n -0001323640 00000 n -0001323767 00000 n -0001323910 00000 n -0001324053 00000 n -0001324177 00000 n -0001324302 00000 n -0001324441 00000 n -0001324580 00000 n -0001324703 00000 n -0001324826 00000 n -0001324956 00000 n +0001319779 00000 n +0001319924 00000 n +0001320045 00000 n +0001320167 00000 n +0001320291 00000 n +0001320415 00000 n +0001320564 00000 n +0001320714 00000 n +0001320834 00000 n +0001320954 00000 n +0001321075 00000 n +0001321196 00000 n +0001321318 00000 n +0001321440 00000 n +0001321563 00000 n +0001321686 00000 n +0001321814 00000 n +0001321942 00000 n +0001322071 00000 n +0001322200 00000 n +0001322330 00000 n +0001322460 00000 n +0001322586 00000 n +0001322712 00000 n +0001322846 00000 n +0001322981 00000 n +0001323102 00000 n +0001323223 00000 n +0001323343 00000 n +0001323464 00000 n +0001323593 00000 n +0001323723 00000 n +0001323844 00000 n +0001323965 00000 n +0001324081 00000 n +0001324198 00000 n +0001324322 00000 n +0001324445 00000 n +0001324569 00000 n +0001324692 00000 n +0001324827 00000 n +0001324963 00000 n 0001325087 00000 n -0001325223 00000 n -0001325360 00000 n -0001325497 00000 n -0001325635 00000 n -0001325774 00000 n -0001325913 00000 n -0001326034 00000 n -0001326155 00000 n -0001326276 00000 n -0001326398 00000 n -0001326536 00000 n -0001326673 00000 n -0001326795 00000 n -0001326917 00000 n -0001327039 00000 n -0001327162 00000 n -0001327287 00000 n -0001327413 00000 n -0001327544 00000 n -0001327674 00000 n -0001327820 00000 n -0001327966 00000 n -0001328110 00000 n -0001328254 00000 n -0001328392 00000 n -0001328530 00000 n -0001328650 00000 n -0001328769 00000 n -0001328893 00000 n -0001329018 00000 n -0001329142 00000 n -0001329267 00000 n -0001329390 00000 n -0001329515 00000 n -0001329667 00000 n -0001329820 00000 n -0001329950 00000 n -0001330080 00000 n -0001330207 00000 n -0001330334 00000 n -0001330462 00000 n -0001330590 00000 n -0001330721 00000 n -0001330851 00000 n -0001330987 00000 n -0001331123 00000 n -0001331261 00000 n -0001331398 00000 n -0001331514 00000 n -0001331631 00000 n -0001331747 00000 n -0001331864 00000 n +0001325211 00000 n +0001325355 00000 n +0001325499 00000 n +0001325621 00000 n +0001325743 00000 n +0001325865 00000 n +0001325987 00000 n +0001326109 00000 n +0001326231 00000 n +0001326355 00000 n +0001326478 00000 n +0001326601 00000 n +0001326725 00000 n +0001326852 00000 n +0001326979 00000 n +0001327122 00000 n +0001327265 00000 n +0001327389 00000 n +0001327514 00000 n +0001327653 00000 n +0001327792 00000 n +0001327915 00000 n +0001328038 00000 n +0001328168 00000 n +0001328299 00000 n +0001328435 00000 n +0001328572 00000 n +0001328709 00000 n +0001328847 00000 n +0001328986 00000 n +0001329125 00000 n +0001329246 00000 n +0001329367 00000 n +0001329488 00000 n +0001329610 00000 n +0001329748 00000 n +0001329885 00000 n +0001330007 00000 n +0001330129 00000 n +0001330251 00000 n +0001330374 00000 n +0001330499 00000 n +0001330625 00000 n +0001330756 00000 n +0001330886 00000 n +0001331032 00000 n +0001331178 00000 n +0001331322 00000 n +0001331466 00000 n +0001331604 00000 n +0001331742 00000 n +0001331862 00000 n 0001331981 00000 n -0001332098 00000 n -0001332216 00000 n -0001332334 00000 n -0001332449 00000 n -0001332566 00000 n -0001332681 00000 n -0001332797 00000 n -0001332913 00000 n -0001333030 00000 n -0001333147 00000 n -0001333264 00000 n -0001333380 00000 n -0001333497 00000 n -0001333615 00000 n -0001333732 00000 n -0001333871 00000 n -0001334009 00000 n -0001334127 00000 n -0001334245 00000 n -0001334362 00000 n -0001334480 00000 n -0001334598 00000 n -0001334717 00000 n -0001334835 00000 n -0001334953 00000 n -0001335070 00000 n -0001335187 00000 n -0001335305 00000 n -0001335423 00000 n -0001335540 00000 n -0001335658 00000 n -0001335776 00000 n -0001335894 00000 n -0001336013 00000 n -0001336131 00000 n -0001336266 00000 n -0001336400 00000 n -0001336515 00000 n -0001336630 00000 n -0001336745 00000 n -0001336862 00000 n -0001336999 00000 n -0001337135 00000 n -0001337252 00000 n -0001337369 00000 n -0001337486 00000 n -0001337604 00000 n -0001337721 00000 n -0001337838 00000 n -0001337955 00000 n -0001338072 00000 n -0001338189 00000 n -0001338306 00000 n -0001338422 00000 n -0001338539 00000 n -0001338657 00000 n -0001338775 00000 n -0001338891 00000 n -0001339009 00000 n -0001339144 00000 n -0001339278 00000 n -0001339394 00000 n -0001339510 00000 n -0001339625 00000 n -0001339741 00000 n -0001339857 00000 n -0001339974 00000 n -0001340090 00000 n -0001340206 00000 n -0001340323 00000 n -0001340440 00000 n -0001340555 00000 n -0001340671 00000 n -0001340787 00000 n -0001340903 00000 n -0001341018 00000 n -0001341134 00000 n -0001341260 00000 n -0001341387 00000 n -0001341503 00000 n -0001341620 00000 n -0001341737 00000 n -0001341855 00000 n -0001341975 00000 n -0001342095 00000 n -0001342213 00000 n -0001342332 00000 n -0001342449 00000 n -0001342566 00000 n -0001342684 00000 n -0001342802 00000 n -0001342918 00000 n -0001343035 00000 n -0001343152 00000 n -0001343270 00000 n -0001343395 00000 n -0001343522 00000 n -0001343648 00000 n -0001343775 00000 n -0001343929 00000 n -0001344082 00000 n -0001344201 00000 n -0001344321 00000 n -0001344440 00000 n -0001344560 00000 n -0001344679 00000 n -0001344799 00000 n -0001344939 00000 n -0001345079 00000 n -0001345196 00000 n -0001345314 00000 n -0001345430 00000 n -0001345547 00000 n -0001345664 00000 n -0001345782 00000 n -0001345898 00000 n -0001346015 00000 n -0001346131 00000 n +0001332105 00000 n +0001332230 00000 n +0001332354 00000 n +0001332479 00000 n +0001332602 00000 n +0001332727 00000 n +0001332879 00000 n +0001333032 00000 n +0001333162 00000 n +0001333292 00000 n +0001333419 00000 n +0001333546 00000 n +0001333674 00000 n +0001333802 00000 n +0001333933 00000 n +0001334063 00000 n +0001334199 00000 n +0001334335 00000 n +0001334473 00000 n +0001334610 00000 n +0001334726 00000 n +0001334843 00000 n +0001334959 00000 n +0001335076 00000 n +0001335193 00000 n +0001335310 00000 n +0001335428 00000 n +0001335546 00000 n +0001335661 00000 n +0001335778 00000 n +0001335893 00000 n +0001336009 00000 n +0001336125 00000 n +0001336242 00000 n +0001336359 00000 n +0001336476 00000 n +0001336592 00000 n +0001336709 00000 n +0001336827 00000 n +0001336944 00000 n +0001337083 00000 n +0001337221 00000 n +0001337339 00000 n +0001337457 00000 n +0001337574 00000 n +0001337692 00000 n +0001337810 00000 n +0001337929 00000 n +0001338047 00000 n +0001338165 00000 n +0001338282 00000 n +0001338399 00000 n +0001338517 00000 n +0001338635 00000 n +0001338752 00000 n +0001338870 00000 n +0001338988 00000 n +0001339106 00000 n +0001339225 00000 n +0001339343 00000 n +0001339478 00000 n +0001339612 00000 n +0001339727 00000 n +0001339842 00000 n +0001339957 00000 n +0001340074 00000 n +0001340211 00000 n +0001340347 00000 n +0001340464 00000 n +0001340581 00000 n +0001340698 00000 n +0001340816 00000 n +0001340933 00000 n +0001341050 00000 n +0001341167 00000 n +0001341284 00000 n +0001341401 00000 n +0001341518 00000 n +0001341634 00000 n +0001341751 00000 n +0001341869 00000 n +0001341987 00000 n +0001342103 00000 n +0001342221 00000 n +0001342356 00000 n +0001342490 00000 n +0001342606 00000 n +0001342722 00000 n +0001342837 00000 n +0001342953 00000 n +0001343069 00000 n +0001343186 00000 n +0001343302 00000 n +0001343418 00000 n +0001343535 00000 n +0001343652 00000 n +0001343767 00000 n +0001343883 00000 n +0001343999 00000 n +0001344115 00000 n +0001344230 00000 n +0001344346 00000 n +0001344472 00000 n +0001344599 00000 n +0001344715 00000 n +0001344832 00000 n +0001344949 00000 n +0001345067 00000 n +0001345187 00000 n +0001345307 00000 n +0001345425 00000 n +0001345544 00000 n +0001345661 00000 n +0001345778 00000 n +0001345896 00000 n +0001346014 00000 n +0001346130 00000 n 0001346247 00000 n -0001346363 00000 n -0001346479 00000 n -0001346594 00000 n -0001346709 00000 n -0001346827 00000 n -0001346945 00000 n -0001347063 00000 n -0001347182 00000 n -0001347299 00000 n -0001347416 00000 n +0001346364 00000 n +0001346482 00000 n +0001346607 00000 n +0001346734 00000 n +0001346860 00000 n +0001346987 00000 n +0001347141 00000 n +0001347294 00000 n +0001347413 00000 n 0001347533 00000 n -0001347651 00000 n -0001347767 00000 n -0001347884 00000 n -0001348001 00000 n -0001348118 00000 n -0001348235 00000 n -0001348353 00000 n -0001348473 00000 n -0001348593 00000 n -0001348712 00000 n -0001348832 00000 n -0001348950 00000 n -0001349068 00000 n -0001349187 00000 n -0001349307 00000 n -0001349427 00000 n -0001349547 00000 n -0001349701 00000 n -0001349855 00000 n -0001349974 00000 n -0001350093 00000 n -0001350213 00000 n -0001350333 00000 n -0001350451 00000 n -0001350571 00000 n -0001350715 00000 n -0001350860 00000 n -0001350978 00000 n +0001347652 00000 n +0001347772 00000 n +0001347891 00000 n +0001348011 00000 n +0001348151 00000 n +0001348291 00000 n +0001348408 00000 n +0001348526 00000 n +0001348642 00000 n +0001348759 00000 n +0001348876 00000 n +0001348994 00000 n +0001349110 00000 n +0001349227 00000 n +0001349343 00000 n +0001349459 00000 n +0001349575 00000 n +0001349691 00000 n +0001349806 00000 n +0001349921 00000 n +0001350039 00000 n +0001350157 00000 n +0001350275 00000 n +0001350394 00000 n +0001350511 00000 n +0001350628 00000 n +0001350745 00000 n +0001350863 00000 n +0001350979 00000 n 0001351096 00000 n -0001351214 00000 n -0001351333 00000 n -0001351451 00000 n -0001351569 00000 n -0001351687 00000 n -0001351806 00000 n +0001351213 00000 n +0001351330 00000 n +0001351447 00000 n +0001351565 00000 n +0001351685 00000 n +0001351805 00000 n 0001351924 00000 n -0001352042 00000 n -0001352160 00000 n -0001352279 00000 n -0001352396 00000 n -0001352514 00000 n -0001352633 00000 n -0001352752 00000 n -0001352911 00000 n -0001353069 00000 n -0001353187 00000 n -0001353306 00000 n -0001353423 00000 n -0001353541 00000 n -0001353659 00000 n -0001353778 00000 n -0001353894 00000 n -0001354011 00000 n -0001354130 00000 n -0001354249 00000 n -0001354329 00000 n -0001354466 00000 n -0001354658 00000 n -0001354903 00000 n -0001355150 00000 n -0001355332 00000 n -0001355540 00000 n -0001355738 00000 n -0001355984 00000 n -0001356298 00000 n -0001356508 00000 n -0001356720 00000 n -0001356989 00000 n -0001357227 00000 n -0001357487 00000 n -0001357739 00000 n -0001357935 00000 n -0001358192 00000 n -0001358441 00000 n -0001358676 00000 n -0001358919 00000 n -0001359136 00000 n -0001359436 00000 n -0001359611 00000 n -0001359792 00000 n -0001359969 00000 n -0001360150 00000 n -0001360343 00000 n -0001360498 00000 n -0001360809 00000 n -0001360976 00000 n -0001361161 00000 n -0001361342 00000 n -0001361523 00000 n -0001361688 00000 n -0001361853 00000 n -0001362026 00000 n -0001362211 00000 n -0001362380 00000 n -0001362561 00000 n -0001362738 00000 n -0001362921 00000 n -0001363219 00000 n -0001363398 00000 n -0001363585 00000 n -0001363903 00000 n -0001364066 00000 n -0001364247 00000 n -0001364432 00000 n -0001364621 00000 n -0001364838 00000 n -0001365059 00000 n -0001365264 00000 n -0001365459 00000 n -0001365717 00000 n -0001365884 00000 n -0001366059 00000 n -0001366331 00000 n -0001366487 00000 n -0001366654 00000 n -0001366846 00000 n -0001367023 00000 n -0001367289 00000 n -0001367444 00000 n -0001367743 00000 n -0001367905 00000 n -0001368082 00000 n -0001368259 00000 n -0001368440 00000 n -0001368625 00000 n -0001368807 00000 n -0001369102 00000 n -0001369261 00000 n -0001369529 00000 n -0001369684 00000 n -0001369954 00000 n -0001370232 00000 n -0001370489 00000 n -0001370805 00000 n -0001370979 00000 n -0001371161 00000 n -0001371478 00000 n -0001371652 00000 n -0001371830 00000 n -0001372053 00000 n -0001372251 00000 n -0001372531 00000 n -0001372785 00000 n -0001373088 00000 n -0001373242 00000 n -0001373435 00000 n -0001373628 00000 n -0001373821 00000 n -0001374134 00000 n -0001374347 00000 n -0001374548 00000 n -0001374753 00000 n -0001374951 00000 n -0001375232 00000 n -0001375494 00000 n -0001375648 00000 n -0001375817 00000 n -0001375986 00000 n -0001376159 00000 n -0001376328 00000 n -0001376493 00000 n -0001376662 00000 n -0001376831 00000 n -0001377000 00000 n -0001377158 00000 n -0001377438 00000 n -0001377596 00000 n -0001377769 00000 n -0001377946 00000 n -0001378119 00000 n -0001378288 00000 n -0001378461 00000 n -0001378634 00000 n -0001378807 00000 n -0001378965 00000 n -0001379233 00000 n -0001379387 00000 n -0001379549 00000 n -0001379821 00000 n -0001379975 00000 n -0001380148 00000 n -0001380317 00000 n -0001380486 00000 n -0001380655 00000 n -0001380824 00000 n -0001380997 00000 n -0001381155 00000 n -0001381419 00000 n -0001381569 00000 n -0001381734 00000 n -0001381903 00000 n -0001382068 00000 n -0001382237 00000 n -0001382402 00000 n -0001382567 00000 n -0001382717 00000 n -0001382941 00000 n -0001383095 00000 n -0001383268 00000 n -0001383449 00000 n -0001383626 00000 n -0001383795 00000 n -0001383968 00000 n -0001384137 00000 n -0001384295 00000 n -0001384488 00000 n -0001384681 00000 n -0001385029 00000 n -0001385195 00000 n -0001385376 00000 n -0001385542 00000 n -0001385843 00000 n -0001386005 00000 n -0001386178 00000 n -0001386355 00000 n -0001386528 00000 n -0001386701 00000 n -0001386878 00000 n -0001387051 00000 n -0001387228 00000 n -0001387409 00000 n -0001387586 00000 n -0001387767 00000 n -0001387944 00000 n -0001388121 00000 n -0001388302 00000 n -0001388491 00000 n -0001388680 00000 n -0001388861 00000 n -0001389050 00000 n -0001389224 00000 n -0001389580 00000 n -0001389746 00000 n -0001389931 00000 n -0001390101 00000 n -0001390421 00000 n -0001390583 00000 n -0001390764 00000 n -0001390941 00000 n -0001391122 00000 n -0001391299 00000 n -0001391480 00000 n -0001391657 00000 n -0001391823 00000 n -0001392172 00000 n -0001392338 00000 n -0001392515 00000 n -0001392696 00000 n -0001392869 00000 n -0001393035 00000 n -0001394424 00000 n -0001394470 00000 n -0001394745 00000 n -0001395020 00000 n -0001406304 00000 n -0001406520 00000 n -0001407876 00000 n -0001408794 00000 n -0001419635 00000 n -0001419856 00000 n -0001421212 00000 n -0001422129 00000 n -0001428708 00000 n -0001428923 00000 n -0001430279 00000 n -0001431196 00000 n -0001435113 00000 n -0001435329 00000 n -0001435653 00000 n -0001436570 00000 n -0001443211 00000 n -0001443437 00000 n -0001444793 00000 n +0001352044 00000 n +0001352162 00000 n +0001352280 00000 n +0001352399 00000 n +0001352519 00000 n +0001352639 00000 n +0001352759 00000 n +0001352913 00000 n +0001353067 00000 n +0001353186 00000 n +0001353305 00000 n +0001353425 00000 n +0001353545 00000 n +0001353663 00000 n +0001353783 00000 n +0001353927 00000 n +0001354072 00000 n +0001354190 00000 n +0001354308 00000 n +0001354426 00000 n +0001354545 00000 n +0001354663 00000 n +0001354781 00000 n +0001354899 00000 n +0001355018 00000 n +0001355136 00000 n +0001355254 00000 n +0001355372 00000 n +0001355491 00000 n +0001355608 00000 n +0001355726 00000 n +0001355845 00000 n +0001355964 00000 n +0001356123 00000 n +0001356281 00000 n +0001356399 00000 n +0001356518 00000 n +0001356635 00000 n +0001356753 00000 n +0001356871 00000 n +0001356990 00000 n +0001357106 00000 n +0001357223 00000 n +0001357342 00000 n +0001357461 00000 n +0001357541 00000 n +0001357678 00000 n +0001357870 00000 n +0001358115 00000 n +0001358362 00000 n +0001358544 00000 n +0001358752 00000 n +0001358950 00000 n +0001359196 00000 n +0001359510 00000 n +0001359720 00000 n +0001359932 00000 n +0001360201 00000 n +0001360439 00000 n +0001360699 00000 n +0001360951 00000 n +0001361147 00000 n +0001361404 00000 n +0001361653 00000 n +0001361888 00000 n +0001362131 00000 n +0001362348 00000 n +0001362648 00000 n +0001362823 00000 n +0001363004 00000 n +0001363181 00000 n +0001363362 00000 n +0001363555 00000 n +0001363710 00000 n +0001364021 00000 n +0001364188 00000 n +0001364373 00000 n +0001364554 00000 n +0001364735 00000 n +0001364900 00000 n +0001365065 00000 n +0001365238 00000 n +0001365423 00000 n +0001365592 00000 n +0001365773 00000 n +0001365950 00000 n +0001366133 00000 n +0001366431 00000 n +0001366610 00000 n +0001366797 00000 n +0001367116 00000 n +0001367279 00000 n +0001367460 00000 n +0001367645 00000 n +0001367834 00000 n +0001368051 00000 n +0001368272 00000 n +0001368477 00000 n +0001368672 00000 n +0001368934 00000 n +0001369104 00000 n +0001369282 00000 n +0001369557 00000 n +0001369715 00000 n +0001369884 00000 n +0001370077 00000 n +0001370255 00000 n +0001370522 00000 n +0001370677 00000 n +0001370976 00000 n +0001371138 00000 n +0001371315 00000 n +0001371492 00000 n +0001371673 00000 n +0001371858 00000 n +0001372040 00000 n +0001372335 00000 n +0001372494 00000 n +0001372762 00000 n +0001372917 00000 n +0001373187 00000 n +0001373465 00000 n +0001373722 00000 n +0001374038 00000 n +0001374212 00000 n +0001374394 00000 n +0001374711 00000 n +0001374885 00000 n +0001375063 00000 n +0001375286 00000 n +0001375484 00000 n +0001375764 00000 n +0001376018 00000 n +0001376321 00000 n +0001376475 00000 n +0001376668 00000 n +0001376861 00000 n +0001377054 00000 n +0001377367 00000 n +0001377580 00000 n +0001377781 00000 n +0001377986 00000 n +0001378184 00000 n +0001378465 00000 n +0001378727 00000 n +0001378881 00000 n +0001379050 00000 n +0001379219 00000 n +0001379392 00000 n +0001379561 00000 n +0001379726 00000 n +0001379895 00000 n +0001380064 00000 n +0001380233 00000 n +0001380391 00000 n +0001380671 00000 n +0001380829 00000 n +0001381002 00000 n +0001381179 00000 n +0001381352 00000 n +0001381521 00000 n +0001381694 00000 n +0001381867 00000 n +0001382040 00000 n +0001382198 00000 n +0001382466 00000 n +0001382620 00000 n +0001382782 00000 n +0001383054 00000 n +0001383208 00000 n +0001383381 00000 n +0001383550 00000 n +0001383719 00000 n +0001383888 00000 n +0001384057 00000 n +0001384230 00000 n +0001384388 00000 n +0001384652 00000 n +0001384802 00000 n +0001384967 00000 n +0001385136 00000 n +0001385301 00000 n +0001385470 00000 n +0001385635 00000 n +0001385800 00000 n +0001385950 00000 n +0001386174 00000 n +0001386328 00000 n +0001386501 00000 n +0001386682 00000 n +0001386859 00000 n +0001387028 00000 n +0001387201 00000 n +0001387370 00000 n +0001387528 00000 n +0001387721 00000 n +0001387914 00000 n +0001388262 00000 n +0001388428 00000 n +0001388609 00000 n +0001388775 00000 n +0001389076 00000 n +0001389238 00000 n +0001389411 00000 n +0001389588 00000 n +0001389761 00000 n +0001389934 00000 n +0001390111 00000 n +0001390284 00000 n +0001390461 00000 n +0001390642 00000 n +0001390819 00000 n +0001391000 00000 n +0001391177 00000 n +0001391354 00000 n +0001391535 00000 n +0001391724 00000 n +0001391913 00000 n +0001392094 00000 n +0001392283 00000 n +0001392457 00000 n +0001392813 00000 n +0001392979 00000 n +0001393164 00000 n +0001393334 00000 n +0001393654 00000 n +0001393816 00000 n +0001393997 00000 n +0001394174 00000 n +0001394355 00000 n +0001394532 00000 n +0001394713 00000 n +0001394890 00000 n +0001395056 00000 n +0001395405 00000 n +0001395571 00000 n +0001395748 00000 n +0001395929 00000 n +0001396102 00000 n +0001396268 00000 n +0001397657 00000 n +0001397703 00000 n +0001397978 00000 n +0001398253 00000 n +0001409537 00000 n +0001409753 00000 n +0001411109 00000 n +0001412027 00000 n +0001422868 00000 n +0001423089 00000 n +0001424445 00000 n +0001425362 00000 n +0001431941 00000 n +0001432156 00000 n +0001433512 00000 n +0001434429 00000 n +0001438346 00000 n +0001438562 00000 n +0001438886 00000 n +0001439803 00000 n +0001446444 00000 n +0001446670 00000 n +0001448026 00000 n trailer -<< /Size 1160 +<< /Size 1165 /Root 2 0 R /Info 1 0 R >> startxref -1445709 +1448942 %%EOF diff --git a/doc/sections/instruction_timings.adoc b/doc/sections/instruction_timings.adoc index 116e853..4574e77 100644 --- a/doc/sections/instruction_timings.adoc +++ b/doc/sections/instruction_timings.adoc @@ -182,13 +182,12 @@ They perform identically to their 32-bit counterparts. | Instruction | Cycles | Note |`cm.push {rlist}, -imm` | 1 + _n_ | _n_ is number of registers in rlist |`cm.pop {rlist}, imm` | 1 + _n_ | _n_ is number of registers in rlist -|`cm.popret {rlist}, imm` | 3 + _n_ footnote:unaligned_branch[] | _n_ is number of registers in rlist -|`cm.popretz {rlist}, imm` | 4 + _n_ footnote:unaligned_branch[] | _n_ is number of registers in rlist +|`cm.popret {rlist}, imm` | 4 (_n_ = 1)footnote:popret_stall[The single-register variants of `cm.popret` and `cm.popretz` take the same number of cycles as the two-register variants, because of an internal load-use dependency on the loaded return address.] or 2 + _n_ (_n_ >= 2)footnote:unaligned_branch[] | _n_ is number of registers in rlist +|`cm.popretz {rlist}, imm` | 5 (_n_ = 1)footnote:popret_stall[] or 3 + _n_ (_n_ >= 2)footnote:unaligned_branch[] | _n_ is number of registers in rlist |`cm.mva01s r1s', r2s'` | 2 | |`cm.mvsa01 r1s', r2s'` | 2 | |=== - === Branch Predictor Hazard3 includes a minimal branch predictor, to accelerate tight loops: diff --git a/hdl/hazard3_config.vh b/hdl/hazard3_config.vh index d921574..04a04d7 100644 --- a/hdl/hazard3_config.vh +++ b/hdl/hazard3_config.vh @@ -61,11 +61,11 @@ parameter EXTENSION_ZBKB = 0, // EXTENSION_ZCB: Support for Zcb basic additional compressed instructions // Requires: EXTENSION_C. (Some Zcb instructions also require Zbb or M.) // Note Zca is equivalent to C, as we do not support the F extension. -parameter EXTENSION_ZCB = 0, +parameter EXTENSION_ZCB = 1, // EXTENSION_ZCMP: Support for Zcmp push/pop instructions. // Requires: EXTENSION_C. -parameter EXTENSION_ZCMP = 0, +parameter EXTENSION_ZCMP = 1, // EXTENSION_ZIFENCEI: Support for the fence.i instruction // Optional, since a plain branch/jump will also flush the prefetch queue. diff --git a/hdl/hazard3_decode.v b/hdl/hazard3_decode.v index df7f77f..733305f 100644 --- a/hdl/hazard3_decode.v +++ b/hdl/hazard3_decode.v @@ -78,7 +78,9 @@ wire d_invalid_16bit; reg d_invalid_32bit; wire d_invalid = d_invalid_16bit || d_invalid_32bit; -wire uop_nonfinal; +wire uop_seq_raw; +wire uop_final; +wire uop_no_pc_update; wire uop_atomic; wire uop_stall; wire uop_clear; @@ -86,26 +88,40 @@ wire uop_clear; hazard3_instr_decompress #( `include "hazard3_config_inst.vh" ) decomp ( - .clk (clk), - .rst_n (rst_n), + .clk (clk), + .rst_n (rst_n), - .instr_in (fd_cir), - .instr_is_32bit (d_instr_is_32bit), - .instr_out (d_instr), - .instr_out_uop_nonfinal (uop_nonfinal), - .instr_out_uop_atomic (uop_atomic), - .instr_out_uop_stall (uop_stall), - .instr_out_uop_clear (uop_clear), + .instr_in (fd_cir), + .instr_is_32bit (d_instr_is_32bit), + .instr_out (d_instr), + .instr_out_is_uop (uop_seq_raw), + .instr_out_is_final_uop (uop_final), + .instr_out_uop_no_pc_update (uop_no_pc_update), + .instr_out_uop_atomic (uop_atomic), + .instr_out_uop_stall (uop_stall), + .instr_out_uop_clear (uop_clear), - .df_uop_step_next (df_uop_step_next), + .df_uop_step_next (df_uop_step_next), - .invalid (d_invalid_16bit) + .invalid (d_invalid_16bit) ); -assign d_uninterruptible = uop_atomic && !d_invalid; -assign d_no_pc_increment = uop_nonfinal && !d_invalid; +wire uop_seq = uop_seq_raw && !d_starved; +wire uop_nonfinal = uop_seq && !uop_final; assign uop_stall = x_stall || d_starved; -assign uop_clear = f_jump_now; + +assign d_uninterruptible = uop_atomic && !d_invalid; + +// Signal to null the mepc offset when taking an exception on this +// instruction (because uops in a sequence *which can except*, so excluding +// the final sp adjust on popret/popretz, will all have the same PC as the +// next uop, which will be in stage 2 when they take their exception) +assign d_no_pc_increment = uop_nonfinal; + +// Note !df_cir_flush_behind because the jump in cm.popret/popretz is +// the *penultimate* instruction: we execute the stack adjustment in the +// fetch bubble to save a cycle, still need to finish the uop sequence. +assign uop_clear = f_jump_now && !df_cir_flush_behind; // Decode various immmediate formats wire [31:0] d_imm_i = {{21{d_instr[31]}}, d_instr[30:20]}; @@ -157,8 +173,8 @@ end reg [W_ADDR-1:0] pc; wire [W_ADDR-1:0] pc_seq_next = pc + ( - |EXTENSION_ZCMP && uop_nonfinal ? 32'h0 : - d_instr_is_32bit ? 32'h4 : 32'h2 + |EXTENSION_ZCMP && uop_seq && uop_no_pc_update ? 32'h0 : + d_instr_is_32bit ? 32'h4 : 32'h2 ); assign d_pc = pc; @@ -174,6 +190,14 @@ wire partial_predicted_branch = !d_starved && wire predicted_branch = |BRANCH_PREDICTOR && fd_cir_predbranch[0]; +// Generally locking takes place on a stalled jump/branch, which may need the +// original PC available to produce a link address when it unstalls. An +// exception to this is jumps in micro-op sequences: in this case the jump is +// the penultimate instruction in the sequence (ret before addi sp) and we +// need to capture the pc mid-uop-sequence. +wire hold_pc_on_cir_lock = assert_cir_lock && !(uop_seq && !uop_no_pc_update); +wire update_pc_on_cir_unlock = cir_lock_prev && deassert_cir_lock && !(uop_seq && uop_no_pc_update); + always @ (posedge clk or negedge rst_n) begin if (!rst_n) begin pc <= RESET_VECTOR; @@ -182,7 +206,7 @@ always @ (posedge clk or negedge rst_n) begin pc <= debug_dpc_wdata; end else if (debug_mode) begin pc <= pc; - end else if ((f_jump_now && !assert_cir_lock) || (cir_lock_prev && deassert_cir_lock)) begin + end else if ((f_jump_now && !hold_pc_on_cir_lock) || update_pc_on_cir_unlock) begin pc <= f_jump_target; end else if (!d_stall && !cir_lock) begin // If this instruction is a predicted-taken branch (and has not diff --git a/hdl/hazard3_frontend.v b/hdl/hazard3_frontend.v index 58ede81..7e9ed54 100644 --- a/hdl/hazard3_frontend.v +++ b/hdl/hazard3_frontend.v @@ -559,8 +559,8 @@ wire [4:0] zcmp_pushpop_rs2 = wire [4:0] zcmp_pushpop_rs1 = uop_ctr < 4'hd ? 5'd02 : // sp (addr base reg) uop_ctr == 4'hd ? 5'd00 : // zero (clear a0) - uop_ctr == 4'he ? 5'd02 : // sp (stack adj) - 5'd01 ; // ra (ret) + uop_ctr == 4'he ? 5'd01 : // ra (ret) + 5'd02 ; // sp (stack adj) wire [4:0] zcmp_sa01_r1s = {|next_instr[9:8], ~|next_instr[9:8], next_instr[9:7]}; wire [4:0] zcmp_sa01_r2s = {|next_instr[4:3], ~|next_instr[4:3], next_instr[4:2]}; diff --git a/hdl/hazard3_instr_decompress.v b/hdl/hazard3_instr_decompress.v index 4c9853f..ca12e63 100644 --- a/hdl/hazard3_instr_decompress.v +++ b/hdl/hazard3_instr_decompress.v @@ -17,10 +17,11 @@ module hazard3_instr_decompress #( output reg instr_is_32bit, output reg [31:0] instr_out, - // Indicate instr_out is a uop, and more uops follow in this sequence. - // Should suppress PC update, and null the PC offset in the mepc address - // in stage 3. - output wire instr_out_uop_nonfinal, + // If instruction is a non-final uop, need to suppress PC update, and null + // the PC offset in the mepc address in stage 3. + output wire instr_out_is_uop, + output wire instr_out_is_final_uop, + output wire instr_out_uop_no_pc_update, // Indicate instr_out is a uop from the noninterruptible part of a uop // sequence. If one uop is noninterruptible, all following uops until the // end of the sequence are also noninterruptible. @@ -107,17 +108,20 @@ function [31:0] rfmt_rs2; input [4:0] rs2; begin rfmt_rs2 = {7'h00, rs2, 20'h000 // // - 13x lw (counter = 0..12) // - 1x addi to set a0 to zero (counter = 13 ) < atomic section -// - 1x addi to adjust sp (counter = 14 ) < atomic section -// - 1x jalr to jump through ra (counter = 15 ) < atomic section +// - 1x jalr to jump through ra (counter = 14 ) < atomic section +// - 1x addi to adjust sp (counter = 15 ) < atomic section reg [3:0] uop_ctr; reg [3:0] uop_ctr_nxt; reg in_uop_seq; reg uop_seq_end; reg uop_atomic; +reg uop_no_pc_update; -assign instr_out_uop_nonfinal = in_uop_seq && !uop_seq_end; +assign instr_out_is_uop = in_uop_seq; +assign instr_out_is_final_uop = uop_seq_end; assign instr_out_uop_atomic = uop_atomic; +assign instr_out_uop_no_pc_update = uop_no_pc_update; assign df_uop_step_next = uop_ctr_nxt; // The offset from current sp value to the lowest-addressed saved register, +64. @@ -188,6 +192,7 @@ end else begin: instr_decompress uop_seq_end = 1'b0; in_uop_seq = 1'b0; uop_atomic = 1'b0; + uop_no_pc_update = 1'b0; uop_ctr_nxt = uop_ctr; casez (instr_in[15:0]) 16'h0: invalid = 1'b1; @@ -295,7 +300,7 @@ end else begin: instr_decompress // Optional Zcmp instructions: `RVOPC_CM_PUSH: if (~|EXTENSION_ZCMP || zcmp_rlist < 4'h4) begin invalid = 1'b1; - end else if (uop_ctr == 4'he) begin + end else if (uop_ctr == 4'hf) begin in_uop_seq = 1'b1; uop_seq_end = 1'b1; uop_ctr_nxt = 4'h0; @@ -304,14 +309,15 @@ end else begin: instr_decompress in_uop_seq = 1'b1; uop_ctr_nxt = uop_ctr + 4'h1; instr_out = zcmp_push_sw_instr; + uop_no_pc_update = 1'b1; if (uop_ctr_nxt == zcmp_n_regs) begin - uop_ctr_nxt = 4'he; + uop_ctr_nxt = 4'hf; end end `RVOPC_CM_POP: if (~|EXTENSION_ZCMP || zcmp_rlist < 4'h4) begin invalid = 1'b1; - end else if (uop_ctr == 4'he) begin + end else if (uop_ctr == 4'hf) begin in_uop_seq = 1'b1; uop_seq_end = 1'b1; uop_ctr_nxt = 4'h0; @@ -320,9 +326,10 @@ end else begin: instr_decompress end else begin in_uop_seq = 1'b1; uop_ctr_nxt = uop_ctr + 4'h1; + uop_no_pc_update = 1'b1; instr_out = zcmp_pop_lw_instr; if (uop_ctr_nxt == zcmp_n_regs) begin - uop_ctr_nxt = 4'he; + uop_ctr_nxt = 4'hf; end end @@ -334,17 +341,19 @@ end else begin: instr_decompress // executes, they all execute. Having none execute is fine. in_uop_seq = 1'b1; uop_ctr_nxt = uop_ctr + 4'h1; - instr_out = zcmp_pop_stack_adj_instr; + instr_out = `RVOPC_NOZ_JALR | rfmt_rs1(5'h1); end else if (uop_ctr == 4'hf) begin in_uop_seq = 1'b1; uop_seq_end = 1'b1; uop_atomic = 1'b1; uop_ctr_nxt = 4'h0; - instr_out = `RVOPC_NOZ_JALR | rfmt_rs1(5'h1); + uop_no_pc_update = 1'b1; + instr_out = zcmp_pop_stack_adj_instr; end else begin in_uop_seq = 1'b1; uop_ctr_nxt = uop_ctr + 4'h1; instr_out = zcmp_pop_lw_instr; + uop_no_pc_update = 1'b1; if (uop_ctr_nxt == zcmp_n_regs) begin uop_ctr_nxt = 4'he; end @@ -355,21 +364,24 @@ end else begin: instr_decompress end else if (uop_ctr == 4'hd) begin in_uop_seq = 1'b1; uop_ctr_nxt = uop_ctr + 4'h1; + uop_no_pc_update = 1'b1; instr_out = `RVOPC_NOZ_ADDI | rfmt_rd(5'd10); // li a0, 0 end else if (uop_ctr == 4'he) begin in_uop_seq = 1'b1; uop_atomic = 1'b1; uop_ctr_nxt = uop_ctr + 4'h1; - instr_out = zcmp_pop_stack_adj_instr; + instr_out = `RVOPC_NOZ_JALR | rfmt_rs1(5'h1); end else if (uop_ctr == 4'hf) begin in_uop_seq = 1'b1; uop_seq_end = 1'b1; uop_atomic = 1'b1; uop_ctr_nxt = 4'h0; - instr_out = `RVOPC_NOZ_JALR | rfmt_rs1(5'h1); + uop_no_pc_update = 1'b1; + instr_out = zcmp_pop_stack_adj_instr; end else begin in_uop_seq = 1'b1; uop_ctr_nxt = uop_ctr + 4'h1; + uop_no_pc_update = 1'b1; instr_out = zcmp_pop_lw_instr; if (uop_ctr_nxt == zcmp_n_regs) begin uop_ctr_nxt = 4'hd; @@ -381,6 +393,7 @@ end else begin: instr_decompress end else if (uop_ctr == 4'h0) begin in_uop_seq = 1'b1; uop_ctr_nxt = uop_ctr + 4'h1; + uop_no_pc_update = 1'b1; instr_out = `RVOPC_NOZ_ADDI | rfmt_rd(zcmp_sa01_r1s) | rfmt_rs1(5'd10); end else begin in_uop_seq = 1'b1; @@ -395,6 +408,7 @@ end else begin: instr_decompress end else if (uop_ctr == 4'h0) begin in_uop_seq = 1'b1; uop_ctr_nxt = uop_ctr + 4'h1; + uop_no_pc_update = 1'b1; instr_out = `RVOPC_NOZ_ADDI | rfmt_rd(5'd10) | rfmt_rs1(zcmp_sa01_r1s); end else begin in_uop_seq = 1'b1;