From 52ba930638bb3c97a8d414a3df76ce37d1c36f0d Mon Sep 17 00:00:00 2001 From: Luke Wren Date: Sat, 4 Dec 2021 01:17:57 +0000 Subject: [PATCH] Remove useless midcr.eivect feature. Make mlei left-shift its value by 2. --- doc/hazard3.pdf | 9865 ++++++++++++++++-------------------- doc/sections/csr.adoc | 34 +- hdl/hazard3_csr.v | 47 +- test/sim/embench/Readme.md | 2 +- 4 files changed, 4414 insertions(+), 5534 deletions(-) diff --git a/doc/hazard3.pdf b/doc/hazard3.pdf index edd2ae3..4a9ee91 100644 --- a/doc/hazard3.pdf +++ b/doc/hazard3.pdf @@ -2,18 +2,18 @@ % 1 0 obj << /Title (Hazard3) -/Creator (Asciidoctor PDF 1.5.4, based on Prawn 2.2.0) -/Producer (Asciidoctor PDF 1.5.4, based on Prawn 2.2.0) -/ModDate (D:20211202003912+00'00') -/CreationDate (D:20211202022917+00'00') +/Creator (Asciidoctor PDF 1.5.4, based on Prawn 2.2.2) +/Producer (Asciidoctor PDF 1.5.4, based on Prawn 2.2.2) +/ModDate (D:20211128081829+00'00') +/CreationDate (D:20211204011612+00'00') >> endobj 2 0 obj << /Type /Catalog /Pages 3 0 R /Names 16 0 R -/Outlines 281 0 R -/PageLabels 343 0 R +/Outlines 273 0 R +/PageLabels 333 0 R /PageMode /UseOutlines /OpenAction [7 0 R /FitH 841.89] /ViewerPreferences << /DisplayDocTitle true @@ -23,7 +23,7 @@ endobj 3 0 obj << /Type /Pages /Count 23 -/Kids [7 0 R 10 0 R 12 0 R 14 0 R 23 0 R 31 0 R 45 0 R 50 0 R 56 0 R 66 0 R 76 0 R 84 0 R 91 0 R 98 0 R 103 0 R 116 0 R 127 0 R 131 0 R 141 0 R 146 0 R 150 0 R 156 0 R 159 0 R] +/Kids [7 0 R 10 0 R 12 0 R 14 0 R 23 0 R 31 0 R 45 0 R 50 0 R 56 0 R 66 0 R 76 0 R 84 0 R 91 0 R 97 0 R 104 0 R 116 0 R 127 0 R 131 0 R 140 0 R 144 0 R 146 0 R 152 0 R 155 0 R] >> endobj 4 0 obj @@ -86,13 +86,13 @@ endobj endobj 8 0 obj << /Type /Font -/BaseFont /b1c194+NotoSerif +/BaseFont /659bc0+NotoSerif /Subtype /TrueType -/FontDescriptor 347 0 R +/FontDescriptor 337 0 R /FirstChar 32 /LastChar 255 -/Widths 349 0 R -/ToUnicode 348 0 R +/Widths 339 0 R +/ToUnicode 338 0 R >> endobj 9 0 obj @@ -1268,7 +1268,7 @@ ET BT 535.301 234.416 Td /F1.0 10.5 Tf -<3132> Tj +<3131> Tj ET 0.0 0.0 0.0 SCN @@ -1308,7 +1308,7 @@ ET BT 535.301 215.936 Td /F1.0 10.5 Tf -<3132> Tj +<3131> Tj ET 0.0 0.0 0.0 SCN @@ -1588,7 +1588,7 @@ ET BT 535.301 86.576 Td /F1.0 10.5 Tf -<3133> Tj +<3132> Tj ET 0.0 0.0 0.0 SCN @@ -1628,7 +1628,7 @@ ET BT 535.301 68.096 Td /F1.0 10.5 Tf -<3133> Tj +<3132> Tj ET 0.0 0.0 0.0 SCN @@ -1651,11 +1651,11 @@ endobj /F1.0 8 0 R >> >> -/Annots [163 0 R 164 0 R 165 0 R 166 0 R 167 0 R 168 0 R 169 0 R 170 0 R 171 0 R 172 0 R 173 0 R 174 0 R 175 0 R 176 0 R 177 0 R 178 0 R 179 0 R 180 0 R 181 0 R 182 0 R 183 0 R 184 0 R 185 0 R 186 0 R 187 0 R 188 0 R 189 0 R 190 0 R 191 0 R 192 0 R 193 0 R 194 0 R 195 0 R 196 0 R 197 0 R 198 0 R 199 0 R 200 0 R 201 0 R 202 0 R 203 0 R 204 0 R 205 0 R 206 0 R 207 0 R 208 0 R 209 0 R 210 0 R 211 0 R 212 0 R 213 0 R 214 0 R 215 0 R 216 0 R 217 0 R 218 0 R 219 0 R 220 0 R 221 0 R 222 0 R 223 0 R 224 0 R 225 0 R 226 0 R 227 0 R 228 0 R 229 0 R 230 0 R 231 0 R 232 0 R 233 0 R 234 0 R 235 0 R 236 0 R 237 0 R 238 0 R] +/Annots [159 0 R 160 0 R 161 0 R 162 0 R 163 0 R 164 0 R 165 0 R 166 0 R 167 0 R 168 0 R 169 0 R 170 0 R 171 0 R 172 0 R 173 0 R 174 0 R 175 0 R 176 0 R 177 0 R 178 0 R 179 0 R 180 0 R 181 0 R 182 0 R 183 0 R 184 0 R 185 0 R 186 0 R 187 0 R 188 0 R 189 0 R 190 0 R 191 0 R 192 0 R 193 0 R 194 0 R 195 0 R 196 0 R 197 0 R 198 0 R 199 0 R 200 0 R 201 0 R 202 0 R 203 0 R 204 0 R 205 0 R 206 0 R 207 0 R 208 0 R 209 0 R 210 0 R 211 0 R 212 0 R 213 0 R 214 0 R 215 0 R 216 0 R 217 0 R 218 0 R 219 0 R 220 0 R 221 0 R 222 0 R 223 0 R 224 0 R 225 0 R 226 0 R 227 0 R 228 0 R 229 0 R 230 0 R 231 0 R 232 0 R 233 0 R 234 0 R] >> endobj 11 0 obj -<< /Length 15220 +<< /Length 13763 >> stream q @@ -1936,7 +1936,7 @@ ET BT 535.301 683.796 Td /F1.0 10.5 Tf -<3134> Tj +<3133> Tj ET 0.0 0.0 0.0 SCN @@ -1976,7 +1976,7 @@ ET BT 535.301 665.316 Td /F1.0 10.5 Tf -<3135> Tj +<3134> Tj ET 0.0 0.0 0.0 SCN @@ -2016,7 +2016,7 @@ ET BT 535.301 646.836 Td /F1.0 10.5 Tf -<3135> Tj +<3134> Tj ET 0.0 0.0 0.0 SCN @@ -2147,7 +2147,7 @@ ET BT 72.24 572.916 Td /F1.0 10.5 Tf -<332e372e322e206d69646372> Tj +<332e372e322e206d65696530> Tj ET 0.0 0.0 0.0 SCN @@ -2176,7 +2176,7 @@ ET BT 535.301 572.916 Td /F1.0 10.5 Tf -<3136> Tj +<3135> Tj ET 0.0 0.0 0.0 SCN @@ -2187,7 +2187,7 @@ ET BT 72.24 554.436 Td /F1.0 10.5 Tf -<332e372e332e206d65696530> Tj +<332e372e332e206d65697030> Tj ET 0.0 0.0 0.0 SCN @@ -2227,7 +2227,7 @@ ET BT 72.24 535.956 Td /F1.0 10.5 Tf -<332e372e342e206d65697030> Tj +<332e372e342e206d6c6569> Tj ET 0.0 0.0 0.0 SCN @@ -2236,9 +2236,9 @@ ET 0.6627 0.6627 0.6627 SCN BT -133.7836 535.956 Td +123.0946 535.956 Td /F1.0 10.5 Tf -<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj ET 0.0 0.0 0.0 SCN @@ -2265,9 +2265,9 @@ ET 0.2 0.2 0.2 SCN BT -72.24 517.476 Td +48.24 517.476 Td /F1.0 10.5 Tf -<332e372e352e206d6c6569> Tj +<342e204465627567> Tj ET 0.0 0.0 0.0 SCN @@ -2276,9 +2276,9 @@ ET 0.6627 0.6627 0.6627 SCN BT -123.0946 517.476 Td +96.3721 517.476 Td /F1.0 10.5 Tf -<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj ET 0.0 0.0 0.0 SCN @@ -2296,7 +2296,7 @@ ET BT 535.301 517.476 Td /F1.0 10.5 Tf -<3137> Tj +<3138> Tj ET 0.0 0.0 0.0 SCN @@ -2305,9 +2305,9 @@ ET 0.2 0.2 0.2 SCN BT -72.24 498.996 Td +60.24 498.996 Td /F1.0 10.5 Tf -[<332e372e362e204d61> 20.0195 <7962652d61646473>] TJ +[<342e312e2044656275672054> 29.7852 <6f706f6c6f67696573>] TJ ET 0.0 0.0 0.0 SCN @@ -2316,9 +2316,9 @@ ET 0.6627 0.6627 0.6627 SCN BT -165.8506 498.996 Td +171.1951 498.996 Td /F1.0 10.5 Tf -<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj ET 0.0 0.0 0.0 SCN @@ -2336,7 +2336,7 @@ ET BT 535.301 498.996 Td /F1.0 10.5 Tf -<3137> Tj +<3138> Tj ET 0.0 0.0 0.0 SCN @@ -2345,9 +2345,9 @@ ET 0.2 0.2 0.2 SCN BT -48.24 480.516 Td +60.24 480.516 Td /F1.0 10.5 Tf -<342e204465627567> Tj +<342e322e20496d706c656d656e746174696f6e2d646566696e6564206265686176696f7572> Tj ET 0.0 0.0 0.0 SCN @@ -2356,9 +2356,9 @@ ET 0.6627 0.6627 0.6627 SCN BT -96.3721 480.516 Td +256.7071 480.516 Td /F1.0 10.5 Tf -<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj ET 0.0 0.0 0.0 SCN @@ -2376,7 +2376,7 @@ ET BT 535.301 480.516 Td /F1.0 10.5 Tf -<3138> Tj +<3139> Tj ET 0.0 0.0 0.0 SCN @@ -2387,7 +2387,7 @@ ET BT 60.24 462.036 Td /F1.0 10.5 Tf -[<342e312e2044656275672054> 29.7852 <6f706f6c6f67696573>] TJ +<342e332e204465627567204d6f64756c6520746f20436f726520496e74657266616365> Tj ET 0.0 0.0 0.0 SCN @@ -2396,9 +2396,9 @@ ET 0.6627 0.6627 0.6627 SCN BT -171.1951 462.036 Td +240.6736 462.036 Td /F1.0 10.5 Tf -<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj ET 0.0 0.0 0.0 SCN @@ -2416,86 +2416,6 @@ ET BT 535.301 462.036 Td /F1.0 10.5 Tf -<3138> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -60.24 443.556 Td -/F1.0 10.5 Tf -<342e322e20496d706c656d656e746174696f6e2d646566696e6564206265686176696f7572> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6627 0.6627 0.6627 scn -0.6627 0.6627 0.6627 SCN - -BT -256.7071 443.556 Td -/F1.0 10.5 Tf -<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - -BT -534.6211 443.556 Td -/F1.0 2.625 Tf - Tj -ET - -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -535.301 443.556 Td -/F1.0 10.5 Tf -<3139> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -60.24 425.076 Td -/F1.0 10.5 Tf -<342e332e204465627567204d6f64756c6520746f20436f726520496e74657266616365> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6627 0.6627 0.6627 scn -0.6627 0.6627 0.6627 SCN - -BT -240.6736 425.076 Td -/F1.0 10.5 Tf -<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - -BT -534.6211 425.076 Td -/F1.0 2.625 Tf - Tj -ET - -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -535.301 425.076 Td -/F1.0 10.5 Tf <3230> Tj ET @@ -2518,7 +2438,7 @@ endobj /Font << /F1.0 8 0 R >> >> -/Annots [239 0 R 240 0 R 241 0 R 242 0 R 243 0 R 244 0 R 245 0 R 246 0 R 247 0 R 248 0 R 249 0 R 250 0 R 251 0 R 252 0 R 253 0 R 254 0 R 255 0 R 256 0 R 257 0 R 258 0 R 259 0 R 260 0 R 261 0 R 262 0 R 263 0 R 264 0 R 265 0 R 266 0 R 267 0 R 268 0 R 269 0 R 270 0 R 271 0 R 272 0 R 273 0 R 274 0 R 275 0 R 276 0 R 277 0 R 278 0 R 279 0 R 280 0 R] +/Annots [235 0 R 236 0 R 237 0 R 238 0 R 239 0 R 240 0 R 241 0 R 242 0 R 243 0 R 244 0 R 245 0 R 246 0 R 247 0 R 248 0 R 249 0 R 250 0 R 251 0 R 252 0 R 253 0 R 254 0 R 255 0 R 256 0 R 257 0 R 258 0 R 259 0 R 260 0 R 261 0 R 262 0 R 263 0 R 264 0 R 265 0 R 266 0 R 267 0 R 268 0 R 269 0 R 270 0 R 271 0 R 272 0 R] >> endobj 13 0 obj @@ -3424,7 +3344,7 @@ endobj /F3.0 20 0 R /F1.1 21 0 R >> -/XObject << /Stamp1 344 0 R +/XObject << /Stamp1 334 0 R >> >> >> @@ -3438,7 +3358,7 @@ endobj >> endobj 17 0 obj -<< /Kids [73 0 R 74 0 R 96 0 R 123 0 R] +<< /Kids [73 0 R 74 0 R 95 0 R 121 0 R] >> endobj 18 0 obj @@ -3448,37 +3368,37 @@ endobj << /Type /Font /BaseFont /5e1168+NotoSerif-Bold /Subtype /TrueType -/FontDescriptor 351 0 R +/FontDescriptor 341 0 R /FirstChar 32 /LastChar 255 -/Widths 353 0 R -/ToUnicode 352 0 R +/Widths 343 0 R +/ToUnicode 342 0 R >> endobj 20 0 obj << /Type /Font -/BaseFont /160369+mplus1mn-regular +/BaseFont /d6aa2a+mplus1mn-regular /Subtype /TrueType -/FontDescriptor 355 0 R +/FontDescriptor 345 0 R /FirstChar 32 /LastChar 255 -/Widths 357 0 R -/ToUnicode 356 0 R +/Widths 347 0 R +/ToUnicode 346 0 R >> endobj 21 0 obj << /Type /Font /BaseFont /a68193+NotoSerif /Subtype /TrueType -/FontDescriptor 359 0 R +/FontDescriptor 349 0 R /FirstChar 32 /LastChar 255 -/Widths 361 0 R -/ToUnicode 360 0 R +/Widths 351 0 R +/ToUnicode 350 0 R >> endobj 22 0 obj -<< /Length 41661 +<< /Length 41760 >> stream q @@ -6429,7 +6349,7 @@ ET BT 195.7041 105.8431 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5b> Tj ET @@ -6438,7 +6358,7 @@ ET BT 197.9017 105.8431 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <31> Tj ET @@ -6447,7 +6367,7 @@ ET BT 201.3237 105.8431 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5d> Tj ET @@ -6556,7 +6476,7 @@ ET BT 195.7041 84.0631 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5b> Tj ET @@ -6565,7 +6485,7 @@ ET BT 197.9017 84.0631 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <31> Tj ET @@ -6574,7 +6494,7 @@ ET BT 201.3237 84.0631 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5d> Tj ET @@ -6683,7 +6603,7 @@ ET BT 218.0166 62.2831 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5b> Tj ET @@ -6692,7 +6612,7 @@ ET BT 220.2142 62.2831 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <31> Tj ET @@ -6701,7 +6621,7 @@ ET BT 223.6362 62.2831 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5d> Tj ET @@ -6779,7 +6699,7 @@ endobj /F1.0 8 0 R /F3.0 20 0 R >> -/XObject << /Stamp2 345 0 R +/XObject << /Stamp2 335 0 R >> >> /Annots [27 0 R 28 0 R 29 0 R] @@ -6819,7 +6739,7 @@ endobj >> endobj 30 0 obj -<< /Length 42644 +<< /Length 42974 >> stream q @@ -7186,7 +7106,7 @@ ET BT 218.0166 774.7031 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5b> Tj ET @@ -7195,7 +7115,7 @@ ET BT 220.2142 774.7031 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <31> Tj ET @@ -7204,7 +7124,7 @@ ET BT 223.6362 774.7031 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5d> Tj ET @@ -7320,7 +7240,7 @@ ET BT 218.0166 752.9231 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5b> Tj ET @@ -7329,7 +7249,7 @@ ET BT 220.2142 752.9231 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <31> Tj ET @@ -7338,7 +7258,7 @@ ET BT 223.6362 752.9231 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5d> Tj ET @@ -7454,7 +7374,7 @@ ET BT 218.0166 731.1431 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5b> Tj ET @@ -7463,7 +7383,7 @@ ET BT 220.2142 731.1431 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <31> Tj ET @@ -7472,7 +7392,7 @@ ET BT 223.6362 731.1431 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5d> Tj ET @@ -7588,7 +7508,7 @@ ET BT 218.0166 709.3631 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5b> Tj ET @@ -7597,7 +7517,7 @@ ET BT 220.2142 709.3631 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <31> Tj ET @@ -7606,7 +7526,7 @@ ET BT 223.6362 709.3631 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5d> Tj ET @@ -7722,7 +7642,7 @@ ET BT 218.0166 687.5831 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5b> Tj ET @@ -7731,7 +7651,7 @@ ET BT 220.2142 687.5831 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <31> Tj ET @@ -7740,7 +7660,7 @@ ET BT 223.6362 687.5831 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5d> Tj ET @@ -7931,7 +7851,7 @@ ET BT 519.8978 644.0231 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5b> Tj ET @@ -7940,7 +7860,7 @@ ET BT 522.0954 644.0231 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <32> Tj ET @@ -7949,7 +7869,7 @@ ET BT 525.5173 644.0231 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5d> Tj ET @@ -8065,7 +7985,7 @@ ET BT 519.8978 622.2431 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5b> Tj ET @@ -8074,7 +7994,7 @@ ET BT 522.0954 622.2431 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <32> Tj ET @@ -8083,7 +8003,7 @@ ET BT 525.5173 622.2431 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5d> Tj ET @@ -8199,7 +8119,7 @@ ET BT 519.8978 600.4631 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5b> Tj ET @@ -8208,7 +8128,7 @@ ET BT 522.0954 600.4631 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <32> Tj ET @@ -8217,7 +8137,7 @@ ET BT 525.5173 600.4631 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5d> Tj ET @@ -8333,7 +8253,7 @@ ET BT 519.8978 578.6831 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5b> Tj ET @@ -8342,7 +8262,7 @@ ET BT 522.0954 578.6831 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <32> Tj ET @@ -8351,7 +8271,7 @@ ET BT 525.5173 578.6831 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5d> Tj ET @@ -8467,7 +8387,7 @@ ET BT 519.8978 556.9031 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5b> Tj ET @@ -8476,7 +8396,7 @@ ET BT 522.0954 556.9031 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <32> Tj ET @@ -8485,7 +8405,7 @@ ET BT 525.5173 556.9031 Td -/F1.0 6.1215 Tf +/F1.0 6.121499999999999 Tf <5d> Tj ET @@ -10190,7 +10110,7 @@ endobj /F1.0 8 0 R /F1.1 21 0 R >> -/XObject << /Stamp1 344 0 R +/XObject << /Stamp1 334 0 R >> >> /Annots [32 0 R 33 0 R 34 0 R 35 0 R 36 0 R 38 0 R 39 0 R 40 0 R 41 0 R 42 0 R] @@ -12838,7 +12758,7 @@ endobj /F1.0 8 0 R /F3.0 20 0 R >> -/XObject << /Stamp2 345 0 R +/XObject << /Stamp2 335 0 R >> >> >> @@ -16026,7 +15946,7 @@ endobj /F3.0 20 0 R /F1.0 8 0 R >> -/XObject << /Stamp1 344 0 R +/XObject << /Stamp1 334 0 R >> >> /Annots [52 0 R 54 0 R] @@ -17234,7 +17154,7 @@ endobj /F3.0 20 0 R /F4.0 61 0 R >> -/XObject << /Stamp2 345 0 R +/XObject << /Stamp2 335 0 R >> >> /Annots [58 0 R 63 0 R] @@ -17264,11 +17184,11 @@ endobj << /Type /Font /BaseFont /94bbce+NotoSerif-Italic /Subtype /TrueType -/FontDescriptor 363 0 R +/FontDescriptor 353 0 R /FirstChar 32 /LastChar 255 -/Widths 365 0 R -/ToUnicode 364 0 R +/Widths 355 0 R +/ToUnicode 354 0 R >> endobj 62 0 obj @@ -18945,7 +18865,7 @@ endobj /F3.0 20 0 R /F4.0 61 0 R >> -/XObject << /Stamp1 344 0 R +/XObject << /Stamp1 334 0 R >> >> /Annots [70 0 R 71 0 R] @@ -18981,12 +18901,12 @@ endobj endobj 73 0 obj << /Limits [(__anchor-top) (_introduction)] -/Names [(__anchor-top) 15 0 R (_bit_manipulation) 48 0 R (_c_extension) 46 0 R (_csrs) 57 0 R (_custom_csrs) 136 0 R (_debug_module_to_core_interface) 162 0 R (_debug_topologies) 152 0 R (_dpc) 132 0 R (_dscratch0) 133 0 R (_dscratch1) 135 0 R (_footnotedef_1) 51 0 R (_footnotedef_2) 53 0 R (_footnoteref_1) 26 0 R (_footnoteref_2) 37 0 R (_implementation_defined_behaviour) 157 0 R (_instruction_cycle_counts) 24 0 R (_introduction) 18 0 R] +/Names [(__anchor-top) 15 0 R (_bit_manipulation) 48 0 R (_c_extension) 46 0 R (_csrs) 57 0 R (_custom_csrs) 134 0 R (_debug_module_to_core_interface) 158 0 R (_debug_topologies) 148 0 R (_dpc) 128 0 R (_dscratch0) 129 0 R (_dscratch1) 133 0 R (_footnotedef_1) 51 0 R (_footnotedef_2) 53 0 R (_footnoteref_1) 26 0 R (_footnoteref_2) 37 0 R (_implementation_defined_behaviour) 153 0 R (_instruction_cycle_counts) 24 0 R (_introduction) 18 0 R] >> endobj 74 0 obj << /Limits [(_m_extension) (_mimpid)] -/Names [(_m_extension) 43 0 R (_marchid) 62 0 R (_maybe_adds) 148 0 R (_mcause) 95 0 R (_mconfigptr) 68 0 R (_mcounteren) 101 0 R (_mcycle) 109 0 R (_mcycleh) 111 0 R (_medeleg) 79 0 R (_mepc) 94 0 R (_mhartid) 67 0 R (_mhpmcounter331) 117 0 R (_mhpmcounter331h) 118 0 R (_mhpmevent331) 120 0 R (_mideleg) 80 0 R (_mie) 81 0 R (_mimpid) 64 0 R] +/Names [(_m_extension) 43 0 R (_marchid) 62 0 R (_mcause) 94 0 R (_mconfigptr) 68 0 R (_mcounteren) 99 0 R (_mcycle) 107 0 R (_mcycleh) 109 0 R (_medeleg) 79 0 R (_mepc) 93 0 R (_mhartid) 67 0 R (_mhpmcounter331) 113 0 R (_mhpmcounter331h) 114 0 R (_mhpmevent331) 118 0 R (_mideleg) 80 0 R (_mie) 81 0 R (_mimpid) 64 0 R] >> endobj 75 0 obj @@ -20416,7 +20336,7 @@ endobj /F3.0 20 0 R /F4.0 61 0 R >> -/XObject << /Stamp2 345 0 R +/XObject << /Stamp2 335 0 R >> >> /Annots [82 0 R] @@ -21898,7 +21818,7 @@ endobj /F1.0 8 0 R /F4.0 61 0 R >> -/XObject << /Stamp1 344 0 R +/XObject << /Stamp1 334 0 R >> >> /Annots [85 0 R 87 0 R 88 0 R] @@ -21935,7 +21855,7 @@ endobj [84 0 R /XYZ 0 206.33 null] endobj 90 0 obj -<< /Length 14948 +<< /Length 15601 >> stream q @@ -22504,167 +22424,11 @@ ET 0.0 0.0 0.0 SCN 0.0 0.0 0.0 scn -q -0.5 w -0.9333 0.9333 0.9333 SCN -102.2593 598.09 m -102.2593 526.97 l -S -Q 0.2 0.2 0.2 scn 0.2 0.2 0.2 SCN BT -60.24 558.456 Td -/F2.0 10.5 Tf -[<4e4f> 20.0195 <5445>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -0.6036 Tw - -BT -114.2593 582.126 Td -/F1.0 10.5 Tf -<48617a617264332068617320616e206164646974696f6e616c206e6f6e7374616e6461726420766563746f72696e67206d6f64652c2077686572652065787465726e616c20696e7465727275707473> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -0.8998 Tw - -BT -114.2593 566.346 Td -/F1.0 10.5 Tf -[<6172652065616368207365706172> 20.0195 <6174656420696e746f2064697374696e637420766563746f727320616e6420>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -0.8998 Tw - -BT -340.9634 566.346 Td -/F3.0 10.5 Tf -<6d6361757365> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -0.8998 Tw - -BT -372.4634 566.346 Td -/F1.0 10.5 Tf -<2076616c7565732e205468697320697320656e61626c6564207468726f756768> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -1.687 Tw - -BT -114.2593 550.566 Td -/F1.0 10.5 Tf -<74686520696d706c656d656e746174696f6e2d646566696e656420636f6e74726f6c2072656769737465722c20> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2588 0.5451 0.7922 scn -0.2588 0.5451 0.7922 SCN - -1.687 Tw - -BT -346.6944 550.566 Td -/F1.0 10.5 Tf -<6d69646372> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -1.687 Tw - -BT -376.5039 550.566 Td -/F1.0 10.5 Tf -<2c2073696e63652074686520524953432d562073706563696669636174696f6e> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -114.2593 534.786 Td -/F1.0 10.5 Tf -<726573657276657320> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -159.2728 534.786 Td -/F3.0 10.5 Tf -<6d747665632e6d6f6465203d3d20322c2033> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -253.7728 534.786 Td -/F1.0 10.5 Tf -<20666f7220667574757265207374616e64617264207573652e> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 496.286 Td +48.24 579.406 Td /F2.0 13 Tf [<332e322e382e206d736372> 20.0195 <61746368>] TJ ET @@ -22675,7 +22439,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 469.726 Td +48.24 552.846 Td /F1.0 10.5 Tf [<41> 20.0195 <6464726573733a20>] TJ ET @@ -22686,7 +22450,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -94.0618 469.726 Td +94.0618 552.846 Td /F3.0 10.5 Tf <3078333430> Tj ET @@ -22699,7 +22463,7 @@ ET 1.2134 Tw BT -48.24 441.946 Td +48.24 525.066 Td /F1.0 10.5 Tf <526561642d77726974652033322d6269742072656769737465722e204e6f2073706563696669632068617264776172652066756e6374696f6e> Tj /F1.1 10.5 Tf @@ -22720,7 +22484,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 426.166 Td +48.24 509.286 Td /F1.0 10.5 Tf [<7265676973746572207768656e20656e746572696e672061207472> 20.0195 <61702068616e646c65722e>] TJ ET @@ -22731,7 +22495,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 391.666 Td +48.24 474.786 Td /F2.0 13 Tf <332e322e392e206d657063> Tj ET @@ -22742,7 +22506,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 365.106 Td +48.24 448.226 Td /F1.0 10.5 Tf [<41> 20.0195 <6464726573733a20>] TJ ET @@ -22753,7 +22517,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -94.0618 365.106 Td +94.0618 448.226 Td /F3.0 10.5 Tf <3078333431> Tj ET @@ -22766,7 +22530,7 @@ ET 1.8771 Tw BT -48.24 337.326 Td +48.24 420.446 Td /F1.0 10.5 Tf [<457863657074696f6e2070726f6772> 20.0195 <616d20636f756e7465722e205768656e20656e746572696e672061207472> 20.0195 <61702c207468652063757272656e742076616c7565206f66207468652070726f6772> 20.0195 <616d20636f756e746572206973>] TJ ET @@ -22781,7 +22545,7 @@ ET 0.6567 Tw BT -48.24 321.546 Td +48.24 404.666 Td /F1.0 10.5 Tf <7265636f7264656420686572652e205768656e20657865637574696e6720616e20> Tj ET @@ -22796,7 +22560,7 @@ ET 0.6567 Tw BT -227.1048 321.546 Td +227.1048 404.666 Td /F3.0 10.5 Tf <6d726574> Tj ET @@ -22811,7 +22575,7 @@ ET 0.6567 Tw BT -248.1048 321.546 Td +248.1048 404.666 Td /F1.0 10.5 Tf <2c207468652070726f636573736f72206a756d707320746f20> Tj ET @@ -22826,7 +22590,7 @@ ET 0.6567 Tw BT -372.9155 321.546 Td +372.9155 404.666 Td /F3.0 10.5 Tf <6d657063> Tj ET @@ -22841,7 +22605,7 @@ ET 0.6567 Tw BT -393.9155 321.546 Td +393.9155 404.666 Td /F1.0 10.5 Tf <2e2043616e20616c736f206265207265616420616e64207772697474656e> Tj ET @@ -22854,7 +22618,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 305.766 Td +48.24 388.886 Td /F1.0 10.5 Tf [<62> 20.0195 <7920736f6674776172652e>] TJ ET @@ -22867,7 +22631,7 @@ ET 0.4304 Tw BT -48.24 277.986 Td +48.24 361.106 Td /F1.0 10.5 Tf <4f6e2048617a617264332c20626974732033313a31206f6620> Tj ET @@ -22882,7 +22646,7 @@ ET 0.4304 Tw BT -172.6749 277.986 Td +172.6749 361.106 Td /F3.0 10.5 Tf <6d657063> Tj ET @@ -22897,7 +22661,7 @@ ET 0.4304 Tw BT -193.6749 277.986 Td +193.6749 361.106 Td /F1.0 10.5 Tf <206172652063617061626c65206f6620686f6c64696e6720616c6c2033312d6269742076616c7565732e2042697420302069732068617264776972656420746f20302c20617320706572> Tj ET @@ -22910,7 +22674,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 262.206 Td +48.24 345.326 Td /F1.0 10.5 Tf <7468652073706563696669636174696f6e2e> Tj ET @@ -22923,7 +22687,7 @@ ET 2.0196 Tw BT -48.24 234.426 Td +48.24 317.546 Td /F1.0 10.5 Tf [<416c6c207472> 20.0195 <617073206f6e2048617a617264332061726520707265636973652e2046> 40.0391 <6f72206578616d706c652c2061206c6f61642f73746f726520627573206572726f722077696c6c2073657420>] TJ ET @@ -22938,7 +22702,7 @@ ET 2.0196 Tw BT -459.6482 234.426 Td +459.6482 317.546 Td /F3.0 10.5 Tf <6d657063> Tj ET @@ -22953,7 +22717,7 @@ ET 2.0196 Tw BT -480.6482 234.426 Td +480.6482 317.546 Td /F1.0 10.5 Tf <20746f20746865206578616374> Tj ET @@ -22966,7 +22730,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 218.646 Td +48.24 301.766 Td /F1.0 10.5 Tf <61646472657373206f6620746865206c6f61642f73746f726520696e737472756374696f6e20776869636820656e636f756e746572656420746865206661756c742e> Tj ET @@ -22977,7 +22741,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 184.146 Td +48.24 267.266 Td /F2.0 13 Tf <332e322e31302e206d6361757365> Tj ET @@ -22988,7 +22752,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 157.586 Td +48.24 240.706 Td /F1.0 10.5 Tf [<41> 20.0195 <6464726573733a20>] TJ ET @@ -22999,7 +22763,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -94.0618 157.586 Td +94.0618 240.706 Td /F3.0 10.5 Tf <3078333432> Tj ET @@ -23012,7 +22776,7 @@ ET 0.0629 Tw BT -48.24 129.806 Td +48.24 212.926 Td /F1.0 10.5 Tf [<457863657074696f6e2063617573652e20536574207768656e20656e746572696e672061207472> 20.0195 <617020746f20696e6469636174652074686520726561736f6e20666f7220746865207472> 20.0195 <61702e205265616461626c6520616e64207772697461626c65>] TJ ET @@ -23025,11 +22789,193 @@ ET 0.2 0.2 0.2 SCN BT -48.24 114.026 Td +48.24 197.146 Td /F1.0 10.5 Tf [<62> 20.0195 <7920736f6674776172652e>] TJ ET +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +q +0.5 w +0.9333 0.9333 0.9333 SCN +102.2593 181.33 m +102.2593 110.21 l +S +Q +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +60.24 141.696 Td +/F2.0 10.5 Tf +[<4e4f> 20.0195 <5445>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.8934 Tw + +BT +114.2593 165.366 Td +/F1.0 10.5 Tf +<4f6e2048617a617264332c206d6f73742062697473206f6620> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.8934 Tw + +BT +244.8 165.366 Td +/F3.0 10.5 Tf +<6d6361757365> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.8934 Tw + +BT +276.3 165.366 Td +/F1.0 10.5 Tf +<206172652068617264776972656420746f20302e204f6e6c79206269742033312c20616e6420656e6f756768206c656173742d> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.2373 Tw + +BT +114.2593 149.586 Td +/F1.0 10.5 Tf +<7369676e69666963616e74206269747320746f20696e64657820616c6c20657863657074696f6e20616e6420616c6c20696e746572727570742063617573657320286174206c6561737420666f75722062697473292c20617265> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +2.9709 Tw + +BT +114.2593 133.806 Td +/F1.0 10.5 Tf +[<6261636b> 20.0195 <65642062> 20.0195 <79207265676973746572732e204f6e6c79207468657365206269747320617265207772697461626c653b2074686520524953432d562073706563696669636174696f6e206f6e6c79>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +114.2593 118.026 Td +/F1.0 10.5 Tf +<7265717569726573207468617420> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +181.9528 118.026 Td +/F3.0 10.5 Tf +<6d6361757365> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +213.4528 118.026 Td +/F1.0 10.5 Tf +<2062652061626c6520746f20686f6c6420616c6c206c6567616c2063617573652076616c7565732e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.6583 Tw + +BT +48.24 86.246 Td +/F1.0 10.5 Tf +<546865206d6f7374207369676e69666963616e7420626974206f6620> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +1.6583 Tw + +BT +189.2621 86.246 Td +/F3.0 10.5 Tf +<6d6361757365> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.6583 Tw + +BT +220.7621 86.246 Td +/F1.0 10.5 Tf +<2069732073657420746f203120746f20696e64696361746520616e20696e746572727570742063617573652c20616e64203020746f20696e64696361746520616e> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 70.466 Td +/F1.0 10.5 Tf +[<657863657074696f6e2063617573652e2054686520666f6c6c6f77696e6720696e7465727275707420636175736573206d61> 20.0195 <79206265207365742062> 20.0195 <792048617a617264332068617264776172653a>] TJ +ET + 0.0 0.0 0.0 SCN 0.0 0.0 0.0 scn q @@ -23072,278 +23018,86 @@ endobj /F4.0 61 0 R /F1.1 21 0 R >> -/XObject << /Stamp2 345 0 R +/XObject << /Stamp2 335 0 R >> >> -/Annots [92 0 R] >> endobj 92 0 obj -<< /Border [0 0 0] -/Dest (reg-midcr) -/Subtype /Link -/Rect [346.6944 547.5 376.5039 561.78] -/Type /Annot ->> +[91 0 R /XYZ 0 598.09 null] endobj 93 0 obj -[91 0 R /XYZ 0 514.97 null] +[91 0 R /XYZ 0 493.47 null] endobj 94 0 obj -[91 0 R /XYZ 0 410.35 null] +[91 0 R /XYZ 0 285.95 null] endobj 95 0 obj -[91 0 R /XYZ 0 202.83 null] -endobj -96 0 obj << /Limits [(_minstret) (_mvendorid)] -/Names [(_minstret) 112 0 R (_minstreth) 114 0 R (_mip) 86 0 R (_misa) 69 0 R (_mlei) 147 0 R (_mscratch) 93 0 R (_mstatus) 77 0 R (_mstatush) 78 0 R (_mtval) 100 0 R (_mtvec) 89 0 R (_mvendorid) 60 0 R] +/Names [(_minstret) 110 0 R (_minstreth) 112 0 R (_mip) 86 0 R (_misa) 69 0 R (_mlei) 142 0 R (_mscratch) 92 0 R (_mstatus) 77 0 R (_mstatush) 78 0 R (_mtval) 98 0 R (_mtvec) 89 0 R (_mvendorid) 60 0 R] >> endobj -97 0 obj -<< /Length 19135 +96 0 obj +<< /Length 17406 >> stream q -q -0.5 w -/DeviceRGB CS -0.9333 0.9333 0.9333 SCN -102.2593 805.89 m -102.2593 734.77 l -S -Q /DeviceRGB cs -0.2 0.2 0.2 scn -/DeviceRGB CS -0.2 0.2 0.2 SCN - -BT -60.24 766.256 Td -/F2.0 10.5 Tf -[<4e4f> 20.0195 <5445>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -0.8934 Tw - -BT -114.2593 789.926 Td -/F1.0 10.5 Tf -<4f6e2048617a617264332c206d6f73742062697473206f6620> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -0.8934 Tw - -BT -244.8 789.926 Td -/F3.0 10.5 Tf -<6d6361757365> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -0.8934 Tw - -BT -276.3 789.926 Td -/F1.0 10.5 Tf -<206172652068617264776972656420746f20302e204f6e6c79206269742033312c20616e6420656e6f756768206c656173742d> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -0.2373 Tw - -BT -114.2593 774.146 Td -/F1.0 10.5 Tf -<7369676e69666963616e74206269747320746f20696e64657820616c6c20657863657074696f6e20616e6420616c6c20696e746572727570742063617573657320286174206c6561737420666f75722062697473292c20617265> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -2.9709 Tw - -BT -114.2593 758.366 Td -/F1.0 10.5 Tf -[<6261636b> 20.0195 <65642062> 20.0195 <79207265676973746572732e204f6e6c79207468657365206269747320617265207772697461626c653b2074686520524953432d562073706563696669636174696f6e206f6e6c79>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -114.2593 742.586 Td -/F1.0 10.5 Tf -<7265717569726573207468617420> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -181.9528 742.586 Td -/F3.0 10.5 Tf -<6d6361757365> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -213.4528 742.586 Td -/F1.0 10.5 Tf -<2062652061626c6520746f20686f6c6420616c6c206c6567616c2063617573652076616c7565732e> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -1.6583 Tw - -BT -48.24 710.806 Td -/F1.0 10.5 Tf -<546865206d6f7374207369676e69666963616e7420626974206f6620> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -1.6583 Tw - -BT -189.2621 710.806 Td -/F3.0 10.5 Tf -<6d6361757365> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -1.6583 Tw - -BT -220.7621 710.806 Td -/F1.0 10.5 Tf -<2069732073657420746f203120746f20696e64696361746520616e20696e746572727570742063617573652c20616e64203020746f20696e64696361746520616e> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 695.026 Td -/F1.0 10.5 Tf -[<657863657074696f6e2063617573652e2054686520666f6c6c6f77696e6720696e7465727275707420636175736573206d61> 20.0195 <79206265207365742062> 20.0195 <792048617a617264332068617264776172653a>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 657.43 49.88 21.78 re +48.24 784.11 49.88 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 657.43 448.92 21.78 re +98.12 784.11 448.92 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 635.65 49.88 21.78 re +48.24 762.33 49.88 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 635.65 448.92 21.78 re +98.12 762.33 448.92 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 613.87 49.88 21.78 re +48.24 740.55 49.88 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 613.87 448.92 21.78 re +98.12 740.55 448.92 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 592.09 49.88 21.78 re +48.24 718.77 49.88 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 592.09 448.92 21.78 re +98.12 718.77 448.92 21.78 re f 0.0 0.0 0.0 scn 0.5 w +/DeviceRGB CS 0.8667 0.8667 0.8667 SCN -48.24 679.21 m -98.12 679.21 l +48.24 805.89 m +98.12 805.89 l S [] 0 d 1.25 w 0.8667 0.8667 0.8667 SCN -48.24 657.43 m -98.12 657.43 l +48.24 784.11 m +98.12 784.11 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 679.46 m -48.24 656.805 l +48.24 806.14 m +48.24 783.485 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 679.46 m -98.12 656.805 l +98.12 806.14 m +98.12 783.485 l S [] 0 d 1 w @@ -23351,7 +23105,7 @@ S 0.2 0.2 0.2 scn BT -51.24 664.246 Td +51.24 790.926 Td /F2.0 10.5 Tf <4361757365> Tj ET @@ -23359,26 +23113,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 679.21 m -547.04 679.21 l +98.12 805.89 m +547.04 805.89 l S [] 0 d 1.25 w 0.8667 0.8667 0.8667 SCN -98.12 657.43 m -547.04 657.43 l +98.12 784.11 m +547.04 784.11 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 679.46 m -98.12 656.805 l +98.12 806.14 m +98.12 783.485 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 679.46 m -547.04 656.805 l +547.04 806.14 m +547.04 783.485 l S [] 0 d 1 w @@ -23386,7 +23140,7 @@ S 0.2 0.2 0.2 scn BT -101.12 664.246 Td +101.12 790.926 Td /F2.0 10.5 Tf <4465736372697074696f6e> Tj ET @@ -23394,26 +23148,26 @@ ET 0.0 0.0 0.0 scn 1.25 w 0.8667 0.8667 0.8667 SCN -48.24 657.43 m -98.12 657.43 l +48.24 784.11 m +98.12 784.11 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 635.65 m -98.12 635.65 l +48.24 762.33 m +98.12 762.33 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 658.055 m -48.24 635.4 l +48.24 784.735 m +48.24 762.08 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 658.055 m -98.12 635.4 l +98.12 784.735 m +98.12 762.08 l S [] 0 d 1 w @@ -23421,7 +23175,7 @@ S 0.2 0.2 0.2 scn BT -51.24 642.466 Td +51.24 769.146 Td /F2.0 10.5 Tf <33> Tj ET @@ -23429,26 +23183,26 @@ ET 0.0 0.0 0.0 scn 1.25 w 0.8667 0.8667 0.8667 SCN -98.12 657.43 m -547.04 657.43 l +98.12 784.11 m +547.04 784.11 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 635.65 m -547.04 635.65 l +98.12 762.33 m +547.04 762.33 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 658.055 m -98.12 635.4 l +98.12 784.735 m +98.12 762.08 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 658.055 m -547.04 635.4 l +547.04 784.735 m +547.04 762.08 l S [] 0 d 1 w @@ -23456,7 +23210,7 @@ S 0.2 0.2 0.2 scn BT -101.12 642.466 Td +101.12 769.146 Td /F1.0 10.5 Tf <536f66747761726520696e746572727570742028> Tj ET @@ -23465,7 +23219,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -201.143 642.466 Td +201.143 769.146 Td /F3.0 10.5 Tf <6d69702e6d736970> Tj ET @@ -23474,7 +23228,7 @@ ET 0.2 0.2 0.2 scn BT -243.143 642.466 Td +243.143 769.146 Td /F1.0 10.5 Tf <29> Tj ET @@ -23482,26 +23236,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 635.65 m -98.12 635.65 l +48.24 762.33 m +98.12 762.33 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 613.87 m -98.12 613.87 l +48.24 740.55 m +98.12 740.55 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 635.9 m -48.24 613.62 l +48.24 762.58 m +48.24 740.3 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 635.9 m -98.12 613.62 l +98.12 762.58 m +98.12 740.3 l S [] 0 d 1 w @@ -23509,7 +23263,7 @@ S 0.2 0.2 0.2 scn BT -51.24 620.686 Td +51.24 747.366 Td /F2.0 10.5 Tf <37> Tj ET @@ -23517,26 +23271,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 635.65 m -547.04 635.65 l +98.12 762.33 m +547.04 762.33 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 613.87 m -547.04 613.87 l +98.12 740.55 m +547.04 740.55 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 635.9 m -98.12 613.62 l +98.12 762.58 m +98.12 740.3 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 635.9 m -547.04 613.62 l +547.04 762.58 m +547.04 740.3 l S [] 0 d 1 w @@ -23544,7 +23298,7 @@ S 0.2 0.2 0.2 scn BT -101.12 620.686 Td +101.12 747.366 Td /F1.0 10.5 Tf <54696d657220696e746572727570742028> Tj ET @@ -23553,7 +23307,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -186.5585 620.686 Td +186.5585 747.366 Td /F3.0 10.5 Tf <6d69702e6d746970> Tj ET @@ -23562,7 +23316,7 @@ ET 0.2 0.2 0.2 scn BT -228.5585 620.686 Td +228.5585 747.366 Td /F1.0 10.5 Tf <29> Tj ET @@ -23570,26 +23324,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 613.87 m -98.12 613.87 l +48.24 740.55 m +98.12 740.55 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 592.09 m -98.12 592.09 l +48.24 718.77 m +98.12 718.77 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 614.12 m -48.24 591.84 l +48.24 740.8 m +48.24 718.52 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 614.12 m -98.12 591.84 l +98.12 740.8 m +98.12 718.52 l S [] 0 d 1 w @@ -23597,7 +23351,7 @@ S 0.2 0.2 0.2 scn BT -51.24 598.906 Td +51.24 725.586 Td /F2.0 10.5 Tf <3131> Tj ET @@ -23605,26 +23359,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 613.87 m -547.04 613.87 l +98.12 740.55 m +547.04 740.55 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 592.09 m -547.04 592.09 l +98.12 718.77 m +547.04 718.77 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 614.12 m -98.12 591.84 l +98.12 740.8 m +98.12 718.52 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 614.12 m -547.04 591.84 l +547.04 740.8 m +547.04 718.52 l S [] 0 d 1 w @@ -23632,7 +23386,7 @@ S 0.2 0.2 0.2 scn BT -101.12 598.906 Td +101.12 725.586 Td /F1.0 10.5 Tf <45787465726e616c20696e746572727570742028> Tj ET @@ -23641,7 +23395,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -199.106 598.906 Td +199.106 725.586 Td /F3.0 10.5 Tf <6d69702e6d656970> Tj ET @@ -23650,7 +23404,7 @@ ET 0.2 0.2 0.2 scn BT -241.106 598.906 Td +241.106 725.586 Td /F1.0 10.5 Tf <29> Tj ET @@ -23659,64 +23413,8 @@ ET 0.2 0.2 0.2 scn 0.2 0.2 0.2 SCN -2.0635 Tw - BT -48.24 568.126 Td -/F1.0 10.5 Tf -[<4e756d62657273203e313620617265207573656420666f7220746f20646973616d62696775617465206265747765656e2065787465726e616c204952> 20.0195 <5173207768656e20657870616e64656420766563746f72696e67206973>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 552.346 Td -/F1.0 10.5 Tf -<656e61626c6564> Tj -/F1.1 10.5 Tf -<24> Tj -/F1.0 10.5 Tf - Tj -/F1.1 10.5 Tf -<24> Tj -/F1.0 10.5 Tf -<73656520> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2588 0.5451 0.7922 scn -0.2588 0.5451 0.7922 SCN - -BT -121.6665 552.346 Td -/F1.0 10.5 Tf -<6d69646372> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -151.476 552.346 Td -/F1.0 10.5 Tf -<2e> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 524.566 Td +48.24 694.806 Td /F1.0 10.5 Tf [<54686520666f6c6c6f77696e6720657863657074696f6e20636175736573206d61> 20.0195 <79206265207365742062> 20.0195 <792048617a617264332068617264776172653a>] TJ ET @@ -23724,99 +23422,99 @@ ET 0.0 0.0 0.0 SCN 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 486.97 49.88 21.78 re +48.24 657.21 49.88 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 486.97 448.92 21.78 re +98.12 657.21 448.92 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 465.19 49.88 21.78 re +48.24 635.43 49.88 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 465.19 448.92 21.78 re +98.12 635.43 448.92 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 443.41 49.88 21.78 re +48.24 613.65 49.88 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 443.41 448.92 21.78 re +98.12 613.65 448.92 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 421.63 49.88 21.78 re +48.24 591.87 49.88 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 421.63 448.92 21.78 re +98.12 591.87 448.92 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 399.85 49.88 21.78 re +48.24 570.09 49.88 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 399.85 448.92 21.78 re +98.12 570.09 448.92 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 378.07 49.88 21.78 re +48.24 548.31 49.88 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 378.07 448.92 21.78 re +98.12 548.31 448.92 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 356.29 49.88 21.78 re +48.24 526.53 49.88 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 356.29 448.92 21.78 re +98.12 526.53 448.92 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 334.51 49.88 21.78 re +48.24 504.75 49.88 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 334.51 448.92 21.78 re +98.12 504.75 448.92 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 312.73 49.88 21.78 re +48.24 482.97 49.88 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 312.73 448.92 21.78 re +98.12 482.97 448.92 21.78 re f 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 508.75 m -98.12 508.75 l +48.24 678.99 m +98.12 678.99 l S [] 0 d 1.25 w 0.8667 0.8667 0.8667 SCN -48.24 486.97 m -98.12 486.97 l +48.24 657.21 m +98.12 657.21 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 509.0 m -48.24 486.345 l +48.24 679.24 m +48.24 656.585 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 509.0 m -98.12 486.345 l +98.12 679.24 m +98.12 656.585 l S [] 0 d 1 w @@ -23824,7 +23522,7 @@ S 0.2 0.2 0.2 scn BT -51.24 493.786 Td +51.24 664.026 Td /F2.0 10.5 Tf <4361757365> Tj ET @@ -23832,26 +23530,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 508.75 m -547.04 508.75 l +98.12 678.99 m +547.04 678.99 l S [] 0 d 1.25 w 0.8667 0.8667 0.8667 SCN -98.12 486.97 m -547.04 486.97 l +98.12 657.21 m +547.04 657.21 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 509.0 m -98.12 486.345 l +98.12 679.24 m +98.12 656.585 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 509.0 m -547.04 486.345 l +547.04 679.24 m +547.04 656.585 l S [] 0 d 1 w @@ -23859,7 +23557,7 @@ S 0.2 0.2 0.2 scn BT -101.12 493.786 Td +101.12 664.026 Td /F2.0 10.5 Tf <4465736372697074696f6e> Tj ET @@ -23867,26 +23565,26 @@ ET 0.0 0.0 0.0 scn 1.25 w 0.8667 0.8667 0.8667 SCN -48.24 486.97 m -98.12 486.97 l +48.24 657.21 m +98.12 657.21 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 465.19 m -98.12 465.19 l +48.24 635.43 m +98.12 635.43 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 487.595 m -48.24 464.94 l +48.24 657.835 m +48.24 635.18 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 487.595 m -98.12 464.94 l +98.12 657.835 m +98.12 635.18 l S [] 0 d 1 w @@ -23894,7 +23592,7 @@ S 0.2 0.2 0.2 scn BT -51.24 472.006 Td +51.24 642.246 Td /F2.0 10.5 Tf <31> Tj ET @@ -23902,26 +23600,26 @@ ET 0.0 0.0 0.0 scn 1.25 w 0.8667 0.8667 0.8667 SCN -98.12 486.97 m -547.04 486.97 l +98.12 657.21 m +547.04 657.21 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 465.19 m -547.04 465.19 l +98.12 635.43 m +547.04 635.43 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 487.595 m -98.12 464.94 l +98.12 657.835 m +98.12 635.18 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 487.595 m -547.04 464.94 l +547.04 657.835 m +547.04 635.18 l S [] 0 d 1 w @@ -23929,7 +23627,7 @@ S 0.2 0.2 0.2 scn BT -101.12 472.006 Td +101.12 642.246 Td /F1.0 10.5 Tf <496e737472756374696f6e20616363657373206661756c74> Tj ET @@ -23937,26 +23635,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 465.19 m -98.12 465.19 l +48.24 635.43 m +98.12 635.43 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 443.41 m -98.12 443.41 l +48.24 613.65 m +98.12 613.65 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 465.44 m -48.24 443.16 l +48.24 635.68 m +48.24 613.4 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 465.44 m -98.12 443.16 l +98.12 635.68 m +98.12 613.4 l S [] 0 d 1 w @@ -23964,7 +23662,7 @@ S 0.2 0.2 0.2 scn BT -51.24 450.226 Td +51.24 620.466 Td /F2.0 10.5 Tf <32> Tj ET @@ -23972,26 +23670,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 465.19 m -547.04 465.19 l +98.12 635.43 m +547.04 635.43 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 443.41 m -547.04 443.41 l +98.12 613.65 m +547.04 613.65 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 465.44 m -98.12 443.16 l +98.12 635.68 m +98.12 613.4 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 465.44 m -547.04 443.16 l +547.04 635.68 m +547.04 613.4 l S [] 0 d 1 w @@ -23999,7 +23697,7 @@ S 0.2 0.2 0.2 scn BT -101.12 450.226 Td +101.12 620.466 Td /F1.0 10.5 Tf <496c6c6567616c20696e737472756374696f6e> Tj ET @@ -24007,26 +23705,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 443.41 m -98.12 443.41 l +48.24 613.65 m +98.12 613.65 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 421.63 m -98.12 421.63 l +48.24 591.87 m +98.12 591.87 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 443.66 m -48.24 421.38 l +48.24 613.9 m +48.24 591.62 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 443.66 m -98.12 421.38 l +98.12 613.9 m +98.12 591.62 l S [] 0 d 1 w @@ -24034,7 +23732,7 @@ S 0.2 0.2 0.2 scn BT -51.24 428.446 Td +51.24 598.686 Td /F2.0 10.5 Tf <33> Tj ET @@ -24042,26 +23740,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 443.41 m -547.04 443.41 l +98.12 613.65 m +547.04 613.65 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 421.63 m -547.04 421.63 l +98.12 591.87 m +547.04 591.87 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 443.66 m -98.12 421.38 l +98.12 613.9 m +98.12 591.62 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 443.66 m -547.04 421.38 l +547.04 613.9 m +547.04 591.62 l S [] 0 d 1 w @@ -24069,7 +23767,7 @@ S 0.2 0.2 0.2 scn BT -101.12 428.446 Td +101.12 598.686 Td /F1.0 10.5 Tf <427265616b706f696e74> Tj ET @@ -24077,26 +23775,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 421.63 m -98.12 421.63 l +48.24 591.87 m +98.12 591.87 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 399.85 m -98.12 399.85 l +48.24 570.09 m +98.12 570.09 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 421.88 m -48.24 399.6 l +48.24 592.12 m +48.24 569.84 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 421.88 m -98.12 399.6 l +98.12 592.12 m +98.12 569.84 l S [] 0 d 1 w @@ -24104,7 +23802,7 @@ S 0.2 0.2 0.2 scn BT -51.24 406.666 Td +51.24 576.906 Td /F2.0 10.5 Tf <34> Tj ET @@ -24112,26 +23810,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 421.63 m -547.04 421.63 l +98.12 591.87 m +547.04 591.87 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 399.85 m -547.04 399.85 l +98.12 570.09 m +547.04 570.09 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 421.88 m -98.12 399.6 l +98.12 592.12 m +98.12 569.84 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 421.88 m -547.04 399.6 l +547.04 592.12 m +547.04 569.84 l S [] 0 d 1 w @@ -24139,7 +23837,7 @@ S 0.2 0.2 0.2 scn BT -101.12 406.666 Td +101.12 576.906 Td /F1.0 10.5 Tf <4c6f61642061646472657373206d6973616c69676e6564> Tj ET @@ -24147,26 +23845,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 399.85 m -98.12 399.85 l +48.24 570.09 m +98.12 570.09 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 378.07 m -98.12 378.07 l +48.24 548.31 m +98.12 548.31 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 400.1 m -48.24 377.82 l +48.24 570.34 m +48.24 548.06 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 400.1 m -98.12 377.82 l +98.12 570.34 m +98.12 548.06 l S [] 0 d 1 w @@ -24174,7 +23872,7 @@ S 0.2 0.2 0.2 scn BT -51.24 384.886 Td +51.24 555.126 Td /F2.0 10.5 Tf <35> Tj ET @@ -24182,26 +23880,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 399.85 m -547.04 399.85 l +98.12 570.09 m +547.04 570.09 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 378.07 m -547.04 378.07 l +98.12 548.31 m +547.04 548.31 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 400.1 m -98.12 377.82 l +98.12 570.34 m +98.12 548.06 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 400.1 m -547.04 377.82 l +547.04 570.34 m +547.04 548.06 l S [] 0 d 1 w @@ -24209,7 +23907,7 @@ S 0.2 0.2 0.2 scn BT -101.12 384.886 Td +101.12 555.126 Td /F1.0 10.5 Tf <4c6f616420616363657373206661756c74> Tj ET @@ -24217,26 +23915,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 378.07 m -98.12 378.07 l +48.24 548.31 m +98.12 548.31 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 356.29 m -98.12 356.29 l +48.24 526.53 m +98.12 526.53 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 378.32 m -48.24 356.04 l +48.24 548.56 m +48.24 526.28 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 378.32 m -98.12 356.04 l +98.12 548.56 m +98.12 526.28 l S [] 0 d 1 w @@ -24244,7 +23942,7 @@ S 0.2 0.2 0.2 scn BT -51.24 363.106 Td +51.24 533.346 Td /F2.0 10.5 Tf <36> Tj ET @@ -24252,26 +23950,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 378.07 m -547.04 378.07 l +98.12 548.31 m +547.04 548.31 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 356.29 m -547.04 356.29 l +98.12 526.53 m +547.04 526.53 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 378.32 m -98.12 356.04 l +98.12 548.56 m +98.12 526.28 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 378.32 m -547.04 356.04 l +547.04 548.56 m +547.04 526.28 l S [] 0 d 1 w @@ -24279,7 +23977,7 @@ S 0.2 0.2 0.2 scn BT -101.12 363.106 Td +101.12 533.346 Td /F1.0 10.5 Tf <53746f72652f414d4f2061646472657373206d6973616c69676e6564> Tj ET @@ -24287,26 +23985,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 356.29 m -98.12 356.29 l +48.24 526.53 m +98.12 526.53 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 334.51 m -98.12 334.51 l +48.24 504.75 m +98.12 504.75 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 356.54 m -48.24 334.26 l +48.24 526.78 m +48.24 504.5 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 356.54 m -98.12 334.26 l +98.12 526.78 m +98.12 504.5 l S [] 0 d 1 w @@ -24314,7 +24012,7 @@ S 0.2 0.2 0.2 scn BT -51.24 341.326 Td +51.24 511.566 Td /F2.0 10.5 Tf <37> Tj ET @@ -24322,26 +24020,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 356.29 m -547.04 356.29 l +98.12 526.53 m +547.04 526.53 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 334.51 m -547.04 334.51 l +98.12 504.75 m +547.04 504.75 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 356.54 m -98.12 334.26 l +98.12 526.78 m +98.12 504.5 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 356.54 m -547.04 334.26 l +547.04 526.78 m +547.04 504.5 l S [] 0 d 1 w @@ -24349,7 +24047,7 @@ S 0.2 0.2 0.2 scn BT -101.12 341.326 Td +101.12 511.566 Td /F1.0 10.5 Tf <53746f72652f414d4f20616363657373206661756c74> Tj ET @@ -24357,26 +24055,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 334.51 m -98.12 334.51 l +48.24 504.75 m +98.12 504.75 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 312.73 m -98.12 312.73 l +48.24 482.97 m +98.12 482.97 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 334.76 m -48.24 312.48 l +48.24 505.0 m +48.24 482.72 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 334.76 m -98.12 312.48 l +98.12 505.0 m +98.12 482.72 l S [] 0 d 1 w @@ -24384,7 +24082,7 @@ S 0.2 0.2 0.2 scn BT -51.24 319.546 Td +51.24 489.786 Td /F2.0 10.5 Tf <3131> Tj ET @@ -24392,26 +24090,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 334.51 m -547.04 334.51 l +98.12 504.75 m +547.04 504.75 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 312.73 m -547.04 312.73 l +98.12 482.97 m +547.04 482.97 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 334.76 m -98.12 312.48 l +98.12 505.0 m +98.12 482.72 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 334.76 m -547.04 312.48 l +547.04 505.0 m +547.04 482.72 l S [] 0 d 1 w @@ -24419,7 +24117,7 @@ S 0.2 0.2 0.2 scn BT -101.12 319.546 Td +101.12 489.786 Td /F1.0 10.5 Tf <456e7669726f6e6d656e742063616c6c> Tj ET @@ -24428,15 +24126,15 @@ ET q 0.5 w 0.9333 0.9333 0.9333 SCN -102.2593 300.73 m -102.2593 213.83 l +102.2593 470.97 m +102.2593 384.07 l S Q 0.2 0.2 0.2 scn 0.2 0.2 0.2 SCN BT -60.24 253.206 Td +60.24 423.446 Td /F2.0 10.5 Tf [<4e4f> 20.0195 <5445>] TJ ET @@ -24449,7 +24147,7 @@ ET 3.8045 Tw BT -114.2593 284.766 Td +114.2593 455.006 Td /F1.0 10.5 Tf <4e6f7420657665727920696e737472756374696f6e20666574636820627573206379636c652077686963682072657475726e73206120627573206572726f72206c6561647320746f20616e> Tj ET @@ -24464,7 +24162,7 @@ ET 1.0282 Tw BT -114.2593 268.986 Td +114.2593 439.226 Td /F1.0 10.5 Tf <657863657074696f6e2e2048617a61726433207072656665746368657320696e737472756374696f6e73206168656164206f6620657865637574696f6e2c20616e64206173736f63696174656420627573> Tj ET @@ -24479,7 +24177,7 @@ ET 0.1132 Tw BT -114.2593 253.206 Td +114.2593 423.446 Td /F1.0 10.5 Tf <6572726f7273206172652073706563756c61746564207468726f75676820746f2074686520706f696e74207468652070726f636573736f722061637475616c6c7920617474656d70747320746f206465636f6465> Tj ET @@ -24494,7 +24192,7 @@ ET 1.5228 Tw BT -114.2593 237.426 Td +114.2593 407.666 Td /F1.0 10.5 Tf [<74686520696e737472756374696f6e2e20556e74696c207468697320706f696e742c20746865206572726f722063616e20626520666c75736865642062> 20.0195 <792061206272> 20.0195 <616e63682c2077697468206e6f20696c6c>] TJ ET @@ -24507,7 +24205,7 @@ ET 0.2 0.2 0.2 SCN BT -114.2593 221.646 Td +114.2593 391.886 Td /F1.0 10.5 Tf <6566666563742e> Tj ET @@ -24518,7 +24216,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 183.146 Td +48.24 353.386 Td /F2.0 13 Tf <332e322e31312e206d7476616c> Tj ET @@ -24529,7 +24227,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 156.586 Td +48.24 326.826 Td /F1.0 10.5 Tf [<41> 20.0195 <6464726573733a20>] TJ ET @@ -24540,7 +24238,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -94.0618 156.586 Td +94.0618 326.826 Td /F3.0 10.5 Tf <3078333433> Tj ET @@ -24551,7 +24249,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 128.806 Td +48.24 299.046 Td /F1.0 10.5 Tf <48617264776972656420746f20302e> Tj ET @@ -24562,7 +24260,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 94.306 Td +48.24 264.546 Td /F2.0 13 Tf <332e322e31322e206d636f756e746572656e> Tj ET @@ -24573,7 +24271,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 67.746 Td +48.24 237.986 Td /F1.0 10.5 Tf [<41> 20.0195 <6464726573733a20>] TJ ET @@ -24584,11 +24282,132 @@ ET 0.6941 0.1294 0.2745 SCN BT -94.0618 67.746 Td +94.0618 237.986 Td /F3.0 10.5 Tf <3078333036> Tj ET +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 210.206 Td +/F1.0 10.5 Tf +[<556e696d706c656d656e7465642c206173206f6e6c79204d2d6d6f646520697320737570706f727465642e2041> 20.0195 <63636573732077696c6c20636175736520616e20696c6c6567616c20696e737472756374696f6e20657863657074696f6e2e>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 182.426 Td +/F1.0 10.5 Tf +<4e6f7420746f20626520636f6e6675736564207769746820> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2588 0.5451 0.7922 scn +0.2588 0.5451 0.7922 SCN + +BT +169.473 182.426 Td +/F1.0 10.5 Tf +<6d636f756e74696e6869626974> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +241.3455 182.426 Td +/F1.0 10.5 Tf +<2e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 142.586 Td +/F2.0 18 Tf +<332e332e205374616e64617264204d656d6f72792050726f74656374696f6e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 107.846 Td +/F2.0 13 Tf +<332e332e312e20706d7063666730c933> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 81.286 Td +/F1.0 10.5 Tf +[<41> 20.0195 <6464726573733a20>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +94.0618 81.286 Td +/F3.0 10.5 Tf +<3078336130> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +120.3118 81.286 Td +/F1.0 10.5 Tf +<207468726f75676820> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +166.0708 81.286 Td +/F3.0 10.5 Tf +<3078336133> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 53.506 Td +/F1.0 10.5 Tf +[<556e696d706c656d656e7465642e2041> 20.0195 <63636573732077696c6c20636175736520616e20696c6c6567616c20696e737472756374696f6e20657863657074696f6e2e>] TJ +ET + 0.0 0.0 0.0 SCN 0.0 0.0 0.0 scn q @@ -24615,7 +24434,7 @@ Q endstream endobj -98 0 obj +97 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -24623,35 +24442,40 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 97 0 R +/Contents 96 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F2.0 19 0 R /F1.0 8 0 R /F3.0 20 0 R -/F1.1 21 0 R >> -/XObject << /Stamp1 344 0 R +/XObject << /Stamp1 334 0 R >> >> -/Annots [99 0 R] +/Annots [100 0 R] >> endobj +98 0 obj +[97 0 R /XYZ 0 372.07 null] +endobj 99 0 obj +[97 0 R /XYZ 0 283.23 null] +endobj +100 0 obj << /Border [0 0 0] -/Dest (reg-midcr) +/Dest (reg-mcountinhibit) /Subtype /Link -/Rect [121.6665 549.28 151.476 563.56] +/Rect [169.473 179.36 241.3455 193.64] /Type /Annot >> endobj -100 0 obj -[98 0 R /XYZ 0 201.83 null] -endobj 101 0 obj -[98 0 R /XYZ 0 112.99 null] +[97 0 R /XYZ 0 166.61 null] endobj 102 0 obj -<< /Length 9915 +[97 0 R /XYZ 0 126.53 null] +endobj +103 0 obj +<< /Length 10929 >> stream q @@ -24661,128 +24485,7 @@ q 0.2 0.2 0.2 SCN BT -48.24 794.676 Td -/F1.0 10.5 Tf -[<556e696d706c656d656e7465642c206173206f6e6c79204d2d6d6f646520697320737570706f727465642e2041> 20.0195 <63636573732077696c6c20636175736520616e20696c6c6567616c20696e737472756374696f6e20657863657074696f6e2e>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 766.896 Td -/F1.0 10.5 Tf -<4e6f7420746f20626520636f6e6675736564207769746820> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2588 0.5451 0.7922 scn -0.2588 0.5451 0.7922 SCN - -BT -169.473 766.896 Td -/F1.0 10.5 Tf -<6d636f756e74696e6869626974> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -241.3455 766.896 Td -/F1.0 10.5 Tf -<2e> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 727.056 Td -/F2.0 18 Tf -<332e332e205374616e64617264204d656d6f72792050726f74656374696f6e> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 692.316 Td -/F2.0 13 Tf -<332e332e312e20706d7063666730c933> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 665.756 Td -/F1.0 10.5 Tf -[<41> 20.0195 <6464726573733a20>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -94.0618 665.756 Td -/F3.0 10.5 Tf -<3078336130> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -120.3118 665.756 Td -/F1.0 10.5 Tf -<207468726f75676820> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -166.0708 665.756 Td -/F3.0 10.5 Tf -<3078336133> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 637.976 Td -/F1.0 10.5 Tf -[<556e696d706c656d656e7465642e2041> 20.0195 <63636573732077696c6c20636175736520616e20696c6c6567616c20696e737472756374696f6e20657863657074696f6e2e>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 603.476 Td +48.24 792.006 Td /F2.0 13 Tf <332e332e322e20706d706164647230c93135> Tj ET @@ -24793,7 +24496,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 576.916 Td +48.24 765.446 Td /F1.0 10.5 Tf [<41> 20.0195 <6464726573733a20>] TJ ET @@ -24804,7 +24507,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -94.0618 576.916 Td +94.0618 765.446 Td /F3.0 10.5 Tf <3078336230> Tj ET @@ -24815,7 +24518,7 @@ ET 0.2 0.2 0.2 SCN BT -120.3118 576.916 Td +120.3118 765.446 Td /F1.0 10.5 Tf <207468726f75676820> Tj ET @@ -24826,7 +24529,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -166.0708 576.916 Td +166.0708 765.446 Td /F3.0 10.5 Tf <3078336266> Tj ET @@ -24837,7 +24540,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 549.136 Td +48.24 737.666 Td /F1.0 10.5 Tf [<556e696d706c656d656e7465642e2041> 20.0195 <63636573732077696c6c20636175736520616e20696c6c6567616c20696e737472756374696f6e20657863657074696f6e2e>] TJ ET @@ -24848,7 +24551,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 509.296 Td +48.24 697.826 Td /F2.0 18 Tf <332e342e205374616e64617264204d2d6d6f646520506572666f726d616e636520436f756e74657273> Tj ET @@ -24859,7 +24562,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 474.556 Td +48.24 663.086 Td /F2.0 13 Tf <332e342e312e206d6379636c65> Tj ET @@ -24870,7 +24573,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 447.996 Td +48.24 636.526 Td /F1.0 10.5 Tf [<41> 20.0195 <6464726573733a20>] TJ ET @@ -24881,7 +24584,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -94.0618 447.996 Td +94.0618 636.526 Td /F3.0 10.5 Tf <3078623030> Tj ET @@ -24894,7 +24597,7 @@ ET 0.6238 Tw BT -48.24 420.216 Td +48.24 608.746 Td /F1.0 10.5 Tf [<4c6f7765722068616c66206f66207468652036342d626974206379636c6520636f756e7465722e205265616461626c6520616e64207772697461626c652062> 20.0195 <7920736f6674776172652e20496e6372656d656e7473206576657279206379636c652c>] TJ ET @@ -24907,7 +24610,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 404.436 Td +48.24 592.966 Td /F1.0 10.5 Tf <756e6c65737320> Tj ET @@ -24918,7 +24621,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -82.7325 404.436 Td +82.7325 592.966 Td /F3.0 10.5 Tf <6d636f756e74696e68696269742e6379> Tj ET @@ -24929,7 +24632,7 @@ ET 0.2 0.2 0.2 SCN BT -166.7325 404.436 Td +166.7325 592.966 Td /F1.0 10.5 Tf <20697320312c206f72207468652070726f636573736f7220697320696e204465627567204d6f64652028617320> Tj ET @@ -24940,7 +24643,7 @@ ET 0.2588 0.5451 0.7922 SCN BT -381.321 404.436 Td +381.321 592.966 Td /F1.0 10.5 Tf <64637372> Tj ET @@ -24951,7 +24654,7 @@ ET 0.2 0.2 0.2 SCN BT -402.6045 404.436 Td +402.6045 592.966 Td /F1.0 10.5 Tf <2e> Tj ET @@ -24962,7 +24665,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -405.2295 404.436 Td +405.2295 592.966 Td /F3.0 10.5 Tf <73746f70636f756e74> Tj ET @@ -24973,7 +24676,7 @@ ET 0.2 0.2 0.2 SCN BT -452.4795 404.436 Td +452.4795 592.966 Td /F1.0 10.5 Tf <2069732068617264776972656420746f2031292e> Tj ET @@ -24986,7 +24689,7 @@ ET 1.8279 Tw BT -48.24 376.656 Td +48.24 565.186 Td /F1.0 10.5 Tf <4966207772697474656e207769746820612076616c756520> Tj ET @@ -25001,7 +24704,7 @@ ET 1.8279 Tw BT -171.9764 376.656 Td +171.9764 565.186 Td /F3.0 10.5 Tf <6e> Tj ET @@ -25016,7 +24719,7 @@ ET 1.8279 Tw BT -177.2264 376.656 Td +177.2264 565.186 Td /F1.0 10.5 Tf <20616e642072656164206f6e207468652076657279206e657874206379636c652c207468652076616c756520726561642077696c6c2062652065786163746c7920> Tj ET @@ -25031,7 +24734,7 @@ ET 1.8279 Tw BT -517.1342 376.656 Td +517.1342 565.186 Td /F3.0 10.5 Tf <6e202b2031> Tj ET @@ -25046,7 +24749,7 @@ ET 1.8279 Tw BT -547.04 376.656 Td +547.04 565.186 Td ET @@ -25057,7 +24760,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 360.876 Td +48.24 549.406 Td /F1.0 10.5 Tf [<2869676e6f72696e67207772> 20.0195 <617070696e67292e>] TJ ET @@ -25068,7 +24771,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 326.376 Td +48.24 514.906 Td /F2.0 13 Tf <332e342e322e206d6379636c6568> Tj ET @@ -25079,7 +24782,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 299.816 Td +48.24 488.346 Td /F1.0 10.5 Tf [<41> 20.0195 <6464726573733a20>] TJ ET @@ -25090,7 +24793,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -94.0618 299.816 Td +94.0618 488.346 Td /F3.0 10.5 Tf <3078623830> Tj ET @@ -25103,7 +24806,7 @@ ET 1.0828 Tw BT -48.24 272.036 Td +48.24 460.566 Td /F1.0 10.5 Tf [<55707065722068616c66206f66207468652036342d626974206379636c6520636f756e7465722e205265616461626c6520616e64207772697461626c652062> 20.0195 <7920736f6674776172652e20496e6372656d656e74732065766572792074696d65>] TJ ET @@ -25116,7 +24819,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -48.24 256.256 Td +48.24 444.786 Td /F3.0 10.5 Tf <6d6379636c65> Tj ET @@ -25127,7 +24830,7 @@ ET 0.2 0.2 0.2 SCN BT -79.74 256.256 Td +79.74 444.786 Td /F1.0 10.5 Tf [<207772> 20.0195 <6170732066726f6d20>] TJ ET @@ -25138,7 +24841,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -143.5378 256.256 Td +143.5378 444.786 Td /F3.0 10.5 Tf <30786666666666666666> Tj ET @@ -25149,7 +24852,7 @@ ET 0.2 0.2 0.2 SCN BT -196.0378 256.256 Td +196.0378 444.786 Td /F1.0 10.5 Tf <20746f20> Tj ET @@ -25160,7 +24863,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -211.2313 256.256 Td +211.2313 444.786 Td /F3.0 10.5 Tf <30783030303030303030> Tj ET @@ -25171,7 +24874,7 @@ ET 0.2 0.2 0.2 SCN BT -263.7313 256.256 Td +263.7313 444.786 Td /F1.0 10.5 Tf <2075706f6e20696e6372656d656e742e> Tj ET @@ -25182,7 +24885,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 221.756 Td +48.24 410.286 Td /F2.0 13 Tf <332e342e332e206d696e7374726574> Tj ET @@ -25193,7 +24896,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 195.196 Td +48.24 383.726 Td /F1.0 10.5 Tf [<41> 20.0195 <6464726573733a20>] TJ ET @@ -25204,7 +24907,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -94.0618 195.196 Td +94.0618 383.726 Td /F3.0 10.5 Tf <3078623032> Tj ET @@ -25217,7 +24920,7 @@ ET 0.7033 Tw BT -48.24 167.416 Td +48.24 355.946 Td /F1.0 10.5 Tf [<4c6f7765722068616c66206f66207468652036342d62697420696e737472756374696f6e2072657469726520636f756e7465722e205265616461626c6520616e64207772697461626c652062> 20.0195 <7920736f6674776172652e20496e6372656d656e7473>] TJ ET @@ -25232,7 +24935,7 @@ ET 0.2623 Tw BT -48.24 151.636 Td +48.24 340.166 Td /F1.0 10.5 Tf <7769746820657665727920696e737472756374696f6e2065786563747565642c20756e6c65737320> Tj ET @@ -25247,7 +24950,7 @@ ET 0.2623 Tw BT -248.5477 151.636 Td +248.5477 340.166 Td /F3.0 10.5 Tf <6d636f756e74696e68696269742e6972> Tj ET @@ -25262,7 +24965,7 @@ ET 0.2623 Tw BT -332.5477 151.636 Td +332.5477 340.166 Td /F1.0 10.5 Tf <20697320312c206f72207468652070726f636573736f7220697320696e204465627567204d6f646520286173> Tj ET @@ -25275,7 +24978,7 @@ ET 0.2588 0.5451 0.7922 SCN BT -48.24 135.856 Td +48.24 324.386 Td /F1.0 10.5 Tf <64637372> Tj ET @@ -25286,7 +24989,7 @@ ET 0.2 0.2 0.2 SCN BT -69.5235 135.856 Td +69.5235 324.386 Td /F1.0 10.5 Tf <2e> Tj ET @@ -25297,7 +25000,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -72.1485 135.856 Td +72.1485 324.386 Td /F3.0 10.5 Tf <73746f70636f756e74> Tj ET @@ -25308,7 +25011,7 @@ ET 0.2 0.2 0.2 SCN BT -119.3985 135.856 Td +119.3985 324.386 Td /F1.0 10.5 Tf <2069732068617264776972656420746f2031292e> Tj ET @@ -25319,7 +25022,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 101.356 Td +48.24 289.886 Td /F2.0 13 Tf <332e342e342e206d696e737472657468> Tj ET @@ -25330,7 +25033,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 74.796 Td +48.24 263.326 Td /F1.0 10.5 Tf [<41> 20.0195 <6464726573733a20>] TJ ET @@ -25341,11 +25044,224 @@ ET 0.6941 0.1294 0.2745 SCN BT -94.0618 74.796 Td +94.0618 263.326 Td /F3.0 10.5 Tf <3078623832> Tj ET +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.7994 Tw + +BT +48.24 235.546 Td +/F1.0 10.5 Tf +[<55707065722068616c66206f66207468652036342d62697420696e737472756374696f6e2072657469726520636f756e7465722e205265616461626c6520616e64207772697461626c652062> 20.0195 <7920736f6674776172652e20496e6372656d656e7473>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 219.766 Td +/F1.0 10.5 Tf +<65766572792074696d6520> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +104.436 219.766 Td +/F3.0 10.5 Tf +<6d696e7374726574> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +146.436 219.766 Td +/F1.0 10.5 Tf +[<207772> 20.0195 <6170732066726f6d20>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +210.2338 219.766 Td +/F3.0 10.5 Tf +<30786666666666666666> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +262.7338 219.766 Td +/F1.0 10.5 Tf +<20746f20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +277.9273 219.766 Td +/F3.0 10.5 Tf +<30783030303030303030> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +330.4273 219.766 Td +/F1.0 10.5 Tf +<2075706f6e20696e6372656d656e742e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 185.266 Td +/F2.0 13 Tf +<332e342e352e206d68706d636f756e74657233c93331> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 158.706 Td +/F1.0 10.5 Tf +[<41> 20.0195 <6464726573733a20>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +94.0618 158.706 Td +/F3.0 10.5 Tf +<3078623033> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +120.3118 158.706 Td +/F1.0 10.5 Tf +<207468726f75676820> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +166.0708 158.706 Td +/F3.0 10.5 Tf +<3078623166> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 130.926 Td +/F1.0 10.5 Tf +<48617264776972656420746f20302e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 96.426 Td +/F2.0 13 Tf +<332e342e362e206d68706d636f756e74657233c9333168> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 69.866 Td +/F1.0 10.5 Tf +[<41> 20.0195 <6464726573733a20>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +94.0618 69.866 Td +/F3.0 10.5 Tf +<3078623833> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +120.3118 69.866 Td +/F1.0 10.5 Tf +<207468726f75676820> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +166.0708 69.866 Td +/F3.0 10.5 Tf +<3078623966> Tj +ET + 0.0 0.0 0.0 SCN 0.0 0.0 0.0 scn q @@ -25372,7 +25288,7 @@ Q endstream endobj -103 0 obj +104 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -25380,68 +25296,60 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 102 0 R +/Contents 103 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] -/Font << /F1.0 8 0 R -/F2.0 19 0 R +/Font << /F2.0 19 0 R +/F1.0 8 0 R /F3.0 20 0 R >> -/XObject << /Stamp2 345 0 R +/XObject << /Stamp2 335 0 R >> >> -/Annots [104 0 R 110 0 R 113 0 R] ->> -endobj -104 0 obj -<< /Border [0 0 0] -/Dest (reg-mcountinhibit) -/Subtype /Link -/Rect [169.473 763.83 241.3455 778.11] -/Type /Annot +/Annots [108 0 R 111 0 R] >> endobj 105 0 obj -[103 0 R /XYZ 0 751.08 null] +[104 0 R /XYZ 0 841.89 null] endobj 106 0 obj -[103 0 R /XYZ 0 711 null] +[104 0 R /XYZ 0 721.85 null] endobj 107 0 obj -[103 0 R /XYZ 0 622.16 null] +[104 0 R /XYZ 0 681.77 null] endobj 108 0 obj -[103 0 R /XYZ 0 533.32 null] +<< /Border [0 0 0] +/Dest (reg-dcsr) +/Subtype /Link +/Rect [381.321 589.9 402.6045 604.18] +/Type /Annot +>> endobj 109 0 obj -[103 0 R /XYZ 0 493.24 null] +[104 0 R /XYZ 0 533.59 null] endobj 110 0 obj -<< /Border [0 0 0] -/Dest (reg-dcsr) -/Subtype /Link -/Rect [381.321 401.37 402.6045 415.65] -/Type /Annot ->> +[104 0 R /XYZ 0 428.97 null] endobj 111 0 obj -[103 0 R /XYZ 0 345.06 null] -endobj -112 0 obj -[103 0 R /XYZ 0 240.44 null] -endobj -113 0 obj << /Border [0 0 0] /Dest (reg-dcsr) /Subtype /Link -/Rect [48.24 132.79 69.5235 147.07] +/Rect [48.24 321.32 69.5235 335.6] /Type /Annot >> endobj +112 0 obj +[104 0 R /XYZ 0 308.57 null] +endobj +113 0 obj +[104 0 R /XYZ 0 203.95 null] +endobj 114 0 obj -[103 0 R /XYZ 0 120.04 null] +[104 0 R /XYZ 0 115.11 null] endobj 115 0 obj -<< /Length 12237 +<< /Length 11327 >> stream q @@ -25450,156 +25358,9 @@ q /DeviceRGB CS 0.2 0.2 0.2 SCN -0.7994 Tw - BT 48.24 794.676 Td /F1.0 10.5 Tf -[<55707065722068616c66206f66207468652036342d62697420696e737472756374696f6e2072657469726520636f756e7465722e205265616461626c6520616e64207772697461626c652062> 20.0195 <7920736f6674776172652e20496e6372656d656e7473>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 778.896 Td -/F1.0 10.5 Tf -<65766572792074696d6520> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -104.436 778.896 Td -/F3.0 10.5 Tf -<6d696e7374726574> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -146.436 778.896 Td -/F1.0 10.5 Tf -[<207772> 20.0195 <6170732066726f6d20>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -210.2338 778.896 Td -/F3.0 10.5 Tf -<30786666666666666666> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -262.7338 778.896 Td -/F1.0 10.5 Tf -<20746f20> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -277.9273 778.896 Td -/F3.0 10.5 Tf -<30783030303030303030> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -330.4273 778.896 Td -/F1.0 10.5 Tf -<2075706f6e20696e6372656d656e742e> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 744.396 Td -/F2.0 13 Tf -<332e342e352e206d68706d636f756e74657233c93331> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 717.836 Td -/F1.0 10.5 Tf -[<41> 20.0195 <6464726573733a20>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -94.0618 717.836 Td -/F3.0 10.5 Tf -<3078623033> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -120.3118 717.836 Td -/F1.0 10.5 Tf -<207468726f75676820> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -166.0708 717.836 Td -/F3.0 10.5 Tf -<3078623166> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 690.056 Td -/F1.0 10.5 Tf <48617264776972656420746f20302e> Tj ET @@ -25609,73 +25370,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 655.556 Td -/F2.0 13 Tf -<332e342e362e206d68706d636f756e74657233c9333168> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 628.996 Td -/F1.0 10.5 Tf -[<41> 20.0195 <6464726573733a20>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -94.0618 628.996 Td -/F3.0 10.5 Tf -<3078623833> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -120.3118 628.996 Td -/F1.0 10.5 Tf -<207468726f75676820> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -166.0708 628.996 Td -/F3.0 10.5 Tf -<3078623966> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 601.216 Td -/F1.0 10.5 Tf -<48617264776972656420746f20302e> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 566.716 Td +48.24 760.176 Td /F2.0 13 Tf <332e342e372e206d636f756e74696e6869626974> Tj ET @@ -25686,7 +25381,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 540.156 Td +48.24 733.616 Td /F1.0 10.5 Tf [<41> 20.0195 <6464726573733a20>] TJ ET @@ -25697,7 +25392,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -94.0618 540.156 Td +94.0618 733.616 Td /F3.0 10.5 Tf <3078333230> Tj ET @@ -25708,7 +25403,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 512.376 Td +48.24 705.836 Td /F1.0 10.5 Tf <436f756e74657220696e68696269742e20526561642d77726974652e20546865207461626c652062656c6f77206c6973747320746865206669656c64732077686963682061726520> Tj ET @@ -25719,7 +25414,7 @@ ET 0.2 0.2 0.2 SCN BT -396.294 512.376 Td +396.294 705.836 Td /F4.0 10.5 Tf <6e6f74> Tj ET @@ -25730,7 +25425,7 @@ ET 0.2 0.2 0.2 SCN BT -412.4745 512.376 Td +412.4745 705.836 Td /F1.0 10.5 Tf <2068617264776972656420746f20303a> Tj ET @@ -25738,63 +25433,63 @@ ET 0.0 0.0 0.0 SCN 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 474.78 49.88 21.78 re +48.24 668.24 49.88 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 474.78 99.76 21.78 re +98.12 668.24 99.76 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -197.88 474.78 349.16 21.78 re +197.88 668.24 349.16 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 453.0 49.88 21.78 re +48.24 646.46 49.88 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 453.0 99.76 21.78 re +98.12 646.46 99.76 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -197.88 453.0 349.16 21.78 re +197.88 646.46 349.16 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 431.22 49.88 21.78 re +48.24 624.68 49.88 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 431.22 99.76 21.78 re +98.12 624.68 99.76 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -197.88 431.22 349.16 21.78 re +197.88 624.68 349.16 21.78 re f 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 496.56 m -98.12 496.56 l +48.24 690.02 m +98.12 690.02 l S [] 0 d 1.25 w 0.8667 0.8667 0.8667 SCN -48.24 474.78 m -98.12 474.78 l +48.24 668.24 m +98.12 668.24 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 496.81 m -48.24 474.155 l +48.24 690.27 m +48.24 667.615 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 496.81 m -98.12 474.155 l +98.12 690.27 m +98.12 667.615 l S [] 0 d 1 w @@ -25802,7 +25497,7 @@ S 0.2 0.2 0.2 scn BT -51.24 481.596 Td +51.24 675.056 Td /F2.0 10.5 Tf <42697473> Tj ET @@ -25810,26 +25505,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 496.56 m -197.88 496.56 l +98.12 690.02 m +197.88 690.02 l S [] 0 d 1.25 w 0.8667 0.8667 0.8667 SCN -98.12 474.78 m -197.88 474.78 l +98.12 668.24 m +197.88 668.24 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 496.81 m -98.12 474.155 l +98.12 690.27 m +98.12 667.615 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 496.81 m -197.88 474.155 l +197.88 690.27 m +197.88 667.615 l S [] 0 d 1 w @@ -25837,7 +25532,7 @@ S 0.2 0.2 0.2 scn BT -101.12 481.596 Td +101.12 675.056 Td /F2.0 10.5 Tf <4e616d65> Tj ET @@ -25845,26 +25540,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 496.56 m -547.04 496.56 l +197.88 690.02 m +547.04 690.02 l S [] 0 d 1.25 w 0.8667 0.8667 0.8667 SCN -197.88 474.78 m -547.04 474.78 l +197.88 668.24 m +547.04 668.24 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 496.81 m -197.88 474.155 l +197.88 690.27 m +197.88 667.615 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 496.81 m -547.04 474.155 l +547.04 690.27 m +547.04 667.615 l S [] 0 d 1 w @@ -25872,7 +25567,7 @@ S 0.2 0.2 0.2 scn BT -200.88 481.596 Td +200.88 675.056 Td /F2.0 10.5 Tf <4465736372697074696f6e> Tj ET @@ -25880,26 +25575,26 @@ ET 0.0 0.0 0.0 scn 1.25 w 0.8667 0.8667 0.8667 SCN -48.24 474.78 m -98.12 474.78 l +48.24 668.24 m +98.12 668.24 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 453.0 m -98.12 453.0 l +48.24 646.46 m +98.12 646.46 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 475.405 m -48.24 452.75 l +48.24 668.865 m +48.24 646.21 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 475.405 m -98.12 452.75 l +98.12 668.865 m +98.12 646.21 l S [] 0 d 1 w @@ -25907,7 +25602,7 @@ S 0.2 0.2 0.2 scn BT -51.24 459.816 Td +51.24 653.276 Td /F2.0 10.5 Tf <32> Tj ET @@ -25915,26 +25610,26 @@ ET 0.0 0.0 0.0 scn 1.25 w 0.8667 0.8667 0.8667 SCN -98.12 474.78 m -197.88 474.78 l +98.12 668.24 m +197.88 668.24 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 453.0 m -197.88 453.0 l +98.12 646.46 m +197.88 646.46 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 475.405 m -98.12 452.75 l +98.12 668.865 m +98.12 646.21 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 475.405 m -197.88 452.75 l +197.88 668.865 m +197.88 646.21 l S [] 0 d 1 w @@ -25944,7 +25639,7 @@ S 0.6941 0.1294 0.2745 SCN BT -101.12 462.0 Td +101.12 655.46 Td /F3.0 10.5 Tf <6972> Tj ET @@ -25954,26 +25649,26 @@ ET 0.0 0.0 0.0 scn 1.25 w 0.8667 0.8667 0.8667 SCN -197.88 474.78 m -547.04 474.78 l +197.88 668.24 m +547.04 668.24 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 453.0 m -547.04 453.0 l +197.88 646.46 m +547.04 646.46 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 475.405 m -197.88 452.75 l +197.88 668.865 m +197.88 646.21 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 475.405 m -547.04 452.75 l +547.04 668.865 m +547.04 646.21 l S [] 0 d 1 w @@ -25981,7 +25676,7 @@ S 0.2 0.2 0.2 scn BT -200.88 459.816 Td +200.88 653.276 Td /F1.0 10.5 Tf <5768656e20312c20696e686962697420636f756e74696e67206f6620> Tj ET @@ -25990,7 +25685,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -340.6665 459.816 Td +340.6665 653.276 Td /F3.0 10.5 Tf <6d696e7374726574> Tj ET @@ -25999,7 +25694,7 @@ ET 0.2 0.2 0.2 scn BT -382.6665 459.816 Td +382.6665 653.276 Td /F1.0 10.5 Tf <2f> Tj ET @@ -26008,7 +25703,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -385.6905 459.816 Td +385.6905 653.276 Td /F3.0 10.5 Tf <6d696e737472657468> Tj ET @@ -26018,26 +25713,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 453.0 m -98.12 453.0 l +48.24 646.46 m +98.12 646.46 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 431.22 m -98.12 431.22 l +48.24 624.68 m +98.12 624.68 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 453.25 m -48.24 430.97 l +48.24 646.71 m +48.24 624.43 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 453.25 m -98.12 430.97 l +98.12 646.71 m +98.12 624.43 l S [] 0 d 1 w @@ -26045,7 +25740,7 @@ S 0.2 0.2 0.2 scn BT -51.24 438.036 Td +51.24 631.496 Td /F2.0 10.5 Tf <30> Tj ET @@ -26053,26 +25748,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 453.0 m -197.88 453.0 l +98.12 646.46 m +197.88 646.46 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 431.22 m -197.88 431.22 l +98.12 624.68 m +197.88 624.68 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 453.25 m -98.12 430.97 l +98.12 646.71 m +98.12 624.43 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 453.25 m -197.88 430.97 l +197.88 646.71 m +197.88 624.43 l S [] 0 d 1 w @@ -26082,7 +25777,7 @@ S 0.6941 0.1294 0.2745 SCN BT -101.12 440.22 Td +101.12 633.68 Td /F3.0 10.5 Tf <6379> Tj ET @@ -26092,26 +25787,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 453.0 m -547.04 453.0 l +197.88 646.46 m +547.04 646.46 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 431.22 m -547.04 431.22 l +197.88 624.68 m +547.04 624.68 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 453.25 m -197.88 430.97 l +197.88 646.71 m +197.88 624.43 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 453.25 m -547.04 430.97 l +547.04 646.71 m +547.04 624.43 l S [] 0 d 1 w @@ -26119,7 +25814,7 @@ S 0.2 0.2 0.2 scn BT -200.88 438.036 Td +200.88 631.496 Td /F1.0 10.5 Tf <5768656e20312c20696e686962697420636f756e74696e67206f6620> Tj ET @@ -26128,7 +25823,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -340.6665 438.036 Td +340.6665 631.496 Td /F3.0 10.5 Tf <6d6379636c65> Tj ET @@ -26137,7 +25832,7 @@ ET 0.2 0.2 0.2 scn BT -372.1665 438.036 Td +372.1665 631.496 Td /F1.0 10.5 Tf <2f> Tj ET @@ -26146,7 +25841,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -375.1905 438.036 Td +375.1905 631.496 Td /F3.0 10.5 Tf <6d6379636c6568> Tj ET @@ -26158,7 +25853,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 400.536 Td +48.24 593.996 Td /F2.0 13 Tf <332e342e382e206d68706d6576656e7433c93331> Tj ET @@ -26169,7 +25864,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 373.976 Td +48.24 567.436 Td /F1.0 10.5 Tf [<41> 20.0195 <6464726573733a20>] TJ ET @@ -26180,7 +25875,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -94.0618 373.976 Td +94.0618 567.436 Td /F3.0 10.5 Tf <3078333233> Tj ET @@ -26191,7 +25886,7 @@ ET 0.2 0.2 0.2 SCN BT -120.3118 373.976 Td +120.3118 567.436 Td /F1.0 10.5 Tf <207468726f75676820> Tj ET @@ -26202,7 +25897,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -166.0708 373.976 Td +166.0708 567.436 Td /F3.0 10.5 Tf <3078333366> Tj ET @@ -26213,7 +25908,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 346.196 Td +48.24 539.656 Td /F1.0 10.5 Tf <48617264776972656420746f20302e> Tj ET @@ -26224,7 +25919,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 306.356 Td +48.24 499.816 Td /F2.0 18 Tf <332e352e205374616e6461726420547269676765722043535273> Tj ET @@ -26235,7 +25930,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 271.616 Td +48.24 465.076 Td /F2.0 13 Tf <332e352e312e207473656c656374> Tj ET @@ -26246,7 +25941,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 245.056 Td +48.24 438.516 Td /F1.0 10.5 Tf [<41> 20.0195 <6464726573733a20>] TJ ET @@ -26257,7 +25952,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -94.0618 245.056 Td +94.0618 438.516 Td /F3.0 10.5 Tf <3078376130> Tj ET @@ -26268,7 +25963,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 217.276 Td +48.24 410.736 Td /F1.0 10.5 Tf <556e696d706c656d656e7465642e20526561647320617320302c2077726974652063617573657320696c6c6567616c20696e737472756374696f6e20657863657074696f6e2e> Tj ET @@ -26279,7 +25974,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 182.776 Td +48.24 376.236 Td /F2.0 13 Tf <332e352e322e20746461746131c933> Tj ET @@ -26290,7 +25985,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 156.216 Td +48.24 349.676 Td /F1.0 10.5 Tf [<41> 20.0195 <6464726573733a20>] TJ ET @@ -26301,7 +25996,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -94.0618 156.216 Td +94.0618 349.676 Td /F3.0 10.5 Tf <3078376131> Tj ET @@ -26312,7 +26007,7 @@ ET 0.2 0.2 0.2 SCN BT -120.3118 156.216 Td +120.3118 349.676 Td /F1.0 10.5 Tf <207468726f75676820> Tj ET @@ -26323,7 +26018,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -166.0708 156.216 Td +166.0708 349.676 Td /F3.0 10.5 Tf <3078376133> Tj ET @@ -26334,7 +26029,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 128.436 Td +48.24 321.896 Td /F1.0 10.5 Tf [<556e696d706c656d656e7465642e2041> 20.0195 <63636573732077696c6c20636175736520616e20696c6c6567616c20696e737472756374696f6e20657863657074696f6e2e>] TJ ET @@ -26345,7 +26040,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 88.596 Td +48.24 282.056 Td /F2.0 18 Tf <332e362e205374616e64617264204465627567204d6f64652043535273> Tj ET @@ -26358,13 +26053,139 @@ ET 1.5651 Tw BT -48.24 60.576 Td +48.24 254.036 Td /F1.0 10.5 Tf <546869732073656374696f6e2064657363726962657320746865204465627567204d6f646520435352732c20776869636820666f6c6c6f772074686520302e31332e3220524953432d562064656275672073706563696669636174696f6e2e> Tj ET 0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +3.6468 Tw + +BT +48.24 238.256 Td +/F1.0 10.5 Tf +<54686520> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2588 0.5451 0.7922 scn +0.2588 0.5451 0.7922 SCN + +3.6468 Tw + +BT +73.3068 238.256 Td +/F1.0 10.5 Tf +<4465627567> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +3.6468 Tw + +BT +105.3003 238.256 Td +/F1.0 10.5 Tf +<2073656374696f6e206769766573206d6f72652064657461696c206f6e207468652072656d61696e646572206f662048617a61726433d57320646562756720696d706c656d656e746174696f6e2c> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 222.476 Td +/F1.0 10.5 Tf +<696e636c7564696e6720746865204465627567204d6f64756c652e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 194.696 Td +/F1.0 10.5 Tf +[<416c6c204465627567204d6f64652043535273206172652033322d6269743b2044> 20.0195 <584c454e20697320616c7761> 20.0195 <79732033322e>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 160.196 Td +/F2.0 13 Tf +<332e362e312e2064637372> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 133.636 Td +/F1.0 10.5 Tf +[<41> 20.0195 <6464726573733a20>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +94.0618 133.636 Td +/F3.0 10.5 Tf +<3078376230> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.3498 Tw + +BT +48.24 105.856 Td +/F1.0 10.5 Tf +[<446562756720636f6e74726f6c20616e64207374617475732072656769737465722e2041> 20.0195 <6363657373206f757473696465206f66204465627567204d6f64652077696c6c20636175736520616e20696c6c6567616c20696e737472756374696f6e>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 90.076 Td +/F1.0 10.5 Tf +<657863657074696f6e2e2052656c6576616e74206669656c64732061726520696d706c656d656e74656420617320666f6c6c6f77733a> Tj +ET + 0.0 0.0 0.0 SCN 0.0 0.0 0.0 scn q @@ -26402,307 +26223,187 @@ endobj /Contents 115 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F1.0 8 0 R -/F3.0 20 0 R /F2.0 19 0 R +/F3.0 20 0 R /F4.0 61 0 R >> -/XObject << /Stamp1 344 0 R +/XObject << /Stamp1 334 0 R >> >> +/Annots [124 0 R] >> endobj 117 0 obj -[116 0 R /XYZ 0 763.08 null] +[116 0 R /XYZ 0 778.86 null] endobj 118 0 obj -[116 0 R /XYZ 0 674.24 null] +[116 0 R /XYZ 0 612.68 null] endobj 119 0 obj -[116 0 R /XYZ 0 585.4 null] +[116 0 R /XYZ 0 523.84 null] endobj 120 0 obj -[116 0 R /XYZ 0 419.22 null] +[116 0 R /XYZ 0 483.76 null] endobj 121 0 obj -[116 0 R /XYZ 0 330.38 null] -endobj -122 0 obj -[116 0 R /XYZ 0 290.3 null] -endobj -123 0 obj -<< /Limits [(_pmpaddr015) (reg-midcr)] -/Names [(_pmpaddr015) 107 0 R (_pmpcfg03) 106 0 R (_privileged_instructions_including_zicsr) 47 0 R (_rv32i) 25 0 R (_standard_m_mode_identification_csrs) 59 0 R (_standard_m_mode_performance_counters) 108 0 R (_standard_m_mode_trap_handling_csrs) 72 0 R (_standard_memory_protection) 105 0 R (_standard_trigger_csrs) 121 0 R (_tdata13) 124 0 R (_tselect) 122 0 R (debug-chapter) 151 0 R (debug-csr-section) 125 0 R (reg-dcsr) 129 0 R (reg-dmdata0) 138 0 R (reg-mcountinhibit) 119 0 R (reg-meie0) 143 0 R (reg-meip0) 144 0 R (reg-midcr) 142 0 R] +<< /Limits [(_pmpaddr015) (reg-meip0)] +/Names [(_pmpaddr015) 105 0 R (_pmpcfg03) 102 0 R (_privileged_instructions_including_zicsr) 47 0 R (_rv32i) 25 0 R (_standard_m_mode_identification_csrs) 59 0 R (_standard_m_mode_performance_counters) 106 0 R (_standard_m_mode_trap_handling_csrs) 72 0 R (_standard_memory_protection) 101 0 R (_standard_trigger_csrs) 119 0 R (_tdata13) 122 0 R (_tselect) 120 0 R (debug-chapter) 147 0 R (debug-csr-section) 123 0 R (reg-dcsr) 125 0 R (reg-dmdata0) 136 0 R (reg-mcountinhibit) 117 0 R (reg-meie0) 138 0 R (reg-meip0) 141 0 R] >> endobj +122 0 obj +[116 0 R /XYZ 0 394.92 null] +endobj +123 0 obj +[116 0 R /XYZ 0 306.08 null] +endobj 124 0 obj -[116 0 R /XYZ 0 201.46 null] +<< /Border [0 0 0] +/Dest (debug-chapter) +/Subtype /Link +/Rect [73.3068 235.19 105.3003 249.47] +/Type /Annot +>> endobj 125 0 obj -[116 0 R /XYZ 0 112.62 null] +[116 0 R /XYZ 0 178.88 null] endobj 126 0 obj -<< /Length 23575 +<< /Length 24468 >> stream q /DeviceRGB cs -0.2 0.2 0.2 scn -/DeviceRGB CS -0.2 0.2 0.2 SCN - -3.6468 Tw - -BT -48.24 794.676 Td -/F1.0 10.5 Tf -<54686520> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2588 0.5451 0.7922 scn -0.2588 0.5451 0.7922 SCN - -3.6468 Tw - -BT -73.3068 794.676 Td -/F1.0 10.5 Tf -<4465627567> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -3.6468 Tw - -BT -105.3003 794.676 Td -/F1.0 10.5 Tf -<2073656374696f6e206769766573206d6f72652064657461696c206f6e207468652072656d61696e646572206f662048617a61726433d57320646562756720696d706c656d656e746174696f6e2c> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 778.896 Td -/F1.0 10.5 Tf -<696e636c7564696e6720746865204465627567204d6f64756c652e> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 751.116 Td -/F1.0 10.5 Tf -[<416c6c204465627567204d6f64652043535273206172652033322d6269743b2044> 20.0195 <584c454e20697320616c7761> 20.0195 <79732033322e>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 716.616 Td -/F2.0 13 Tf -<332e362e312e2064637372> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 690.056 Td -/F1.0 10.5 Tf -[<41> 20.0195 <6464726573733a20>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -94.0618 690.056 Td -/F3.0 10.5 Tf -<3078376230> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -1.3498 Tw - -BT -48.24 662.276 Td -/F1.0 10.5 Tf -[<446562756720636f6e74726f6c20616e64207374617475732072656769737465722e2041> 20.0195 <6363657373206f757473696465206f66204465627567204d6f64652077696c6c20636175736520616e20696c6c6567616c20696e737472756374696f6e>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 646.496 Td -/F1.0 10.5 Tf -<657863657074696f6e2e2052656c6576616e74206669656c64732061726520696d706c656d656e74656420617320666f6c6c6f77733a> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 608.9 49.88 21.78 re +48.24 784.11 49.88 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 608.9 99.76 21.78 re +98.12 784.11 99.76 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -197.88 608.9 349.16 21.78 re +197.88 784.11 349.16 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 571.34 49.88 37.56 re +48.24 746.55 49.88 37.56 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 571.34 99.76 37.56 re +98.12 746.55 99.76 37.56 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -197.88 571.34 349.16 37.56 re +197.88 746.55 349.16 37.56 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 533.78 49.88 37.56 re +48.24 708.99 49.88 37.56 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 533.78 99.76 37.56 re +98.12 708.99 99.76 37.56 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -197.88 533.78 349.16 37.56 re +197.88 708.99 349.16 37.56 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 496.22 49.88 37.56 re +48.24 671.43 49.88 37.56 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 496.22 99.76 37.56 re +98.12 671.43 99.76 37.56 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -197.88 496.22 349.16 37.56 re +197.88 671.43 349.16 37.56 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 458.66 49.88 37.56 re +48.24 633.87 49.88 37.56 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 458.66 99.76 37.56 re +98.12 633.87 99.76 37.56 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -197.88 458.66 349.16 37.56 re +197.88 633.87 349.16 37.56 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 405.32 49.88 53.34 re +48.24 580.53 49.88 53.34 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 405.32 99.76 53.34 re +98.12 580.53 99.76 53.34 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -197.88 405.32 349.16 53.34 re +197.88 580.53 349.16 53.34 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 383.54 49.88 21.78 re +48.24 558.75 49.88 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 383.54 99.76 21.78 re +98.12 558.75 99.76 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -197.88 383.54 349.16 21.78 re +197.88 558.75 349.16 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 345.98 49.88 37.56 re +48.24 521.19 49.88 37.56 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 345.98 99.76 37.56 re +98.12 521.19 99.76 37.56 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -197.88 345.98 349.16 37.56 re +197.88 521.19 349.16 37.56 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 324.2 49.88 21.78 re +48.24 499.41 49.88 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 324.2 99.76 21.78 re +98.12 499.41 99.76 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -197.88 324.2 349.16 21.78 re +197.88 499.41 349.16 21.78 re f 0.0 0.0 0.0 scn 0.5 w +/DeviceRGB CS 0.8667 0.8667 0.8667 SCN -48.24 630.68 m -98.12 630.68 l +48.24 805.89 m +98.12 805.89 l S [] 0 d 1.25 w 0.8667 0.8667 0.8667 SCN -48.24 608.9 m -98.12 608.9 l +48.24 784.11 m +98.12 784.11 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 630.93 m -48.24 608.275 l +48.24 806.14 m +48.24 783.485 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 630.93 m -98.12 608.275 l +98.12 806.14 m +98.12 783.485 l S [] 0 d 1 w @@ -26710,7 +26411,7 @@ S 0.2 0.2 0.2 scn BT -51.24 615.716 Td +51.24 790.926 Td /F2.0 10.5 Tf <42697473> Tj ET @@ -26718,26 +26419,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 630.68 m -197.88 630.68 l +98.12 805.89 m +197.88 805.89 l S [] 0 d 1.25 w 0.8667 0.8667 0.8667 SCN -98.12 608.9 m -197.88 608.9 l +98.12 784.11 m +197.88 784.11 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 630.93 m -98.12 608.275 l +98.12 806.14 m +98.12 783.485 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 630.93 m -197.88 608.275 l +197.88 806.14 m +197.88 783.485 l S [] 0 d 1 w @@ -26745,7 +26446,7 @@ S 0.2 0.2 0.2 scn BT -101.12 615.716 Td +101.12 790.926 Td /F2.0 10.5 Tf <4e616d65> Tj ET @@ -26753,26 +26454,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 630.68 m -547.04 630.68 l +197.88 805.89 m +547.04 805.89 l S [] 0 d 1.25 w 0.8667 0.8667 0.8667 SCN -197.88 608.9 m -547.04 608.9 l +197.88 784.11 m +547.04 784.11 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 630.93 m -197.88 608.275 l +197.88 806.14 m +197.88 783.485 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 630.93 m -547.04 608.275 l +547.04 806.14 m +547.04 783.485 l S [] 0 d 1 w @@ -26780,7 +26481,7 @@ S 0.2 0.2 0.2 scn BT -200.88 615.716 Td +200.88 790.926 Td /F2.0 10.5 Tf <4465736372697074696f6e> Tj ET @@ -26788,26 +26489,26 @@ ET 0.0 0.0 0.0 scn 1.25 w 0.8667 0.8667 0.8667 SCN -48.24 608.9 m -98.12 608.9 l +48.24 784.11 m +98.12 784.11 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 571.34 m -98.12 571.34 l +48.24 746.55 m +98.12 746.55 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 609.525 m -48.24 571.09 l +48.24 784.735 m +48.24 746.3 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 609.525 m -98.12 571.09 l +98.12 784.735 m +98.12 746.3 l S [] 0 d 1 w @@ -26815,7 +26516,7 @@ S 0.2 0.2 0.2 scn BT -51.24 593.936 Td +51.24 769.146 Td /F2.0 10.5 Tf <33313a3238> Tj ET @@ -26823,26 +26524,26 @@ ET 0.0 0.0 0.0 scn 1.25 w 0.8667 0.8667 0.8667 SCN -98.12 608.9 m -197.88 608.9 l +98.12 784.11 m +197.88 784.11 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 571.34 m -197.88 571.34 l +98.12 746.55 m +197.88 746.55 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 609.525 m -98.12 571.09 l +98.12 784.735 m +98.12 746.3 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 609.525 m -197.88 571.09 l +197.88 784.735 m +197.88 746.3 l S [] 0 d 1 w @@ -26852,7 +26553,7 @@ S 0.6941 0.1294 0.2745 SCN BT -101.12 596.12 Td +101.12 771.33 Td /F3.0 10.5 Tf <786465627567766572> Tj ET @@ -26862,26 +26563,26 @@ ET 0.0 0.0 0.0 scn 1.25 w 0.8667 0.8667 0.8667 SCN -197.88 608.9 m -547.04 608.9 l +197.88 784.11 m +547.04 784.11 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 571.34 m -547.04 571.34 l +197.88 746.55 m +547.04 746.55 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 609.525 m -197.88 571.09 l +197.88 784.735 m +197.88 746.3 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 609.525 m -547.04 571.09 l +547.04 784.735 m +547.04 746.3 l S [] 0 d 1 w @@ -26889,14 +26590,14 @@ S 0.2 0.2 0.2 scn BT -200.88 593.936 Td +200.88 769.146 Td /F1.0 10.5 Tf <48617264776972656420746f20343a2065787465726e616c20646562756720737570706f72742061732070657220524953432d5620302e31332e32206465627567> Tj ET BT -200.88 578.156 Td +200.88 753.366 Td /F1.0 10.5 Tf <73706563696669636174696f6e2e> Tj ET @@ -26904,26 +26605,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 571.34 m -98.12 571.34 l +48.24 746.55 m +98.12 746.55 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 533.78 m -98.12 533.78 l +48.24 708.99 m +98.12 708.99 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 571.59 m -48.24 533.53 l +48.24 746.8 m +48.24 708.74 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 571.59 m -98.12 533.53 l +98.12 746.8 m +98.12 708.74 l S [] 0 d 1 w @@ -26931,7 +26632,7 @@ S 0.2 0.2 0.2 scn BT -51.24 556.376 Td +51.24 731.586 Td /F2.0 10.5 Tf <3135> Tj ET @@ -26939,26 +26640,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 571.34 m -197.88 571.34 l +98.12 746.55 m +197.88 746.55 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 533.78 m -197.88 533.78 l +98.12 708.99 m +197.88 708.99 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 571.59 m -98.12 533.53 l +98.12 746.8 m +98.12 708.74 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 571.59 m -197.88 533.53 l +197.88 746.8 m +197.88 708.74 l S [] 0 d 1 w @@ -26968,7 +26669,7 @@ S 0.6941 0.1294 0.2745 SCN BT -101.12 558.56 Td +101.12 733.77 Td /F3.0 10.5 Tf <65627265616b6d> Tj ET @@ -26978,26 +26679,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 571.34 m -547.04 571.34 l +197.88 746.55 m +547.04 746.55 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 533.78 m -547.04 533.78 l +197.88 708.99 m +547.04 708.99 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 571.59 m -197.88 533.53 l +197.88 746.8 m +197.88 708.74 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 571.59 m -547.04 533.53 l +547.04 746.8 m +547.04 708.74 l S [] 0 d 1 w @@ -27005,7 +26706,7 @@ S 0.2 0.2 0.2 scn BT -200.88 556.376 Td +200.88 731.586 Td /F1.0 10.5 Tf <5768656e20312c20> Tj ET @@ -27014,7 +26715,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -244.8435 556.376 Td +244.8435 731.586 Td /F3.0 10.5 Tf <65627265616b> Tj ET @@ -27023,14 +26724,14 @@ ET 0.2 0.2 0.2 scn BT -276.3435 556.376 Td +276.3435 731.586 Td /F1.0 10.5 Tf <20696e737472756374696f6e732077696c6c20627265616b20746f204465627567204d6f646520696e7374656164206f66> Tj ET BT -200.88 540.596 Td +200.88 715.806 Td /F1.0 10.5 Tf [<7472> 20.0195 <617070696e6720696e204d206d6f64652e>] TJ ET @@ -27038,26 +26739,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 533.78 m -98.12 533.78 l +48.24 708.99 m +98.12 708.99 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 496.22 m -98.12 496.22 l +48.24 671.43 m +98.12 671.43 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 534.03 m -48.24 495.97 l +48.24 709.24 m +48.24 671.18 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 534.03 m -98.12 495.97 l +98.12 709.24 m +98.12 671.18 l S [] 0 d 1 w @@ -27065,7 +26766,7 @@ S 0.2 0.2 0.2 scn BT -51.24 518.816 Td +51.24 694.026 Td /F2.0 10.5 Tf <3131> Tj ET @@ -27073,26 +26774,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 533.78 m -197.88 533.78 l +98.12 708.99 m +197.88 708.99 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 496.22 m -197.88 496.22 l +98.12 671.43 m +197.88 671.43 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 534.03 m -98.12 495.97 l +98.12 709.24 m +98.12 671.18 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 534.03 m -197.88 495.97 l +197.88 709.24 m +197.88 671.18 l S [] 0 d 1 w @@ -27102,7 +26803,7 @@ S 0.6941 0.1294 0.2745 SCN BT -101.12 521.0 Td +101.12 696.21 Td /F3.0 10.5 Tf <737465706965> Tj ET @@ -27112,26 +26813,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 533.78 m -547.04 533.78 l +197.88 708.99 m +547.04 708.99 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 496.22 m -547.04 496.22 l +197.88 671.43 m +547.04 671.43 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 534.03 m -197.88 495.97 l +197.88 709.24 m +197.88 671.18 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 534.03 m -547.04 495.97 l +547.04 709.24 m +547.04 671.18 l S [] 0 d 1 w @@ -27139,14 +26840,14 @@ S 0.2 0.2 0.2 scn BT -200.88 518.816 Td +200.88 694.026 Td /F1.0 10.5 Tf [<48617264776972656420746f20303a206e6f20696e7465727275707473206172652074616b> 20.0195 <656e20647572696e672068617264776172652073696e676c652d>] TJ ET BT -200.88 503.036 Td +200.88 678.246 Td /F1.0 10.5 Tf <7374657070696e672e> Tj ET @@ -27154,26 +26855,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 496.22 m -98.12 496.22 l +48.24 671.43 m +98.12 671.43 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 458.66 m -98.12 458.66 l +48.24 633.87 m +98.12 633.87 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 496.47 m -48.24 458.41 l +48.24 671.68 m +48.24 633.62 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 496.47 m -98.12 458.41 l +98.12 671.68 m +98.12 633.62 l S [] 0 d 1 w @@ -27181,7 +26882,7 @@ S 0.2 0.2 0.2 scn BT -51.24 481.256 Td +51.24 656.466 Td /F2.0 10.5 Tf <3130> Tj ET @@ -27189,26 +26890,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 496.22 m -197.88 496.22 l +98.12 671.43 m +197.88 671.43 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 458.66 m -197.88 458.66 l +98.12 633.87 m +197.88 633.87 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 496.47 m -98.12 458.41 l +98.12 671.68 m +98.12 633.62 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 496.47 m -197.88 458.41 l +197.88 671.68 m +197.88 633.62 l S [] 0 d 1 w @@ -27218,7 +26919,7 @@ S 0.6941 0.1294 0.2745 SCN BT -101.12 483.44 Td +101.12 658.65 Td /F3.0 10.5 Tf <73746f70636f756e74> Tj ET @@ -27228,26 +26929,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 496.22 m -547.04 496.22 l +197.88 671.43 m +547.04 671.43 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 458.66 m -547.04 458.66 l +197.88 633.87 m +547.04 633.87 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 496.47 m -197.88 458.41 l +197.88 671.68 m +197.88 633.62 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 496.47 m -547.04 458.41 l +547.04 671.68 m +547.04 633.62 l S [] 0 d 1 w @@ -27255,7 +26956,7 @@ S 0.2 0.2 0.2 scn BT -200.88 481.256 Td +200.88 656.466 Td /F1.0 10.5 Tf <48617264776972656420746f20313a20> Tj ET @@ -27264,7 +26965,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -282.654 481.256 Td +282.654 656.466 Td /F3.0 10.5 Tf <6d6379636c65> Tj ET @@ -27273,7 +26974,7 @@ ET 0.2 0.2 0.2 scn BT -314.154 481.256 Td +314.154 656.466 Td /F1.0 10.5 Tf <2f> Tj ET @@ -27282,7 +26983,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -317.178 481.256 Td +317.178 656.466 Td /F3.0 10.5 Tf <6d6379636c6568> Tj ET @@ -27291,7 +26992,7 @@ ET 0.2 0.2 0.2 scn BT -353.928 481.256 Td +353.928 656.466 Td /F1.0 10.5 Tf <20616e6420> Tj ET @@ -27300,7 +27001,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -378.477 481.256 Td +378.477 656.466 Td /F3.0 10.5 Tf <6d696e7374726574> Tj ET @@ -27309,7 +27010,7 @@ ET 0.2 0.2 0.2 scn BT -420.477 481.256 Td +420.477 656.466 Td /F1.0 10.5 Tf <2f> Tj ET @@ -27318,7 +27019,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -423.501 481.256 Td +423.501 656.466 Td /F3.0 10.5 Tf <6d696e737472657468> Tj ET @@ -27327,14 +27028,14 @@ ET 0.2 0.2 0.2 scn BT -470.751 481.256 Td +470.751 656.466 Td /F1.0 10.5 Tf <20646f206e6f74> Tj ET BT -200.88 465.476 Td +200.88 640.686 Td /F1.0 10.5 Tf <696e6372656d656e7420696e204465627567204d6f64652e> Tj ET @@ -27342,26 +27043,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 458.66 m -98.12 458.66 l +48.24 633.87 m +98.12 633.87 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 405.32 m -98.12 405.32 l +48.24 580.53 m +98.12 580.53 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 458.91 m -48.24 405.07 l +48.24 634.12 m +48.24 580.28 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 458.91 m -98.12 405.07 l +98.12 634.12 m +98.12 580.28 l S [] 0 d 1 w @@ -27369,7 +27070,7 @@ S 0.2 0.2 0.2 scn BT -51.24 443.696 Td +51.24 618.906 Td /F2.0 10.5 Tf <39> Tj ET @@ -27377,26 +27078,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 458.66 m -197.88 458.66 l +98.12 633.87 m +197.88 633.87 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 405.32 m -197.88 405.32 l +98.12 580.53 m +197.88 580.53 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 458.91 m -98.12 405.07 l +98.12 634.12 m +98.12 580.28 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 458.91 m -197.88 405.07 l +197.88 634.12 m +197.88 580.28 l S [] 0 d 1 w @@ -27406,7 +27107,7 @@ S 0.6941 0.1294 0.2745 SCN BT -101.12 445.88 Td +101.12 621.09 Td /F3.0 10.5 Tf <73746f7074696d65> Tj ET @@ -27416,26 +27117,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 458.66 m -547.04 458.66 l +197.88 633.87 m +547.04 633.87 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 405.32 m -547.04 405.32 l +197.88 580.53 m +547.04 580.53 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 458.91 m -197.88 405.07 l +197.88 634.12 m +197.88 580.28 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 458.91 m -547.04 405.07 l +547.04 634.12 m +547.04 580.28 l S [] 0 d 1 w @@ -27443,21 +27144,21 @@ S 0.2 0.2 0.2 scn BT -200.88 443.696 Td +200.88 618.906 Td /F1.0 10.5 Tf <48617264776972656420746f20313a20636f72652d6c6f63616c2074696d65727320646f6ed57420696e6372656d656e7420696e206465627567206d6f64652e> Tj ET BT -200.88 427.916 Td +200.88 603.126 Td /F1.0 10.5 Tf [<5468697320726571756972657320636f6f706572> 20.0195 <6174696f6e206f662065787465726e616c206861726477617265206261736564206f6e207468652068616c74>] TJ ET BT -200.88 412.136 Td +200.88 587.346 Td /F1.0 10.5 Tf [<73746174757320746f20696d706c656d656e7420636f72726563746c79> 89.8438 <2e>] TJ ET @@ -27465,26 +27166,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 405.32 m -98.12 405.32 l +48.24 580.53 m +98.12 580.53 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 383.54 m -98.12 383.54 l +48.24 558.75 m +98.12 558.75 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 405.57 m -48.24 383.29 l +48.24 580.78 m +48.24 558.5 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 405.57 m -98.12 383.29 l +98.12 580.78 m +98.12 558.5 l S [] 0 d 1 w @@ -27492,7 +27193,7 @@ S 0.2 0.2 0.2 scn BT -51.24 390.356 Td +51.24 565.566 Td /F2.0 10.5 Tf <383a36> Tj ET @@ -27500,26 +27201,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 405.32 m -197.88 405.32 l +98.12 580.53 m +197.88 580.53 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 383.54 m -197.88 383.54 l +98.12 558.75 m +197.88 558.75 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 405.57 m -98.12 383.29 l +98.12 580.78 m +98.12 558.5 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 405.57 m -197.88 383.29 l +197.88 580.78 m +197.88 558.5 l S [] 0 d 1 w @@ -27529,7 +27230,7 @@ S 0.6941 0.1294 0.2745 SCN BT -101.12 392.54 Td +101.12 567.75 Td /F3.0 10.5 Tf <6361757365> Tj ET @@ -27539,26 +27240,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 405.32 m -547.04 405.32 l +197.88 580.53 m +547.04 580.53 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 383.54 m -547.04 383.54 l +197.88 558.75 m +547.04 558.75 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 405.57 m -197.88 383.29 l +197.88 580.78 m +197.88 558.5 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 405.57 m -547.04 383.29 l +547.04 580.78 m +547.04 558.5 l S [] 0 d 1 w @@ -27566,7 +27267,7 @@ S 0.2 0.2 0.2 scn BT -200.88 390.356 Td +200.88 565.566 Td /F1.0 10.5 Tf [<526561642d6f6e6c79> 89.8438 <2c207365742062> 20.0195 <79206861726477617265>] TJ /F1.1 10.5 Tf @@ -27582,26 +27283,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 383.54 m -98.12 383.54 l +48.24 558.75 m +98.12 558.75 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 345.98 m -98.12 345.98 l +48.24 521.19 m +98.12 521.19 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 383.79 m -48.24 345.73 l +48.24 559.0 m +48.24 520.94 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 383.79 m -98.12 345.73 l +98.12 559.0 m +98.12 520.94 l S [] 0 d 1 w @@ -27609,7 +27310,7 @@ S 0.2 0.2 0.2 scn BT -51.24 368.576 Td +51.24 543.786 Td /F2.0 10.5 Tf <32> Tj ET @@ -27617,26 +27318,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 383.54 m -197.88 383.54 l +98.12 558.75 m +197.88 558.75 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 345.98 m -197.88 345.98 l +98.12 521.19 m +197.88 521.19 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 383.79 m -98.12 345.73 l +98.12 559.0 m +98.12 520.94 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 383.79 m -197.88 345.73 l +197.88 559.0 m +197.88 520.94 l S [] 0 d 1 w @@ -27646,7 +27347,7 @@ S 0.6941 0.1294 0.2745 SCN BT -101.12 370.76 Td +101.12 545.97 Td /F3.0 10.5 Tf <73746570> Tj ET @@ -27656,26 +27357,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 383.54 m -547.04 383.54 l +197.88 558.75 m +547.04 558.75 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 345.98 m -547.04 345.98 l +197.88 521.19 m +547.04 521.19 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 383.79 m -197.88 345.73 l +197.88 559.0 m +197.88 520.94 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 383.79 m -547.04 345.73 l +547.04 559.0 m +547.04 520.94 l S [] 0 d 1 w @@ -27683,14 +27384,14 @@ S 0.2 0.2 0.2 scn BT -200.88 368.576 Td +200.88 543.786 Td /F1.0 10.5 Tf <5768656e20312c2072652d656e746572204465627567204d6f6465206166746572206561636820696e737472756374696f6e20657865637574656420696e204d2d> Tj ET BT -200.88 352.796 Td +200.88 528.006 Td /F1.0 10.5 Tf <6d6f64652e> Tj ET @@ -27698,26 +27399,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 345.98 m -98.12 345.98 l +48.24 521.19 m +98.12 521.19 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 324.2 m -98.12 324.2 l +48.24 499.41 m +98.12 499.41 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 346.23 m -48.24 323.95 l +48.24 521.44 m +48.24 499.16 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 346.23 m -98.12 323.95 l +98.12 521.44 m +98.12 499.16 l S [] 0 d 1 w @@ -27725,7 +27426,7 @@ S 0.2 0.2 0.2 scn BT -51.24 331.016 Td +51.24 506.226 Td /F2.0 10.5 Tf <313a30> Tj ET @@ -27733,26 +27434,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 345.98 m -197.88 345.98 l +98.12 521.19 m +197.88 521.19 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 324.2 m -197.88 324.2 l +98.12 499.41 m +197.88 499.41 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 346.23 m -98.12 323.95 l +98.12 521.44 m +98.12 499.16 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 346.23 m -197.88 323.95 l +197.88 521.44 m +197.88 499.16 l S [] 0 d 1 w @@ -27762,7 +27463,7 @@ S 0.6941 0.1294 0.2745 SCN BT -101.12 333.2 Td +101.12 508.41 Td /F3.0 10.5 Tf <707276> Tj ET @@ -27772,26 +27473,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 345.98 m -547.04 345.98 l +197.88 521.19 m +547.04 521.19 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 324.2 m -547.04 324.2 l +197.88 499.41 m +547.04 499.41 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 346.23 m -197.88 323.95 l +197.88 521.44 m +197.88 499.16 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 346.23 m -547.04 323.95 l +547.04 521.44 m +547.04 499.16 l S [] 0 d 1 w @@ -27799,7 +27500,7 @@ S 0.2 0.2 0.2 scn BT -200.88 331.016 Td +200.88 506.226 Td /F1.0 10.5 Tf <48617264776972656420746f20332c206173206f6e6c79204d2d6d6f646520697320696d706c656d656e7465642e> Tj ET @@ -27809,7 +27510,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 300.236 Td +48.24 475.446 Td /F1.0 10.5 Tf <4669656c6473206e6f74206d656e74696f6e65642061626f7665206172652068617264776972656420746f20302e> Tj ET @@ -27820,7 +27521,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 272.456 Td +48.24 447.666 Td /F1.0 10.5 Tf [<48617a61726433206d61> 20.0195 <79207365742074686520666f6c6c6f77696e6720>] TJ ET @@ -27831,7 +27532,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -203.4298 272.456 Td +203.4298 447.666 Td /F3.0 10.5 Tf <646373722e6361757365> Tj ET @@ -27842,7 +27543,7 @@ ET 0.2 0.2 0.2 SCN BT -255.9298 272.456 Td +255.9298 447.666 Td /F1.0 10.5 Tf <2076616c7565733a> Tj ET @@ -27850,59 +27551,59 @@ ET 0.0 0.0 0.0 SCN 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 234.86 49.88 21.78 re +48.24 410.07 49.88 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 234.86 448.92 21.78 re +98.12 410.07 448.92 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 213.08 49.88 21.78 re +48.24 388.29 49.88 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 213.08 448.92 21.78 re +98.12 388.29 448.92 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 175.52 49.88 37.56 re +48.24 350.73 49.88 37.56 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 175.52 448.92 37.56 re +98.12 350.73 448.92 37.56 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 137.96 49.88 37.56 re +48.24 313.17 49.88 37.56 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 137.96 448.92 37.56 re +98.12 313.17 448.92 37.56 re f 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 256.64 m -98.12 256.64 l +48.24 431.85 m +98.12 431.85 l S [] 0 d 1.25 w 0.8667 0.8667 0.8667 SCN -48.24 234.86 m -98.12 234.86 l +48.24 410.07 m +98.12 410.07 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 256.89 m -48.24 234.235 l +48.24 432.1 m +48.24 409.445 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 256.89 m -98.12 234.235 l +98.12 432.1 m +98.12 409.445 l S [] 0 d 1 w @@ -27910,7 +27611,7 @@ S 0.2 0.2 0.2 scn BT -51.24 241.676 Td +51.24 416.886 Td /F2.0 10.5 Tf <4361757365> Tj ET @@ -27918,26 +27619,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 256.64 m -547.04 256.64 l +98.12 431.85 m +547.04 431.85 l S [] 0 d 1.25 w 0.8667 0.8667 0.8667 SCN -98.12 234.86 m -547.04 234.86 l +98.12 410.07 m +547.04 410.07 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 256.89 m -98.12 234.235 l +98.12 432.1 m +98.12 409.445 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 256.89 m -547.04 234.235 l +547.04 432.1 m +547.04 409.445 l S [] 0 d 1 w @@ -27945,7 +27646,7 @@ S 0.2 0.2 0.2 scn BT -101.12 241.676 Td +101.12 416.886 Td /F2.0 10.5 Tf <4465736372697074696f6e> Tj ET @@ -27953,26 +27654,26 @@ ET 0.0 0.0 0.0 scn 1.25 w 0.8667 0.8667 0.8667 SCN -48.24 234.86 m -98.12 234.86 l +48.24 410.07 m +98.12 410.07 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 213.08 m -98.12 213.08 l +48.24 388.29 m +98.12 388.29 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 235.485 m -48.24 212.83 l +48.24 410.695 m +48.24 388.04 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 235.485 m -98.12 212.83 l +98.12 410.695 m +98.12 388.04 l S [] 0 d 1 w @@ -27980,7 +27681,7 @@ S 0.2 0.2 0.2 scn BT -51.24 219.896 Td +51.24 395.106 Td /F2.0 10.5 Tf <31> Tj ET @@ -27988,26 +27689,26 @@ ET 0.0 0.0 0.0 scn 1.25 w 0.8667 0.8667 0.8667 SCN -98.12 234.86 m -547.04 234.86 l +98.12 410.07 m +547.04 410.07 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 213.08 m -547.04 213.08 l +98.12 388.29 m +547.04 388.29 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 235.485 m -98.12 212.83 l +98.12 410.695 m +98.12 388.04 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 235.485 m -547.04 212.83 l +547.04 410.695 m +547.04 388.04 l S [] 0 d 1 w @@ -28015,7 +27716,7 @@ S 0.2 0.2 0.2 scn BT -101.12 219.896 Td +101.12 395.106 Td /F1.0 10.5 Tf <50726f636573736f7220656e7465726564204465627567204d6f64652064756520746f20616e20> Tj ET @@ -28024,7 +27725,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -308.5475 219.896 Td +308.5475 395.106 Td /F3.0 10.5 Tf <65627265616b> Tj ET @@ -28033,7 +27734,7 @@ ET 0.2 0.2 0.2 scn BT -340.0475 219.896 Td +340.0475 395.106 Td /F1.0 10.5 Tf <20696e737472756374696f6e20657865637574656420696e204d2d6d6f64652e> Tj ET @@ -28041,26 +27742,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 213.08 m -98.12 213.08 l +48.24 388.29 m +98.12 388.29 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 175.52 m -98.12 175.52 l +48.24 350.73 m +98.12 350.73 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 213.33 m -48.24 175.27 l +48.24 388.54 m +48.24 350.48 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 213.33 m -98.12 175.27 l +98.12 388.54 m +98.12 350.48 l S [] 0 d 1 w @@ -28068,7 +27769,7 @@ S 0.2 0.2 0.2 scn BT -51.24 198.116 Td +51.24 373.326 Td /F2.0 10.5 Tf <33> Tj ET @@ -28076,26 +27777,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 213.08 m -547.04 213.08 l +98.12 388.29 m +547.04 388.29 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 175.52 m -547.04 175.52 l +98.12 350.73 m +547.04 350.73 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 213.33 m -98.12 175.27 l +98.12 388.54 m +98.12 350.48 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 213.33 m -547.04 175.27 l +547.04 388.54 m +547.04 350.48 l S [] 0 d 1 w @@ -28103,14 +27804,14 @@ S 0.2 0.2 0.2 scn BT -101.12 198.116 Td +101.12 373.326 Td /F1.0 10.5 Tf <50726f636573736f7220656e7465726564204465627567204d6f64652064756520746f20612068616c7420726571756573742c206f7220612072657365742d68616c7420726571756573742070726573656e74> Tj ET BT -101.12 182.336 Td +101.12 357.546 Td /F1.0 10.5 Tf <7768656e2074686520636f7265207265736574207761732072656c65617365642e> Tj ET @@ -28118,26 +27819,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 175.52 m -98.12 175.52 l +48.24 350.73 m +98.12 350.73 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 137.96 m -98.12 137.96 l +48.24 313.17 m +98.12 313.17 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 175.77 m -48.24 137.71 l +48.24 350.98 m +48.24 312.92 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 175.77 m -98.12 137.71 l +98.12 350.98 m +98.12 312.92 l S [] 0 d 1 w @@ -28145,7 +27846,7 @@ S 0.2 0.2 0.2 scn BT -51.24 160.556 Td +51.24 335.766 Td /F2.0 10.5 Tf <34> Tj ET @@ -28153,26 +27854,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 175.52 m -547.04 175.52 l +98.12 350.73 m +547.04 350.73 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 137.96 m -547.04 137.96 l +98.12 313.17 m +547.04 313.17 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 175.77 m -98.12 137.71 l +98.12 350.98 m +98.12 312.92 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 175.77 m -547.04 137.71 l +547.04 350.98 m +547.04 312.92 l S [] 0 d 1 w @@ -28180,14 +27881,14 @@ S 0.2 0.2 0.2 scn BT -101.12 160.556 Td +101.12 335.766 Td /F1.0 10.5 Tf <50726f636573736f7220656e7465726564204465627567204d6f646520616674657220657865637574696e67206f6e6520696e737472756374696f6e20776974682073696e676c652d7374657070696e67> Tj ET BT -101.12 144.776 Td +101.12 319.986 Td /F1.0 10.5 Tf <656e61626c65642e> Tj ET @@ -28199,7 +27900,7 @@ ET 1.1073 Tw BT -48.24 113.996 Td +48.24 289.206 Td /F1.0 10.5 Tf <436175736520352028> Tj ET @@ -28214,7 +27915,7 @@ ET 1.1073 Tw BT -94.7436 113.996 Td +94.7436 289.206 Td /F3.0 10.5 Tf <726573657468616c74726571> Tj ET @@ -28229,7 +27930,7 @@ ET 1.1073 Tw BT -157.7436 113.996 Td +157.7436 289.206 Td /F1.0 10.5 Tf [<29206973206e65766572207365742062> 20.0195 <792068617264776172652e2054686973206576656e74206973207265706f727465642061732061206e6f726d616c2068616c742c20636175736520332e>] TJ ET @@ -28242,11 +27943,211 @@ ET 0.2 0.2 0.2 SCN BT -48.24 98.216 Td +48.24 273.426 Td /F1.0 10.5 Tf [<4361757365203220287472696767657229206973206e657665722075736564206265636175736520746865726520617265206e6f2074726967676572732e202854> 20.0195 <4f444f> 20.0195 <3f29>] TJ ET +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 238.926 Td +/F2.0 13 Tf +<332e362e322e20647063> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 212.366 Td +/F1.0 10.5 Tf +[<41> 20.0195 <6464726573733a20>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +94.0618 212.366 Td +/F3.0 10.5 Tf +<3078376231> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.5573 Tw + +BT +48.24 184.586 Td +/F1.0 10.5 Tf +[<44656275672070726f6772> 20.0195 <616d20636f756e7465722e205768656e20656e746572696e67204465627567204d6f64652c20>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +1.5573 Tw + +BT +330.3666 184.586 Td +/F3.0 10.5 Tf +<647063> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.5573 Tw + +BT +346.1166 184.586 Td +/F1.0 10.5 Tf +[<2073616d706c6573207468652063757272656e742070726f6772> 20.0195 <616d20636f756e7465722c>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +2.3048 Tw + +BT +48.24 168.806 Td +/F1.0 10.5 Tf +<652e672e207468652061646472657373206f6620616e20> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +2.3048 Tw + +BT +167.2631 168.806 Td +/F3.0 10.5 Tf +<65627265616b> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +2.3048 Tw + +BT +198.7631 168.806 Td +/F1.0 10.5 Tf +[<20776869636820636175736564204465627567204d6f646520656e747279> 89.8438 <2e205768656e206c656176696e67206465627567206d6f64652c20746865>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 153.026 Td +/F1.0 10.5 Tf +<70726f636573736f72206a756d707320746f20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +145.7325 153.026 Td +/F3.0 10.5 Tf +<647063> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +161.4825 153.026 Td +/F1.0 10.5 Tf +[<2e2054686520686f7374206d61> 20.0195 <7920726561642f77726974652074686973207265676973746572207768696c737420696e204465627567204d6f64652e>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 118.526 Td +/F2.0 13 Tf +[<332e362e332e2064736372> 20.0195 <6174636830>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 91.966 Td +/F1.0 10.5 Tf +[<41> 20.0195 <6464726573733a20>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +94.0618 91.966 Td +/F3.0 10.5 Tf +<3078376232> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 64.186 Td +/F1.0 10.5 Tf +[<4e6f7420696d706c656d656e7465642e2041> 20.0195 <63636573732077696c6c20636175736520616e20696c6c6567616c20696e737472756374696f6e20657863657074696f6e2e>] TJ +ET + 0.0 0.0 0.0 SCN 0.0 0.0 0.0 scn q @@ -28283,30 +28184,24 @@ endobj /ArtBox [0 0 595.28 841.89] /Contents 126 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] -/Font << /F1.0 8 0 R -/F2.0 19 0 R +/Font << /F2.0 19 0 R /F3.0 20 0 R +/F1.0 8 0 R /F1.1 21 0 R >> -/XObject << /Stamp2 345 0 R +/XObject << /Stamp2 335 0 R >> >> -/Annots [128 0 R] >> endobj 128 0 obj -<< /Border [0 0 0] -/Dest (debug-chapter) -/Subtype /Link -/Rect [73.3068 791.61 105.3003 805.89] -/Type /Annot ->> +[127 0 R /XYZ 0 257.61 null] endobj 129 0 obj -[127 0 R /XYZ 0 735.3 null] +[127 0 R /XYZ 0 137.21 null] endobj 130 0 obj -<< /Length 12935 +<< /Length 13882 >> stream q @@ -28315,210 +28210,10 @@ q /DeviceRGB CS 0.2 0.2 0.2 SCN -BT -48.24 792.006 Td -/F2.0 13 Tf -<332e362e322e20647063> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 765.446 Td -/F1.0 10.5 Tf -[<41> 20.0195 <6464726573733a20>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -94.0618 765.446 Td -/F3.0 10.5 Tf -<3078376231> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -1.5573 Tw - -BT -48.24 737.666 Td -/F1.0 10.5 Tf -[<44656275672070726f6772> 20.0195 <616d20636f756e7465722e205768656e20656e746572696e67204465627567204d6f64652c20>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -1.5573 Tw - -BT -330.3666 737.666 Td -/F3.0 10.5 Tf -<647063> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -1.5573 Tw - -BT -346.1166 737.666 Td -/F1.0 10.5 Tf -[<2073616d706c6573207468652063757272656e742070726f6772> 20.0195 <616d20636f756e7465722c>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -2.3048 Tw - -BT -48.24 721.886 Td -/F1.0 10.5 Tf -<652e672e207468652061646472657373206f6620616e20> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -2.3048 Tw - -BT -167.2631 721.886 Td -/F3.0 10.5 Tf -<65627265616b> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -2.3048 Tw - -BT -198.7631 721.886 Td -/F1.0 10.5 Tf -[<20776869636820636175736564204465627567204d6f646520656e747279> 89.8438 <2e205768656e206c656176696e67206465627567206d6f64652c20746865>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 706.106 Td -/F1.0 10.5 Tf -<70726f636573736f72206a756d707320746f20> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -145.7325 706.106 Td -/F3.0 10.5 Tf -<647063> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -161.4825 706.106 Td -/F1.0 10.5 Tf -[<2e2054686520686f7374206d61> 20.0195 <7920726561642f77726974652074686973207265676973746572207768696c737420696e204465627567204d6f64652e>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 671.606 Td -/F2.0 13 Tf -[<332e362e332e2064736372> 20.0195 <6174636830>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 645.046 Td -/F1.0 10.5 Tf -[<41> 20.0195 <6464726573733a20>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -94.0618 645.046 Td -/F3.0 10.5 Tf -<3078376232> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 617.266 Td -/F1.0 10.5 Tf -[<4e6f7420696d706c656d656e7465642e2041> 20.0195 <63636573732077696c6c20636175736520616e20696c6c6567616c20696e737472756374696f6e20657863657074696f6e2e>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - 2.3352 Tw BT -48.24 589.486 Td +48.24 794.676 Td /F1.0 10.5 Tf [<54> 29.7852 <6f2070726f7669646520646174612065786368616e6765206265747765656e20746865204465627567204d6f64756c6520616e642074686520636f72652c20746865204465627567204d6f64756c65d57320>] TJ ET @@ -28533,7 +28228,7 @@ ET 2.3352 Tw BT -520.79 589.486 Td +520.79 794.676 Td /F3.0 10.5 Tf <6461746130> Tj ET @@ -28548,7 +28243,7 @@ ET 2.3352 Tw BT -547.04 589.486 Td +547.04 794.676 Td ET @@ -28559,7 +28254,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 573.706 Td +48.24 778.896 Td /F1.0 10.5 Tf <7265676973746572206973206d617070656420696e746f2074686520636f7265d57320435352207370616365206174206120726561642f7772697465204d2d637573746f6d2061646472657373> Tj /F1.1 10.5 Tf @@ -28578,7 +28273,7 @@ ET 0.2588 0.5451 0.7922 SCN BT -469.71 573.706 Td +469.71 778.896 Td /F1.0 10.5 Tf <646d6461746130> Tj ET @@ -28589,7 +28284,7 @@ ET 0.2 0.2 0.2 SCN BT -513.8625 573.706 Td +513.8625 778.896 Td /F1.0 10.5 Tf <2e> Tj ET @@ -28600,7 +28295,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 539.206 Td +48.24 744.396 Td /F2.0 13 Tf [<332e362e342e2064736372> 20.0195 <6174636831>] TJ ET @@ -28611,7 +28306,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 512.646 Td +48.24 717.836 Td /F1.0 10.5 Tf [<41> 20.0195 <6464726573733a20>] TJ ET @@ -28622,7 +28317,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -94.0618 512.646 Td +94.0618 717.836 Td /F3.0 10.5 Tf <3078376233> Tj ET @@ -28633,7 +28328,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 484.866 Td +48.24 690.056 Td /F1.0 10.5 Tf [<4e6f7420696d706c656d656e7465642e2041> 20.0195 <63636573732077696c6c20636175736520616e20696c6c6567616c20696e737472756374696f6e20657863657074696f6e2e>] TJ ET @@ -28644,7 +28339,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 445.026 Td +48.24 650.216 Td /F2.0 18 Tf <332e372e20437573746f6d2043535273> Tj ET @@ -28657,7 +28352,7 @@ ET 0.2427 Tw BT -48.24 417.006 Td +48.24 622.196 Td /F1.0 10.5 Tf <54686573652061726520616c6c20616c6c6f636174656420696e2074686520737061636520> Tj ET @@ -28672,7 +28367,7 @@ ET 0.2427 Tw BT -226.1391 417.006 Td +226.1391 622.196 Td /F3.0 10.5 Tf <3078626330> Tj ET @@ -28687,7 +28382,7 @@ ET 0.2427 Tw BT -252.3891 417.006 Td +252.3891 622.196 Td /F1.0 10.5 Tf <207468726f75676820> Tj ET @@ -28702,7 +28397,7 @@ ET 0.2427 Tw BT -298.6334 417.006 Td +298.6334 622.196 Td /F3.0 10.5 Tf <3078626666> Tj ET @@ -28717,7 +28412,7 @@ ET 0.2427 Tw BT -324.8834 417.006 Td +324.8834 622.196 Td /F1.0 10.5 Tf <20776869636820697320617661696c61626c6520666f7220637573746f6d20726561642f7772697465204d2d> Tj ET @@ -28730,7 +28425,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 401.226 Td +48.24 606.416 Td /F1.0 10.5 Tf <6d6f646520435352732c20616e6420> Tj ET @@ -28741,7 +28436,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -129.909 401.226 Td +129.909 606.416 Td /F3.0 10.5 Tf <3078666330> Tj ET @@ -28752,7 +28447,7 @@ ET 0.2 0.2 0.2 SCN BT -156.159 401.226 Td +156.159 606.416 Td /F1.0 10.5 Tf <207468726f75676820> Tj ET @@ -28763,7 +28458,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -201.918 401.226 Td +201.918 606.416 Td /F3.0 10.5 Tf <3078666666> Tj ET @@ -28774,7 +28469,7 @@ ET 0.2 0.2 0.2 SCN BT -228.168 401.226 Td +228.168 606.416 Td /F1.0 10.5 Tf <20776869636820697320617661696c61626c6520666f7220637573746f6d20726561642d6f6e6c79204d2d6d6f646520435352732e> Tj ET @@ -28785,7 +28480,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 373.446 Td +48.24 578.636 Td /F1.0 10.5 Tf <48617a6172643320616c736f20616c6c6f6361746573206120637573746f6d20> Tj ET @@ -28796,7 +28491,7 @@ ET 0.2 0.2 0.2 SCN BT -210.234 373.446 Td +210.234 578.636 Td /F4.0 10.5 Tf <4465627567204d6f6465> Tj ET @@ -28807,7 +28502,7 @@ ET 0.2 0.2 0.2 SCN BT -270.8925 373.446 Td +270.8925 578.636 Td /F1.0 10.5 Tf <20726567697374657220> Tj ET @@ -28818,7 +28513,7 @@ ET 0.2588 0.5451 0.7922 SCN BT -314.8875 373.446 Td +314.8875 578.636 Td /F1.0 10.5 Tf <646d6461746130> Tj ET @@ -28829,7 +28524,7 @@ ET 0.2 0.2 0.2 SCN BT -359.04 373.446 Td +359.04 578.636 Td /F1.0 10.5 Tf <20696e20746869732073706163652e> Tj ET @@ -28840,7 +28535,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 338.946 Td +48.24 544.136 Td /F2.0 13 Tf <332e372e312e20646d6461746130> Tj ET @@ -28851,7 +28546,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 312.386 Td +48.24 517.576 Td /F1.0 10.5 Tf [<41> 20.0195 <6464726573733a20>] TJ ET @@ -28862,7 +28557,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -94.0618 312.386 Td +94.0618 517.576 Td /F3.0 10.5 Tf <3078626666> Tj ET @@ -28875,7 +28570,7 @@ ET 0.1086 Tw BT -48.24 284.606 Td +48.24 489.796 Td /F1.0 10.5 Tf <546865204465627567204d6f64756c65d57320696e7465726e616c20> Tj ET @@ -28890,7 +28585,7 @@ ET 0.1086 Tw BT -195.7792 284.606 Td +195.7792 489.796 Td /F3.0 10.5 Tf <6461746130> Tj ET @@ -28905,7 +28600,7 @@ ET 0.1086 Tw BT -222.0292 284.606 Td +222.0292 489.796 Td /F1.0 10.5 Tf <207265676973746572206973206d617070656420746f2074686973204353522061646472657373207768656e2074686520636f726520697320696e206465627567> Tj ET @@ -28918,7 +28613,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 268.826 Td +48.24 474.016 Td /F1.0 10.5 Tf [<6d6f64652e20417420616e> 20.0195 <79206f746865722074696d652c2061636365737320746f20746869732043535220616464726573732077696c6c20636175736520616e20696c6c6567616c20696e737472756374696f6e20657863657074696f6e2e>] TJ ET @@ -28928,15 +28623,15 @@ ET q 0.5 w 0.9333 0.9333 0.9333 SCN -102.2593 253.01 m -102.2593 181.89 l +102.2593 458.2 m +102.2593 387.08 l S Q 0.2 0.2 0.2 scn 0.2 0.2 0.2 SCN BT -60.24 213.376 Td +60.24 418.566 Td /F2.0 10.5 Tf [<4e4f> 20.0195 <5445>] TJ ET @@ -28949,7 +28644,7 @@ ET 0.3058 Tw BT -114.2593 237.046 Td +114.2593 442.236 Td /F1.0 10.5 Tf [<54686520302e31332e322064656275672073706563696669636174696f6e20616c6c6f777320666f7220746865204465627567204d6f64756c65d573206162737472> 20.0195 <616374206461746120726567697374657273>] TJ ET @@ -28964,7 +28659,7 @@ ET 0.0475 Tw BT -114.2593 221.266 Td +114.2593 426.456 Td /F1.0 10.5 Tf <746f206265206d617070656420696e746f2074686520636f7265d5732043535220616464726573732073706163652c20627574207468657265206973206e6f2044656275672d637573746f6d2073706163652c> Tj ET @@ -28979,7 +28674,7 @@ ET 2.8738 Tw BT -114.2593 205.486 Td +114.2593 410.676 Td /F1.0 10.5 Tf <736f2074686520726561642f7772697465204d2d637573746f6d207370616365206973207573656420696e737465616420746f2061766f696420636f6e666c696374207769746820667574757265> Tj ET @@ -28992,7 +28687,7 @@ ET 0.2 0.2 0.2 SCN BT -114.2593 189.706 Td +114.2593 394.896 Td /F1.0 10.5 Tf <76657273696f6e73206f66207468652064656275672073706563696669636174696f6e2e> Tj ET @@ -29005,7 +28700,7 @@ ET 3.3718 Tw BT -48.24 157.926 Td +48.24 363.116 Td /F1.0 10.5 Tf [<546865204465627567204d6f64756c6520757365732074686973206d617070696e6720746f2065786368616e6765206461746120776974682074686520636f72652062> 20.0195 <7920696e6a656374696e6720>] TJ ET @@ -29020,7 +28715,7 @@ ET 3.3718 Tw BT -502.016 157.926 Td +502.016 363.116 Td /F3.0 10.5 Tf <63737272> Tj ET @@ -29035,7 +28730,7 @@ ET 3.3718 Tw BT -523.016 157.926 Td +523.016 363.116 Td /F1.0 10.5 Tf <2f> Tj ET @@ -29050,7 +28745,7 @@ ET 3.3718 Tw BT -526.04 157.926 Td +526.04 363.116 Td /F3.0 10.5 Tf <63737277> Tj ET @@ -29065,7 +28760,7 @@ ET 3.3718 Tw BT -547.04 157.926 Td +547.04 363.116 Td ET @@ -29078,7 +28773,7 @@ ET 0.4087 Tw BT -48.24 142.146 Td +48.24 347.336 Td /F1.0 10.5 Tf [<696e737472756374696f6e7320696e746f20746865207072656665746368206275666665722e205468697320696e207475726e206973207573656420746f20696d706c656d656e7420746865204162737472> 20.0195 <6163742041> 20.0195 <6363657373205265676973746572>] TJ ET @@ -29091,7 +28786,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 126.366 Td +48.24 331.556 Td /F1.0 10.5 Tf <636f6d6d616e642e2053656520> Tj ET @@ -29102,7 +28797,7 @@ ET 0.2588 0.5451 0.7922 SCN BT -123.399 126.366 Td +123.399 331.556 Td /F1.0 10.5 Tf <4465627567> Tj ET @@ -29113,7 +28808,7 @@ ET 0.2 0.2 0.2 SCN BT -155.3925 126.366 Td +155.3925 331.556 Td /F1.0 10.5 Tf <2e> Tj ET @@ -29126,7 +28821,7 @@ ET 1.9766 Tw BT -48.24 98.586 Td +48.24 303.776 Td /F1.0 10.5 Tf [<5468697320435352206164647265737320697320676976656e2062> 20.0195 <792074686520>] TJ ET @@ -29141,7 +28836,7 @@ ET 1.9766 Tw BT -223.7763 98.586 Td +223.7763 303.776 Td /F3.0 10.5 Tf <6461746161646472657373> Tj ET @@ -29156,7 +28851,7 @@ ET 1.9766 Tw BT -281.5263 98.586 Td +281.5263 303.776 Td /F1.0 10.5 Tf <206669656c64206f6620746865204465627567204d6f64756c65d57320> Tj ET @@ -29171,7 +28866,7 @@ ET 1.9766 Tw BT -435.3567 98.586 Td +435.3567 303.776 Td /F3.0 10.5 Tf <68617274696e666f> Tj ET @@ -29186,7 +28881,7 @@ ET 1.9766 Tw BT -477.3567 98.586 Td +477.3567 303.776 Td /F1.0 10.5 Tf <2072656769737465722c20616e64> Tj ET @@ -29199,7 +28894,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -48.24 82.806 Td +48.24 287.996 Td /F3.0 10.5 Tf <68617274696e666f2e64617461616363657373> Tj ET @@ -29210,11 +28905,301 @@ ET 0.2 0.2 0.2 SCN BT -147.99 82.806 Td +147.99 287.996 Td /F1.0 10.5 Tf <2069732073657420746f203020746f20696e6469636174652074686973206973206120435352206d617070696e672c206e6f742061206d656d6f7279206d617070696e672e> Tj ET +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 253.496 Td +/F2.0 13 Tf +<332e372e322e206d65696530> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 226.936 Td +/F1.0 10.5 Tf +[<41> 20.0195 <6464726573733a20>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +94.0618 226.936 Td +/F3.0 10.5 Tf +<3078626530> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.0813 Tw + +BT +48.24 199.156 Td +/F1.0 10.5 Tf +<45787465726e616c20696e7465727275707420656e61626c6520726567697374657220302e20436f6e7461696e73206120726561642d77726974652062697420666f7220656163682065787465726e616c20696e746572727570742072657175657374> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 183.376 Td +/F1.0 10.5 Tf +[<4952> 20.0195 <5130207468726f756768204952> 20.0195 <5133312e204120>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +163.6976 183.376 Td +/F3.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +168.9476 183.376 Td +/F1.0 10.5 Tf +<2062697420696e64696361746573207468617420696e746572727570742069732063757272656e746c7920656e61626c65642e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.1243 Tw + +BT +48.24 155.596 Td +/F1.0 10.5 Tf +[<41> 20.0195 <646472657373657320>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.1243 Tw + +BT +101.5361 155.596 Td +/F3.0 10.5 Tf +<3078626531> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.1243 Tw + +BT +127.7861 155.596 Td +/F1.0 10.5 Tf +<207468726f75676820> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.1243 Tw + +BT +173.7938 155.596 Td +/F3.0 10.5 Tf +<3078626533> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.1243 Tw + +BT +200.0438 155.596 Td +/F1.0 10.5 Tf +<2061726520726573657276656420666f72206675727468657220> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.1243 Tw + +BT +325.9935 155.596 Td +/F3.0 10.5 Tf +<6d656965> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.1243 Tw + +BT +346.9935 155.596 Td +/F1.0 10.5 Tf +<207265676973746572732c20737570706f7274696e6720757020746f203132382065787465726e616c> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 139.816 Td +/F1.0 10.5 Tf +<696e74657272757074732e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 112.036 Td +/F1.0 10.5 Tf +[<416e2065787465726e616c20696e746572727570742069732074616b> 20.0195 <656e207768656e20616c6c206f662074686520666f6c6c6f77696e672061726520747275653a>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 84.256 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 84.256 Td +/F1.0 10.5 Tf +<54686520696e746572727570742069732063757272656e746c7920617373657274656420696e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +254.2425 84.256 Td +/F3.0 10.5 Tf +<6d65697030> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 62.476 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 62.476 Td +/F1.0 10.5 Tf +<546865206d61746368696e6720696e7465727275707420656e61626c65206269742069732073657420696e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +279.2535 62.476 Td +/F3.0 10.5 Tf +<6d65696530> Tj +ET + 0.0 0.0 0.0 SCN 0.0 0.0 0.0 scn q @@ -29251,802 +29236,72 @@ endobj /ArtBox [0 0 595.28 841.89] /Contents 130 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] -/Font << /F2.0 19 0 R -/F1.0 8 0 R +/Font << /F1.0 8 0 R /F3.0 20 0 R /F1.1 21 0 R +/F2.0 19 0 R /F4.0 61 0 R >> -/XObject << /Stamp1 344 0 R +/XObject << /Stamp1 334 0 R >> >> -/Annots [134 0 R 137 0 R 139 0 R] +/Annots [132 0 R 135 0 R 137 0 R] >> endobj 132 0 obj -[131 0 R /XYZ 0 841.89 null] -endobj -133 0 obj -[131 0 R /XYZ 0 690.29 null] -endobj -134 0 obj << /Border [0 0 0] /Dest (reg-dmdata0) /Subtype /Link -/Rect [469.71 570.64 513.8625 584.92] +/Rect [469.71 775.83 513.8625 790.11] /Type /Annot >> endobj +133 0 obj +[131 0 R /XYZ 0 763.08 null] +endobj +134 0 obj +[131 0 R /XYZ 0 674.24 null] +endobj 135 0 obj -[131 0 R /XYZ 0 557.89 null] -endobj -136 0 obj -[131 0 R /XYZ 0 469.05 null] -endobj -137 0 obj << /Border [0 0 0] /Dest (reg-dmdata0) /Subtype /Link -/Rect [314.8875 370.38 359.04 384.66] +/Rect [314.8875 575.57 359.04 589.85] +/Type /Annot +>> +endobj +136 0 obj +[131 0 R /XYZ 0 562.82 null] +endobj +137 0 obj +<< /Border [0 0 0] +/Dest (debug-chapter) +/Subtype /Link +/Rect [123.399 328.49 155.3925 342.77] /Type /Annot >> endobj 138 0 obj -[131 0 R /XYZ 0 357.63 null] +[131 0 R /XYZ 0 272.18 null] endobj 139 0 obj -<< /Border [0 0 0] -/Dest (debug-chapter) -/Subtype /Link -/Rect [123.399 123.3 155.3925 137.58] -/Type /Annot ->> -endobj -140 0 obj -<< /Length 18294 +<< /Length 21309 >> stream q + +-0.5 Tc + +0.0 Tc + +-0.5 Tc /DeviceRGB cs 0.2 0.2 0.2 scn /DeviceRGB CS 0.2 0.2 0.2 SCN BT -48.24 792.006 Td -/F2.0 13 Tf -<332e372e322e206d69646372> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 765.446 Td -/F1.0 10.5 Tf -[<41> 20.0195 <6464726573733a20>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -94.0618 765.446 Td -/F3.0 10.5 Tf -<3078626330> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 737.666 Td -/F1.0 10.5 Tf -<496d706c656d656e746174696f6e2d646566696e656420636f6e74726f6c2072656769737465722e204d697363656c6c616e656f7573206e6f6e7374616e6461726420636f6e74726f6c732e> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -1.0 1.0 1.0 scn -48.24 700.07 49.88 21.78 re -f -0.0 0.0 0.0 scn -1.0 1.0 1.0 scn -98.12 700.07 99.76 21.78 re -f -0.0 0.0 0.0 scn -1.0 1.0 1.0 scn -197.88 700.07 349.16 21.78 re -f -0.0 0.0 0.0 scn -1.0 1.0 1.0 scn -48.24 678.29 49.88 21.78 re -f -0.0 0.0 0.0 scn -1.0 1.0 1.0 scn -98.12 678.29 99.76 21.78 re -f -0.0 0.0 0.0 scn -1.0 1.0 1.0 scn -197.88 678.29 349.16 21.78 re -f -0.0 0.0 0.0 scn -1.0 1.0 1.0 scn -48.24 593.39 49.88 84.9 re -f -0.0 0.0 0.0 scn -1.0 1.0 1.0 scn -98.12 593.39 99.76 84.9 re -f -0.0 0.0 0.0 scn -1.0 1.0 1.0 scn -197.88 593.39 349.16 84.9 re -f -0.0 0.0 0.0 scn -0.5 w -0.8667 0.8667 0.8667 SCN -48.24 721.85 m -98.12 721.85 l -S -[] 0 d -1.25 w -0.8667 0.8667 0.8667 SCN -48.24 700.07 m -98.12 700.07 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -48.24 722.1 m -48.24 699.445 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -98.12 722.1 m -98.12 699.445 l -S -[] 0 d -1 w -0.0 0.0 0.0 SCN -0.2 0.2 0.2 scn - -BT -51.24 706.886 Td -/F2.0 10.5 Tf -<42697473> Tj -ET - -0.0 0.0 0.0 scn -0.5 w -0.8667 0.8667 0.8667 SCN -98.12 721.85 m -197.88 721.85 l -S -[] 0 d -1.25 w -0.8667 0.8667 0.8667 SCN -98.12 700.07 m -197.88 700.07 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -98.12 722.1 m -98.12 699.445 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -197.88 722.1 m -197.88 699.445 l -S -[] 0 d -1 w -0.0 0.0 0.0 SCN -0.2 0.2 0.2 scn - -BT -101.12 706.886 Td -/F2.0 10.5 Tf -<4e616d65> Tj -ET - -0.0 0.0 0.0 scn -0.5 w -0.8667 0.8667 0.8667 SCN -197.88 721.85 m -547.04 721.85 l -S -[] 0 d -1.25 w -0.8667 0.8667 0.8667 SCN -197.88 700.07 m -547.04 700.07 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -197.88 722.1 m -197.88 699.445 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -547.04 722.1 m -547.04 699.445 l -S -[] 0 d -1 w -0.0 0.0 0.0 SCN -0.2 0.2 0.2 scn - -BT -200.88 706.886 Td -/F2.0 10.5 Tf -<4465736372697074696f6e> Tj -ET - -0.0 0.0 0.0 scn -1.25 w -0.8667 0.8667 0.8667 SCN -48.24 700.07 m -98.12 700.07 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -48.24 678.29 m -98.12 678.29 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -48.24 700.695 m -48.24 678.04 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -98.12 700.695 m -98.12 678.04 l -S -[] 0 d -1 w -0.0 0.0 0.0 SCN -0.2 0.2 0.2 scn - -BT -51.24 685.106 Td -/F2.0 10.5 Tf -<33313a31> Tj -ET - -0.0 0.0 0.0 scn -1.25 w -0.8667 0.8667 0.8667 SCN -98.12 700.07 m -197.88 700.07 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -98.12 678.29 m -197.88 678.29 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -98.12 700.695 m -98.12 678.04 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -197.88 700.695 m -197.88 678.04 l -S -[] 0 d -1 w -0.0 0.0 0.0 SCN -0.2 0.2 0.2 scn - -BT -101.12 685.106 Td -/F2.0 10.5 Tf -<2d> Tj -ET - -0.0 0.0 0.0 scn -1.25 w -0.8667 0.8667 0.8667 SCN -197.88 700.07 m -547.04 700.07 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -197.88 678.29 m -547.04 678.29 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -197.88 700.695 m -197.88 678.04 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -547.04 700.695 m -547.04 678.04 l -S -[] 0 d -1 w -0.0 0.0 0.0 SCN -0.2 0.2 0.2 scn - -BT -200.88 685.106 Td -/F1.0 10.5 Tf -<52455330> Tj -ET - -0.0 0.0 0.0 scn -0.5 w -0.8667 0.8667 0.8667 SCN -48.24 678.29 m -98.12 678.29 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -48.24 593.39 m -98.12 593.39 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -48.24 678.54 m -48.24 593.14 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -98.12 678.54 m -98.12 593.14 l -S -[] 0 d -1 w -0.0 0.0 0.0 SCN -0.2 0.2 0.2 scn - -BT -51.24 663.326 Td -/F2.0 10.5 Tf -<30> Tj -ET - -0.0 0.0 0.0 scn -0.5 w -0.8667 0.8667 0.8667 SCN -98.12 678.29 m -197.88 678.29 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -98.12 593.39 m -197.88 593.39 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -98.12 678.54 m -98.12 593.14 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -197.88 678.54 m -197.88 593.14 l -S -[] 0 d -1 w -0.0 0.0 0.0 SCN -0.2 0.2 0.2 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -101.12 665.51 Td -/F3.0 10.5 Tf -<656976656374> Tj -ET - -0.0 0.0 0.0 SCN -0.2 0.2 0.2 scn -0.0 0.0 0.0 scn -0.5 w -0.8667 0.8667 0.8667 SCN -197.88 678.29 m -547.04 678.29 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -197.88 593.39 m -547.04 593.39 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -197.88 678.54 m -197.88 593.14 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -547.04 678.54 m -547.04 593.14 l -S -[] 0 d -1 w -0.0 0.0 0.0 SCN -0.2 0.2 0.2 scn - -BT -200.88 663.326 Td -/F1.0 10.5 Tf -<4d6f6469666965642065787465726e616c20696e7465727275707420766563746f72696e672e20496620302c20757365207374616e64617264206265686176696f75723a> Tj -ET - - -BT -200.88 647.546 Td -/F1.0 10.5 Tf -<616c6c2065787465726e616c20696e74657272757074732073657420696e7465727275707420> Tj -ET - -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -379.779 647.546 Td -/F3.0 10.5 Tf -<6d6361757365> Tj -ET - -0.0 0.0 0.0 SCN -0.2 0.2 0.2 scn - -BT -411.279 647.546 Td -/F1.0 10.5 Tf -<206f6620313120616e6420766563746f7220746f20> Tj -ET - -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -509.6955 647.546 Td -/F3.0 10.5 Tf -<6d74766563> Tj -ET - -0.0 0.0 0.0 SCN -0.2 0.2 0.2 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -200.88 631.766 Td -/F3.0 10.5 Tf -<2b2030783263> Tj -ET - -0.0 0.0 0.0 SCN -0.2 0.2 0.2 scn - -BT -232.38 631.766 Td -/F1.0 10.5 Tf -<2e20496620312c2065787465726e616c20696e7465727275707473207573652064697374696e637420696e7465727275707420> Tj -ET - -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -466.0365 631.766 Td -/F3.0 10.5 Tf -<6d6361757365> Tj -ET - -0.0 0.0 0.0 SCN -0.2 0.2 0.2 scn - -BT -497.5365 631.766 Td -ET - - -BT -200.88 615.986 Td -/F1.0 10.5 Tf -<6e756d62657273203136207570776172642c20616e642064697374696e637420766563746f727320> Tj -ET - -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -408.6645 615.986 Td -/F3.0 10.5 Tf -<6d74766563202b2028697271202b20313629202a2034> Tj -ET - -0.0 0.0 0.0 SCN -0.2 0.2 0.2 scn - -BT -524.1645 615.986 Td -/F1.0 10.5 Tf -<2e> Tj -ET - - -BT -200.88 600.206 Td -/F1.0 10.5 Tf -<52657365747320746f20302e20486173206e6f20656666656374207768656e20> Tj -ET - -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -357.162 600.206 Td -/F3.0 10.5 Tf -<6d747665635b305d> Tj -ET - -0.0 0.0 0.0 SCN -0.2 0.2 0.2 scn - -BT -399.162 600.206 Td -/F1.0 10.5 Tf -<20697320302e> Tj -ET - -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 562.706 Td -/F2.0 13 Tf -<332e372e332e206d65696530> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 536.146 Td -/F1.0 10.5 Tf -[<41> 20.0195 <6464726573733a20>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -94.0618 536.146 Td -/F3.0 10.5 Tf -<3078626530> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -1.0813 Tw - -BT -48.24 508.366 Td -/F1.0 10.5 Tf -<45787465726e616c20696e7465727275707420656e61626c6520726567697374657220302e20436f6e7461696e73206120726561642d77726974652062697420666f7220656163682065787465726e616c20696e746572727570742072657175657374> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 492.586 Td -/F1.0 10.5 Tf -[<4952> 20.0195 <5130207468726f756768204952> 20.0195 <5133312e204120>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -163.6976 492.586 Td -/F3.0 10.5 Tf -<31> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -168.9476 492.586 Td -/F1.0 10.5 Tf -<2062697420696e64696361746573207468617420696e746572727570742069732063757272656e746c7920656e61626c65642e> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -0.1243 Tw - -BT -48.24 464.806 Td -/F1.0 10.5 Tf -[<41> 20.0195 <646472657373657320>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -0.1243 Tw - -BT -101.5361 464.806 Td -/F3.0 10.5 Tf -<3078626531> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -0.1243 Tw - -BT -127.7861 464.806 Td -/F1.0 10.5 Tf -<207468726f75676820> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -0.1243 Tw - -BT -173.7938 464.806 Td -/F3.0 10.5 Tf -<3078626533> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -0.1243 Tw - -BT -200.0438 464.806 Td -/F1.0 10.5 Tf -<2061726520726573657276656420666f72206675727468657220> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -0.1243 Tw - -BT -325.9935 464.806 Td -/F3.0 10.5 Tf -<6d656965> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -0.1243 Tw - -BT -346.9935 464.806 Td -/F1.0 10.5 Tf -<207265676973746572732c20737570706f7274696e6720757020746f203132382065787465726e616c> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 449.026 Td -/F1.0 10.5 Tf -<696e74657272757074732e> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 421.246 Td -/F1.0 10.5 Tf -[<416e2065787465726e616c20696e746572727570742069732074616b> 20.0195 <656e207768656e20616c6c206f662074686520666f6c6c6f77696e672061726520747275653a>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - --0.5 Tc - -0.0 Tc - --0.5 Tc -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -56.8805 393.466 Td +56.8805 793.926 Td /F1.0 10.5 Tf Tj ET @@ -30059,89 +29314,7 @@ ET 0.2 0.2 0.2 SCN BT -66.24 393.466 Td -/F1.0 10.5 Tf -<54686520696e746572727570742069732063757272656e746c7920617373657274656420696e20> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -254.2425 393.466 Td -/F3.0 10.5 Tf -<6d65697030> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - --0.5 Tc - -0.0 Tc - --0.5 Tc -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -56.8805 371.686 Td -/F1.0 10.5 Tf - Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - -0.0 Tc -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -66.24 371.686 Td -/F1.0 10.5 Tf -<546865206d61746368696e6720696e7465727275707420656e61626c65206269742069732073657420696e20> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -279.2535 371.686 Td -/F3.0 10.5 Tf -<6d65696530> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - --0.5 Tc - -0.0 Tc - --0.5 Tc -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -56.8805 349.906 Td -/F1.0 10.5 Tf - Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - -0.0 Tc -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -66.24 349.906 Td +66.24 793.926 Td /F1.0 10.5 Tf <546865207374616e64617264204d2d6d6f646520696e7465727275707420656e61626c6520> Tj ET @@ -30152,7 +29325,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -264.1965 349.906 Td +264.1965 793.926 Td /F3.0 10.5 Tf <6d7374617475732e6d6965> Tj ET @@ -30163,7 +29336,7 @@ ET 0.2 0.2 0.2 SCN BT -321.9465 349.906 Td +321.9465 793.926 Td /F1.0 10.5 Tf <20697320736574> Tj ET @@ -30180,7 +29353,7 @@ ET 0.2 0.2 0.2 SCN BT -56.8805 328.126 Td +56.8805 772.146 Td /F1.0 10.5 Tf Tj ET @@ -30193,7 +29366,7 @@ ET 0.2 0.2 0.2 SCN BT -66.24 328.126 Td +66.24 772.146 Td /F1.0 10.5 Tf <546865207374616e64617264204d2d6d6f646520676c6f62616c2065787465726e616c20696e7465727275707420656e61626c6520> Tj ET @@ -30204,7 +29377,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -342.0645 328.126 Td +342.0645 772.146 Td /F3.0 10.5 Tf <6d69652e6d656965> Tj ET @@ -30215,7 +29388,7 @@ ET 0.2 0.2 0.2 SCN BT -384.0645 328.126 Td +384.0645 772.146 Td /F1.0 10.5 Tf <20697320736574> Tj ET @@ -30228,7 +29401,7 @@ ET 1.6014 Tw BT -48.24 300.346 Td +48.24 744.366 Td /F3.0 10.5 Tf <6d65696530> Tj ET @@ -30243,7 +29416,7 @@ ET 1.6014 Tw BT -74.49 300.346 Td +74.49 744.366 Td /F1.0 10.5 Tf <2072657365747320746f20> Tj ET @@ -30258,7 +29431,7 @@ ET 1.6014 Tw BT -126.5548 300.346 Td +126.5548 744.366 Td /F2.0 10.5 Tf <616c6c2d6f6e6573> Tj ET @@ -30273,7 +29446,7 @@ ET 1.6014 Tw BT -168.0088 300.346 Td +168.0088 744.366 Td /F1.0 10.5 Tf <2c20666f7220636f6d7061746962696c697479207769746820736f667477617265207768696368206973206f6e6c79206177617265206f6620> Tj ET @@ -30288,7 +29461,7 @@ ET 1.6014 Tw BT -464.1631 300.346 Td +464.1631 744.366 Td /F3.0 10.5 Tf <6d737461747573> Tj ET @@ -30303,7 +29476,7 @@ ET 1.6014 Tw BT -500.9131 300.346 Td +500.9131 744.366 Td /F1.0 10.5 Tf <20616e6420> Tj ET @@ -30318,7 +29491,7 @@ ET 1.6014 Tw BT -528.665 300.346 Td +528.665 744.366 Td /F3.0 10.5 Tf <6d6965> Tj ET @@ -30333,7 +29506,7 @@ ET 1.6014 Tw BT -544.415 300.346 Td +544.415 744.366 Td /F1.0 10.5 Tf <2e> Tj ET @@ -30348,7 +29521,7 @@ ET 0.8609 Tw BT -48.24 284.566 Td +48.24 728.586 Td /F1.0 10.5 Tf <4265636175736520> Tj ET @@ -30363,7 +29536,7 @@ ET 0.8609 Tw BT -92.3714 284.566 Td +92.3714 728.586 Td /F3.0 10.5 Tf <6d7374617475732e6d6965> Tj ET @@ -30378,7 +29551,7 @@ ET 0.8609 Tw BT -150.1214 284.566 Td +150.1214 728.586 Td /F1.0 10.5 Tf <20616e6420> Tj ET @@ -30393,7 +29566,7 @@ ET 0.8609 Tw BT -176.3921 284.566 Td +176.3921 728.586 Td /F3.0 10.5 Tf <6d69652e6d656965> Tj ET @@ -30408,7 +29581,7 @@ ET 0.8609 Tw BT -218.3921 284.566 Td +218.3921 728.586 Td /F1.0 10.5 Tf [<2061726520626f746820696e697469616c6c7920636c6561722c2074686520636f72652077696c6c206e6f742074616b> 20.0195 <6520696e746572727570747320737472> 20.0195 <6169676874>] TJ ET @@ -30423,7 +29596,7 @@ ET 1.0785 Tw BT -48.24 268.786 Td +48.24 712.806 Td /F1.0 10.5 Tf <6f7574206f662072657365742c20627574206974206973207374726f6e676c79207265636f6d6d656e64656420746f20636f6e66696775726520> Tj ET @@ -30438,7 +29611,7 @@ ET 1.0785 Tw BT -343.0923 268.786 Td +343.0923 712.806 Td /F3.0 10.5 Tf <6d65696530> Tj ET @@ -30453,7 +29626,7 @@ ET 1.0785 Tw BT -369.3423 268.786 Td +369.3423 712.806 Td /F1.0 10.5 Tf <206265666f72652073657474696e672074686520676c6f62616c20696e74657272757074> Tj ET @@ -30466,7 +29639,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 253.006 Td +48.24 697.026 Td /F1.0 10.5 Tf <656e61626c652c20746f2061766f696420696e74657272757074732066726f6d20756e657870656374656420736f75726365732e> Tj ET @@ -30477,9 +29650,9 @@ ET 0.2 0.2 0.2 SCN BT -48.24 218.506 Td +48.24 662.526 Td /F2.0 13 Tf -<332e372e342e206d65697030> Tj +<332e372e332e206d65697030> Tj ET 0.0 0.0 0.0 SCN @@ -30488,7 +29661,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 191.946 Td +48.24 635.966 Td /F1.0 10.5 Tf [<41> 20.0195 <6464726573733a20>] TJ ET @@ -30499,7 +29672,7 @@ ET 0.6941 0.1294 0.2745 SCN BT -94.0618 191.946 Td +94.0618 635.966 Td /F3.0 10.5 Tf <3078666530> Tj ET @@ -30512,7 +29685,7 @@ ET 0.8691 Tw BT -48.24 164.166 Td +48.24 608.186 Td /F1.0 10.5 Tf [<45787465726e616c204952> 20.0195 <512070656e64696e6720726567697374657220302e20436f6e7461696e73206120726561642d6f6e6c792062697420666f7220656163682065787465726e616c20696e746572727570742072657175657374204952> 20.0195 <5130>] TJ ET @@ -30527,7 +29700,7 @@ ET 0.8313 Tw BT -48.24 148.386 Td +48.24 592.406 Td /F1.0 10.5 Tf [<7468726f756768204952> 20.0195 <5133312e204120>] TJ ET @@ -30542,7 +29715,7 @@ ET 0.8313 Tw BT -139.2908 148.386 Td +139.2908 592.406 Td /F3.0 10.5 Tf <31> Tj ET @@ -30557,7 +29730,7 @@ ET 0.8313 Tw BT -144.5408 148.386 Td +144.5408 592.406 Td /F1.0 10.5 Tf [<2062697420696e64696361746573207468617420696e746572727570742069732063757272656e746c792061737365727465642e204952> 20.0195 <51732061726520617373756d656420746f206265206c6576656c2d>] TJ ET @@ -30572,7 +29745,7 @@ ET 1.7816 Tw BT -48.24 132.606 Td +48.24 576.626 Td /F1.0 10.5 Tf <73656e7369746976652c20616e64207468652072656c6576616e7420> Tj ET @@ -30587,7 +29760,7 @@ ET 1.7816 Tw BT -189.7873 132.606 Td +189.7873 576.626 Td /F3.0 10.5 Tf <6d65697030> Tj ET @@ -30602,7 +29775,7 @@ ET 1.7816 Tw BT -216.0373 132.606 Td +216.0373 576.626 Td /F1.0 10.5 Tf [<2062697420697320636c65617265642062> 20.0195 <7920736572766963696e672074686520726571756573746f7220736f20746861742069742064656173736572747320697473>] TJ ET @@ -30615,7 +29788,7 @@ ET 0.2 0.2 0.2 SCN BT -48.24 116.826 Td +48.24 560.846 Td /F1.0 10.5 Tf <696e7465727275707420726571756573742e> Tj ET @@ -30628,7 +29801,7 @@ ET 0.1243 Tw BT -48.24 89.046 Td +48.24 533.066 Td /F1.0 10.5 Tf [<41> 20.0195 <646472657373657320>] TJ ET @@ -30643,7 +29816,7 @@ ET 0.1243 Tw BT -101.5361 89.046 Td +101.5361 533.066 Td /F3.0 10.5 Tf <3078666531> Tj ET @@ -30658,7 +29831,7 @@ ET 0.1243 Tw BT -127.7861 89.046 Td +127.7861 533.066 Td /F1.0 10.5 Tf <207468726f75676820> Tj ET @@ -30673,7 +29846,7 @@ ET 0.1243 Tw BT -173.7938 89.046 Td +173.7938 533.066 Td /F3.0 10.5 Tf <3078666533> Tj ET @@ -30688,7 +29861,7 @@ ET 0.1243 Tw BT -200.0438 89.046 Td +200.0438 533.066 Td /F1.0 10.5 Tf <2061726520726573657276656420666f72206675727468657220> Tj ET @@ -30703,7 +29876,7 @@ ET 0.1243 Tw BT -325.9935 89.046 Td +325.9935 533.066 Td /F3.0 10.5 Tf <6d656970> Tj ET @@ -30718,7 +29891,7 @@ ET 0.1243 Tw BT -346.9935 89.046 Td +346.9935 533.066 Td /F1.0 10.5 Tf <207265676973746572732c20737570706f7274696e6720757020746f203132382065787465726e616c> Tj ET @@ -30731,12 +29904,1113 @@ ET 0.2 0.2 0.2 SCN BT -48.24 73.266 Td +48.24 517.286 Td /F1.0 10.5 Tf <696e74657272757074732e> Tj ET 0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.4674 Tw + +BT +48.24 489.506 Td +/F1.0 10.5 Tf +[<5768656e20616e> 20.0195 <79206269742069732073657420696e20626f746820>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.4674 Tw + +BT +187.5498 489.506 Td +/F3.0 10.5 Tf +<6d65697030> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.4674 Tw + +BT +213.7998 489.506 Td +/F1.0 10.5 Tf +<20616e6420> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.4674 Tw + +BT +239.2836 489.506 Td +/F3.0 10.5 Tf +<6d65696530> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.4674 Tw + +BT +265.5336 489.506 Td +/F1.0 10.5 Tf +<2c20746865207374616e646172642065787465726e616c20696e746572727570742070656e64696e672062697420> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.4674 Tw + +BT +493.7681 489.506 Td +/F3.0 10.5 Tf +<6d69702e6d656970> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.4674 Tw + +BT +535.7681 489.506 Td +/F1.0 10.5 Tf +<206973> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.7917 Tw + +BT +48.24 473.726 Td +/F1.0 10.5 Tf +<616c736f207365742e20496e206f7468657220776f7264732c20> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +1.7917 Tw + +BT +178.8619 473.726 Td +/F3.0 10.5 Tf +<6d65697030> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.7917 Tw + +BT +205.1119 473.726 Td +/F1.0 10.5 Tf +[<2069732066696c74657265642062> 20.0195 <7920>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +1.7917 Tw + +BT +280.1818 473.726 Td +/F3.0 10.5 Tf +<6d65696530> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.7917 Tw + +BT +306.4318 473.726 Td +/F1.0 10.5 Tf +[<20746f2067656e6572> 20.0195 <61746520746865207374616e6461726420>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +1.7917 Tw + +BT +443.1435 473.726 Td +/F3.0 10.5 Tf +<6d69702e6d656970> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.7917 Tw + +BT +485.1435 473.726 Td +/F1.0 10.5 Tf +<20666c61672e20536f2c20616e> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 457.946 Td +/F1.0 10.5 Tf +[<65787465726e616c20696e746572727570742069732074616b> 20.0195 <656e207768656e20>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +213.9088 457.946 Td +/F4.0 10.5 Tf +<616c6c> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +226.3723 457.946 Td +/F1.0 10.5 Tf +<206f662074686520666f6c6c6f77696e672061726520747275653a> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 430.166 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 430.166 Td +/F1.0 10.5 Tf +<416e20696e746572727570742069732063757272656e746c7920617373657274656420696e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +249.717 430.166 Td +/F3.0 10.5 Tf +<6d65697030> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 408.386 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 408.386 Td +/F1.0 10.5 Tf +<546865206d61746368696e6720696e7465727275707420656e61626c65206269742069732073657420696e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +279.2535 408.386 Td +/F3.0 10.5 Tf +<6d65696530> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 386.606 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 386.606 Td +/F1.0 10.5 Tf +<546865207374616e64617264204d2d6d6f646520696e7465727275707420656e61626c6520> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +264.1965 386.606 Td +/F3.0 10.5 Tf +<6d7374617475732e6d6965> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +321.9465 386.606 Td +/F1.0 10.5 Tf +<20697320736574> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 364.826 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 364.826 Td +/F1.0 10.5 Tf +<546865207374616e64617264204d2d6d6f646520676c6f62616c2065787465726e616c20696e7465727275707420656e61626c6520> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +342.0645 364.826 Td +/F3.0 10.5 Tf +<6d69652e6d656965> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +384.0645 364.826 Td +/F1.0 10.5 Tf +<20697320736574> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 337.046 Td +/F1.0 10.5 Tf +<496e207468697320636173652c207468652070726f636573736f72206a756d707320746f206569746865723a> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 309.266 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 309.266 Td +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +66.24 309.266 Td +/F3.0 10.5 Tf +<6d74766563> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +92.49 309.266 Td +/F1.0 10.5 Tf +[<206469726563746c79> 89.8438 <2c20696620766563746f72696e672069732064697361626c65642028>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +257.3206 309.266 Td +/F3.0 10.5 Tf +<6d747665635b305d> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +299.3206 309.266 Td +/F1.0 10.5 Tf +<206973203029> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 287.486 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 287.486 Td +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +66.24 287.486 Td +/F3.0 10.5 Tf +<6d74766563202b2030783263> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +129.24 287.486 Td +/F1.0 10.5 Tf +<2c20696620766563746f72696e6720697320656e61626c65642028> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +251.775 287.486 Td +/F3.0 10.5 Tf +<6d747665635b305d> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +293.775 287.486 Td +/F1.0 10.5 Tf +<206973203129> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 252.986 Td +/F2.0 13 Tf +<332e372e342e206d6c6569> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 226.426 Td +/F1.0 10.5 Tf +[<41> 20.0195 <6464726573733a20>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +94.0618 226.426 Td +/F3.0 10.5 Tf +<3078666534> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.9185 Tw + +BT +48.24 198.646 Td +/F1.0 10.5 Tf +<4c6f776573742065787465726e616c20696e746572727570742e20436f6e7461696e732074686520696e646578206f6620746865206c6f776573742d6e756d62657265642065787465726e616c20696e74657272757074207768696368206973> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.2141 Tw + +BT +48.24 182.866 Td +/F1.0 10.5 Tf +<626f746820617373657274656420696e20> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.2141 Tw + +BT +131.6958 182.866 Td +/F3.0 10.5 Tf +<6d65697030> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.2141 Tw + +BT +157.9458 182.866 Td +/F1.0 10.5 Tf +<20616e6420656e61626c656420696e20> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.2141 Tw + +BT +238.9487 182.866 Td +/F3.0 10.5 Tf +<6d65696530> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.2141 Tw + +BT +265.1987 182.866 Td +/F1.0 10.5 Tf +[<2c206c6566742d736869667465642062> 20.0195 <79203220736f20746861742069742063616e206265207573656420746f20696e64657820616e20617272> 20.0195 <61> 20.0195 <79>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 167.086 Td +/F1.0 10.5 Tf +<6f662033322d6269742066756e6374696f6e20706f696e746572732e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 129.49 49.88 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +98.12 129.49 99.76 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +197.88 129.49 349.16 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 107.71 49.88 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +98.12 107.71 99.76 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +197.88 107.71 349.16 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 54.37 49.88 53.34 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +98.12 54.37 99.76 53.34 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +197.88 54.37 349.16 53.34 re +f +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 151.27 m +98.12 151.27 l +S +[] 0 d +1.25 w +0.8667 0.8667 0.8667 SCN +48.24 129.49 m +98.12 129.49 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 151.52 m +48.24 128.865 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 151.52 m +98.12 128.865 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +51.24 136.306 Td +/F2.0 10.5 Tf +<42697473> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 151.27 m +197.88 151.27 l +S +[] 0 d +1.25 w +0.8667 0.8667 0.8667 SCN +98.12 129.49 m +197.88 129.49 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 151.52 m +98.12 128.865 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 151.52 m +197.88 128.865 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +101.12 136.306 Td +/F2.0 10.5 Tf +<4e616d65> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 151.27 m +547.04 151.27 l +S +[] 0 d +1.25 w +0.8667 0.8667 0.8667 SCN +197.88 129.49 m +547.04 129.49 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 151.52 m +197.88 128.865 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 151.52 m +547.04 128.865 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +200.88 136.306 Td +/F2.0 10.5 Tf +<4465736372697074696f6e> Tj +ET + +0.0 0.0 0.0 scn +1.25 w +0.8667 0.8667 0.8667 SCN +48.24 129.49 m +98.12 129.49 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 107.71 m +98.12 107.71 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 130.115 m +48.24 107.46 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 130.115 m +98.12 107.46 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +51.24 114.526 Td +/F2.0 10.5 Tf +<33313a37> Tj +ET + +0.0 0.0 0.0 scn +1.25 w +0.8667 0.8667 0.8667 SCN +98.12 129.49 m +197.88 129.49 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 107.71 m +197.88 107.71 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 130.115 m +98.12 107.46 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 130.115 m +197.88 107.46 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +101.12 114.526 Td +/F2.0 10.5 Tf +<2d> Tj +ET + +0.0 0.0 0.0 scn +1.25 w +0.8667 0.8667 0.8667 SCN +197.88 129.49 m +547.04 129.49 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 107.71 m +547.04 107.71 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 130.115 m +197.88 107.46 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 130.115 m +547.04 107.46 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +200.88 114.526 Td +/F1.0 10.5 Tf +<52455330> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 107.71 m +98.12 107.71 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 54.37 m +98.12 54.37 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 107.96 m +48.24 54.12 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 107.96 m +98.12 54.12 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +51.24 92.746 Td +/F2.0 10.5 Tf +<363a32> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 107.71 m +197.88 107.71 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 54.37 m +197.88 54.37 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 107.96 m +98.12 54.12 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 107.96 m +197.88 54.12 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +101.12 92.746 Td +/F2.0 10.5 Tf +<2d> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 107.71 m +547.04 107.71 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 54.37 m +547.04 54.37 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 107.96 m +197.88 54.12 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 107.96 m +547.04 54.12 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +200.88 92.746 Td +/F1.0 10.5 Tf +<496e646578206f6620746865206c6f776573742d6e756d6265726564206163746976652065787465726e616c20696e746572727570742e2041204c53422d6669727374> Tj +ET + + +BT +200.88 76.966 Td +/F1.0 10.5 Tf +<7072696f7269747920656e636f6465206f6620> Tj +ET + +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +293.343 76.966 Td +/F3.0 10.5 Tf +<6d656970302026206d65696530> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +361.593 76.966 Td +/F1.0 10.5 Tf +<2e205a65726f207768656e206e6f2065787465726e616c20696e7465727275707473> Tj +ET + + +BT +200.88 61.186 Td +/F1.0 10.5 Tf +<61726520626f74682070656e64696e6720616e6420656e61626c65642e> Tj +ET + 0.0 0.0 0.0 scn q 0.0 0.0 0.0 scn @@ -30762,7 +31036,7 @@ Q endstream endobj -141 0 obj +140 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -30770,1082 +31044,77 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 140 0 R +/Contents 139 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] -/Font << /F2.0 19 0 R -/F1.0 8 0 R +/Font << /F1.0 8 0 R /F3.0 20 0 R +/F2.0 19 0 R +/F4.0 61 0 R >> -/XObject << /Stamp2 345 0 R +/XObject << /Stamp2 335 0 R >> >> >> endobj +141 0 obj +[140 0 R /XYZ 0 681.21 null] +endobj 142 0 obj -[141 0 R /XYZ 0 841.89 null] +[140 0 R /XYZ 0 271.67 null] endobj 143 0 obj -[141 0 R /XYZ 0 581.39 null] -endobj -144 0 obj -[141 0 R /XYZ 0 237.19 null] -endobj -145 0 obj -<< /Length 18271 +<< /Length 2938 >> stream q /DeviceRGB cs -0.2 0.2 0.2 scn -/DeviceRGB CS -0.2 0.2 0.2 SCN - -0.4674 Tw - -BT -48.24 794.676 Td -/F1.0 10.5 Tf -[<5768656e20616e> 20.0195 <79206269742069732073657420696e20626f746820>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -0.4674 Tw - -BT -187.5498 794.676 Td -/F3.0 10.5 Tf -<6d65697030> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -0.4674 Tw - -BT -213.7998 794.676 Td -/F1.0 10.5 Tf -<20616e6420> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -0.4674 Tw - -BT -239.2836 794.676 Td -/F3.0 10.5 Tf -<6d65696530> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -0.4674 Tw - -BT -265.5336 794.676 Td -/F1.0 10.5 Tf -<2c20746865207374616e646172642065787465726e616c20696e746572727570742070656e64696e672062697420> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -0.4674 Tw - -BT -493.7681 794.676 Td -/F3.0 10.5 Tf -<6d69702e6d656970> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -0.4674 Tw - -BT -535.7681 794.676 Td -/F1.0 10.5 Tf -<206973> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -1.7917 Tw - -BT -48.24 778.896 Td -/F1.0 10.5 Tf -<616c736f207365742e20496e206f7468657220776f7264732c20> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -1.7917 Tw - -BT -178.8619 778.896 Td -/F3.0 10.5 Tf -<6d65697030> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -1.7917 Tw - -BT -205.1119 778.896 Td -/F1.0 10.5 Tf -[<2069732066696c74657265642062> 20.0195 <7920>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -1.7917 Tw - -BT -280.1818 778.896 Td -/F3.0 10.5 Tf -<6d65696530> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -1.7917 Tw - -BT -306.4318 778.896 Td -/F1.0 10.5 Tf -[<20746f2067656e6572> 20.0195 <61746520746865207374616e6461726420>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -1.7917 Tw - -BT -443.1435 778.896 Td -/F3.0 10.5 Tf -<6d69702e6d656970> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -1.7917 Tw - -BT -485.1435 778.896 Td -/F1.0 10.5 Tf -<20666c61672e20536f2c20616e> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 763.116 Td -/F1.0 10.5 Tf -[<65787465726e616c20696e746572727570742069732074616b> 20.0195 <656e207768656e20>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -213.9088 763.116 Td -/F4.0 10.5 Tf -<616c6c> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -226.3723 763.116 Td -/F1.0 10.5 Tf -<206f662074686520666f6c6c6f77696e672061726520747275653a> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - --0.5 Tc - -0.0 Tc - --0.5 Tc -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -56.8805 735.336 Td -/F1.0 10.5 Tf - Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - -0.0 Tc -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -66.24 735.336 Td -/F1.0 10.5 Tf -<416e20696e746572727570742069732063757272656e746c7920617373657274656420696e20> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -249.717 735.336 Td -/F3.0 10.5 Tf -<6d65697030> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - --0.5 Tc - -0.0 Tc - --0.5 Tc -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -56.8805 713.556 Td -/F1.0 10.5 Tf - Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - -0.0 Tc -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -66.24 713.556 Td -/F1.0 10.5 Tf -<546865206d61746368696e6720696e7465727275707420656e61626c65206269742069732073657420696e20> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -279.2535 713.556 Td -/F3.0 10.5 Tf -<6d65696530> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - --0.5 Tc - -0.0 Tc - --0.5 Tc -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -56.8805 691.776 Td -/F1.0 10.5 Tf - Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - -0.0 Tc -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -66.24 691.776 Td -/F1.0 10.5 Tf -<546865207374616e64617264204d2d6d6f646520696e7465727275707420656e61626c6520> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -264.1965 691.776 Td -/F3.0 10.5 Tf -<6d7374617475732e6d6965> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -321.9465 691.776 Td -/F1.0 10.5 Tf -<20697320736574> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - --0.5 Tc - -0.0 Tc - --0.5 Tc -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -56.8805 669.996 Td -/F1.0 10.5 Tf - Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - -0.0 Tc -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -66.24 669.996 Td -/F1.0 10.5 Tf -<546865207374616e64617264204d2d6d6f646520676c6f62616c2065787465726e616c20696e7465727275707420656e61626c6520> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -342.0645 669.996 Td -/F3.0 10.5 Tf -<6d69652e6d656965> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -384.0645 669.996 Td -/F1.0 10.5 Tf -<20697320736574> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 642.216 Td -/F1.0 10.5 Tf -<496e207468697320636173652c207468652070726f636573736f72206a756d707320746f206569746865723a> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - --0.5 Tc - -0.0 Tc - --0.5 Tc -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -56.8805 614.436 Td -/F1.0 10.5 Tf - Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - -0.0 Tc -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -66.24 614.436 Td -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -66.24 614.436 Td -/F3.0 10.5 Tf -<6d74766563> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -92.49 614.436 Td -/F1.0 10.5 Tf -[<206469726563746c79> 89.8438 <2c20696620766563746f72696e672069732064697361626c65642028>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -257.3206 614.436 Td -/F3.0 10.5 Tf -<6d747665635b305d> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -299.3206 614.436 Td -/F1.0 10.5 Tf -<206973203029> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - --0.5 Tc - -0.0 Tc - --0.5 Tc -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -56.8805 592.656 Td -/F1.0 10.5 Tf - Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - -0.0 Tc -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -2.2781 Tw - -BT -66.24 592.656 Td -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -2.2781 Tw - -BT -66.24 592.656 Td -/F3.0 10.5 Tf -<6d74766563202b2030783263> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -2.2781 Tw - -BT -133.7963 592.656 Td -/F1.0 10.5 Tf -<2c20696620766563746f72696e6720697320656e61626c65642028> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -2.2781 Tw - -BT -267.722 592.656 Td -/F3.0 10.5 Tf -<6d747665635b305d> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -2.2781 Tw - -BT -309.722 592.656 Td -/F1.0 10.5 Tf -[<20697320312920616e64206d6f6469666965642065787465726e616c204952> 20.0195 <5120766563746f72696e67206973>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -66.24 576.876 Td -/F1.0 10.5 Tf -<64697361626c65642028> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -114.7605 576.876 Td -/F3.0 10.5 Tf -<6d696463722e656976656374> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -177.7605 576.876 Td -/F1.0 10.5 Tf -<206973203029> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - --0.5 Tc - -0.0 Tc - --0.5 Tc -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -56.8805 555.096 Td -/F1.0 10.5 Tf - Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - -0.0 Tc -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -1.8841 Tw - -BT -66.24 555.096 Td -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -1.8841 Tw - -BT -66.24 555.096 Td -/F3.0 10.5 Tf -<6d7476656374202b20286d6c6569202b20313629202a2034> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -1.8841 Tw - -BT -203.5448 555.096 Td -/F1.0 10.5 Tf -<2c20696620766563746f72696e6720697320656e61626c65642028> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -1.8841 Tw - -BT -335.5004 555.096 Td -/F3.0 10.5 Tf -<6d747665635b305d> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -1.8841 Tw - -BT -377.5004 555.096 Td -/F1.0 10.5 Tf -[<20697320312920616e64206d6f6469666965642065787465726e616c204952> 20.0195 <51>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -66.24 539.316 Td -/F1.0 10.5 Tf -<766563746f72696e6720697320656e61626c65642028> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -173.487 539.316 Td -/F3.0 10.5 Tf -<6d696463722e656976656374> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -236.487 539.316 Td -/F1.0 10.5 Tf -<2069732031292e2060> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - --0.5 Tc - -0.0 Tc - --0.5 Tc -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -74.954 517.536 Td -/F1.1 10.5 Tf -<21> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - -0.0 Tc -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -3.3427 Tw - -BT -84.24 517.536 Td -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -3.3427 Tw - -BT -84.24 517.536 Td -/F3.0 10.5 Tf -<6d6c6569> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -3.3427 Tw - -BT -105.24 517.536 Td -/F1.0 10.5 Tf -<206973206120726561642d6f6e6c792043535220636f6e7461696e696e6720746865206c6f776573742d6e756d62657265642070656e64696e672d616e642d656e61626c65642065787465726e616c> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -84.24 501.756 Td -/F1.0 10.5 Tf -<696e746572727570742e> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 467.256 Td -/F2.0 13 Tf -<332e372e352e206d6c6569> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 440.696 Td -/F1.0 10.5 Tf -[<41> 20.0195 <6464726573733a20>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -94.0618 440.696 Td -/F3.0 10.5 Tf -<3078666534> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -0.9185 Tw - -BT -48.24 412.916 Td -/F1.0 10.5 Tf -<4c6f776573742065787465726e616c20696e746572727570742e20436f6e7461696e732074686520696e646578206f6620746865206c6f776573742d6e756d62657265642065787465726e616c20696e74657272757074207768696368206973> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -2.7606 Tw - -BT -48.24 397.136 Td -/F1.0 10.5 Tf -<626f746820617373657274656420696e20> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -2.7606 Tw - -BT -139.3354 397.136 Td -/F3.0 10.5 Tf -<6d65697030> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -2.7606 Tw - -BT -165.5854 397.136 Td -/F1.0 10.5 Tf -<20616e6420656e61626c656420696e20> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -2.7606 Tw - -BT -256.7744 397.136 Td -/F3.0 10.5 Tf -<6d65696530> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -2.7606 Tw - -BT -283.0244 397.136 Td -/F1.0 10.5 Tf -<2e2043616e206265207573656420666f722066617374657220736f66747761726520766563746f72696e67207768656e> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 381.356 Td -/F1.0 10.5 Tf -<6d6f6469666965642065787465726e616c20696e7465727275707420766563746f72696e672028> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -243.1095 381.356 Td -/F3.0 10.5 Tf -<6d696463722e656976656374203d2031> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -327.1095 381.356 Td -/F1.0 10.5 Tf -<29206973206e6f7420696e207573652e> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 343.76 49.88 21.78 re +48.24 784.11 49.88 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 343.76 99.76 21.78 re +98.12 784.11 99.76 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -197.88 343.76 349.16 21.78 re +197.88 784.11 349.16 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -48.24 321.98 49.88 21.78 re +48.24 762.33 49.88 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -98.12 321.98 99.76 21.78 re +98.12 762.33 99.76 21.78 re f 0.0 0.0 0.0 scn 1.0 1.0 1.0 scn -197.88 321.98 349.16 21.78 re -f -0.0 0.0 0.0 scn -1.0 1.0 1.0 scn -48.24 268.64 49.88 53.34 re -f -0.0 0.0 0.0 scn -1.0 1.0 1.0 scn -98.12 268.64 99.76 53.34 re -f -0.0 0.0 0.0 scn -1.0 1.0 1.0 scn -197.88 268.64 349.16 53.34 re +197.88 762.33 349.16 21.78 re f 0.0 0.0 0.0 scn 0.5 w +/DeviceRGB CS 0.8667 0.8667 0.8667 SCN -48.24 365.54 m -98.12 365.54 l +48.24 805.89 m +98.12 805.89 l S [] 0 d 1.25 w 0.8667 0.8667 0.8667 SCN -48.24 343.76 m -98.12 343.76 l +48.24 784.11 m +98.12 784.11 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 365.79 m -48.24 343.135 l +48.24 806.14 m +48.24 783.485 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 365.79 m -98.12 343.135 l +98.12 806.14 m +98.12 783.485 l S [] 0 d 1 w @@ -31853,7 +31122,7 @@ S 0.2 0.2 0.2 scn BT -51.24 350.576 Td +51.24 790.926 Td /F2.0 10.5 Tf <42697473> Tj ET @@ -31861,26 +31130,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 365.54 m -197.88 365.54 l +98.12 805.89 m +197.88 805.89 l S [] 0 d 1.25 w 0.8667 0.8667 0.8667 SCN -98.12 343.76 m -197.88 343.76 l +98.12 784.11 m +197.88 784.11 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 365.79 m -98.12 343.135 l +98.12 806.14 m +98.12 783.485 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 365.79 m -197.88 343.135 l +197.88 806.14 m +197.88 783.485 l S [] 0 d 1 w @@ -31888,7 +31157,7 @@ S 0.2 0.2 0.2 scn BT -101.12 350.576 Td +101.12 790.926 Td /F2.0 10.5 Tf <4e616d65> Tj ET @@ -31896,26 +31165,26 @@ ET 0.0 0.0 0.0 scn 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 365.54 m -547.04 365.54 l +197.88 805.89 m +547.04 805.89 l S [] 0 d 1.25 w 0.8667 0.8667 0.8667 SCN -197.88 343.76 m -547.04 343.76 l +197.88 784.11 m +547.04 784.11 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 365.79 m -197.88 343.135 l +197.88 806.14 m +197.88 783.485 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 365.79 m -547.04 343.135 l +547.04 806.14 m +547.04 783.485 l S [] 0 d 1 w @@ -31923,34 +31192,34 @@ S 0.2 0.2 0.2 scn BT -200.88 350.576 Td +200.88 790.926 Td /F2.0 10.5 Tf <4465736372697074696f6e> Tj ET 0.0 0.0 0.0 scn -1.25 w +0.5 w 0.8667 0.8667 0.8667 SCN -48.24 343.76 m -98.12 343.76 l +48.24 784.11 m +98.12 784.11 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 321.98 m -98.12 321.98 l +48.24 762.33 m +98.12 762.33 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -48.24 344.385 m -48.24 321.73 l +48.24 784.36 m +48.24 762.08 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 344.385 m -98.12 321.73 l +98.12 784.36 m +98.12 762.08 l S [] 0 d 1 w @@ -31958,34 +31227,34 @@ S 0.2 0.2 0.2 scn BT -51.24 328.796 Td +51.24 769.146 Td /F2.0 10.5 Tf -<33313a35> Tj +<313a30> Tj ET 0.0 0.0 0.0 scn -1.25 w +0.5 w 0.8667 0.8667 0.8667 SCN -98.12 343.76 m -197.88 343.76 l +98.12 784.11 m +197.88 784.11 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 321.98 m -197.88 321.98 l +98.12 762.33 m +197.88 762.33 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -98.12 344.385 m -98.12 321.73 l +98.12 784.36 m +98.12 762.08 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 344.385 m -197.88 321.73 l +197.88 784.36 m +197.88 762.08 l S [] 0 d 1 w @@ -31993,34 +31262,34 @@ S 0.2 0.2 0.2 scn BT -101.12 328.796 Td +101.12 769.146 Td /F2.0 10.5 Tf <2d> Tj ET 0.0 0.0 0.0 scn -1.25 w +0.5 w 0.8667 0.8667 0.8667 SCN -197.88 343.76 m -547.04 343.76 l +197.88 784.11 m +547.04 784.11 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 321.98 m -547.04 321.98 l +197.88 762.33 m +547.04 762.33 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -197.88 344.385 m -197.88 321.73 l +197.88 784.36 m +197.88 762.08 l S [] 0 d 0.5 w 0.8667 0.8667 0.8667 SCN -547.04 344.385 m -547.04 321.73 l +547.04 784.36 m +547.04 762.08 l S [] 0 d 1 w @@ -32028,267 +31297,11 @@ S 0.2 0.2 0.2 scn BT -200.88 328.796 Td +200.88 769.146 Td /F1.0 10.5 Tf <52455330> Tj ET -0.0 0.0 0.0 scn -0.5 w -0.8667 0.8667 0.8667 SCN -48.24 321.98 m -98.12 321.98 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -48.24 268.64 m -98.12 268.64 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -48.24 322.23 m -48.24 268.39 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -98.12 322.23 m -98.12 268.39 l -S -[] 0 d -1 w -0.0 0.0 0.0 SCN -0.2 0.2 0.2 scn - -BT -51.24 307.016 Td -/F2.0 10.5 Tf -<343a30> Tj -ET - -0.0 0.0 0.0 scn -0.5 w -0.8667 0.8667 0.8667 SCN -98.12 321.98 m -197.88 321.98 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -98.12 268.64 m -197.88 268.64 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -98.12 322.23 m -98.12 268.39 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -197.88 322.23 m -197.88 268.39 l -S -[] 0 d -1 w -0.0 0.0 0.0 SCN -0.2 0.2 0.2 scn - -BT -101.12 307.016 Td -/F2.0 10.5 Tf -<2d> Tj -ET - -0.0 0.0 0.0 scn -0.5 w -0.8667 0.8667 0.8667 SCN -197.88 321.98 m -547.04 321.98 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -197.88 268.64 m -547.04 268.64 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -197.88 322.23 m -197.88 268.39 l -S -[] 0 d -0.5 w -0.8667 0.8667 0.8667 SCN -547.04 322.23 m -547.04 268.39 l -S -[] 0 d -1 w -0.0 0.0 0.0 SCN -0.2 0.2 0.2 scn - -BT -200.88 307.016 Td -/F1.0 10.5 Tf -<496e646578206f6620746865206c6f776573742d6e756d6265726564206163746976652065787465726e616c20696e746572727570742e2041204c53422d6669727374> Tj -ET - - -BT -200.88 291.236 Td -/F1.0 10.5 Tf -<7072696f7269747920656e636f6465206f6620> Tj -ET - -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -293.343 291.236 Td -/F3.0 10.5 Tf -<6d656970302026206d65696530> Tj -ET - -0.0 0.0 0.0 SCN -0.2 0.2 0.2 scn - -BT -361.593 291.236 Td -/F1.0 10.5 Tf -<2e205a65726f207768656e206e6f2065787465726e616c20696e7465727275707473> Tj -ET - - -BT -200.88 275.456 Td -/F1.0 10.5 Tf -<61726520626f74682070656e64696e6720616e6420656e61626c65642e> Tj -ET - -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 237.956 Td -/F2.0 13 Tf -[<332e372e362e204d61> 20.0195 <7962652d61646473>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -2.4768 Tw - -BT -48.24 211.396 Td -/F1.0 10.5 Tf -<416e206f7074696f6e20746f20636c65617220612062697420696e20> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -2.4768 Tw - -BT -195.3053 211.396 Td -/F3.0 10.5 Tf -<6d65696530> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -2.4768 Tw - -BT -221.5553 211.396 Td -/F1.0 10.5 Tf -[<207768656e207468617420696e746572727570742069732074616b> 20.0195 <656e2c20616e6420736574206974207768656e20616e20>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -2.4768 Tw - -BT -492.4528 211.396 Td -/F3.0 10.5 Tf -<6d726574> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -2.4768 Tw - -BT -513.4528 211.396 Td -/F1.0 10.5 Tf -<206861732061> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 195.616 Td -/F1.0 10.5 Tf -<6d61746368696e6720> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -98.0625 195.616 Td -/F3.0 10.5 Tf -<6d6361757365> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -129.5625 195.616 Td -/F1.0 10.5 Tf -[<20666f72207468617420696e746572727570742e204d616b> 20.0195 <657320707265656d7074696f6e20737570706f7274206561736965722e>] TJ -ET - -0.0 0.0 0.0 SCN 0.0 0.0 0.0 scn q 0.0 0.0 0.0 scn @@ -32314,7 +31327,7 @@ Q endstream endobj -146 0 obj +144 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -32322,26 +31335,17 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 145 0 R +/Contents 143 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] -/Font << /F1.0 8 0 R -/F3.0 20 0 R -/F4.0 61 0 R -/F1.1 21 0 R -/F2.0 19 0 R +/Font << /F2.0 19 0 R +/F1.0 8 0 R >> -/XObject << /Stamp1 344 0 R +/XObject << /Stamp1 334 0 R >> >> >> endobj -147 0 obj -[146 0 R /XYZ 0 485.94 null] -endobj -148 0 obj -[146 0 R /XYZ 0 256.64 null] -endobj -149 0 obj +145 0 obj << /Length 6452 >> stream @@ -32857,7 +31861,7 @@ Q endstream endobj -150 0 obj +146 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -32865,7 +31869,7 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 149 0 R +/Contents 145 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F2.0 19 0 R /F1.0 8 0 R @@ -32873,26 +31877,26 @@ endobj /F1.1 21 0 R /F4.0 61 0 R >> -/XObject << /I1 153 0 R -/Stamp2 345 0 R +/XObject << /I1 149 0 R +/Stamp2 335 0 R >> >> >> endobj -151 0 obj -[150 0 R /XYZ 0 841.89 null] +147 0 obj +[146 0 R /XYZ 0 841.89 null] endobj -152 0 obj -[150 0 R /XYZ 0 590.93 null] +148 0 obj +[146 0 R /XYZ 0 590.93 null] endobj -153 0 obj +149 0 obj << /Type /XObject /Subtype /Image /Height 824 /Width 644 /BitsPerComponent 8 /ColorSpace /DeviceRGB -/SMask 154 0 R +/SMask 150 0 R /Length 44169 /Filter [/FlateDecode] /DecodeParms [<< /Predictor 15 @@ -33043,7 +32047,7 @@ cs \&C a 1&C 6 a `21 p.!L00`21A a `21pjlv:::::::2&CXZ9 endstream endobj -154 0 obj +150 0 obj << /Type /XObject /Subtype /Image /Height 824 @@ -33063,7 +32067,7 @@ stream x1 7Ip0!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!93!9{ @ endstream endobj -155 0 obj +151 0 obj << /Length 13440 >> stream @@ -34021,7 +33025,7 @@ Q endstream endobj -156 0 obj +152 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -34029,22 +33033,22 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 155 0 R +/Contents 151 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F1.0 8 0 R /F4.0 61 0 R /F2.0 19 0 R /F3.0 20 0 R >> -/XObject << /Stamp1 344 0 R +/XObject << /Stamp1 334 0 R >> >> >> endobj -157 0 obj -[156 0 R /XYZ 0 477.63 null] +153 0 obj +[152 0 R /XYZ 0 477.63 null] endobj -158 0 obj +154 0 obj << /Length 18944 >> stream @@ -35455,7 +34459,7 @@ Q endstream endobj -159 0 obj +155 0 obj << /Type /Page /Parent 3 0 R /MediaBox [0 0 595.28 841.89] @@ -35463,20 +34467,20 @@ endobj /BleedBox [0 0 595.28 841.89] /TrimBox [0 0 595.28 841.89] /ArtBox [0 0 595.28 841.89] -/Contents 158 0 R +/Contents 154 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F1.0 8 0 R /F3.0 20 0 R /F1.1 21 0 R /F2.0 19 0 R >> -/XObject << /Stamp2 345 0 R +/XObject << /Stamp2 335 0 R >> >> -/Annots [160 0 R 161 0 R] +/Annots [156 0 R 157 0 R] >> endobj -160 0 obj +156 0 obj << /Border [0 0 0] /Dest (reg-dmdata0) /Subtype /Link @@ -35484,7 +34488,7 @@ endobj /Type /Annot >> endobj -161 0 obj +157 0 obj << /Border [0 0 0] /Dest (debug-csr-section) /Subtype /Link @@ -35492,10 +34496,10 @@ endobj /Type /Annot >> endobj -162 0 obj -[159 0 R /XYZ 0 271.83 null] +158 0 obj +[155 0 R /XYZ 0 271.83 null] endobj -163 0 obj +159 0 obj << /Border [0 0 0] /Dest (_introduction) /Subtype /Link @@ -35503,7 +34507,7 @@ endobj /Type /Annot >> endobj -164 0 obj +160 0 obj << /Border [0 0 0] /Dest (_introduction) /Subtype /Link @@ -35511,7 +34515,7 @@ endobj /Type /Annot >> endobj -165 0 obj +161 0 obj << /Border [0 0 0] /Dest (_instruction_cycle_counts) /Subtype /Link @@ -35519,7 +34523,7 @@ endobj /Type /Annot >> endobj -166 0 obj +162 0 obj << /Border [0 0 0] /Dest (_instruction_cycle_counts) /Subtype /Link @@ -35527,7 +34531,7 @@ endobj /Type /Annot >> endobj -167 0 obj +163 0 obj << /Border [0 0 0] /Dest (_rv32i) /Subtype /Link @@ -35535,7 +34539,7 @@ endobj /Type /Annot >> endobj -168 0 obj +164 0 obj << /Border [0 0 0] /Dest (_rv32i) /Subtype /Link @@ -35543,7 +34547,7 @@ endobj /Type /Annot >> endobj -169 0 obj +165 0 obj << /Border [0 0 0] /Dest (_m_extension) /Subtype /Link @@ -35551,7 +34555,7 @@ endobj /Type /Annot >> endobj -170 0 obj +166 0 obj << /Border [0 0 0] /Dest (_m_extension) /Subtype /Link @@ -35559,7 +34563,7 @@ endobj /Type /Annot >> endobj -171 0 obj +167 0 obj << /Border [0 0 0] /Dest (_c_extension) /Subtype /Link @@ -35567,7 +34571,7 @@ endobj /Type /Annot >> endobj -172 0 obj +168 0 obj << /Border [0 0 0] /Dest (_c_extension) /Subtype /Link @@ -35575,7 +34579,7 @@ endobj /Type /Annot >> endobj -173 0 obj +169 0 obj << /Border [0 0 0] /Dest (_privileged_instructions_including_zicsr) /Subtype /Link @@ -35583,7 +34587,7 @@ endobj /Type /Annot >> endobj -174 0 obj +170 0 obj << /Border [0 0 0] /Dest (_privileged_instructions_including_zicsr) /Subtype /Link @@ -35591,7 +34595,7 @@ endobj /Type /Annot >> endobj -175 0 obj +171 0 obj << /Border [0 0 0] /Dest (_bit_manipulation) /Subtype /Link @@ -35599,7 +34603,7 @@ endobj /Type /Annot >> endobj -176 0 obj +172 0 obj << /Border [0 0 0] /Dest (_bit_manipulation) /Subtype /Link @@ -35607,7 +34611,7 @@ endobj /Type /Annot >> endobj -177 0 obj +173 0 obj << /Border [0 0 0] /Dest (_csrs) /Subtype /Link @@ -35615,7 +34619,7 @@ endobj /Type /Annot >> endobj -178 0 obj +174 0 obj << /Border [0 0 0] /Dest (_csrs) /Subtype /Link @@ -35623,7 +34627,7 @@ endobj /Type /Annot >> endobj -179 0 obj +175 0 obj << /Border [0 0 0] /Dest (_standard_m_mode_identification_csrs) /Subtype /Link @@ -35631,7 +34635,7 @@ endobj /Type /Annot >> endobj -180 0 obj +176 0 obj << /Border [0 0 0] /Dest (_standard_m_mode_identification_csrs) /Subtype /Link @@ -35639,7 +34643,7 @@ endobj /Type /Annot >> endobj -181 0 obj +177 0 obj << /Border [0 0 0] /Dest (_mvendorid) /Subtype /Link @@ -35647,7 +34651,7 @@ endobj /Type /Annot >> endobj -182 0 obj +178 0 obj << /Border [0 0 0] /Dest (_mvendorid) /Subtype /Link @@ -35655,7 +34659,7 @@ endobj /Type /Annot >> endobj -183 0 obj +179 0 obj << /Border [0 0 0] /Dest (_marchid) /Subtype /Link @@ -35663,7 +34667,7 @@ endobj /Type /Annot >> endobj -184 0 obj +180 0 obj << /Border [0 0 0] /Dest (_marchid) /Subtype /Link @@ -35671,7 +34675,7 @@ endobj /Type /Annot >> endobj -185 0 obj +181 0 obj << /Border [0 0 0] /Dest (_mimpid) /Subtype /Link @@ -35679,7 +34683,7 @@ endobj /Type /Annot >> endobj -186 0 obj +182 0 obj << /Border [0 0 0] /Dest (_mimpid) /Subtype /Link @@ -35687,7 +34691,7 @@ endobj /Type /Annot >> endobj -187 0 obj +183 0 obj << /Border [0 0 0] /Dest (_mhartid) /Subtype /Link @@ -35695,7 +34699,7 @@ endobj /Type /Annot >> endobj -188 0 obj +184 0 obj << /Border [0 0 0] /Dest (_mhartid) /Subtype /Link @@ -35703,7 +34707,7 @@ endobj /Type /Annot >> endobj -189 0 obj +185 0 obj << /Border [0 0 0] /Dest (_mconfigptr) /Subtype /Link @@ -35711,7 +34715,7 @@ endobj /Type /Annot >> endobj -190 0 obj +186 0 obj << /Border [0 0 0] /Dest (_mconfigptr) /Subtype /Link @@ -35719,7 +34723,7 @@ endobj /Type /Annot >> endobj -191 0 obj +187 0 obj << /Border [0 0 0] /Dest (_misa) /Subtype /Link @@ -35727,7 +34731,7 @@ endobj /Type /Annot >> endobj -192 0 obj +188 0 obj << /Border [0 0 0] /Dest (_misa) /Subtype /Link @@ -35735,7 +34739,7 @@ endobj /Type /Annot >> endobj -193 0 obj +189 0 obj << /Border [0 0 0] /Dest (_standard_m_mode_trap_handling_csrs) /Subtype /Link @@ -35743,7 +34747,7 @@ endobj /Type /Annot >> endobj -194 0 obj +190 0 obj << /Border [0 0 0] /Dest (_standard_m_mode_trap_handling_csrs) /Subtype /Link @@ -35751,7 +34755,7 @@ endobj /Type /Annot >> endobj -195 0 obj +191 0 obj << /Border [0 0 0] /Dest (_mstatus) /Subtype /Link @@ -35759,7 +34763,7 @@ endobj /Type /Annot >> endobj -196 0 obj +192 0 obj << /Border [0 0 0] /Dest (_mstatus) /Subtype /Link @@ -35767,7 +34771,7 @@ endobj /Type /Annot >> endobj -197 0 obj +193 0 obj << /Border [0 0 0] /Dest (_mstatush) /Subtype /Link @@ -35775,7 +34779,7 @@ endobj /Type /Annot >> endobj -198 0 obj +194 0 obj << /Border [0 0 0] /Dest (_mstatush) /Subtype /Link @@ -35783,7 +34787,7 @@ endobj /Type /Annot >> endobj -199 0 obj +195 0 obj << /Border [0 0 0] /Dest (_medeleg) /Subtype /Link @@ -35791,7 +34795,7 @@ endobj /Type /Annot >> endobj -200 0 obj +196 0 obj << /Border [0 0 0] /Dest (_medeleg) /Subtype /Link @@ -35799,7 +34803,7 @@ endobj /Type /Annot >> endobj -201 0 obj +197 0 obj << /Border [0 0 0] /Dest (_mideleg) /Subtype /Link @@ -35807,7 +34811,7 @@ endobj /Type /Annot >> endobj -202 0 obj +198 0 obj << /Border [0 0 0] /Dest (_mideleg) /Subtype /Link @@ -35815,7 +34819,7 @@ endobj /Type /Annot >> endobj -203 0 obj +199 0 obj << /Border [0 0 0] /Dest (_mie) /Subtype /Link @@ -35823,7 +34827,7 @@ endobj /Type /Annot >> endobj -204 0 obj +200 0 obj << /Border [0 0 0] /Dest (_mie) /Subtype /Link @@ -35831,7 +34835,7 @@ endobj /Type /Annot >> endobj -205 0 obj +201 0 obj << /Border [0 0 0] /Dest (_mip) /Subtype /Link @@ -35839,7 +34843,7 @@ endobj /Type /Annot >> endobj -206 0 obj +202 0 obj << /Border [0 0 0] /Dest (_mip) /Subtype /Link @@ -35847,7 +34851,7 @@ endobj /Type /Annot >> endobj -207 0 obj +203 0 obj << /Border [0 0 0] /Dest (_mtvec) /Subtype /Link @@ -35855,7 +34859,7 @@ endobj /Type /Annot >> endobj -208 0 obj +204 0 obj << /Border [0 0 0] /Dest (_mtvec) /Subtype /Link @@ -35863,7 +34867,7 @@ endobj /Type /Annot >> endobj -209 0 obj +205 0 obj << /Border [0 0 0] /Dest (_mscratch) /Subtype /Link @@ -35871,7 +34875,7 @@ endobj /Type /Annot >> endobj -210 0 obj +206 0 obj << /Border [0 0 0] /Dest (_mscratch) /Subtype /Link @@ -35879,7 +34883,7 @@ endobj /Type /Annot >> endobj -211 0 obj +207 0 obj << /Border [0 0 0] /Dest (_mepc) /Subtype /Link @@ -35887,7 +34891,7 @@ endobj /Type /Annot >> endobj -212 0 obj +208 0 obj << /Border [0 0 0] /Dest (_mepc) /Subtype /Link @@ -35895,7 +34899,7 @@ endobj /Type /Annot >> endobj -213 0 obj +209 0 obj << /Border [0 0 0] /Dest (_mcause) /Subtype /Link @@ -35903,7 +34907,7 @@ endobj /Type /Annot >> endobj -214 0 obj +210 0 obj << /Border [0 0 0] /Dest (_mcause) /Subtype /Link @@ -35911,7 +34915,7 @@ endobj /Type /Annot >> endobj -215 0 obj +211 0 obj << /Border [0 0 0] /Dest (_mtval) /Subtype /Link @@ -35919,7 +34923,7 @@ endobj /Type /Annot >> endobj -216 0 obj +212 0 obj << /Border [0 0 0] /Dest (_mtval) /Subtype /Link @@ -35927,7 +34931,7 @@ endobj /Type /Annot >> endobj -217 0 obj +213 0 obj << /Border [0 0 0] /Dest (_mcounteren) /Subtype /Link @@ -35935,7 +34939,7 @@ endobj /Type /Annot >> endobj -218 0 obj +214 0 obj << /Border [0 0 0] /Dest (_mcounteren) /Subtype /Link @@ -35943,7 +34947,7 @@ endobj /Type /Annot >> endobj -219 0 obj +215 0 obj << /Border [0 0 0] /Dest (_standard_memory_protection) /Subtype /Link @@ -35951,7 +34955,7 @@ endobj /Type /Annot >> endobj -220 0 obj +216 0 obj << /Border [0 0 0] /Dest (_standard_memory_protection) /Subtype /Link @@ -35959,7 +34963,7 @@ endobj /Type /Annot >> endobj -221 0 obj +217 0 obj << /Border [0 0 0] /Dest (_pmpcfg03) /Subtype /Link @@ -35967,7 +34971,7 @@ endobj /Type /Annot >> endobj -222 0 obj +218 0 obj << /Border [0 0 0] /Dest (_pmpcfg03) /Subtype /Link @@ -35975,7 +34979,7 @@ endobj /Type /Annot >> endobj -223 0 obj +219 0 obj << /Border [0 0 0] /Dest (_pmpaddr015) /Subtype /Link @@ -35983,7 +34987,7 @@ endobj /Type /Annot >> endobj -224 0 obj +220 0 obj << /Border [0 0 0] /Dest (_pmpaddr015) /Subtype /Link @@ -35991,7 +34995,7 @@ endobj /Type /Annot >> endobj -225 0 obj +221 0 obj << /Border [0 0 0] /Dest (_standard_m_mode_performance_counters) /Subtype /Link @@ -35999,7 +35003,7 @@ endobj /Type /Annot >> endobj -226 0 obj +222 0 obj << /Border [0 0 0] /Dest (_standard_m_mode_performance_counters) /Subtype /Link @@ -36007,7 +35011,7 @@ endobj /Type /Annot >> endobj -227 0 obj +223 0 obj << /Border [0 0 0] /Dest (_mcycle) /Subtype /Link @@ -36015,7 +35019,7 @@ endobj /Type /Annot >> endobj -228 0 obj +224 0 obj << /Border [0 0 0] /Dest (_mcycle) /Subtype /Link @@ -36023,7 +35027,7 @@ endobj /Type /Annot >> endobj -229 0 obj +225 0 obj << /Border [0 0 0] /Dest (_mcycleh) /Subtype /Link @@ -36031,7 +35035,7 @@ endobj /Type /Annot >> endobj -230 0 obj +226 0 obj << /Border [0 0 0] /Dest (_mcycleh) /Subtype /Link @@ -36039,7 +35043,7 @@ endobj /Type /Annot >> endobj -231 0 obj +227 0 obj << /Border [0 0 0] /Dest (_minstret) /Subtype /Link @@ -36047,7 +35051,7 @@ endobj /Type /Annot >> endobj -232 0 obj +228 0 obj << /Border [0 0 0] /Dest (_minstret) /Subtype /Link @@ -36055,7 +35059,7 @@ endobj /Type /Annot >> endobj -233 0 obj +229 0 obj << /Border [0 0 0] /Dest (_minstreth) /Subtype /Link @@ -36063,7 +35067,7 @@ endobj /Type /Annot >> endobj -234 0 obj +230 0 obj << /Border [0 0 0] /Dest (_minstreth) /Subtype /Link @@ -36071,7 +35075,7 @@ endobj /Type /Annot >> endobj -235 0 obj +231 0 obj << /Border [0 0 0] /Dest (_mhpmcounter331) /Subtype /Link @@ -36079,7 +35083,7 @@ endobj /Type /Annot >> endobj -236 0 obj +232 0 obj << /Border [0 0 0] /Dest (_mhpmcounter331) /Subtype /Link @@ -36087,7 +35091,7 @@ endobj /Type /Annot >> endobj -237 0 obj +233 0 obj << /Border [0 0 0] /Dest (_mhpmcounter331h) /Subtype /Link @@ -36095,7 +35099,7 @@ endobj /Type /Annot >> endobj -238 0 obj +234 0 obj << /Border [0 0 0] /Dest (_mhpmcounter331h) /Subtype /Link @@ -36103,7 +35107,7 @@ endobj /Type /Annot >> endobj -239 0 obj +235 0 obj << /Border [0 0 0] /Dest (reg-mcountinhibit) /Subtype /Link @@ -36111,7 +35115,7 @@ endobj /Type /Annot >> endobj -240 0 obj +236 0 obj << /Border [0 0 0] /Dest (reg-mcountinhibit) /Subtype /Link @@ -36119,7 +35123,7 @@ endobj /Type /Annot >> endobj -241 0 obj +237 0 obj << /Border [0 0 0] /Dest (_mhpmevent331) /Subtype /Link @@ -36127,7 +35131,7 @@ endobj /Type /Annot >> endobj -242 0 obj +238 0 obj << /Border [0 0 0] /Dest (_mhpmevent331) /Subtype /Link @@ -36135,7 +35139,7 @@ endobj /Type /Annot >> endobj -243 0 obj +239 0 obj << /Border [0 0 0] /Dest (_standard_trigger_csrs) /Subtype /Link @@ -36143,7 +35147,7 @@ endobj /Type /Annot >> endobj -244 0 obj +240 0 obj << /Border [0 0 0] /Dest (_standard_trigger_csrs) /Subtype /Link @@ -36151,7 +35155,7 @@ endobj /Type /Annot >> endobj -245 0 obj +241 0 obj << /Border [0 0 0] /Dest (_tselect) /Subtype /Link @@ -36159,7 +35163,7 @@ endobj /Type /Annot >> endobj -246 0 obj +242 0 obj << /Border [0 0 0] /Dest (_tselect) /Subtype /Link @@ -36167,7 +35171,7 @@ endobj /Type /Annot >> endobj -247 0 obj +243 0 obj << /Border [0 0 0] /Dest (_tdata13) /Subtype /Link @@ -36175,7 +35179,7 @@ endobj /Type /Annot >> endobj -248 0 obj +244 0 obj << /Border [0 0 0] /Dest (_tdata13) /Subtype /Link @@ -36183,7 +35187,7 @@ endobj /Type /Annot >> endobj -249 0 obj +245 0 obj << /Border [0 0 0] /Dest (debug-csr-section) /Subtype /Link @@ -36191,7 +35195,7 @@ endobj /Type /Annot >> endobj -250 0 obj +246 0 obj << /Border [0 0 0] /Dest (debug-csr-section) /Subtype /Link @@ -36199,7 +35203,7 @@ endobj /Type /Annot >> endobj -251 0 obj +247 0 obj << /Border [0 0 0] /Dest (reg-dcsr) /Subtype /Link @@ -36207,7 +35211,7 @@ endobj /Type /Annot >> endobj -252 0 obj +248 0 obj << /Border [0 0 0] /Dest (reg-dcsr) /Subtype /Link @@ -36215,7 +35219,7 @@ endobj /Type /Annot >> endobj -253 0 obj +249 0 obj << /Border [0 0 0] /Dest (_dpc) /Subtype /Link @@ -36223,7 +35227,7 @@ endobj /Type /Annot >> endobj -254 0 obj +250 0 obj << /Border [0 0 0] /Dest (_dpc) /Subtype /Link @@ -36231,7 +35235,7 @@ endobj /Type /Annot >> endobj -255 0 obj +251 0 obj << /Border [0 0 0] /Dest (_dscratch0) /Subtype /Link @@ -36239,7 +35243,7 @@ endobj /Type /Annot >> endobj -256 0 obj +252 0 obj << /Border [0 0 0] /Dest (_dscratch0) /Subtype /Link @@ -36247,7 +35251,7 @@ endobj /Type /Annot >> endobj -257 0 obj +253 0 obj << /Border [0 0 0] /Dest (_dscratch1) /Subtype /Link @@ -36255,7 +35259,7 @@ endobj /Type /Annot >> endobj -258 0 obj +254 0 obj << /Border [0 0 0] /Dest (_dscratch1) /Subtype /Link @@ -36263,7 +35267,7 @@ endobj /Type /Annot >> endobj -259 0 obj +255 0 obj << /Border [0 0 0] /Dest (_custom_csrs) /Subtype /Link @@ -36271,7 +35275,7 @@ endobj /Type /Annot >> endobj -260 0 obj +256 0 obj << /Border [0 0 0] /Dest (_custom_csrs) /Subtype /Link @@ -36279,7 +35283,7 @@ endobj /Type /Annot >> endobj -261 0 obj +257 0 obj << /Border [0 0 0] /Dest (reg-dmdata0) /Subtype /Link @@ -36287,7 +35291,7 @@ endobj /Type /Annot >> endobj -262 0 obj +258 0 obj << /Border [0 0 0] /Dest (reg-dmdata0) /Subtype /Link @@ -36295,705 +35299,655 @@ endobj /Type /Annot >> endobj -263 0 obj +259 0 obj << /Border [0 0 0] -/Dest (reg-midcr) +/Dest (reg-meie0) /Subtype /Link -/Rect [72.24 569.85 130.2525 584.13] +/Rect [72.24 569.85 130.809 584.13] /Type /Annot >> endobj -264 0 obj +260 0 obj << /Border [0 0 0] -/Dest (reg-midcr) +/Dest (reg-meie0) /Subtype /Link /Rect [535.301 569.85 547.04 584.13] /Type /Annot >> endobj -265 0 obj +261 0 obj << /Border [0 0 0] -/Dest (reg-meie0) +/Dest (reg-meip0) /Subtype /Link -/Rect [72.24 551.37 130.809 565.65] +/Rect [72.24 551.37 131.628 565.65] /Type /Annot >> endobj -266 0 obj +262 0 obj << /Border [0 0 0] -/Dest (reg-meie0) +/Dest (reg-meip0) /Subtype /Link /Rect [535.301 551.37 547.04 565.65] /Type /Annot >> endobj -267 0 obj +263 0 obj << /Border [0 0 0] -/Dest (reg-meip0) +/Dest (_mlei) /Subtype /Link -/Rect [72.24 532.89 131.628 547.17] +/Rect [72.24 532.89 122.577 547.17] /Type /Annot >> endobj -268 0 obj +264 0 obj << /Border [0 0 0] -/Dest (reg-meip0) +/Dest (_mlei) /Subtype /Link /Rect [535.301 532.89 547.04 547.17] /Type /Annot >> endobj -269 0 obj +265 0 obj << /Border [0 0 0] -/Dest (_mlei) +/Dest (debug-chapter) /Subtype /Link -/Rect [72.24 514.41 122.577 528.69] +/Rect [48.24 514.41 91.4475 528.69] /Type /Annot >> endobj -270 0 obj +266 0 obj << /Border [0 0 0] -/Dest (_mlei) +/Dest (debug-chapter) /Subtype /Link /Rect [535.301 514.41 547.04 528.69] /Type /Annot >> endobj -271 0 obj +267 0 obj << /Border [0 0 0] -/Dest (_maybe_adds) +/Dest (_debug_topologies) /Subtype /Link -/Rect [72.24 495.93 160.7128 510.21] +/Rect [60.24 495.93 167.9933 510.21] /Type /Annot >> endobj -272 0 obj +268 0 obj << /Border [0 0 0] -/Dest (_maybe_adds) +/Dest (_debug_topologies) /Subtype /Link /Rect [535.301 495.93 547.04 510.21] /Type /Annot >> endobj -273 0 obj +269 0 obj << /Border [0 0 0] -/Dest (debug-chapter) +/Dest (_implementation_defined_behaviour) /Subtype /Link -/Rect [48.24 477.45 91.4475 491.73] +/Rect [60.24 477.45 256.5795 491.73] /Type /Annot >> endobj -274 0 obj +270 0 obj << /Border [0 0 0] -/Dest (debug-chapter) +/Dest (_implementation_defined_behaviour) /Subtype /Link /Rect [535.301 477.45 547.04 491.73] /Type /Annot >> endobj -275 0 obj +271 0 obj << /Border [0 0 0] -/Dest (_debug_topologies) +/Dest (_debug_module_to_core_interface) /Subtype /Link -/Rect [60.24 458.97 167.9933 473.25] +/Rect [60.24 458.97 238.9395 473.25] /Type /Annot >> endobj -276 0 obj +272 0 obj << /Border [0 0 0] -/Dest (_debug_topologies) +/Dest (_debug_module_to_core_interface) /Subtype /Link /Rect [535.301 458.97 547.04 473.25] /Type /Annot >> endobj -277 0 obj -<< /Border [0 0 0] -/Dest (_implementation_defined_behaviour) -/Subtype /Link -/Rect [60.24 440.49 256.5795 454.77] -/Type /Annot ->> -endobj -278 0 obj -<< /Border [0 0 0] -/Dest (_implementation_defined_behaviour) -/Subtype /Link -/Rect [535.301 440.49 547.04 454.77] -/Type /Annot ->> -endobj -279 0 obj -<< /Border [0 0 0] -/Dest (_debug_module_to_core_interface) -/Subtype /Link -/Rect [60.24 422.01 238.9395 436.29] -/Type /Annot ->> -endobj -280 0 obj -<< /Border [0 0 0] -/Dest (_debug_module_to_core_interface) -/Subtype /Link -/Rect [535.301 422.01 547.04 436.29] -/Type /Annot ->> -endobj -281 0 obj +273 0 obj << /Type /Outlines -/Count 61 -/First 282 0 R -/Last 339 0 R +/Count 59 +/First 274 0 R +/Last 329 0 R >> endobj -282 0 obj +274 0 obj << /Title -/Parent 281 0 R +/Parent 273 0 R /Count 0 -/Next 283 0 R +/Next 275 0 R /Dest [7 0 R /XYZ 0 841.89 null] >> endobj -283 0 obj +275 0 obj << /Title -/Parent 281 0 R +/Parent 273 0 R /Count 0 -/Next 284 0 R -/Prev 282 0 R +/Next 276 0 R +/Prev 274 0 R /Dest [10 0 R /XYZ 0 841.89 null] >> endobj -284 0 obj +276 0 obj << /Title -/Parent 281 0 R +/Parent 273 0 R /Count 0 -/Next 285 0 R -/Prev 283 0 R +/Next 277 0 R +/Prev 275 0 R /Dest [14 0 R /XYZ 0 841.89 null] >> endobj -285 0 obj +277 0 obj << /Title -/Parent 281 0 R +/Parent 273 0 R /Count 5 -/First 286 0 R -/Last 290 0 R -/Next 291 0 R -/Prev 284 0 R +/First 278 0 R +/Last 282 0 R +/Next 283 0 R +/Prev 276 0 R /Dest [23 0 R /XYZ 0 841.89 null] >> endobj -286 0 obj +278 0 obj << /Title -/Parent 285 0 R +/Parent 277 0 R /Count 0 -/Next 287 0 R +/Next 279 0 R /Dest [23 0 R /XYZ 0 721.61 null] >> endobj -287 0 obj +279 0 obj << /Title -/Parent 285 0 R +/Parent 277 0 R /Count 0 -/Next 288 0 R -/Prev 286 0 R +/Next 280 0 R +/Prev 278 0 R /Dest [31 0 R /XYZ 0 467.19 null] >> endobj -288 0 obj +280 0 obj << /Title -/Parent 285 0 R +/Parent 277 0 R /Count 0 -/Next 289 0 R -/Prev 287 0 R +/Next 281 0 R +/Prev 279 0 R /Dest [45 0 R /XYZ 0 841.89 null] >> endobj -289 0 obj +281 0 obj << /Title -/Parent 285 0 R +/Parent 277 0 R /Count 0 -/Next 290 0 R -/Prev 288 0 R +/Next 282 0 R +/Prev 280 0 R /Dest [45 0 R /XYZ 0 651.93 null] >> endobj -290 0 obj +282 0 obj << /Title -/Parent 285 0 R +/Parent 277 0 R /Count 0 -/Prev 289 0 R +/Prev 281 0 R /Dest [45 0 R /XYZ 0 360.27 null] >> endobj -291 0 obj +283 0 obj << /Title -/Parent 281 0 R -/Count 47 -/First 292 0 R -/Last 332 0 R -/Next 339 0 R -/Prev 285 0 R +/Parent 273 0 R +/Count 45 +/First 284 0 R +/Last 324 0 R +/Next 329 0 R +/Prev 277 0 R /Dest [56 0 R /XYZ 0 841.89 null] >> endobj -292 0 obj +284 0 obj << /Title -/Parent 291 0 R +/Parent 283 0 R /Count 6 -/First 293 0 R -/Last 298 0 R -/Next 299 0 R +/First 285 0 R +/Last 290 0 R +/Next 291 0 R /Dest [56 0 R /XYZ 0 547.59 null] >> endobj -293 0 obj +285 0 obj << /Title -/Parent 292 0 R +/Parent 284 0 R /Count 0 -/Next 294 0 R +/Next 286 0 R /Dest [56 0 R /XYZ 0 507.51 null] >> endobj -294 0 obj +286 0 obj << /Title -/Parent 292 0 R +/Parent 284 0 R /Count 0 -/Next 295 0 R -/Prev 293 0 R +/Next 287 0 R +/Prev 285 0 R /Dest [56 0 R /XYZ 0 309.77 null] >> endobj -295 0 obj +287 0 obj << /Title -/Parent 292 0 R +/Parent 284 0 R /Count 0 -/Next 296 0 R -/Prev 294 0 R +/Next 288 0 R +/Prev 286 0 R /Dest [56 0 R /XYZ 0 143.59 null] >> endobj -296 0 obj +288 0 obj << /Title -/Parent 292 0 R +/Parent 284 0 R /Count 0 -/Next 297 0 R -/Prev 295 0 R +/Next 289 0 R +/Prev 287 0 R /Dest [66 0 R /XYZ 0 734.55 null] >> endobj -297 0 obj +289 0 obj << /Title -/Parent 292 0 R +/Parent 284 0 R /Count 0 -/Next 298 0 R -/Prev 296 0 R +/Next 290 0 R +/Prev 288 0 R /Dest [66 0 R /XYZ 0 574.37 null] >> endobj -298 0 obj +290 0 obj << /Title -/Parent 292 0 R +/Parent 284 0 R /Count 0 -/Prev 297 0 R +/Prev 289 0 R /Dest [66 0 R /XYZ 0 398.41 null] >> endobj -299 0 obj +291 0 obj << /Title -/Parent 291 0 R +/Parent 283 0 R /Count 12 -/First 300 0 R -/Last 311 0 R -/Next 312 0 R -/Prev 292 0 R +/First 292 0 R +/Last 303 0 R +/Next 304 0 R +/Prev 284 0 R /Dest [66 0 R /XYZ 0 109.77 null] >> endobj -300 0 obj +292 0 obj << /Title -/Parent 299 0 R +/Parent 291 0 R /Count 0 -/Next 301 0 R +/Next 293 0 R /Dest [76 0 R /XYZ 0 841.89 null] >> endobj -301 0 obj +293 0 obj << /Title -/Parent 299 0 R +/Parent 291 0 R /Count 0 -/Next 302 0 R -/Prev 300 0 R +/Next 294 0 R +/Prev 292 0 R /Dest [76 0 R /XYZ 0 591.17 null] >> endobj -302 0 obj +294 0 obj << /Title -/Parent 299 0 R +/Parent 291 0 R /Count 0 -/Next 303 0 R -/Prev 301 0 R +/Next 295 0 R +/Prev 293 0 R /Dest [76 0 R /XYZ 0 502.33 null] >> endobj -303 0 obj +295 0 obj << /Title -/Parent 299 0 R +/Parent 291 0 R /Count 0 -/Next 304 0 R -/Prev 302 0 R +/Next 296 0 R +/Prev 294 0 R /Dest [76 0 R /XYZ 0 413.49 null] >> endobj -304 0 obj +296 0 obj << /Title -/Parent 299 0 R +/Parent 291 0 R /Count 0 -/Next 305 0 R -/Prev 303 0 R +/Next 297 0 R +/Prev 295 0 R /Dest [76 0 R /XYZ 0 324.65 null] >> endobj -305 0 obj +297 0 obj << /Title -/Parent 299 0 R +/Parent 291 0 R /Count 0 -/Next 306 0 R -/Prev 304 0 R +/Next 298 0 R +/Prev 296 0 R /Dest [84 0 R /XYZ 0 651.43 null] >> endobj -306 0 obj +298 0 obj << /Title -/Parent 299 0 R +/Parent 291 0 R /Count 0 -/Next 307 0 R -/Prev 305 0 R +/Next 299 0 R +/Prev 297 0 R /Dest [84 0 R /XYZ 0 206.33 null] >> endobj -307 0 obj +299 0 obj << /Title -/Parent 299 0 R +/Parent 291 0 R /Count 0 -/Next 308 0 R -/Prev 306 0 R -/Dest [91 0 R /XYZ 0 514.97 null] +/Next 300 0 R +/Prev 298 0 R +/Dest [91 0 R /XYZ 0 598.09 null] +>> +endobj +300 0 obj +<< /Title +/Parent 291 0 R +/Count 0 +/Next 301 0 R +/Prev 299 0 R +/Dest [91 0 R /XYZ 0 493.47 null] +>> +endobj +301 0 obj +<< /Title +/Parent 291 0 R +/Count 0 +/Next 302 0 R +/Prev 300 0 R +/Dest [91 0 R /XYZ 0 285.95 null] +>> +endobj +302 0 obj +<< /Title +/Parent 291 0 R +/Count 0 +/Next 303 0 R +/Prev 301 0 R +/Dest [97 0 R /XYZ 0 372.07 null] +>> +endobj +303 0 obj +<< /Title +/Parent 291 0 R +/Count 0 +/Prev 302 0 R +/Dest [97 0 R /XYZ 0 283.23 null] +>> +endobj +304 0 obj +<< /Title +/Parent 283 0 R +/Count 2 +/First 305 0 R +/Last 306 0 R +/Next 307 0 R +/Prev 291 0 R +/Dest [97 0 R /XYZ 0 166.61 null] +>> +endobj +305 0 obj +<< /Title +/Parent 304 0 R +/Count 0 +/Next 306 0 R +/Dest [97 0 R /XYZ 0 126.53 null] +>> +endobj +306 0 obj +<< /Title +/Parent 304 0 R +/Count 0 +/Prev 305 0 R +/Dest [104 0 R /XYZ 0 841.89 null] +>> +endobj +307 0 obj +<< /Title +/Parent 283 0 R +/Count 8 +/First 308 0 R +/Last 315 0 R +/Next 316 0 R +/Prev 304 0 R +/Dest [104 0 R /XYZ 0 721.85 null] >> endobj 308 0 obj -<< /Title -/Parent 299 0 R +<< /Title +/Parent 307 0 R /Count 0 /Next 309 0 R -/Prev 307 0 R -/Dest [91 0 R /XYZ 0 410.35 null] +/Dest [104 0 R /XYZ 0 681.77 null] >> endobj 309 0 obj -<< /Title -/Parent 299 0 R +<< /Title +/Parent 307 0 R /Count 0 /Next 310 0 R /Prev 308 0 R -/Dest [91 0 R /XYZ 0 202.83 null] +/Dest [104 0 R /XYZ 0 533.59 null] >> endobj 310 0 obj -<< /Title -/Parent 299 0 R +<< /Title +/Parent 307 0 R /Count 0 /Next 311 0 R /Prev 309 0 R -/Dest [98 0 R /XYZ 0 201.83 null] +/Dest [104 0 R /XYZ 0 428.97 null] >> endobj 311 0 obj -<< /Title -/Parent 299 0 R +<< /Title +/Parent 307 0 R /Count 0 +/Next 312 0 R /Prev 310 0 R -/Dest [98 0 R /XYZ 0 112.99 null] +/Dest [104 0 R /XYZ 0 308.57 null] >> endobj 312 0 obj -<< /Title -/Parent 291 0 R -/Count 2 -/First 313 0 R -/Last 314 0 R -/Next 315 0 R -/Prev 299 0 R -/Dest [103 0 R /XYZ 0 751.08 null] +<< /Title +/Parent 307 0 R +/Count 0 +/Next 313 0 R +/Prev 311 0 R +/Dest [104 0 R /XYZ 0 203.95 null] >> endobj 313 0 obj -<< /Title -/Parent 312 0 R +<< /Title +/Parent 307 0 R /Count 0 /Next 314 0 R -/Dest [103 0 R /XYZ 0 711 null] +/Prev 312 0 R +/Dest [104 0 R /XYZ 0 115.11 null] >> endobj 314 0 obj -<< /Title -/Parent 312 0 R +<< /Title +/Parent 307 0 R /Count 0 +/Next 315 0 R /Prev 313 0 R -/Dest [103 0 R /XYZ 0 622.16 null] +/Dest [116 0 R /XYZ 0 778.86 null] >> endobj 315 0 obj -<< /Title -/Parent 291 0 R -/Count 8 -/First 316 0 R -/Last 323 0 R -/Next 324 0 R -/Prev 312 0 R -/Dest [103 0 R /XYZ 0 533.32 null] +<< /Title +/Parent 307 0 R +/Count 0 +/Prev 314 0 R +/Dest [116 0 R /XYZ 0 612.68 null] >> endobj 316 0 obj -<< /Title -/Parent 315 0 R -/Count 0 -/Next 317 0 R -/Dest [103 0 R /XYZ 0 493.24 null] +<< /Title +/Parent 283 0 R +/Count 2 +/First 317 0 R +/Last 318 0 R +/Next 319 0 R +/Prev 307 0 R +/Dest [116 0 R /XYZ 0 523.84 null] >> endobj 317 0 obj -<< /Title -/Parent 315 0 R +<< /Title +/Parent 316 0 R /Count 0 /Next 318 0 R -/Prev 316 0 R -/Dest [103 0 R /XYZ 0 345.06 null] +/Dest [116 0 R /XYZ 0 483.76 null] >> endobj 318 0 obj -<< /Title -/Parent 315 0 R +<< /Title +/Parent 316 0 R /Count 0 -/Next 319 0 R /Prev 317 0 R -/Dest [103 0 R /XYZ 0 240.44 null] +/Dest [116 0 R /XYZ 0 394.92 null] >> endobj 319 0 obj -<< /Title -/Parent 315 0 R -/Count 0 -/Next 320 0 R -/Prev 318 0 R -/Dest [103 0 R /XYZ 0 120.04 null] +<< /Title +/Parent 283 0 R +/Count 4 +/First 320 0 R +/Last 323 0 R +/Next 324 0 R +/Prev 316 0 R +/Dest [116 0 R /XYZ 0 306.08 null] >> endobj 320 0 obj -<< /Title -/Parent 315 0 R +<< /Title +/Parent 319 0 R /Count 0 /Next 321 0 R -/Prev 319 0 R -/Dest [116 0 R /XYZ 0 763.08 null] +/Dest [116 0 R /XYZ 0 178.88 null] >> endobj 321 0 obj -<< /Title -/Parent 315 0 R +<< /Title +/Parent 319 0 R /Count 0 /Next 322 0 R /Prev 320 0 R -/Dest [116 0 R /XYZ 0 674.24 null] +/Dest [127 0 R /XYZ 0 257.61 null] >> endobj 322 0 obj -<< /Title -/Parent 315 0 R +<< /Title +/Parent 319 0 R /Count 0 /Next 323 0 R /Prev 321 0 R -/Dest [116 0 R /XYZ 0 585.4 null] +/Dest [127 0 R /XYZ 0 137.21 null] >> endobj 323 0 obj -<< /Title -/Parent 315 0 R +<< /Title +/Parent 319 0 R /Count 0 /Prev 322 0 R -/Dest [116 0 R /XYZ 0 419.22 null] +/Dest [131 0 R /XYZ 0 763.08 null] >> endobj 324 0 obj -<< /Title -/Parent 291 0 R -/Count 2 +<< /Title +/Parent 283 0 R +/Count 4 /First 325 0 R -/Last 326 0 R -/Next 327 0 R -/Prev 315 0 R -/Dest [116 0 R /XYZ 0 330.38 null] +/Last 328 0 R +/Prev 319 0 R +/Dest [131 0 R /XYZ 0 674.24 null] >> endobj 325 0 obj -<< /Title +<< /Title /Parent 324 0 R /Count 0 /Next 326 0 R -/Dest [116 0 R /XYZ 0 290.3 null] +/Dest [131 0 R /XYZ 0 562.82 null] >> endobj 326 0 obj -<< /Title +<< /Title /Parent 324 0 R /Count 0 +/Next 327 0 R /Prev 325 0 R -/Dest [116 0 R /XYZ 0 201.46 null] +/Dest [131 0 R /XYZ 0 272.18 null] >> endobj 327 0 obj -<< /Title -/Parent 291 0 R -/Count 4 -/First 328 0 R -/Last 331 0 R -/Next 332 0 R -/Prev 324 0 R -/Dest [116 0 R /XYZ 0 112.62 null] +<< /Title +/Parent 324 0 R +/Count 0 +/Next 328 0 R +/Prev 326 0 R +/Dest [140 0 R /XYZ 0 681.21 null] >> endobj 328 0 obj -<< /Title -/Parent 327 0 R +<< /Title +/Parent 324 0 R /Count 0 -/Next 329 0 R -/Dest [127 0 R /XYZ 0 735.3 null] +/Prev 327 0 R +/Dest [140 0 R /XYZ 0 271.67 null] >> endobj 329 0 obj -<< /Title -/Parent 327 0 R -/Count 0 -/Next 330 0 R -/Prev 328 0 R -/Dest [131 0 R /XYZ 0 841.89 null] +<< /Title +/Parent 273 0 R +/Count 3 +/First 330 0 R +/Last 332 0 R +/Prev 283 0 R +/Dest [146 0 R /XYZ 0 841.89 null] >> endobj 330 0 obj -<< /Title -/Parent 327 0 R +<< /Title +/Parent 329 0 R /Count 0 /Next 331 0 R -/Prev 329 0 R -/Dest [131 0 R /XYZ 0 690.29 null] +/Dest [146 0 R /XYZ 0 590.93 null] >> endobj 331 0 obj -<< /Title -/Parent 327 0 R +<< /Title +/Parent 329 0 R /Count 0 +/Next 332 0 R /Prev 330 0 R -/Dest [131 0 R /XYZ 0 557.89 null] +/Dest [152 0 R /XYZ 0 477.63 null] >> endobj 332 0 obj -<< /Title -/Parent 291 0 R -/Count 6 -/First 333 0 R -/Last 338 0 R -/Prev 327 0 R -/Dest [131 0 R /XYZ 0 469.05 null] +<< /Title +/Parent 329 0 R +/Count 0 +/Prev 331 0 R +/Dest [155 0 R /XYZ 0 271.83 null] >> endobj 333 0 obj -<< /Title -/Parent 332 0 R -/Count 0 -/Next 334 0 R -/Dest [131 0 R /XYZ 0 357.63 null] ->> -endobj -334 0 obj -<< /Title -/Parent 332 0 R -/Count 0 -/Next 335 0 R -/Prev 333 0 R -/Dest [141 0 R /XYZ 0 841.89 null] ->> -endobj -335 0 obj -<< /Title -/Parent 332 0 R -/Count 0 -/Next 336 0 R -/Prev 334 0 R -/Dest [141 0 R /XYZ 0 581.39 null] ->> -endobj -336 0 obj -<< /Title -/Parent 332 0 R -/Count 0 -/Next 337 0 R -/Prev 335 0 R -/Dest [141 0 R /XYZ 0 237.19 null] ->> -endobj -337 0 obj -<< /Title -/Parent 332 0 R -/Count 0 -/Next 338 0 R -/Prev 336 0 R -/Dest [146 0 R /XYZ 0 485.94 null] ->> -endobj -338 0 obj -<< /Title -/Parent 332 0 R -/Count 0 -/Prev 337 0 R -/Dest [146 0 R /XYZ 0 256.64 null] ->> -endobj -339 0 obj -<< /Title -/Parent 281 0 R -/Count 3 -/First 340 0 R -/Last 342 0 R -/Prev 291 0 R -/Dest [150 0 R /XYZ 0 841.89 null] ->> -endobj -340 0 obj -<< /Title -/Parent 339 0 R -/Count 0 -/Next 341 0 R -/Dest [150 0 R /XYZ 0 590.93 null] ->> -endobj -341 0 obj -<< /Title -/Parent 339 0 R -/Count 0 -/Next 342 0 R -/Prev 340 0 R -/Dest [156 0 R /XYZ 0 477.63 null] ->> -endobj -342 0 obj -<< /Title -/Parent 339 0 R -/Count 0 -/Prev 341 0 R -/Dest [159 0 R /XYZ 0 271.83 null] ->> -endobj -343 0 obj << /Nums [0 << /P (i) >> 1 << /P (ii) >> 2 << /P (iii) @@ -37020,7 +35974,7 @@ endobj >>] >> endobj -344 0 obj +334 0 obj << /Type /XObject /Subtype /Form /BBox [0 0 595.28 841.89] @@ -37048,7 +36002,7 @@ Q endstream endobj -345 0 obj +335 0 obj << /Type /XObject /Subtype /Form /BBox [0 0 595.28 841.89] @@ -37076,76 +36030,70 @@ Q endstream endobj -346 0 obj -<< /Length1 17672 -/Length 11011 +336 0 obj +<< /Length1 17564 +/Length 10914 /Filter [/FlateDecode] >> stream -x{ |[Օ=ɲʖWғeybnY]8e[^oℐBt!LBȤ m Ïa)C dJZJhK'ɖF[{{ι  -BF$BS;(A B'P#cG(myvd|vG1cC#q)<ʧ62wס7593{!= p;7vm i=kPFnCB8B~f٪'*7\x#2>V%\i~i@`PpH,,\"QL".^b \&I(#2gY%eU5u ޹winq_[hYÏBC0c F~djF-SYKjbDn3_@9 -6ќp|/xW,GP+=E,8'"Ƶ]ڝCԁ]yڛ{*V_U9c/>#JWWҚTX -~f w )(x]"='_t^KW^FPq2Y-GP;x~'Ix7Q>ol^G~vgzO0~d4+&t^#.t -mHWW7V_ ڥ|#elUeH4f[i|Nx ddV(`4{`]-0)Ob.>SSveGfjTG>MQjGMG,TɴMLgmJF=X)|ƃh6+ QR&@/M2M} hs[AeG!VKF$KoQMѝxOOhmʈu4WƊ2&<1q;pMgPCy-K[?jaEp5LF,^ΒXz<(p5PUk4l &2Agm{33Ԥ1ؐo-2~[?}̫pN95-bI*sCAzxF).SX~F0=Ue/M?֙X\*8ȵsloJB%HUDf==62maMB5>p ݦ|5-$dBY7Á4[ MYIc'b3{n/ ;:mmKinO/O-ɎE3D#{m|XVUx̃ƔkfGfl:-دϽ)/ G'TV6)KbV?my@,8z}4+Y-Z3up:[y3 -9ҭ-7w}XHW&q -}-ې]zrT#ij$C ~ w1chr-5ӓxӹ}t{F9vM%5_n/vdGk,XݦGqN"sGQBj&LΰYM ^"6r'gG&S䊧&=Ep L6Dj p)n`LBRa4kGƅ~Aeۃ-뷂Ĩw6TT7y dR#=*2OCeٕmz~rD,WUb) bfg5ۻ~{{*~S]1hoe!NПrsltkƤ!lsm1g~|\gwh&$)&oCSCzt"cg?6۞R6gec>~;XҶA3X愠KMDbf,X'RŋxLPzlgn!tfN|\y;חg/þ@8^?_vOGq.M0 :Ь>k:MrC|0B+ -|BlB^ArXF",eJY6D$rtgBuKz~h gokx,|^SaNuFQkopgB_ -.INѵa5fQBbQfvZv!e6EƁ禿5WiHgw4"KⳒ"%_Uz;x⳧lAV/3FރX -@kp^Wv/(LjȝW=c"6EE)tEf?,c4=ߏO,r#3쓛IF+VLGsdwU( =&y\ 浫gilv)[Q̭mƙ÷لk _:M,^ -sh d?=~d蝿-,i;Oqԍ֯u'O)nZ8-[.O_G8sxxYz568⽯]??xMKA߱LpvoF1mYiSXB!LVQG;3G,Ζ%=B7asd]F_/䮟b߀;FBܝ e'or'huP“l|zeO;z7uFewl&u;gU`^f6큜%V CBF!!ܝk;]Y>8FmKD,"2*uE<1 z7 䕊_BR7Dg j{R/ȕ`1*fvڷVPzC޽*'ֺW'`8^~z^b - +h1~=Lψ;k.CxaҒr11㰝AQĜ>VJKe~'҄E`.7-=UaD*p% -R'jBx=$!;@hd`\`-gœ 6Eor%I΀F|]bklQD$ {JazQ0bX ÅrҊ^-=.&ֵ[UIvU*I nw_`!XIğY[XZ>n㟟 L)}k73\quX]j̯2"5KIMҪ-;mOXy5 !.DyW?}ܘ&sU^IpWl.L*s{I/sYx-E)IE }wL G?)*p#02)a<Ҽk)+vM梡N@B n&tK_$) S ; kh5ʞ2M Ggk&(W'& -TJTyCm^XpLz~K[˺X{ -'Xv<;dS8)VUULK^q -VCc^(~afok(S4A,mzAbVjd1`_FDR*%;d3su1cBb- JK\.Q0_l(<idR;YA%sPlJ8$6"6Un$B"OT'Ӣ)EjU)"[++ 1ƾGꢌ̂Nx*pqa[hyZKq]vFFGu5cLci2)*F)[v>4}Pa5_.J +n3u=؟UG⵷utC Iݡ8_Z'ƿɼVl罎=|mǣvuc4,qEW { DlZcY7 ӝ42FUQZ =Z=Zo*++gԘTUx*5:& 4"/tl"kQ4&5(H j? GkZ;>Q8X:$^0UZtt[1ΐ広 qA߅ț~wL*Y Xfw*y\vaNfUV= L]r'>[S\Gь5}p۞ å]}~c2v釾o^q+ʃ|]l3; o%'.QHU px](S+)mȍ1ej3y5)uLz=g&,|!g{銁 d>q~8a82/b\UM]- Q $cFۄ]#;>@2¡WPs[q>t,g$Umih\,JJ]q#/ν0=L~?y/d|&VSҺ]Ik$`[?bPjvCz}{Y/yCd6 ^$9v00,T c ȓs[&^a\^zNnO24Z&l:DcijM\$\ln!Surg` ׿p!sU,JES,caRN.!uykbuiU=>-遼!YJ~c&аӨ"-9O[V=1Sfj:;cc+Z5A~s&{ ->2k dM[ -* F L`%It]S>*ă~I>b6RDke a#Gkb}4SHJɈL,NR갌ӻٔXגsm-ձ^;9 gۚhPIWV,uDeӲEjR+}oD%E9nP۵`J`~nmjjmn`u -mBٶV*[asz q훖A-_SQj/')OhHS2O0(g!^1_ _*jFC%slĽ %*G?=EPL)cg63=%/qU|Zu{Idq/iKw x3>x>ʠ5x"b 9j1 8=ņՆ=oa'!JVUQ̣}ࠊy1zw]pKZt~C8XOМ=.q}9q'j>˟F?G3UT u(E*R0Q5 -e^E5 |ً/ 9 h+u0 # 02PC`^H0<^A/r8CZx<$!9>$a_E ~%SK-EHjF<_+lņ^@U$JQS!ňa>Ï@ t_CC -Q"1|K?_UMMUȊ[!Ekoؕn?@ yu(0H5ŠXXGšxja?:XowYȌQ R -= @>]QjDM0wZP+jYuNm@=h#ڏ771j4q -a!Y/tyFN!?N{4uz (ArE(=t%.ϽJ6~%(KP*CGr*CGT.EzgntT/<d򵼒Q!:Da~X1=e_695?=:<2f32٪1'[31gKVR5ö:gۜzP$Bha4fP2='o!'jsa!y?T}jF(9^<􍓳lszteZMB6(juύ9dž0tɉ)y1<:1X. aB4RedžY0gN}}$v7f I_, <32#qtuӎAcz ;9 OO>8fq -rO-@ 5XaXxsftx9wx\PQ% J4^u#`&睃lF{ _l1trÇOˇEL5ݏUph's&&XcUba|quCҠJऌSt< ^8Ma"CuvDDWV{.nojf6RXRLHSD B) U!P!\'n"H,#lmiiu[\~\-..޽u;t׹2:]}]uA=p.v̦ ?>䐮ʵm\lЌ] --ֵ[ZWZP -uSαqwK'*X_I -APP "zu>Umd qeN.mܹs8ق*"$A[p [:+ju.̕ UL`(dϥPߗ8 :z:]]+diܕhӕΕW׺֕~V.&c˧k)E "B%V.-8..Pn\`T ;ᩋ˯T*r|`+[6t(M%; -+Թ -ۦpj5VמNwfyd5u>R&֬<ǁ6:\f:\{6Up/jn!L,HU38ʺDI <X -yd!T(E$<>\E߶h`]in`ΗÍQ9pF ܔKΕ蓈U1)Ԃt.YյtwzvWvbSfh$l'a(JJ HTb֥l'iokÕV8=Hy S1j/71)jK)f=jo4 -${4A\7º͝dlA#0xj[S `Bj4/*R^W]f$\]ay"ƅ[se -S*`&`3,Z`Ph fb+ :[._9?rΊh<ҮsPyE*P)B%DR`IpjDhdEhP<9@xi \ܴP/YGc ]# }]Q$  s@Ô8%圥Ћ MXĺ ߢud;6 -;s wnV2HFhSaOs;nno͏`po}+/mJߢ h?zp(ds{]Lk+:5BNZ mCp5ZS) _)̡CBoTy_tYQ<"k>@?F 1-H@~S:l^5څC)]X|E,_Gѳ袇ڊzCPp@E,ohuR(9%⟉ߧvQ~br Gr\||JY~D7yHm +x{ |[Օ=ɲʖWғeybnY]8e[^r8iHR!@ )L +@IH(e1L +Дє/s$[v9ows90B(tL7P~X}F"3r"BCAڱ1#g@H'Iiʍr>ٖCw@ӮٹePrL:5ߋP`m/4N8/%^*Al .EAAbeΉ༨PGZ½?>2IOYOr啣f%%BqBݑe^w Q&}Or"/^x:sAAf FE-x7u?w/]= о` hi9zƜkVRHr>gtRJRtSg/5֡}rE}T%3}TUN='K4ժ7 ={gM 3w'J6smm;[pI(u$Z%-AѹOM=B[\T`lc݆nQ!@Mh 퇄L( !9MJhkI8WRۙ|[aG[X}DZ^^[ZkSdfBj}Yn.#/Gi# +'xqmXoWO.nN+fwiF3Nr+/"2\ŀ :) s(.a* ~FLэdҨƦiQ7Dzz>ц +ց}M7f* +[chnj툥qOoVg/¿lJOrpUcSVSBeaCfvջYuSߤSH[*}`ּ#?K.h93gkHc4ޚ MY9M,ķ%Ao%Ī""Y8o)H gCK cgF5lyx[QFǞW(}jk"!%&4!JvMޡ,F=>x,⶷_:*Qe[ٔ [ڱBWfůmg#'=,c@|fcthAϮԡ\AsqH[|_c!]{ +e:}ś[!}}[k[T3ij Ю{38Rv0'YSvYrc5]n˃C;+#mFqŵPb*IJbY6 e۶8ӯ]qK$FQtg$^y؄i=ֆlVT`2=I< KT*6fͲ脈Gd9F=$ْż~3M;(K=~{#P^LjGEXEf4 O2OR 620_[9zC//J +}IR>pX"3/xZJcoJ B1m> |*5b7_\KsRG)_SF;x'm!^()EFA+dYO_"/0-BȐF;oM0\MnIE灯 +įp$QX,qZ𕢧3ゲMWVVʿELݽ)dj^p"crX|ͦȪϴuk#}5?W_&k mҗ0mD\~`>r}6'(z%"У)>kڼ$1]O|oa*LLߊnߜdbLs 2_3x|>QP@d_jo>D3 Y#Eok\nO{S?'m7~bf扡̡9ԭ_Fm%5vk'0~o7Ʌ^ ~Ntk()OʕW'7mӒ zEÛo:: +Y>MrQ^H6ۂy1;wHXU9#/vǿ'BJX22ߔno0,#qĕ3X&Nm/??#ʺɬ%>zЗnM-oқ{# ;[g`˃ bR)IwG(~t4V.>/n__ASW}LnwYfk۰`NݯY-*4ڞ{l݋[S +:n.Piwi! 2ҼyGuB$30 2 wЕh# IX?hm3ۅLxa`^Q$P_x@5mг3CZW& {h^ҳ99_MG^,`"`J'~ Sn1Jcyd +(S#|uP<ɪ)R(ʔg&DZQi*38f  2MןefYo +"4|HtJ w}{|%Bô /`U꒕a yG?I7Jůeai뢳KF_S3Xp%YL7K7ǿ}[0D<:={kHֳ:{¡K 5W`ȿ_%Ɗ,}V"]6~]PE[ UMAz!RZ)Ø&"&Ddrm,2(Zyw:Y%aIcJSՁArEVsD ч~7#%b9O~K4x Dfo7cb9!)[b?IϤF'qqau{]dB2LRncVx?JcQ(HXو #eoʪCQ--%x +:-ΚkU_եv:i쿯̂Ϭ-d46ഁ-[Έ:.n7֘ d'9ڶݶNwm^ ]P' ^ erq8D~;Yh(:$.(Ib +oZYmm[JJ"Xzʳu\YsmJ7 Ċ?ji׶OOL>5{<rNh,>ʑQUֽ#־Mڊ-zz\<{/?r{rZ5F{&sCykipjW~KJ׼=(bL)Z=.MV쿣,fpdђ"drs=,iJh^Z&*Jv\ds HOrٙ) g)ߔk(B遻v)-Żzb Io2{۸An>clz!cvus4, %W g=CkXеo%٢'6i0ƒNz޴+r~c/-):3lz\l*2RFuHKOx/5٨ VG^I=Ku;>Y2-9Q./1гalzћ\j%.Dܼ[u9 c'5ZHui/I +18%c,Zz<֬.ib!8'PD"9'gD1У0r^}n7d\ ']Ԫ <(n +x!āIPEU(~)^)/N_y.@Kx@.d1!h:;M"f0g1-=G{pݞ-3g5]U-jd3~{@_=`jtD7`V'RפCåUb>6R: +J"l @_ĀKIol Ĩ2 ?f8aS XiJxoʢ r}<!_nx*iO]1lغ)bd] 4^* U)q6P ؐc۪vz//YQ6^gG̙> 8c<߿lܹԅd*2@j.J樣(-?7/Q黢-iٖz?~"ߗmj  kӨ_fʪm{RY:W>ߧiƯ4_S>:U \~M$R;8vj\G D%,>׷|">CrKGh~}$T5'3SؙgZb*N$I2(yR{#K7Ƃ +:ໃ_ y<  ? +o 7Y!Ոg"3oF~&ߜ`F(9XT%. G9s*v#\R cpv8G?8 -: 53yѻp]"{nL} +ǯV>Gbf˞zQU $@Zμ+m8hПE۩(iFiY#]g ̓H"=SH@&٩ߣa4|NdE%G"$|sޯ~=ѫH$bP/XU!ňa>B \韢 ňb>?뚖dER~ŃArf~@s k6v; +B(rp$C0w—()%"%pLBɰK3`CzFDm6ANiF( W@NY ׬QsjDM\i Ȇ:unلft=1z9`~jh,3 +જ z̐LJ:SGt #yKPzK`tNtIq 9V{"^2/]q>%qoT{%(KDr*KDT.Ez[ltTϼ d҃Q1⥇Bc~ /=S^cYl5:d릆lNfvsfsX* M4(Cs`$^c`A.E :4XXH'JN:8#}kv8gGX\:h9:?EЁf\Si<eu&0%pZL(3 ޮFbw̎,1̘PgNbAzGn`pȘA |㳬q ;'3X׈zcx;@6N 4L/-6>^bmNmGTIlMV:y0;20zdc9 lNh,^c΃ ;C?*W?4: eoX 1;?=0YV(;۱ Oz&w} mcvMͱ;3Nb/CΩY԰ssul˴sӸ ],={{fs3#Z:ؤ#-/dl-6VW-͕uf(Ue=lC]se&83ssvuͰ:/ʎ<#vy(b939>Ksej?9>ʣΙ)b v8ez1$D?'L_2q@!d"9W\<%8#bop?,LkbUcB#pm8s:@Sٰp t$p { eR"8QM(ls3 Jwcjs\a 5SW-8f,D: T aF +gqLH7uʂ\t2+<3D\Вb”%8,}oثIa,#CxvjO.m^0qsV}~ivhf|znV?;>w͌Z7Y ( яB=IqX_%o(H"9/$B& 8 +b9Xn8( g gL_A0\5uӽ̷.w.e2޷ˊx \ivP +3aP +E8 mhB@ke:-h8hV|3y\zJAR8?!} +g\p#ڼ58D8 cm+XTq] +0C,~s>LwDw_+Koĩ٥}KSTQc;G<*~a<0Ôa %a G;G>q׎2roTuj\t<팋\Q0+*1xa_Xyz߈4Z48)u0=lHSD`3?K_ikWTlnΖw:?)j}j*%586EupXg) DaTi;ahڋ^@E~##BJ{;ut-׍:{7uGwvG{ = 7VrnEٹ gflrQf3f '~n~Cc' i <|l}n1c B͈E֪N[G{[kKsScC}]mMuUeEyRR\TXk2Tm&KRbА i$@,bhbfW=SL +W[#ea 9z}7; 4c׷Bˑ -Ֆ8-FźLcoWr G*IgRBjxdxrW[~炤\SDApwTnN`J*Oab\2u_d +TP XR ڡ +.Z'2A(A&$>FC(-GxhVF~11*v=c=Q4Ha7, rS`srB/%R]L`82w-WqՑ3,ϋ^~GrB+W3/o?I|7~{;.~AE?A*!pp vtK,z}_ m@Gp-Z) _)̡#BoTy?tYQ"+~@M?AW1- 5 iXn`zA`EX쥔^ZzE\C3肗ڎ{EOeڅ6X@[QrJ"?G\JKsIfGJ\|\T uaeʠO endstream endobj -347 0 obj +337 0 obj << /Type /FontDescriptor -/FontName /b1c194+NotoSerif -/FontFile2 346 0 R +/FontName /659bc0+NotoSerif +/FontFile2 336 0 R /FontBBox [-212 -250 1246 1047] /Flags 6 /StemV 0 -/ItalicAngle 0 +/ItalicAngle 0.0 /Ascent 1068 /Descent -292 /CapHeight 1462 /XHeight 1098 >> endobj -348 0 obj +338 0 obj << /Length 1286 /Filter [/FlateDecode] >> @@ -37155,10 +36103,10 @@ x JJ특xxx+!ÊwBxbx+ށr;2kΜJYeY7+|x oS7+[ƛețךyޢoV浖 -㭌"RW*4XqC^J[(^1»y]k}YM-x Vz[YEVY_}/7*Y%eӫq+:.7JE/3Y(Y*AW RVJS:(u@cD]a*f)9J)o,#\Z>MU\jPS {HSMj{fkyGm[z*Esa>&ӫj%u; 2^W[®v[2쯲u[P:V̡Յ> MBi2 .Ħԇ!dk`=o qWޕwdJF(L164U)x0E~Z?=/ί~:o?$O endstream endobj -349 0 obj -[259 500 408 500 500 500 500 500 346 346 500 559 250 310 250 288 559 559 559 559 559 559 559 559 559 559 286 286 500 559 559 500 500 705 653 613 727 623 589 713 792 367 356 700 623 937 763 742 604 742 655 543 612 716 674 1046 660 500 591 359 500 359 500 500 577 562 613 492 613 535 369 538 634 319 299 584 310 944 645 577 613 613 471 451 352 634 579 861 578 564 511 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 361 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 857 259 500 500 500 500 500 500 1000 500 500 500 250 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500] +339 0 obj +[259 500 408 500 500 500 500 500 346 346 500 559 250 310 250 288 559 559 559 559 559 559 559 559 559 559 286 286 500 559 500 500 500 705 653 613 727 623 589 713 792 367 356 700 623 937 763 742 604 742 655 543 612 716 674 1046 660 500 591 359 500 359 500 500 500 562 613 492 613 535 369 538 634 319 299 584 310 944 645 577 613 613 471 451 352 634 579 861 578 564 511 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 361 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 857 259 500 500 500 500 500 500 1000 500 500 500 250 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500] endobj -350 0 obj +340 0 obj << /Length1 14424 /Length 9256 /Filter [/FlateDecode] @@ -37208,21 +36156,21 @@ $O" GDWPPxxynjy_^;ps endstream endobj -351 0 obj +341 0 obj << /Type /FontDescriptor /FontName /5e1168+NotoSerif-Bold -/FontFile2 350 0 R +/FontFile2 340 0 R /FontBBox [-212 -250 1306 1058] /Flags 6 /StemV 0 -/ItalicAngle 0 +/ItalicAngle 0.0 /Ascent 1068 /Descent -292 /CapHeight 1462 /XHeight 1098 >> endobj -352 0 obj +342 0 obj << /Length 1286 /Filter [/FlateDecode] >> @@ -37232,57 +36180,58 @@ x JJ특xxx+!ÊwBxbx+ށr;2kΜJYeY7+|x oS7+[ƛețךyޢoV浖 -㭌"RW*4XqC^J[(^1»y]k}YM-x Vz[YEVY_}/7*Y%eӫq+:.7JE/3Y(Y*AW RVJS:(u@cD]a*f)9J)o,#\Z>MU\jPS {HSMj{fkyGm[z*Esa>&ӫj%u; 2^W[®v[2쯲u[P:V̡Յ> MBi2 .Ħԇ!dk`=o qWޕwdJF(L164U)x0E~Z?=/ί~:o?$O endstream endobj -353 0 obj +343 0 obj [259 600 600 600 600 600 600 600 399 399 600 600 600 310 293 600 559 559 559 559 559 559 559 559 559 559 304 600 600 600 600 600 600 752 671 667 767 652 600 600 818 400 600 600 600 952 788 787 638 600 707 585 652 600 698 600 600 600 666 600 600 600 600 600 600 599 648 526 648 570 407 560 666 352 600 600 352 985 666 612 645 600 522 487 404 666 605 600 645 579 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 912 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600] endobj -354 0 obj -<< /Length1 7872 -/Length 5431 +344 0 obj +<< /Length1 7808 +/Length 5370 /Filter [/FlateDecode] >> stream -x8iX\U*X H^ -xTQUb+E -&Y4f$c3ݭIkb4'δ=LIE'~Kvlc9GB/ {9W#"gF=k5T!XOOU԰ИwnXEH|w|7J։ID,=BII9" A kE( /Տͱ -2A -eJQDdԒ1-碸eW$tOJ΀P -P*e!cvNg2[5E%ҲrGEe#ƫQ@j&ì 8o)% -_%J -(REb3nɷYr%j6%ĥ`M6hOw9McͶ5.GqF96iݹ!$+*Z|.Qlď)86>z{`1ixm wp7J(VqlzoI*hP4 [-!ì ooumQG ۬O=efh6EZ 8>qd:t6\CFj3Wk7=ģ{(}XÇ\g1(ld&)9$ߎu`Y%?CKgҴlRz%((PbEiA~'ܩkJS-op[۞Su|EmgU͋jwvp8X֖5|@'6rW&Ò&K~z&rMUzMng^l5U䚧qH|z.JWtcR..g&v4':e M^Nj/:o<"& _A]ә% daIZ3ޑ"G)H_䏾3\=[8@jo h2P&s%/ Y|ې&4qX\X\% _#x //_25a01p0;Ivf j W4ژVcCVdhڐ,g]yqVUuMSEѿ/WYĢ9=y]MK\oa1 u8N2,-Kuj/ݴ`i! ?Q S% PްנwWWTTVo۳FW/ -,? b7!䧟~E=A|R&A8OƅABi7.C<*>HQ=qV1kXwwx!Q X]ÃkA|T<7=˦~!%& -p1m~yo A`#hh c~gA7~A( :a`G(o5x3stCb>8?jy@6 ?8*)]ߜoҝs %gLkH4$Wb>#ga8 -K r)m/Z͂S?+$-'w {cQR.ɕ,O)/^ݿꮪ Jz{[ɱw_O]%[lIklKvG5^[pΧr@AcX`O=t{~/(4;#|$}FKyh$.w9|:8cţo6 57jg;<;:>(}qZ870nŽ01VOBz Zh쳷n ~Xxc`֌ݺ,Pd"gOѾ$N5{ -ph^TU`anw;!jԸ %3W*E%ysFFn@ųuGiiskC5oR<-\Lо7 x :d -a,G1BKAسA14$/t2٘74MSM0eijzcq}?OOގxhD8|;P)J|"} Ж}jj=q!]h|5 9ƴt>1!~^Y4ȴx-L&k ^+AW)fIol߆Zk 5~⣲h}@/=Kݍ;  ib>ŧW$ź\FO᲎e:CnJ܄!q-6q{w0@o M6ѡp#iGoc17* - jy:} `*_022FAFGu?qKcr>B13ŵAKi`ZeRL`qI}3}8 Ye7t{~rK8!Lvhi-13FDf|U{.,/Y@Qn_]w fX[D]pv,C,'S!'q FsͰ-\ ]O~AsRozson0>a/|@Wxs|G򼀯^ZHvc#ZV$s=N+f|$Z鎑1CځnwMĶT׌/7s1I{+̬ fE͚Z}yoF: - /,fXυWפ/,oë?rgTM!4< 3kia7 灎?ҥbLWCpK6zvk,'Bm`uV2}8;nDs GK6 ef6>xk=Y~`'-~+~X.Ѕ<fm6v Ows8`: 7S7Ax0;YM`sJ7_4Dh :Bb|"d$Gr]DaR*zAŌSerDM]eHyIy]y+lIز갑a"Uʧڡ:z^5\WwWSϩ? 7;»x (V0VȄqƫ0a@t 'ry F5&&2&=|f̯Z <^Ƙ|N +qmX~Y0-ilzy/[޻L^SsKq}^pAjwWɿVA}/sX*$+hZA8tNJ͓C`*$r-Vjp -4&f4  - xmƁ -a^G-7x߸`U@n7:&cQ4Mx'L^4Y=>s[Id01tp8\uAJ?# `G2lC/iON qy9P)PwqcǛg¬щ)`=)'2p h13>Y?υ&@i` q'2UZ&܁)r{mldxt8q)&7 Mz(48MOy|ox*89?sA#q8'{|:ȍA`dOr`;2"-pںV&\ ʪJ.)\Gu\nOD9\КsS7N l` QFI!2nv9fo?TVe:xh,7ȤQl qɁ %~(٣GWF@c¢p -غ(8y-e[;hH -t~ -;`F[n.<)Z1I/q},|{;#KYpL<a! Eo{] }mãֻVMgCx'QVvM - xx` -N \*>aA2e!uيUJjd/K%ݿ6-{?W\WR,hC pgK?yZizBzLvx z:=!}Bjgg~'eYarreZ"Wg1de\ l~F✝ʼn`Go +aggT3N xr;BZDx,i3"p8H>EHo̱ID}0wÜkk/4$;''# u7ぱ=!d؄*o z mSypV* +&_.|GVĴ#u!|lU/I)(F(vQ\% IFzCrehy*J'}1F('DP)P(2[6{I +GeU?{URȢ1jr,ވ}[ܐ$_k:Z!a'a`1m-[ f1u| +0,f;VnX-#,EMrtѰcS<Hjoisw|]1Ql+Z&O[pRr +욞5$O[v0($5I9QF&sW\dIT +o+| /KΫW{C @)nQ]unzWQ + G΀Q + iD@2 +H@;6kqZ͔un]yRsԥ,))Q]ʒr=姼R7we`67cFv + Om[46=d'e>hFZg`0^x뾺NwjӉulYd~}J8{3!0z5[n%?5-C#^vǧI+oc1dYi$>`<9!>_oa:NGkw:^aIM,:kX>],%?YѰΝ{=;@'R2?a|dFWv|.WMkC5{zxſ=K#LyeFDŽrD]>h.ޟzKJ- ɞV]&ڹ+Ai=\nnGutٹl0G%SNw%)jm^ .wY|iM}p[Q$ͅ9>~>cn`&~)ţ,ϝ:f14@>n"D98a GBu9r۩E: 8gouI +jy8B,)ɩn9x}_OKcfiTfӻZ_^VX.(%295r.3% { + K2 +rCgmL Gy$%$u-֒љjbx8N^nHy3yo@>JYfH曠8J+ 3J<0(( 'q {6 : WU779 {D_*K7|Njr=q>\|5͛l>5A~oYds:&]rnTjmϳѫz$jkxc/# ?|*?YQհ/ЋY_dVyNnIUO:ŷgl\۞u|  }y{K rCxWtlAXTF&Z^-fo eH3[]ZrJ[IՈݧeqRΙ\baL=nKUQ$1 TɾRݧ nٶ؈vm8]?f1 147͝B6>iB@J.ob6{WȾc[h{|? +w7Xh?x#}OlO +bI}DrAR*>>D[Bl̲mYYX;fpua[欰*i4⋭\vbZÞ>7/ǤY^A_rHG}aA~aԩt0uC:{IM\u^x]F#R6 z_T!$VLmÔL3}.7ds(x5MXbZ5?T5TE.OncuM|RwXhP-viד][wJX'P‚y׎#sian \Z +nySD_`-v~]؄XG(Vh#r*rh Z^ ,^/2~~y[^ dz.Ӡk2h92ZƵrΟa^yZv~SZ7PcjA\PM=6 d{_CE."1Q5Dy[s߀_jƖ:26 4ІfC&njA.828 sSpc@@CS{l,458L' +8oCc;s9Jgcm]-Q 庪=V|" eKݙOaeV6RWH:w0ǠE$3HaX 0nV9Ve:x'FnqCϣX( #pw >&!h@3"sDdI J$^)}Նs):aFe-@2"o3:y5]~)~/\'·cdHX{@~.<' @[!<*/Ƈo{;\#c{V0( ѼO28ޭeڽZ@q#,^7?[+BPu2Ӏ^8CԝLG3)qu?K/ݿ6[-{?W|w|(ދnK?ɥI{^?C(LQkrMQW.e_Q+X&Jtq^~=:ȍ*L#KhVJjO?|sOј<_Ե~cZ۝U^tnWoojL5i5\ia~tJ)ᢊF* 8:(I5lf8$#P7q2q¨q+q[BOY~gYS*( ZؓNhL.͂`S#p!fdh&$sTc} P#?s|n.?D͠5T:-nՙ@ٝTmMA~Vܾ7`Eo:pӉ$A'Eѧ3:;AU)A)a`tY(m,) +P > endobj -356 0 obj +346 0 obj << /Length 1286 /Filter [/FlateDecode] >> @@ -37292,10 +36241,10 @@ x JJ특xxx+!ÊwBxbx+ށr;2kΜJYeY7+|x oS7+[ƛețךyޢoV浖 -㭌"RW*4XqC^J[(^1»y]k}YM-x Vz[YEVY_}/7*Y%eӫq+:.7JE/3Y(Y*AW RVJS:(u@cD]a*f)9J)o,#\Z>MU\jPS {HSMj{fkyGm[z*Esa>&ӫj%u; 2^W[®v[2쯲u[P:V̡Յ> MBi2 .Ħԇ!dk`=o qWޕwdJF(L164U)x0E~Z?=/ί~:o?$O endstream endobj -357 0 obj -[500 364 364 364 364 364 500 364 500 500 500 500 500 364 500 364 500 500 500 500 500 500 500 500 500 500 364 364 500 500 364 364 364 500 500 500 500 500 500 364 500 500 364 500 500 500 500 500 364 364 500 500 500 500 500 500 364 364 500 500 364 500 364 500 364 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 364 500 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364] +347 0 obj +[500 364 364 364 364 364 500 364 500 500 364 500 500 364 500 364 500 500 500 500 500 500 500 500 500 500 364 364 500 500 364 364 364 500 500 500 500 500 500 364 500 500 364 500 500 500 500 500 364 364 500 500 500 500 500 500 364 364 500 500 364 500 364 500 364 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 364 500 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364] endobj -358 0 obj +348 0 obj << /Length1 6672 /Length 3845 /Filter [/FlateDecode] @@ -37319,21 +36268,21 @@ ZU q~9"㿄-}|mB6Ƹ+";at-ޅxQ}b9}aY |N o>׃P# 5Z `t`/\Oj8u7uw]6\WOw endstream endobj -359 0 obj +349 0 obj << /Type /FontDescriptor /FontName /a68193+NotoSerif -/FontFile2 358 0 R +/FontFile2 348 0 R /FontBBox [-212 -250 1246 1047] /Flags 6 /StemV 0 -/ItalicAngle 0 +/ItalicAngle 0.0 /Ascent 1068 /Descent -292 /CapHeight 1462 /XHeight 1098 >> endobj -360 0 obj +350 0 obj << /Length 247 /Filter [/FlateDecode] >> @@ -37343,10 +36292,10 @@ u> }pJI'7{q;޳Dwߧ3B9QZpxU7=eM-}Pxw1c%iY \I`Yg".ra8cvS펢Afܬ9S3[m(SLUU/v endstream endobj -361 0 obj +351 0 obj [259 354 559 1000 200 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500] endobj -362 0 obj +352 0 obj << /Length1 10052 /Length 6351 /Filter [/FlateDecode] @@ -37374,21 +36323,21 @@ f |#-ϴp|H=18Om&ׁ׻p^.ȴKaOųM/_qvu"R_e(I lgd7by';di0Tl-A;jWe70=O*X ߳G+Z 4atR> endobj -364 0 obj +354 0 obj << /Length 1286 /Filter [/FlateDecode] >> @@ -37398,11 +36347,11 @@ x JJ특xxx+!ÊwBxbx+ށr;2kΜJYeY7+|x oS7+[ƛețךyޢoV浖 -㭌"RW*4XqC^J[(^1»y]k}YM-x Vz[YEVY_}/7*Y%eӫq+:.7JE/3Y(Y*AW RVJS:(u@cD]a*f)9J)o,#\Z>MU\jPS {HSMj{fkyGm[z*Esa>&ӫj%u; 2^W[®v[2쯲u[P:V̡Յ> MBi2 .Ħԇ!dk`=o qWޕwdJF(L164U)x0E~Z?=/ί~:o?$O endstream endobj -365 0 obj +355 0 obj [259 600 600 600 600 600 600 600 346 346 600 600 600 600 250 600 559 559 600 600 600 600 559 600 600 600 600 600 600 600 600 600 600 600 600 600 725 623 600 600 600 600 356 600 600 937 600 742 620 600 664 600 600 600 600 600 600 600 600 600 600 600 600 600 600 579 562 486 579 493 600 556 599 304 600 568 304 895 599 574 577 600 467 463 368 599 600 600 545 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 250 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600] endobj xref -0 366 +0 356 0000000000 65535 f 0000000015 00000 n 0000000239 00000 n @@ -37415,365 +36364,355 @@ xref 0000001656 00000 n 0000029330 00000 n 0000030258 00000 n -0000045532 00000 n -0000046176 00000 n -0000056187 00000 n -0000056555 00000 n -0000056599 00000 n -0000056648 00000 n -0000056707 00000 n -0000056751 00000 n -0000056924 00000 n -0000057099 00000 n -0000057267 00000 n -0000098982 00000 n -0000099368 00000 n -0000099412 00000 n -0000099456 00000 n -0000099506 00000 n -0000099639 00000 n -0000099770 00000 n -0000099901 00000 n -0000142599 00000 n -0000143047 00000 n -0000143180 00000 n -0000143313 00000 n -0000143446 00000 n -0000143579 00000 n -0000143712 00000 n -0000143763 00000 n -0000143896 00000 n -0000144029 00000 n -0000144162 00000 n -0000144295 00000 n -0000144428 00000 n -0000144472 00000 n -0000177355 00000 n -0000177710 00000 n -0000177754 00000 n -0000177798 00000 n -0000177842 00000 n -0000218417 00000 n -0000218796 00000 n -0000218846 00000 n -0000218975 00000 n -0000219025 00000 n -0000219154 00000 n -0000234871 00000 n -0000235263 00000 n -0000235307 00000 n -0000235572 00000 n -0000235616 00000 n -0000235660 00000 n -0000235835 00000 n -0000235879 00000 n -0000236088 00000 n -0000236132 00000 n -0000257163 00000 n -0000257555 00000 n -0000257599 00000 n -0000257643 00000 n -0000257687 00000 n -0000257811 00000 n -0000257935 00000 n -0000257979 00000 n -0000258485 00000 n -0000258887 00000 n -0000277021 00000 n -0000277406 00000 n -0000277450 00000 n -0000277494 00000 n -0000277538 00000 n -0000277582 00000 n -0000277626 00000 n -0000277750 00000 n -0000296511 00000 n -0000296910 00000 n -0000297032 00000 n -0000297076 00000 n -0000297198 00000 n -0000297318 00000 n -0000297362 00000 n -0000312364 00000 n -0000312762 00000 n -0000312885 00000 n -0000312929 00000 n -0000312973 00000 n -0000313017 00000 n -0000313278 00000 n -0000332467 00000 n -0000332852 00000 n -0000332975 00000 n -0000333020 00000 n -0000333065 00000 n -0000343034 00000 n -0000343425 00000 n -0000343557 00000 n -0000343603 00000 n -0000343646 00000 n -0000343692 00000 n -0000343738 00000 n -0000343784 00000 n -0000343907 00000 n -0000343953 00000 n -0000343999 00000 n -0000344119 00000 n -0000344165 00000 n -0000356457 00000 n -0000356827 00000 n -0000356873 00000 n -0000356919 00000 n -0000356964 00000 n -0000357010 00000 n -0000357056 00000 n -0000357101 00000 n -0000357706 00000 n -0000357752 00000 n -0000357798 00000 n -0000381428 00000 n -0000381816 00000 n -0000381944 00000 n -0000381989 00000 n -0000394979 00000 n -0000395396 00000 n -0000395442 00000 n -0000395488 00000 n -0000395613 00000 n -0000395659 00000 n -0000395705 00000 n -0000395830 00000 n -0000395876 00000 n -0000396003 00000 n -0000414352 00000 n -0000414709 00000 n -0000414755 00000 n -0000414801 00000 n -0000414847 00000 n -0000433173 00000 n -0000433556 00000 n -0000433602 00000 n -0000433648 00000 n -0000440154 00000 n -0000440549 00000 n -0000440595 00000 n -0000440641 00000 n -0000485078 00000 n -0000487429 00000 n -0000500924 00000 n -0000501294 00000 n -0000501340 00000 n -0000520339 00000 n -0000520735 00000 n -0000520861 00000 n -0000520991 00000 n -0000521037 00000 n -0000521162 00000 n -0000521289 00000 n -0000521427 00000 n -0000521566 00000 n -0000521685 00000 n -0000521805 00000 n -0000521929 00000 n -0000522055 00000 n -0000522179 00000 n -0000522305 00000 n -0000522457 00000 n -0000522611 00000 n -0000522741 00000 n -0000522872 00000 n -0000522988 00000 n -0000523107 00000 n -0000523256 00000 n -0000523406 00000 n -0000523528 00000 n -0000523652 00000 n -0000523773 00000 n -0000523895 00000 n -0000524014 00000 n -0000524135 00000 n -0000524256 00000 n -0000524378 00000 n -0000524501 00000 n -0000524626 00000 n -0000524743 00000 n -0000524862 00000 n -0000525010 00000 n -0000525159 00000 n -0000525279 00000 n -0000525401 00000 n -0000525522 00000 n -0000525645 00000 n -0000525765 00000 n -0000525887 00000 n -0000526006 00000 n -0000526128 00000 n -0000526244 00000 n -0000526362 00000 n -0000526478 00000 n -0000526596 00000 n -0000526714 00000 n -0000526834 00000 n -0000526956 00000 n -0000527078 00000 n -0000527195 00000 n -0000527313 00000 n -0000527433 00000 n -0000527553 00000 n -0000527671 00000 n -0000527790 00000 n -0000527914 00000 n -0000528038 00000 n -0000528177 00000 n -0000528317 00000 n -0000528438 00000 n -0000528560 00000 n -0000528684 00000 n -0000528808 00000 n -0000528957 00000 n -0000529107 00000 n -0000529227 00000 n -0000529347 00000 n -0000529468 00000 n -0000529589 00000 n -0000529711 00000 n -0000529833 00000 n -0000529956 00000 n -0000530079 00000 n -0000530205 00000 n -0000530331 00000 n -0000530458 00000 n -0000530585 00000 n -0000530715 00000 n -0000530845 00000 n -0000530971 00000 n -0000531097 00000 n -0000531231 00000 n -0000531366 00000 n -0000531487 00000 n -0000531608 00000 n -0000531728 00000 n -0000531849 00000 n -0000531978 00000 n -0000532108 00000 n -0000532229 00000 n -0000532350 00000 n -0000532466 00000 n -0000532583 00000 n -0000532706 00000 n -0000532829 00000 n -0000532952 00000 n -0000533075 00000 n -0000533200 00000 n -0000533325 00000 n -0000533449 00000 n -0000533573 00000 n +0000044075 00000 n +0000044687 00000 n +0000054698 00000 n +0000055066 00000 n +0000055110 00000 n +0000055159 00000 n +0000055218 00000 n +0000055262 00000 n +0000055435 00000 n +0000055610 00000 n +0000055778 00000 n +0000097592 00000 n +0000097978 00000 n +0000098022 00000 n +0000098066 00000 n +0000098116 00000 n +0000098249 00000 n +0000098380 00000 n +0000098511 00000 n +0000141539 00000 n +0000141987 00000 n +0000142120 00000 n +0000142253 00000 n +0000142386 00000 n +0000142519 00000 n +0000142652 00000 n +0000142703 00000 n +0000142836 00000 n +0000142969 00000 n +0000143102 00000 n +0000143235 00000 n +0000143368 00000 n +0000143412 00000 n +0000176295 00000 n +0000176650 00000 n +0000176694 00000 n +0000176738 00000 n +0000176782 00000 n +0000217357 00000 n +0000217736 00000 n +0000217786 00000 n +0000217915 00000 n +0000217965 00000 n +0000218094 00000 n +0000233811 00000 n +0000234203 00000 n +0000234247 00000 n +0000234512 00000 n +0000234556 00000 n +0000234600 00000 n +0000234775 00000 n +0000234819 00000 n +0000235028 00000 n +0000235072 00000 n +0000256103 00000 n +0000256495 00000 n +0000256539 00000 n +0000256583 00000 n +0000256627 00000 n +0000256751 00000 n +0000256875 00000 n +0000256919 00000 n +0000257425 00000 n +0000257804 00000 n +0000275938 00000 n +0000276323 00000 n +0000276367 00000 n +0000276411 00000 n +0000276455 00000 n +0000276499 00000 n +0000276543 00000 n +0000276667 00000 n +0000295428 00000 n +0000295827 00000 n +0000295949 00000 n +0000295993 00000 n +0000296115 00000 n +0000296235 00000 n +0000296279 00000 n +0000311934 00000 n +0000312315 00000 n +0000312359 00000 n +0000312403 00000 n +0000312447 00000 n +0000312707 00000 n +0000330167 00000 n +0000330540 00000 n +0000330584 00000 n +0000330628 00000 n +0000330760 00000 n +0000330805 00000 n +0000330850 00000 n +0000341834 00000 n +0000342217 00000 n +0000342263 00000 n +0000342309 00000 n +0000342355 00000 n +0000342477 00000 n +0000342523 00000 n +0000342569 00000 n +0000342688 00000 n +0000342734 00000 n +0000342780 00000 n +0000342826 00000 n +0000354208 00000 n +0000354596 00000 n +0000354642 00000 n +0000354688 00000 n +0000354734 00000 n +0000354780 00000 n +0000355365 00000 n +0000355411 00000 n +0000355457 00000 n +0000355585 00000 n +0000355631 00000 n +0000380154 00000 n +0000380524 00000 n +0000380570 00000 n +0000380616 00000 n +0000394553 00000 n +0000394970 00000 n +0000395095 00000 n +0000395141 00000 n +0000395187 00000 n +0000395312 00000 n +0000395358 00000 n +0000395486 00000 n +0000395532 00000 n +0000416896 00000 n +0000417266 00000 n +0000417312 00000 n +0000417358 00000 n +0000420350 00000 n +0000420694 00000 n +0000427200 00000 n +0000427595 00000 n +0000427641 00000 n +0000427687 00000 n +0000472124 00000 n +0000474475 00000 n +0000487970 00000 n +0000488340 00000 n +0000488386 00000 n +0000507385 00000 n +0000507781 00000 n +0000507907 00000 n +0000508037 00000 n +0000508083 00000 n +0000508208 00000 n +0000508335 00000 n +0000508473 00000 n +0000508612 00000 n +0000508731 00000 n +0000508851 00000 n +0000508975 00000 n +0000509101 00000 n +0000509225 00000 n +0000509351 00000 n +0000509503 00000 n +0000509657 00000 n +0000509787 00000 n +0000509918 00000 n +0000510034 00000 n +0000510153 00000 n +0000510302 00000 n +0000510452 00000 n +0000510574 00000 n +0000510698 00000 n +0000510819 00000 n +0000510941 00000 n +0000511060 00000 n +0000511181 00000 n +0000511302 00000 n +0000511424 00000 n +0000511547 00000 n +0000511672 00000 n +0000511789 00000 n +0000511908 00000 n +0000512056 00000 n +0000512205 00000 n +0000512325 00000 n +0000512447 00000 n +0000512568 00000 n +0000512691 00000 n +0000512811 00000 n +0000512933 00000 n +0000513052 00000 n +0000513174 00000 n +0000513290 00000 n +0000513408 00000 n +0000513524 00000 n +0000513642 00000 n +0000513760 00000 n +0000513880 00000 n +0000514002 00000 n +0000514124 00000 n +0000514241 00000 n +0000514359 00000 n +0000514479 00000 n +0000514599 00000 n +0000514717 00000 n +0000514836 00000 n +0000514960 00000 n +0000515084 00000 n +0000515223 00000 n +0000515363 00000 n +0000515484 00000 n +0000515606 00000 n +0000515730 00000 n +0000515854 00000 n +0000516003 00000 n +0000516153 00000 n +0000516273 00000 n +0000516393 00000 n +0000516514 00000 n +0000516635 00000 n +0000516757 00000 n +0000516879 00000 n +0000517002 00000 n +0000517125 00000 n +0000517251 00000 n +0000517377 00000 n +0000517504 00000 n +0000517631 00000 n +0000517761 00000 n +0000517891 00000 n +0000518017 00000 n +0000518143 00000 n +0000518277 00000 n +0000518412 00000 n +0000518533 00000 n +0000518654 00000 n +0000518774 00000 n +0000518895 00000 n +0000519024 00000 n +0000519154 00000 n +0000519275 00000 n +0000519396 00000 n +0000519512 00000 n +0000519629 00000 n +0000519752 00000 n +0000519875 00000 n +0000519998 00000 n +0000520121 00000 n +0000520246 00000 n +0000520371 00000 n +0000520495 00000 n +0000520619 00000 n +0000520740 00000 n +0000520862 00000 n +0000520983 00000 n +0000521105 00000 n +0000521222 00000 n +0000521340 00000 n +0000521465 00000 n +0000521591 00000 n +0000521721 00000 n +0000521851 00000 n +0000521997 00000 n +0000522143 00000 n +0000522287 00000 n +0000522431 00000 n +0000522509 00000 n +0000522646 00000 n +0000522838 00000 n +0000523054 00000 n +0000523347 00000 n +0000523497 00000 n +0000523685 00000 n +0000523873 00000 n +0000524181 00000 n +0000524375 00000 n +0000524589 00000 n +0000524888 00000 n +0000525062 00000 n +0000525242 00000 n +0000525418 00000 n +0000525598 00000 n +0000525790 00000 n +0000525944 00000 n +0000526254 00000 n +0000526420 00000 n +0000526604 00000 n +0000526784 00000 n +0000526964 00000 n +0000527128 00000 n +0000527292 00000 n +0000527464 00000 n +0000527648 00000 n +0000527816 00000 n +0000527996 00000 n +0000528172 00000 n +0000528354 00000 n +0000528631 00000 n +0000528809 00000 n +0000528996 00000 n +0000529314 00000 n +0000529477 00000 n +0000529658 00000 n +0000529843 00000 n +0000530032 00000 n +0000530249 00000 n +0000530470 00000 n +0000530675 00000 n +0000530870 00000 n +0000531128 00000 n +0000531295 00000 n +0000531470 00000 n +0000531740 00000 n +0000531895 00000 n +0000532060 00000 n +0000532249 00000 n +0000532424 00000 n +0000532628 00000 n +0000532795 00000 n +0000532968 00000 n +0000533141 00000 n +0000533296 00000 n +0000533500 00000 n 0000533695 00000 n -0000533817 00000 n -0000533938 00000 n -0000534060 00000 n -0000534181 00000 n -0000534303 00000 n -0000534420 00000 n -0000534538 00000 n -0000534662 00000 n -0000534786 00000 n -0000534911 00000 n -0000535037 00000 n -0000535167 00000 n -0000535297 00000 n -0000535443 00000 n -0000535589 00000 n -0000535733 00000 n -0000535877 00000 n -0000535955 00000 n -0000536092 00000 n -0000536284 00000 n -0000536500 00000 n -0000536793 00000 n -0000536943 00000 n -0000537131 00000 n -0000537319 00000 n -0000537627 00000 n -0000537821 00000 n -0000538035 00000 n -0000538334 00000 n -0000538508 00000 n -0000538688 00000 n -0000538864 00000 n -0000539044 00000 n -0000539236 00000 n -0000539390 00000 n -0000539700 00000 n -0000539866 00000 n -0000540050 00000 n -0000540230 00000 n -0000540410 00000 n -0000540574 00000 n -0000540738 00000 n -0000540910 00000 n -0000541094 00000 n -0000541262 00000 n -0000541442 00000 n -0000541618 00000 n -0000541800 00000 n -0000542078 00000 n -0000542254 00000 n -0000542441 00000 n -0000542759 00000 n -0000542922 00000 n -0000543103 00000 n -0000543288 00000 n -0000543477 00000 n -0000543694 00000 n -0000543915 00000 n -0000544119 00000 n -0000544314 00000 n -0000544572 00000 n -0000544738 00000 n -0000544913 00000 n -0000545183 00000 n -0000545337 00000 n -0000545502 00000 n -0000545691 00000 n -0000545866 00000 n -0000546070 00000 n -0000546237 00000 n -0000546410 00000 n -0000546583 00000 n -0000546756 00000 n -0000546925 00000 n -0000547104 00000 n -0000547308 00000 n -0000547503 00000 n -0000547776 00000 n -0000548027 00000 n -0000548430 00000 n -0000548701 00000 n -0000548972 00000 n -0000560076 00000 n -0000560290 00000 n -0000561653 00000 n -0000562570 00000 n -0000571918 00000 n -0000572137 00000 n -0000573500 00000 n -0000574415 00000 n -0000579937 00000 n -0000580150 00000 n -0000581513 00000 n -0000582428 00000 n -0000586364 00000 n -0000586578 00000 n -0000586901 00000 n -0000587817 00000 n -0000594260 00000 n -0000594484 00000 n -0000595847 00000 n +0000533968 00000 n +0000534219 00000 n +0000534622 00000 n +0000534893 00000 n +0000535164 00000 n +0000546171 00000 n +0000546387 00000 n +0000547750 00000 n +0000548667 00000 n +0000558015 00000 n +0000558236 00000 n +0000559599 00000 n +0000560514 00000 n +0000565975 00000 n +0000566190 00000 n +0000567553 00000 n +0000568468 00000 n +0000572404 00000 n +0000572620 00000 n +0000572943 00000 n +0000573859 00000 n +0000580302 00000 n +0000580528 00000 n +0000581891 00000 n trailer -<< /Size 366 +<< /Size 356 /Root 2 0 R /Info 1 0 R >> startxref -596762 +582806 %%EOF diff --git a/doc/sections/csr.adoc b/doc/sections/csr.adoc index 50f339b..f43ef90 100644 --- a/doc/sections/csr.adoc +++ b/doc/sections/csr.adoc @@ -175,8 +175,6 @@ Trap vector base address. Read-write. Exactly which bits of `mtvec` can be modif NOTE: In the RISC-V specification, `mode` is a 2-bit write-any read-legal field in bits 1:0. Hazard3 implements this by hardwiring bit 1 to 0. -NOTE: Hazard3 has an additional nonstandard vectoring mode, where external interrupts are each separated into distinct vectors and `mcause` values. This is enabled through the implementation-defined control register, <>, since the RISC-V specification reserves `mtvec.mode == 2, 3` for future standard use. - ==== mscratch Address: `0x340` @@ -211,8 +209,6 @@ The most significant bit of `mcause` is set to 1 to indicate an interrupt cause, | 11 | External interrupt (`mip.meip`) |=== -Numbers >16 are used for to disambiguate between external IRQs when expanded vectoring is enabled -- see <>. - The following exception causes may be set by Hazard3 hardware: [cols="10h,~", options="header"] @@ -413,20 +409,6 @@ The Debug Module uses this mapping to exchange data with the core by injecting ` This CSR address is given by the `dataaddress` field of the Debug Module's `hartinfo` register, and `hartinfo.dataaccess` is set to 0 to indicate this is a CSR mapping, not a memory mapping. -[[reg-midcr]] -==== midcr - -Address: `0xbc0` - -Implementation-defined control register. Miscellaneous nonstandard controls. - -[cols="10h,20h,~", options="header"] -|=== -| Bits | Name | Description -| 31:1 | - | RES0 -| 0 | `eivect` | Modified external interrupt vectoring. If 0, use standard behaviour: all external interrupts set interrupt `mcause` of 11 and vector to `mtvec + 0x2c`. If 1, external interrupts use distinct interrupt `mcause` numbers 16 upward, and distinct vectors `mtvec + (irq + 16) * 4`. Resets to 0. Has no effect when `mtvec[0]` is 0. -|=== - [[reg-meie0]] ==== meie0 @@ -464,24 +446,18 @@ When any bit is set in both `meip0` and `meie0`, the standard external interrupt In this case, the processor jumps to either: * `mtvec` directly, if vectoring is disabled (`mtvec[0]` is 0) -* `mtvec + 0x2c`, if vectoring is enabled (`mtvec[0]` is 1) and modified external IRQ vectoring is disabled (`midcr.eivect` is 0) -* `mtvect + (mlei + 16) * 4`, if vectoring is enabled (`mtvec[0]` is 1) and modified external IRQ vectoring is enabled (`midcr.eivect` is 1). ` -** `mlei` is a read-only CSR containing the lowest-numbered pending-and-enabled external interrupt. +* `mtvec + 0x2c`, if vectoring is enabled (`mtvec[0]` is 1) ==== mlei Address: `0xfe4` -Lowest external interrupt. Contains the index of the lowest-numbered external interrupt which is both asserted in `meip0` and enabled in `meie0`. Can be used for faster software vectoring when modified external interrupt vectoring (`midcr.eivect = 1`) is not in use. +Lowest external interrupt. Contains the index of the lowest-numbered external interrupt which is both asserted in `meip0` and enabled in `meie0`, left-shifted by 2 so that it can be used to index an array of 32-bit function pointers. [cols="10h,20h,~", options="header"] |=== | Bits | Name | Description -| 31:5 | - | RES0 -| 4:0 | - | Index of the lowest-numbered active external interrupt. A LSB-first priority encode of `meip0 & meie0`. Zero when no external interrupts are both pending and enabled. +| 31:7 | - | RES0 +| 6:2 | - | Index of the lowest-numbered active external interrupt. A LSB-first priority encode of `meip0 & meie0`. Zero when no external interrupts are both pending and enabled. +| 1:0 | - | RES0 |=== - - -==== Maybe-adds - -An option to clear a bit in `meie0` when that interrupt is taken, and set it when an `mret` has a matching `mcause` for that interrupt. Makes preemption support easier. diff --git a/hdl/hazard3_csr.v b/hdl/hazard3_csr.v index 89ba456..f7a92b2 100644 --- a/hdl/hazard3_csr.v +++ b/hdl/hazard3_csr.v @@ -244,7 +244,6 @@ localparam MHPMEVENT30 = 12'h33e; // WARL (we tie to 0) localparam MHPMEVENT31 = 12'h33f; // WARL (we tie to 0) // Custom M-mode CSRs: -localparam MIDCR = 12'hbc0; // Implementation-defined control register (bag of bits) localparam MEIE0 = 12'hbe0; // External interrupt enable register 0 localparam MEIP0 = 12'hfe0; // External interrupt pending register 0 localparam MLEI = 12'hfe4; // Lowest external interrupt number @@ -300,25 +299,6 @@ always @ (posedge clk or negedge rst_n) begin end end -// ---------------------------------------------------------------------------- -// Implementation-defined control register - -localparam MIDCR_INIT = X0; -localparam MIDCR_WMASK = 32'h00000001; - -reg [XLEN-1:0] midcr; - -always @ (posedge clk or negedge rst_n) begin - if (!rst_n) begin - midcr <= MIDCR_INIT; - end else if (wen && addr == MIDCR) begin - midcr <= update_nonconst(midcr, MIDCR_WMASK); - end -end - -// Modified external interrupt vectoring -wire midcr_eivect = midcr[0]; - // ---------------------------------------------------------------------------- // Trap-handling CSRs @@ -872,11 +852,6 @@ always @ (*) begin // ------------------------------------------------------------------------ // Custom CSRs - MIDCR: if (CSR_M_TRAP) begin - decode_match = 1'b1; - rdata = midcr; - end - MEIE0: if (CSR_M_TRAP) begin decode_match = 1'b1; rdata = meie0; @@ -889,7 +864,7 @@ always @ (*) begin MLEI: if (CSR_M_TRAP) begin decode_match = !wen_soon; - rdata = {{XLEN-5{1'b0}}, mlei}; + rdata = {{XLEN-7{1'b0}}, mlei, 2'b00}; end default: begin end @@ -1023,11 +998,7 @@ assign mip = { 3'h0 // Reserved }; -// When eivect = 1, mip.meip is masked from the standard IRQs, so that the -// platform-specific causes and vectors are used instead. -wire [31:0] mip_no_global = mip & ~(32'h800 & ~{XLEN{midcr_eivect}}); -wire standard_irq_active = |(mip_no_global & mie) && mstatus_mie && !dcsr_step; -wire external_irq_active = external_irq_pending && mstatus_mie && !dcsr_step && mie_meie; +wire irq_active = |(mip & mie) && mstatus_mie && !dcsr_step; // WFI clear respects individual interrupt enables but ignores mstatus.mie. // Additionally, wfi is treated as a nop during single-stepping and D-mode. @@ -1047,7 +1018,7 @@ hazard3_priority_encode #( hazard3_priority_encode #( .W_REQ (16) ) irq_priority ( - .req (mip_no_global[15:0] & mie[15:0]), + .req (mip[15:0] & mie[15:0]), .gnt (standard_irq_num) ); @@ -1055,15 +1026,9 @@ hazard3_priority_encode #( // depending on dcsr.ebreakm. assign exception_req_any = except != EXCEPT_NONE && !(except == EXCEPT_EBREAK && dcsr_ebreakm); -// Note when eivect=0 platform external interrupts also count as a standard -// external interrupt, so the standard mapping (collapsed into a single -// vector) always takes priority. -wire [5:0] mcause_irq_num = - standard_irq_active ? {2'h0, standard_irq_num} : - external_irq_active ? {1'h0, external_irq_num} + 6'd16 : 6'd0; +wire [5:0] mcause_irq_num = irq_active ? {2'h0, standard_irq_num} : 6'd0; -wire [5:0] vector_sel = - !exception_req_any && irq_vector_enable ? mcause_irq_num : 6'd0; +wire [5:0] vector_sel = !exception_req_any && irq_vector_enable ? mcause_irq_num : 6'd0; assign trap_addr = except == EXCEPT_MRET ? mepc : @@ -1077,7 +1042,7 @@ assign trap_is_irq = DEBUG_SUPPORT && (want_halt_except || want_halt_irq) ? // delay_irq_entry also applies to IRQ-like debug entries. assign trap_enter_vld = CSR_M_TRAP && (exception_req_any || - !delay_irq_entry && !debug_mode && (standard_irq_active || external_irq_active)) || + !delay_irq_entry && !debug_mode && irq_active) || DEBUG_SUPPORT && ( (!delay_irq_entry && want_halt_irq) || want_halt_except || pending_dbg_resume); diff --git a/test/sim/embench/Readme.md b/test/sim/embench/Readme.md index ea0df47..f5c3550 100644 --- a/test/sim/embench/Readme.md +++ b/test/sim/embench/Readme.md @@ -8,7 +8,7 @@ cd embench-iot # Make sure testbench is up to date make -C ../../tb_cxxrtl tb ./build_all.py --arch riscv32 --chip hazard3 --board hazard3tb -./benchmark_speed --target-module run_hazard3tb +./benchmark_speed.py --target-module run_hazard3tb ``` The compiler specified in `config/riscv32/chips/hazard3/chip.cfg` is `/opt/riscv/unstable/bin/riscv32-unknown-elf-gcc`, which is where I have an unstable GCC 12 build installed on my machine. You need to have a recent upstream master build to support the Zba/Zbb/Zbc/Zbs instructions. If you don't care about these, you can use whatever `riscv32-unknown-elf` compiler you have, and also edit `cflags` in that `.cfg` file to not include the bitmanip extensions in `march`.