From 5f8d21739583caffddaa90650c5337259ab522b6 Mon Sep 17 00:00:00 2001 From: Luke Wren Date: Mon, 31 May 2021 17:54:12 +0100 Subject: [PATCH] Implement new IRQ behaviour, and change mip.meip to be masked by individual enables in meip0 --- doc/.gitignore | 1 - doc/hazard3.pdf | 14510 +++++++++++++++++++++++++++++++++++ doc/sections/csr.adoc | 6 +- hdl/hazard3_config.vh | 10 +- hdl/hazard3_config_inst.vh | 4 + hdl/hazard3_core.v | 8 +- hdl/hazard3_cpu_1port.v | 10 +- hdl/hazard3_cpu_2port.v | 10 +- hdl/hazard3_csr.v | 219 +- 9 files changed, 14708 insertions(+), 70 deletions(-) delete mode 100644 doc/.gitignore create mode 100644 doc/hazard3.pdf diff --git a/doc/.gitignore b/doc/.gitignore deleted file mode 100644 index a136337..0000000 --- a/doc/.gitignore +++ /dev/null @@ -1 +0,0 @@ -*.pdf diff --git a/doc/hazard3.pdf b/doc/hazard3.pdf new file mode 100644 index 0000000..b03939b --- /dev/null +++ b/doc/hazard3.pdf @@ -0,0 +1,14510 @@ +%PDF-1.4 +% +1 0 obj +<< /Title (Hazard3) +/Creator (Asciidoctor PDF 1.5.4, based on Prawn 2.2.2) +/Producer (Asciidoctor PDF 1.5.4, based on Prawn 2.2.2) +/ModDate (D:20210531151744+01'00') +/CreationDate (D:20210531171925+01'00') +>> +endobj +2 0 obj +<< /Type /Catalog +/Pages 3 0 R +/Names 14 0 R +/Outlines 110 0 R +/PageLabels 132 0 R +/PageMode /UseOutlines +/OpenAction [7 0 R /FitH 841.89] +/ViewerPreferences << /DisplayDocTitle true +>> +>> +endobj +3 0 obj +<< /Type /Pages +/Count 9 +/Kids [7 0 R 10 0 R 12 0 R 21 0 R 29 0 R 43 0 R 51 0 R 62 0 R 69 0 R] +>> +endobj +4 0 obj +<< /Length 2 +>> +stream +q + +endstream +endobj +5 0 obj +<< /Type /Page +/Parent 3 0 R +/MediaBox [0 0 595.28 841.89] +/CropBox [0 0 595.28 841.89] +/BleedBox [0 0 595.28 841.89] +/TrimBox [0 0 595.28 841.89] +/ArtBox [0 0 595.28 841.89] +/Contents 4 0 R +/Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] +>> +>> +endobj +6 0 obj +<< /Length 155 +>> +stream +q +/DeviceRGB cs +0.6 0.6 0.6 scn +/DeviceRGB CS +0.6 0.6 0.6 SCN + +BT +437.15 361.6965 Td +/F1.0 27 Tf +<48617a61726433> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +Q + +endstream +endobj +7 0 obj +<< /Type /Page +/Parent 3 0 R +/MediaBox [0 0 595.28 841.89] +/CropBox [0 0 595.28 841.89] +/BleedBox [0 0 595.28 841.89] +/TrimBox [0 0 595.28 841.89] +/ArtBox [0 0 595.28 841.89] +/Contents 6 0 R +/Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] +/Font << /F1.0 8 0 R +>> +>> +>> +endobj +8 0 obj +<< /Type /Font +/BaseFont /31443e+NotoSerif +/Subtype /TrueType +/FontDescriptor 136 0 R +/FirstChar 32 +/LastChar 255 +/Widths 138 0 R +/ToUnicode 137 0 R +>> +endobj +9 0 obj +<< /Length 14097 +>> +stream +q +/DeviceRGB cs +0.2 0.2 0.2 scn +/DeviceRGB CS +0.2 0.2 0.2 SCN + +BT +48.24 782.394 Td +/F2.0 22 Tf +[<54> 29.7852 <61626c65206f6620436f6e74656e7473>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 751.856 Td +/F1.0 10.5 Tf +<312e20496e74726f64756374696f6e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6627 0.6627 0.6627 scn +0.6627 0.6627 0.6627 SCN + +BT +123.6196 751.856 Td +/F1.0 10.5 Tf +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +BT +540.4906 751.856 Td +/F1.0 2.625 Tf + Tj +ET + +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +541.1705 751.856 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 733.376 Td +/F1.0 10.5 Tf +<322e20496e737472756374696f6e204379636c6520436f756e7473> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6627 0.6627 0.6627 scn +0.6627 0.6627 0.6627 SCN + +BT +182.4091 733.376 Td +/F1.0 10.5 Tf +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +BT +540.4906 733.376 Td +/F1.0 2.625 Tf + Tj +ET + +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +541.1705 733.376 Td +/F1.0 10.5 Tf +<32> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +60.24 714.896 Td +/F1.0 10.5 Tf +[<322e312e2052> 29.7852 <56333249>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6627 0.6627 0.6627 scn +0.6627 0.6627 0.6627 SCN + +BT +112.9306 714.896 Td +/F1.0 10.5 Tf +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +BT +540.4906 714.896 Td +/F1.0 2.625 Tf + Tj +ET + +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +541.1705 714.896 Td +/F1.0 10.5 Tf +<32> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +60.24 696.416 Td +/F1.0 10.5 Tf +<322e322e204d20457874656e73696f6e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6627 0.6627 0.6627 scn +0.6627 0.6627 0.6627 SCN + +BT +144.9976 696.416 Td +/F1.0 10.5 Tf +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +BT +540.4906 696.416 Td +/F1.0 2.625 Tf + Tj +ET + +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +541.1705 696.416 Td +/F1.0 10.5 Tf +<33> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +60.24 677.936 Td +/F1.0 10.5 Tf +<322e332e204320457874656e73696f6e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6627 0.6627 0.6627 scn +0.6627 0.6627 0.6627 SCN + +BT +139.6531 677.936 Td +/F1.0 10.5 Tf +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +BT +540.4906 677.936 Td +/F1.0 2.625 Tf + Tj +ET + +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +541.1705 677.936 Td +/F1.0 10.5 Tf +<34> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +60.24 659.456 Td +/F1.0 10.5 Tf +<322e342e2050726976696c6567656420496e737472756374696f6e732028696e636c7564696e67205a6963737229> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6627 0.6627 0.6627 scn +0.6627 0.6627 0.6627 SCN + +BT +278.6101 659.456 Td +/F1.0 10.5 Tf +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +BT +540.4906 659.456 Td +/F1.0 2.625 Tf + Tj +ET + +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +541.1705 659.456 Td +/F1.0 10.5 Tf +<34> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 640.976 Td +/F1.0 10.5 Tf +<332e2043535273> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6627 0.6627 0.6627 scn +0.6627 0.6627 0.6627 SCN + +BT +86.2081 640.976 Td +/F1.0 10.5 Tf +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +BT +540.4906 640.976 Td +/F1.0 2.625 Tf + Tj +ET + +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +541.1705 640.976 Td +/F1.0 10.5 Tf +<35> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +60.24 622.496 Td +/F1.0 10.5 Tf +<332e312e205374616e646172642043535273> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6627 0.6627 0.6627 scn +0.6627 0.6627 0.6627 SCN + +BT +155.6866 622.496 Td +/F1.0 10.5 Tf +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +BT +540.4906 622.496 Td +/F1.0 2.625 Tf + Tj +ET + +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +541.1705 622.496 Td +/F1.0 10.5 Tf +<35> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +72.24 604.016 Td +/F1.0 10.5 Tf +<332e312e312e206d76656e646f726964> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6627 0.6627 0.6627 scn +0.6627 0.6627 0.6627 SCN + +BT +161.0311 604.016 Td +/F1.0 10.5 Tf +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +BT +540.4906 604.016 Td +/F1.0 2.625 Tf + Tj +ET + +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +541.1705 604.016 Td +/F1.0 10.5 Tf +<35> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +72.24 585.536 Td +/F1.0 10.5 Tf +<332e312e322e206d617263686964> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6627 0.6627 0.6627 scn +0.6627 0.6627 0.6627 SCN + +BT +144.9976 585.536 Td +/F1.0 10.5 Tf +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +BT +540.4906 585.536 Td +/F1.0 2.625 Tf + Tj +ET + +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +541.1705 585.536 Td +/F1.0 10.5 Tf +<35> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +72.24 567.056 Td +/F1.0 10.5 Tf +<332e312e332e206d696d706964> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6627 0.6627 0.6627 scn +0.6627 0.6627 0.6627 SCN + +BT +144.9976 567.056 Td +/F1.0 10.5 Tf +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +BT +540.4906 567.056 Td +/F1.0 2.625 Tf + Tj +ET + +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +541.1705 567.056 Td +/F1.0 10.5 Tf +<35> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +72.24 548.576 Td +/F1.0 10.5 Tf +<332e312e342e206d737461747573> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6627 0.6627 0.6627 scn +0.6627 0.6627 0.6627 SCN + +BT +144.9976 548.576 Td +/F1.0 10.5 Tf +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +BT +540.4906 548.576 Td +/F1.0 2.625 Tf + Tj +ET + +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +541.1705 548.576 Td +/F1.0 10.5 Tf +<35> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +72.24 530.096 Td +/F1.0 10.5 Tf +<332e312e352e206d697361> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6627 0.6627 0.6627 scn +0.6627 0.6627 0.6627 SCN + +BT +128.9641 530.096 Td +/F1.0 10.5 Tf +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +BT +540.4906 530.096 Td +/F1.0 2.625 Tf + Tj +ET + +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +541.1705 530.096 Td +/F1.0 10.5 Tf +<35> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +60.24 511.616 Td +/F1.0 10.5 Tf +<332e322e20437573746f6d2043535273> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6627 0.6627 0.6627 scn +0.6627 0.6627 0.6627 SCN + +BT +144.9976 511.616 Td +/F1.0 10.5 Tf +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +BT +540.4906 511.616 Td +/F1.0 2.625 Tf + Tj +ET + +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +541.1705 511.616 Td +/F1.0 10.5 Tf +<35> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +72.24 493.136 Td +/F1.0 10.5 Tf +<332e322e312e206d69646372> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6627 0.6627 0.6627 scn +0.6627 0.6627 0.6627 SCN + +BT +134.3086 493.136 Td +/F1.0 10.5 Tf +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +BT +540.4906 493.136 Td +/F1.0 2.625 Tf + Tj +ET + +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +541.1705 493.136 Td +/F1.0 10.5 Tf +<35> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +72.24 474.656 Td +/F1.0 10.5 Tf +<332e322e322e206d65696530> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6627 0.6627 0.6627 scn +0.6627 0.6627 0.6627 SCN + +BT +134.3086 474.656 Td +/F1.0 10.5 Tf +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +BT +540.4906 474.656 Td +/F1.0 2.625 Tf + Tj +ET + +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +541.1705 474.656 Td +/F1.0 10.5 Tf +<36> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +72.24 456.176 Td +/F1.0 10.5 Tf +<332e322e332e206d65697030> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6627 0.6627 0.6627 scn +0.6627 0.6627 0.6627 SCN + +BT +134.3086 456.176 Td +/F1.0 10.5 Tf +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +BT +540.4906 456.176 Td +/F1.0 2.625 Tf + Tj +ET + +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +541.1705 456.176 Td +/F1.0 10.5 Tf +<36> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +72.24 437.696 Td +/F1.0 10.5 Tf +<332e322e342e206d6c6569> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6627 0.6627 0.6627 scn +0.6627 0.6627 0.6627 SCN + +BT +123.6196 437.696 Td +/F1.0 10.5 Tf +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +BT +540.4906 437.696 Td +/F1.0 2.625 Tf + Tj +ET + +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +541.1705 437.696 Td +/F1.0 10.5 Tf +<37> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +72.24 419.216 Td +/F1.0 10.5 Tf +[<332e322e352e204d61> 20.0195 <7962652d61646473>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6627 0.6627 0.6627 scn +0.6627 0.6627 0.6627 SCN + +BT +161.0311 419.216 Td +/F1.0 10.5 Tf +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +BT +540.4906 419.216 Td +/F1.0 2.625 Tf + Tj +ET + +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +541.1705 419.216 Td +/F1.0 10.5 Tf +<37> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +Q + +endstream +endobj +10 0 obj +<< /Type /Page +/Parent 3 0 R +/MediaBox [0 0 595.28 841.89] +/CropBox [0 0 595.28 841.89] +/BleedBox [0 0 595.28 841.89] +/TrimBox [0 0 595.28 841.89] +/ArtBox [0 0 595.28 841.89] +/Contents 9 0 R +/Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] +/Font << /F2.0 17 0 R +/F1.0 8 0 R +>> +>> +/Annots [72 0 R 73 0 R 74 0 R 75 0 R 76 0 R 77 0 R 78 0 R 79 0 R 80 0 R 81 0 R 82 0 R 83 0 R 84 0 R 85 0 R 86 0 R 87 0 R 88 0 R 89 0 R 90 0 R 91 0 R 92 0 R 93 0 R 94 0 R 95 0 R 96 0 R 97 0 R 98 0 R 99 0 R 100 0 R 101 0 R 102 0 R 103 0 R 104 0 R 105 0 R 106 0 R 107 0 R 108 0 R 109 0 R] +>> +endobj +11 0 obj +<< /Length 7500 +>> +stream +q +/DeviceRGB cs +0.2 0.2 0.2 scn +/DeviceRGB CS +0.2 0.2 0.2 SCN + +BT +48.24 782.394 Td +/F2.0 22 Tf +<4368617074657220312e20496e74726f64756374696f6e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 753.206 Td +/F1.0 10.5 Tf +[<48617a61726433206973206120332d737461676520524953432d562070726f636573736f722c2070726f766964696e672074686520666f6c6c6f77696e67206172636869746563747572> 20.0195 <616c20737570706f72743a>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 725.426 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 725.426 Td +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +66.24 725.426 Td +/F3.0 10.5 Tf +<5256333249> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +92.49 725.426 Td +/F1.0 10.5 Tf +<3a2033322d626974206261736520696e737472756374696f6e20736574> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 703.646 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 703.646 Td +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +66.24 703.646 Td +/F3.0 10.5 Tf +<4d> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +71.49 703.646 Td +/F1.0 10.5 Tf +<20657874656e73696f6e3a20696e7465676572206d756c7469706c792f6469766964652f6d6f64756c6f> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 681.866 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 681.866 Td +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +66.24 681.866 Td +/F3.0 10.5 Tf +<43> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +71.49 681.866 Td +/F1.0 10.5 Tf +<20657874656e73696f6e3a20636f6d7072657373656420696e737472756374696f6e73> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 660.086 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 660.086 Td +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +66.24 660.086 Td +/F3.0 10.5 Tf +<5a69637372> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +92.49 660.086 Td +/F1.0 10.5 Tf +<20657874656e73696f6e3a2043535220616363657373> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 638.306 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 638.306 Td +/F1.0 10.5 Tf +<4d2d6d6f64652070726976696c6567656420696e737472756374696f6e7320> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +226.1865 638.306 Td +/F3.0 10.5 Tf +<4543414c4c> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +252.4365 638.306 Td +/F1.0 10.5 Tf +<2c20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +257.781 638.306 Td +/F3.0 10.5 Tf +<45425245414b> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +289.281 638.306 Td +/F1.0 10.5 Tf +<2c20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +294.6255 638.306 Td +/F3.0 10.5 Tf +<4d524554> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 616.526 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 616.526 Td +/F1.0 10.5 Tf +<546865206d616368696e652d6d6f646520284d2d6d6f6465292070726976696c6567652073746174652c20616e64207374616e64617264204d2d6d6f64652043535273> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 588.746 Td +/F1.0 10.5 Tf +<54686520666f6c6c6f77696e672061726520706c616e6e656420666f722066757475726520696d706c656d656e746174696f6e3a> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 560.966 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 560.966 Td +/F1.0 10.5 Tf +<537570706f727420666f7220> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +126.489 560.966 Td +/F3.0 10.5 Tf +<574649> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +142.239 560.966 Td +/F1.0 10.5 Tf +<20696e737472756374696f6e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 539.186 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 539.186 Td +/F1.0 10.5 Tf +<446562756720737570706f7274> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 517.406 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 517.406 Td +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +66.24 517.406 Td +/F3.0 10.5 Tf +<41> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +71.49 517.406 Td +/F1.0 10.5 Tf +<20657874656e73696f6e3a2061746f6d6963206d656d6f727920616363657373> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +74.954 495.626 Td +/F1.1 10.5 Tf +<21> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +84.24 495.626 Td +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +84.24 495.626 Td +/F3.0 10.5 Tf +<4c52> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +94.74 495.626 Td +/F1.0 10.5 Tf +<2f> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +97.764 495.626 Td +/F3.0 10.5 Tf +<5343> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +108.264 495.626 Td +/F1.0 10.5 Tf +<2066756c6c7920737570706f72746564> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +74.954 473.846 Td +/F1.1 10.5 Tf +<21> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +2.0442 Tw + +BT +84.24 473.846 Td +/F1.0 10.5 Tf +<414d4f4e6f6e6520504d41206f6e20616c6c206f66206d656d6f72792028414d4f7320617265206465636f6465642062757420756e636f6e646974696f6e616c6c79207472696767657220616363657373> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +84.24 458.066 Td +/F1.0 10.5 Tf +<6661756c7420776974686f757420617474656d7074696e67206d656d6f72792061636365737329> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 436.286 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 436.286 Td +/F1.0 10.5 Tf +<536f6d65206e6f6e7374616e64617264204d2d6d6f6465204353527320666f7220696e7465727275707420636f6e74726f6c20657463> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +q +0.0 0.0 0.0 scn +0.0 0.0 0.0 SCN +1 w +0 J +0 j +[] 0 d +/Stamp1 Do +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +541.009 14.263 Td +/F1.0 9 Tf +<31> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +Q +Q + +endstream +endobj +12 0 obj +<< /Type /Page +/Parent 3 0 R +/MediaBox [0 0 595.28 841.89] +/CropBox [0 0 595.28 841.89] +/BleedBox [0 0 595.28 841.89] +/TrimBox [0 0 595.28 841.89] +/ArtBox [0 0 595.28 841.89] +/Contents 11 0 R +/Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] +/Font << /F2.0 17 0 R +/F1.0 8 0 R +/F3.0 18 0 R +/F1.1 19 0 R +>> +/XObject << /Stamp1 133 0 R +>> +>> +>> +endobj +13 0 obj +[12 0 R /XYZ 0 841.89 null] +endobj +14 0 obj +<< /Type /Names +/Dests 15 0 R +>> +endobj +15 0 obj +<< /Kids [64 0 R 65 0 R] +>> +endobj +16 0 obj +[12 0 R /XYZ 0 841.89 null] +endobj +17 0 obj +<< /Type /Font +/BaseFont /3eef52+NotoSerif-Bold +/Subtype /TrueType +/FontDescriptor 140 0 R +/FirstChar 32 +/LastChar 255 +/Widths 142 0 R +/ToUnicode 141 0 R +>> +endobj +18 0 obj +<< /Type /Font +/BaseFont /70aa41+mplus1mn-regular +/Subtype /TrueType +/FontDescriptor 144 0 R +/FirstChar 32 +/LastChar 255 +/Widths 146 0 R +/ToUnicode 145 0 R +>> +endobj +19 0 obj +<< /Type /Font +/BaseFont /a68193+NotoSerif +/Subtype /TrueType +/FontDescriptor 148 0 R +/FirstChar 32 +/LastChar 255 +/Widths 150 0 R +/ToUnicode 149 0 R +>> +endobj +20 0 obj +<< /Length 41760 +>> +stream +q +/DeviceRGB cs +0.2 0.2 0.2 scn +/DeviceRGB CS +0.2 0.2 0.2 SCN + +BT +48.24 782.394 Td +/F2.0 22 Tf +<4368617074657220322e20496e737472756374696f6e204379636c6520436f756e7473> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.735 Tw + +BT +48.24 753.206 Td +/F1.0 10.5 Tf +<416c6c2074696d696e67732061726520676976656e20617373756d696e67207065726665637420627573206265686176696f757220286e6f207374616c6c73292e205374616c6c696e67206f662074686520> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +1.735 Tw + +BT +465.8363 753.206 Td +/F3.0 10.5 Tf +<49> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.735 Tw + +BT +471.0863 753.206 Td +/F1.0 10.5 Tf +[<206275732063616e2064656c61> 20.0195 <79>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 737.426 Td +/F1.0 10.5 Tf +[<657865637574696f6e20696e646566696e6974656c79> 89.8438 <2c2061732063616e207374616c6c696e67206f662074686520>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +266.9671 737.426 Td +/F3.0 10.5 Tf +<44> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +272.2171 737.426 Td +/F1.0 10.5 Tf +<2062757320647572696e672061206c6f6164206f722073746f72652e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 697.586 Td +/F2.0 18 Tf +[<322e312e2052> 29.7852 <56333249>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 659.75 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 659.75 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 659.75 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 637.97 498.8 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 637.97 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 637.97 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 616.19 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 616.19 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 616.19 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 594.41 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 594.41 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 594.41 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 572.63 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 572.63 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 572.63 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 550.85 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 550.85 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 550.85 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 529.07 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 529.07 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 529.07 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 507.29 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 507.29 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 507.29 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 485.51 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 485.51 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 485.51 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 463.73 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 463.73 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 463.73 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 441.95 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 441.95 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 441.95 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 420.17 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 420.17 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 420.17 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 398.39 498.8 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 398.39 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 398.39 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 376.61 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 376.61 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 376.61 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 354.83 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 354.83 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 354.83 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 333.05 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 333.05 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 333.05 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 311.27 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 311.27 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 311.27 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 289.49 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 289.49 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 289.49 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 267.71 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 267.71 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 267.71 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 245.93 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 245.93 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 245.93 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 224.15 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 224.15 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 224.15 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 202.37 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 202.37 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 202.37 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 180.59 498.8 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 180.59 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 180.59 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 158.81 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 158.81 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 158.81 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 137.03 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 137.03 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 137.03 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 115.25 498.8 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 115.25 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 115.25 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 93.47 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 93.47 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 93.47 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 71.69 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 71.69 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 71.69 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 49.91 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 49.91 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 49.91 285.7017 21.78 re +f +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 681.53 m +186.8346 681.53 l +S +[] 0 d +1.25 w +0.8667 0.8667 0.8667 SCN +48.24 659.75 m +186.8346 659.75 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 681.78 m +48.24 659.125 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 681.78 m +186.8346 659.125 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +51.24 666.566 Td +/F2.0 10.5 Tf +<496e737472756374696f6e> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 681.53 m +261.3383 681.53 l +S +[] 0 d +1.25 w +0.8667 0.8667 0.8667 SCN +186.8346 659.75 m +261.3383 659.75 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 681.78 m +186.8346 659.125 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 681.78 m +261.3383 659.125 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 666.566 Td +/F2.0 10.5 Tf +<4379636c6573> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 681.53 m +547.04 681.53 l +S +[] 0 d +1.25 w +0.8667 0.8667 0.8667 SCN +261.3383 659.75 m +547.04 659.75 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 681.78 m +261.3383 659.125 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 681.78 m +547.04 659.125 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +264.3383 666.566 Td +/F2.0 10.5 Tf +<4e6f7465> Tj +ET + +0.0 0.0 0.0 scn +1.25 w +0.8667 0.8667 0.8667 SCN +48.24 659.75 m +547.04 659.75 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 637.97 m +547.04 637.97 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 660.375 m +48.24 637.72 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 660.375 m +547.04 637.72 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +51.24 644.786 Td +/F1.0 10.5 Tf +<496e74656765722052656769737465722d7265676973746572> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 637.97 m +186.8346 637.97 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 616.19 m +186.8346 616.19 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 638.22 m +48.24 615.94 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 638.22 m +186.8346 615.94 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 625.19 Td +/F3.0 10.5 Tf +<6164642072642c207273312c20727332> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 637.97 m +261.3383 637.97 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 616.19 m +261.3383 616.19 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 638.22 m +186.8346 615.94 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 638.22 m +261.3383 615.94 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 623.006 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 637.97 m +547.04 637.97 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 616.19 m +547.04 616.19 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 638.22 m +261.3383 615.94 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 638.22 m +547.04 615.94 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 616.19 m +186.8346 616.19 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 594.41 m +186.8346 594.41 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 616.44 m +48.24 594.16 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 616.44 m +186.8346 594.16 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 603.41 Td +/F3.0 10.5 Tf +<7375622072642c207273312c20727332> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 616.19 m +261.3383 616.19 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 594.41 m +261.3383 594.41 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 616.44 m +186.8346 594.16 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 616.44 m +261.3383 594.16 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 601.226 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 616.19 m +547.04 616.19 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 594.41 m +547.04 594.41 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 616.44 m +261.3383 594.16 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 616.44 m +547.04 594.16 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 594.41 m +186.8346 594.41 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 572.63 m +186.8346 572.63 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 594.66 m +48.24 572.38 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 594.66 m +186.8346 572.38 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 581.63 Td +/F3.0 10.5 Tf +<736c742072642c207273312c20727332> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 594.41 m +261.3383 594.41 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 572.63 m +261.3383 572.63 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 594.66 m +186.8346 572.38 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 594.66 m +261.3383 572.38 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 579.446 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 594.41 m +547.04 594.41 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 572.63 m +547.04 572.63 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 594.66 m +261.3383 572.38 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 594.66 m +547.04 572.38 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 572.63 m +186.8346 572.63 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 550.85 m +186.8346 550.85 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 572.88 m +48.24 550.6 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 572.88 m +186.8346 550.6 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 559.85 Td +/F3.0 10.5 Tf +<736c74752072642c207273312c20727332> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 572.63 m +261.3383 572.63 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 550.85 m +261.3383 550.85 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 572.88 m +186.8346 550.6 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 572.88 m +261.3383 550.6 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 557.666 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 572.63 m +547.04 572.63 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 550.85 m +547.04 550.85 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 572.88 m +261.3383 550.6 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 572.88 m +547.04 550.6 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 550.85 m +186.8346 550.85 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 529.07 m +186.8346 529.07 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 551.1 m +48.24 528.82 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 551.1 m +186.8346 528.82 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 538.07 Td +/F3.0 10.5 Tf +<616e642072642c207273312c20727332> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 550.85 m +261.3383 550.85 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 529.07 m +261.3383 529.07 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 551.1 m +186.8346 528.82 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 551.1 m +261.3383 528.82 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 535.886 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 550.85 m +547.04 550.85 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 529.07 m +547.04 529.07 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 551.1 m +261.3383 528.82 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 551.1 m +547.04 528.82 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 529.07 m +186.8346 529.07 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 507.29 m +186.8346 507.29 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 529.32 m +48.24 507.04 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 529.32 m +186.8346 507.04 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 516.29 Td +/F3.0 10.5 Tf +<6f722072642c207273312c20727332> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 529.07 m +261.3383 529.07 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 507.29 m +261.3383 507.29 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 529.32 m +186.8346 507.04 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 529.32 m +261.3383 507.04 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 514.106 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 529.07 m +547.04 529.07 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 507.29 m +547.04 507.29 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 529.32 m +261.3383 507.04 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 529.32 m +547.04 507.04 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 507.29 m +186.8346 507.29 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 485.51 m +186.8346 485.51 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 507.54 m +48.24 485.26 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 507.54 m +186.8346 485.26 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 494.51 Td +/F3.0 10.5 Tf +<786f722072642c207273312c20727332> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 507.29 m +261.3383 507.29 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 485.51 m +261.3383 485.51 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 507.54 m +186.8346 485.26 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 507.54 m +261.3383 485.26 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 492.326 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 507.29 m +547.04 507.29 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 485.51 m +547.04 485.51 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 507.54 m +261.3383 485.26 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 507.54 m +547.04 485.26 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 485.51 m +186.8346 485.51 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 463.73 m +186.8346 463.73 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 485.76 m +48.24 463.48 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 485.76 m +186.8346 463.48 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 472.73 Td +/F3.0 10.5 Tf +<736c6c2072642c207273312c20727332> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 485.51 m +261.3383 485.51 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 463.73 m +261.3383 463.73 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 485.76 m +186.8346 463.48 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 485.76 m +261.3383 463.48 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 470.546 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 485.51 m +547.04 485.51 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 463.73 m +547.04 463.73 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 485.76 m +261.3383 463.48 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 485.76 m +547.04 463.48 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 463.73 m +186.8346 463.73 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 441.95 m +186.8346 441.95 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 463.98 m +48.24 441.7 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 463.98 m +186.8346 441.7 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 450.95 Td +/F3.0 10.5 Tf +<73726c2072642c207273312c20727332> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 463.73 m +261.3383 463.73 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 441.95 m +261.3383 441.95 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 463.98 m +186.8346 441.7 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 463.98 m +261.3383 441.7 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 448.766 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 463.73 m +547.04 463.73 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 441.95 m +547.04 441.95 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 463.98 m +261.3383 441.7 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 463.98 m +547.04 441.7 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 441.95 m +186.8346 441.95 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 420.17 m +186.8346 420.17 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 442.2 m +48.24 419.92 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 442.2 m +186.8346 419.92 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 429.17 Td +/F3.0 10.5 Tf +<7372612072642c207273312c20727332> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 441.95 m +261.3383 441.95 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 420.17 m +261.3383 420.17 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 442.2 m +186.8346 419.92 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 442.2 m +261.3383 419.92 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 426.986 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 441.95 m +547.04 441.95 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 420.17 m +547.04 420.17 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 442.2 m +261.3383 419.92 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 442.2 m +547.04 419.92 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 420.17 m +547.04 420.17 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 398.39 m +547.04 398.39 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 420.42 m +48.24 398.14 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 420.42 m +547.04 398.14 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +51.24 405.206 Td +/F1.0 10.5 Tf +<496e74656765722052656769737465722d696d6d656469617465> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 398.39 m +186.8346 398.39 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 376.61 m +186.8346 376.61 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 398.64 m +48.24 376.36 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 398.64 m +186.8346 376.36 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 385.61 Td +/F3.0 10.5 Tf +<616464692072642c207273312c20696d6d> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 398.39 m +261.3383 398.39 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 376.61 m +261.3383 376.61 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 398.64 m +186.8346 376.36 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 398.64 m +261.3383 376.36 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 383.426 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 398.39 m +547.04 398.39 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 376.61 m +547.04 376.61 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 398.64 m +261.3383 376.36 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 398.64 m +547.04 376.36 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +264.3383 383.426 Td +/F3.0 10.5 Tf +<6e6f70> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +280.0883 383.426 Td +/F1.0 10.5 Tf +<20697320612070736575646f2d6f7020666f7220> Tj +ET + +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +374.2418 383.426 Td +/F3.0 10.5 Tf +<616464692078302c2078302c2030> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 376.61 m +186.8346 376.61 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 354.83 m +186.8346 354.83 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 376.86 m +48.24 354.58 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 376.86 m +186.8346 354.58 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 363.83 Td +/F3.0 10.5 Tf +<736c74692072642c207273312c20696d6d> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 376.61 m +261.3383 376.61 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 354.83 m +261.3383 354.83 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 376.86 m +186.8346 354.58 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 376.86 m +261.3383 354.58 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 361.646 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 376.61 m +547.04 376.61 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 354.83 m +547.04 354.83 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 376.86 m +261.3383 354.58 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 376.86 m +547.04 354.58 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 354.83 m +186.8346 354.83 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 333.05 m +186.8346 333.05 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 355.08 m +48.24 332.8 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 355.08 m +186.8346 332.8 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 342.05 Td +/F3.0 10.5 Tf +<736c7469752072642c207273312c20696d6d> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 354.83 m +261.3383 354.83 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 333.05 m +261.3383 333.05 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 355.08 m +186.8346 332.8 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 355.08 m +261.3383 332.8 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 339.866 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 354.83 m +547.04 354.83 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 333.05 m +547.04 333.05 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 355.08 m +261.3383 332.8 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 355.08 m +547.04 332.8 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 333.05 m +186.8346 333.05 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 311.27 m +186.8346 311.27 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 333.3 m +48.24 311.02 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 333.3 m +186.8346 311.02 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 320.27 Td +/F3.0 10.5 Tf +<616e64692072642c207273312c20696d6d> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 333.05 m +261.3383 333.05 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 311.27 m +261.3383 311.27 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 333.3 m +186.8346 311.02 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 333.3 m +261.3383 311.02 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 318.086 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 333.05 m +547.04 333.05 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 311.27 m +547.04 311.27 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 333.3 m +261.3383 311.02 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 333.3 m +547.04 311.02 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 311.27 m +186.8346 311.27 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 289.49 m +186.8346 289.49 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 311.52 m +48.24 289.24 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 311.52 m +186.8346 289.24 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 298.49 Td +/F3.0 10.5 Tf +<6f72692072642c207273312c20696d6d> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 311.27 m +261.3383 311.27 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 289.49 m +261.3383 289.49 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 311.52 m +186.8346 289.24 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 311.52 m +261.3383 289.24 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 296.306 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 311.27 m +547.04 311.27 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 289.49 m +547.04 289.49 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 311.52 m +261.3383 289.24 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 311.52 m +547.04 289.24 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 289.49 m +186.8346 289.49 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 267.71 m +186.8346 267.71 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 289.74 m +48.24 267.46 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 289.74 m +186.8346 267.46 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 276.71 Td +/F3.0 10.5 Tf +<786f72692072642c207273312c20696d6d> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 289.49 m +261.3383 289.49 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 267.71 m +261.3383 267.71 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 289.74 m +186.8346 267.46 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 289.74 m +261.3383 267.46 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 274.526 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 289.49 m +547.04 289.49 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 267.71 m +547.04 267.71 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 289.74 m +261.3383 267.46 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 289.74 m +547.04 267.46 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 267.71 m +186.8346 267.71 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 245.93 m +186.8346 245.93 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 267.96 m +48.24 245.68 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 267.96 m +186.8346 245.68 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 254.93 Td +/F3.0 10.5 Tf +<736c6c692072642c207273312c20696d6d> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 267.71 m +261.3383 267.71 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 245.93 m +261.3383 245.93 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 267.96 m +186.8346 245.68 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 267.96 m +261.3383 245.68 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 252.746 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 267.71 m +547.04 267.71 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 245.93 m +547.04 245.93 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 267.96 m +261.3383 245.68 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 267.96 m +547.04 245.68 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 245.93 m +186.8346 245.93 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 224.15 m +186.8346 224.15 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 246.18 m +48.24 223.9 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 246.18 m +186.8346 223.9 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 233.15 Td +/F3.0 10.5 Tf +<73726c692072642c207273312c20696d6d> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 245.93 m +261.3383 245.93 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 224.15 m +261.3383 224.15 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 246.18 m +186.8346 223.9 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 246.18 m +261.3383 223.9 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 230.966 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 245.93 m +547.04 245.93 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 224.15 m +547.04 224.15 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 246.18 m +261.3383 223.9 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 246.18 m +547.04 223.9 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 224.15 m +186.8346 224.15 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 202.37 m +186.8346 202.37 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 224.4 m +48.24 202.12 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 224.4 m +186.8346 202.12 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 211.37 Td +/F3.0 10.5 Tf +<737261692072642c207273312c20696d6d> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 224.15 m +261.3383 224.15 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 202.37 m +261.3383 202.37 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 224.4 m +186.8346 202.12 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 224.4 m +261.3383 202.12 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 209.186 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 224.15 m +547.04 224.15 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 202.37 m +547.04 202.37 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 224.4 m +261.3383 202.12 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 224.4 m +547.04 202.12 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 202.37 m +547.04 202.37 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 180.59 m +547.04 180.59 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 202.62 m +48.24 180.34 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 202.62 m +547.04 180.34 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +51.24 187.406 Td +/F1.0 10.5 Tf +<4c6172676520496d6d656469617465> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 180.59 m +186.8346 180.59 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 158.81 m +186.8346 158.81 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 180.84 m +48.24 158.56 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 180.84 m +186.8346 158.56 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 167.81 Td +/F3.0 10.5 Tf +<6c75692072642c20696d6d> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 180.59 m +261.3383 180.59 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 158.81 m +261.3383 158.81 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 180.84 m +186.8346 158.56 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 180.84 m +261.3383 158.56 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 165.626 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 180.59 m +547.04 180.59 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 158.81 m +547.04 158.81 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 180.84 m +261.3383 158.56 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 180.84 m +547.04 158.56 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 158.81 m +186.8346 158.81 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 137.03 m +186.8346 137.03 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 159.06 m +48.24 136.78 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 159.06 m +186.8346 136.78 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 146.03 Td +/F3.0 10.5 Tf +<61756970632072642c20696d6d> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 158.81 m +261.3383 158.81 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 137.03 m +261.3383 137.03 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 159.06 m +186.8346 136.78 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 159.06 m +261.3383 136.78 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 143.846 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 158.81 m +547.04 158.81 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 137.03 m +547.04 137.03 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 159.06 m +261.3383 136.78 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 159.06 m +547.04 136.78 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 137.03 m +547.04 137.03 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 115.25 m +547.04 115.25 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 137.28 m +48.24 115.0 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 137.28 m +547.04 115.0 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +51.24 122.066 Td +/F1.0 10.5 Tf +[<436f6e74726f6c205472> 20.0195 <616e73666572>] TJ +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 115.25 m +186.8346 115.25 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 93.47 m +186.8346 93.47 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 115.5 m +48.24 93.22 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 115.5 m +186.8346 93.22 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 102.47 Td +/F3.0 10.5 Tf +<6a616c2072642c206c6162656c> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 115.25 m +261.3383 115.25 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 93.47 m +261.3383 93.47 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 115.5 m +186.8346 93.22 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 115.5 m +261.3383 93.22 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 100.286 Td +/F1.0 10.5 Tf +<32> Tj +ET + + +BT +195.7041 100.286 Td +ET + + +BT +195.7041 105.8431 Td +/F1.0 6.121499999999999 Tf +<5b> Tj +ET + +0.2588 0.5451 0.7922 scn +0.2588 0.5451 0.7922 SCN + +BT +197.9017 105.8431 Td +/F1.0 6.121499999999999 Tf +<31> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +201.3237 105.8431 Td +/F1.0 6.121499999999999 Tf +<5d> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 115.25 m +547.04 115.25 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 93.47 m +547.04 93.47 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 115.5 m +261.3383 93.22 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 115.5 m +547.04 93.22 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 93.47 m +186.8346 93.47 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 71.69 m +186.8346 71.69 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 93.72 m +48.24 71.44 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 93.72 m +186.8346 71.44 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 80.69 Td +/F3.0 10.5 Tf +<6a616c722072642c207273312c20696d6d> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 93.47 m +261.3383 93.47 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 71.69 m +261.3383 71.69 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 93.72 m +186.8346 71.44 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 93.72 m +261.3383 71.44 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 78.506 Td +/F1.0 10.5 Tf +<32> Tj +ET + + +BT +195.7041 84.0631 Td +/F1.0 6.121499999999999 Tf +<5b> Tj +ET + +0.2588 0.5451 0.7922 scn +0.2588 0.5451 0.7922 SCN + +BT +197.9017 84.0631 Td +/F1.0 6.121499999999999 Tf +<31> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +201.3237 84.0631 Td +/F1.0 6.121499999999999 Tf +<5d> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 93.47 m +547.04 93.47 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 71.69 m +547.04 71.69 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 93.72 m +261.3383 71.44 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 93.72 m +547.04 71.44 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 71.69 m +186.8346 71.69 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 49.91 m +186.8346 49.91 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 71.94 m +48.24 49.66 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 71.94 m +186.8346 49.66 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 58.91 Td +/F3.0 10.5 Tf +<626571207273312c207273322c206c6162656c> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 71.69 m +261.3383 71.69 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 49.91 m +261.3383 49.91 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 71.94 m +186.8346 49.66 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 71.94 m +261.3383 49.66 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 56.726 Td +/F1.0 10.5 Tf +<31206f722032> Tj +ET + + +BT +218.0166 62.2831 Td +/F1.0 6.121499999999999 Tf +<5b> Tj +ET + +0.2588 0.5451 0.7922 scn +0.2588 0.5451 0.7922 SCN + +BT +220.2142 62.2831 Td +/F1.0 6.121499999999999 Tf +<31> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +223.6362 62.2831 Td +/F1.0 6.121499999999999 Tf +<5d> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 71.69 m +547.04 71.69 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 49.91 m +547.04 49.91 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 71.94 m +261.3383 49.66 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 71.94 m +547.04 49.66 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +264.3383 56.726 Td +/F1.0 10.5 Tf +[<31206966206e6f6e74616b> 20.0195 <656e2c20322069662074616b> 20.0195 <656e2e>] TJ +ET + +0.0 0.0 0.0 scn +q +0.0 0.0 0.0 scn +0.0 0.0 0.0 SCN +1 w +0 J +0 j +[] 0 d +/Stamp2 Do +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +49.24 14.263 Td +/F1.0 9 Tf +<32> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +Q +Q + +endstream +endobj +21 0 obj +<< /Type /Page +/Parent 3 0 R +/MediaBox [0 0 595.28 841.89] +/CropBox [0 0 595.28 841.89] +/BleedBox [0 0 595.28 841.89] +/TrimBox [0 0 595.28 841.89] +/ArtBox [0 0 595.28 841.89] +/Contents 20 0 R +/Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] +/Font << /F2.0 17 0 R +/F1.0 8 0 R +/F3.0 18 0 R +>> +/XObject << /Stamp2 134 0 R +>> +>> +/Annots [25 0 R 26 0 R 27 0 R] +>> +endobj +22 0 obj +[21 0 R /XYZ 0 841.89 null] +endobj +23 0 obj +[21 0 R /XYZ 0 721.61 null] +endobj +24 0 obj +[21 0 R /XYZ 195.7041 111.5 null] +endobj +25 0 obj +<< /Border [0 0 0] +/Dest (_footnotedef_1) +/Subtype /Link +/Rect [197.9017 104.0556 201.3237 112.3809] +/Type /Annot +>> +endobj +26 0 obj +<< /Border [0 0 0] +/Dest (_footnotedef_1) +/Subtype /Link +/Rect [197.9017 82.2756 201.3237 90.6009] +/Type /Annot +>> +endobj +27 0 obj +<< /Border [0 0 0] +/Dest (_footnotedef_1) +/Subtype /Link +/Rect [220.2142 60.4956 223.6362 68.8209] +/Type /Annot +>> +endobj +28 0 obj +<< /Length 42870 +>> +stream +q +/DeviceRGB cs +1.0 1.0 1.0 scn +48.24 784.11 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 784.11 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 784.11 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 762.33 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 762.33 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 762.33 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 740.55 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 740.55 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 740.55 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 718.77 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 718.77 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 718.77 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 696.99 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 696.99 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 696.99 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 675.21 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 675.21 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 675.21 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 653.43 498.8 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 653.43 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 653.43 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 631.65 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 631.65 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 631.65 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 609.87 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 609.87 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 609.87 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 588.09 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 588.09 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 588.09 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 566.31 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 566.31 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 566.31 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 544.53 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 544.53 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 544.53 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 522.75 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 522.75 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 522.75 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 500.97 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 500.97 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 500.97 285.7017 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 479.19 138.5946 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +186.8346 479.19 74.5037 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +261.3383 479.19 285.7017 21.78 re +f +0.0 0.0 0.0 scn +0.5 w +/DeviceRGB CS +0.8667 0.8667 0.8667 SCN +48.24 805.89 m +186.8346 805.89 l +S +[] 0 d +1.25 w +0.8667 0.8667 0.8667 SCN +48.24 784.11 m +186.8346 784.11 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 806.14 m +48.24 783.485 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 806.14 m +186.8346 783.485 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +51.24 790.926 Td +/F2.0 10.5 Tf +<496e737472756374696f6e> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 805.89 m +261.3383 805.89 l +S +[] 0 d +1.25 w +0.8667 0.8667 0.8667 SCN +186.8346 784.11 m +261.3383 784.11 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 806.14 m +186.8346 783.485 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 806.14 m +261.3383 783.485 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 790.926 Td +/F2.0 10.5 Tf +<4379636c6573> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 805.89 m +547.04 805.89 l +S +[] 0 d +1.25 w +0.8667 0.8667 0.8667 SCN +261.3383 784.11 m +547.04 784.11 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 806.14 m +261.3383 783.485 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 806.14 m +547.04 783.485 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +264.3383 790.926 Td +/F2.0 10.5 Tf +<4e6f7465> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 784.11 m +186.8346 784.11 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 762.33 m +186.8346 762.33 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 784.36 m +48.24 762.08 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 784.36 m +186.8346 762.08 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 771.33 Td +/F3.0 10.5 Tf +<626e65207273312c207273322c206c6162656c> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 784.11 m +261.3383 784.11 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 762.33 m +261.3383 762.33 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 784.36 m +186.8346 762.08 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 784.36 m +261.3383 762.08 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 769.146 Td +/F1.0 10.5 Tf +<31206f722032> Tj +ET + + +BT +218.0166 774.7031 Td +/F1.0 6.121499999999999 Tf +<5b> Tj +ET + +0.2588 0.5451 0.7922 scn +0.2588 0.5451 0.7922 SCN + +BT +220.2142 774.7031 Td +/F1.0 6.121499999999999 Tf +<31> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +223.6362 774.7031 Td +/F1.0 6.121499999999999 Tf +<5d> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 784.11 m +547.04 784.11 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 762.33 m +547.04 762.33 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 784.36 m +261.3383 762.08 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 784.36 m +547.04 762.08 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +264.3383 769.146 Td +/F1.0 10.5 Tf +[<31206966206e6f6e74616b> 20.0195 <656e2c20322069662074616b> 20.0195 <656e2e>] TJ +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 762.33 m +186.8346 762.33 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 740.55 m +186.8346 740.55 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 762.58 m +48.24 740.3 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 762.58 m +186.8346 740.3 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 749.55 Td +/F3.0 10.5 Tf +<626c74207273312c207273322c206c6162656c> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 762.33 m +261.3383 762.33 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 740.55 m +261.3383 740.55 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 762.58 m +186.8346 740.3 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 762.58 m +261.3383 740.3 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 747.366 Td +/F1.0 10.5 Tf +<31206f722032> Tj +ET + + +BT +218.0166 752.9231 Td +/F1.0 6.121499999999999 Tf +<5b> Tj +ET + +0.2588 0.5451 0.7922 scn +0.2588 0.5451 0.7922 SCN + +BT +220.2142 752.9231 Td +/F1.0 6.121499999999999 Tf +<31> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +223.6362 752.9231 Td +/F1.0 6.121499999999999 Tf +<5d> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 762.33 m +547.04 762.33 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 740.55 m +547.04 740.55 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 762.58 m +261.3383 740.3 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 762.58 m +547.04 740.3 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +264.3383 747.366 Td +/F1.0 10.5 Tf +[<31206966206e6f6e74616b> 20.0195 <656e2c20322069662074616b> 20.0195 <656e2e>] TJ +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 740.55 m +186.8346 740.55 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 718.77 m +186.8346 718.77 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 740.8 m +48.24 718.52 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 740.8 m +186.8346 718.52 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 727.77 Td +/F3.0 10.5 Tf +<626765207273312c207273322c206c6162656c> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 740.55 m +261.3383 740.55 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 718.77 m +261.3383 718.77 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 740.8 m +186.8346 718.52 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 740.8 m +261.3383 718.52 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 725.586 Td +/F1.0 10.5 Tf +<31206f722032> Tj +ET + + +BT +218.0166 731.1431 Td +/F1.0 6.121499999999999 Tf +<5b> Tj +ET + +0.2588 0.5451 0.7922 scn +0.2588 0.5451 0.7922 SCN + +BT +220.2142 731.1431 Td +/F1.0 6.121499999999999 Tf +<31> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +223.6362 731.1431 Td +/F1.0 6.121499999999999 Tf +<5d> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 740.55 m +547.04 740.55 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 718.77 m +547.04 718.77 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 740.8 m +261.3383 718.52 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 740.8 m +547.04 718.52 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +264.3383 725.586 Td +/F1.0 10.5 Tf +[<31206966206e6f6e74616b> 20.0195 <656e2c20322069662074616b> 20.0195 <656e2e>] TJ +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 718.77 m +186.8346 718.77 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 696.99 m +186.8346 696.99 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 719.02 m +48.24 696.74 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 719.02 m +186.8346 696.74 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 705.99 Td +/F3.0 10.5 Tf +<626c7475207273312c207273322c206c6162656c> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 718.77 m +261.3383 718.77 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 696.99 m +261.3383 696.99 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 719.02 m +186.8346 696.74 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 719.02 m +261.3383 696.74 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 703.806 Td +/F1.0 10.5 Tf +<31206f722032> Tj +ET + + +BT +218.0166 709.3631 Td +/F1.0 6.121499999999999 Tf +<5b> Tj +ET + +0.2588 0.5451 0.7922 scn +0.2588 0.5451 0.7922 SCN + +BT +220.2142 709.3631 Td +/F1.0 6.121499999999999 Tf +<31> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +223.6362 709.3631 Td +/F1.0 6.121499999999999 Tf +<5d> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 718.77 m +547.04 718.77 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 696.99 m +547.04 696.99 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 719.02 m +261.3383 696.74 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 719.02 m +547.04 696.74 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +264.3383 703.806 Td +/F1.0 10.5 Tf +[<31206966206e6f6e74616b> 20.0195 <656e2c20322069662074616b> 20.0195 <656e2e>] TJ +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 696.99 m +186.8346 696.99 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 675.21 m +186.8346 675.21 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 697.24 m +48.24 674.96 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 697.24 m +186.8346 674.96 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 684.21 Td +/F3.0 10.5 Tf +<62676575207273312c207273322c206c6162656c> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 696.99 m +261.3383 696.99 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 675.21 m +261.3383 675.21 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 697.24 m +186.8346 674.96 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 697.24 m +261.3383 674.96 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 682.026 Td +/F1.0 10.5 Tf +<31206f722032> Tj +ET + + +BT +218.0166 687.5831 Td +/F1.0 6.121499999999999 Tf +<5b> Tj +ET + +0.2588 0.5451 0.7922 scn +0.2588 0.5451 0.7922 SCN + +BT +220.2142 687.5831 Td +/F1.0 6.121499999999999 Tf +<31> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +223.6362 687.5831 Td +/F1.0 6.121499999999999 Tf +<5d> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 696.99 m +547.04 696.99 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 675.21 m +547.04 675.21 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 697.24 m +261.3383 674.96 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 697.24 m +547.04 674.96 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +264.3383 682.026 Td +/F1.0 10.5 Tf +[<31206966206e6f6e74616b> 20.0195 <656e2c20322069662074616b> 20.0195 <656e2e>] TJ +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 675.21 m +547.04 675.21 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 653.43 m +547.04 653.43 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 675.46 m +48.24 653.18 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 675.46 m +547.04 653.18 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +51.24 660.246 Td +/F1.0 10.5 Tf +<4c6f616420616e642053746f7265> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 653.43 m +186.8346 653.43 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 631.65 m +186.8346 631.65 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 653.68 m +48.24 631.4 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 653.68 m +186.8346 631.4 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 640.65 Td +/F3.0 10.5 Tf +<6c772072642c20696d6d2872733129> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 653.43 m +261.3383 653.43 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 631.65 m +261.3383 631.65 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 653.68 m +186.8346 631.4 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 653.68 m +261.3383 631.4 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 638.466 Td +/F1.0 10.5 Tf +<31206f722032> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 653.43 m +547.04 653.43 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 631.65 m +547.04 631.65 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 653.68 m +261.3383 631.4 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 653.68 m +547.04 631.4 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +264.3383 638.466 Td +/F1.0 10.5 Tf +<31206966206e65787420696e737472756374696f6e20697320696e646570656e64656e742c203220696620646570656e64656e742e> Tj +ET + + +BT +519.8978 638.466 Td +ET + + +BT +519.8978 644.0231 Td +/F1.0 6.121499999999999 Tf +<5b> Tj +ET + +0.2588 0.5451 0.7922 scn +0.2588 0.5451 0.7922 SCN + +BT +522.0954 644.0231 Td +/F1.0 6.121499999999999 Tf +<32> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +525.5173 644.0231 Td +/F1.0 6.121499999999999 Tf +<5d> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 631.65 m +186.8346 631.65 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 609.87 m +186.8346 609.87 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 631.9 m +48.24 609.62 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 631.9 m +186.8346 609.62 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 618.87 Td +/F3.0 10.5 Tf +<6c682072642c20696d6d2872733129> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 631.65 m +261.3383 631.65 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 609.87 m +261.3383 609.87 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 631.9 m +186.8346 609.62 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 631.9 m +261.3383 609.62 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 616.686 Td +/F1.0 10.5 Tf +<31206f722032> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 631.65 m +547.04 631.65 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 609.87 m +547.04 609.87 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 631.9 m +261.3383 609.62 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 631.9 m +547.04 609.62 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +264.3383 616.686 Td +/F1.0 10.5 Tf +<31206966206e65787420696e737472756374696f6e20697320696e646570656e64656e742c203220696620646570656e64656e742e> Tj +ET + + +BT +519.8978 622.2431 Td +/F1.0 6.121499999999999 Tf +<5b> Tj +ET + +0.2588 0.5451 0.7922 scn +0.2588 0.5451 0.7922 SCN + +BT +522.0954 622.2431 Td +/F1.0 6.121499999999999 Tf +<32> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +525.5173 622.2431 Td +/F1.0 6.121499999999999 Tf +<5d> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 609.87 m +186.8346 609.87 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 588.09 m +186.8346 588.09 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 610.12 m +48.24 587.84 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 610.12 m +186.8346 587.84 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 597.09 Td +/F3.0 10.5 Tf +<6c68752072642c20696d6d2872733129> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 609.87 m +261.3383 609.87 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 588.09 m +261.3383 588.09 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 610.12 m +186.8346 587.84 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 610.12 m +261.3383 587.84 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 594.906 Td +/F1.0 10.5 Tf +<31206f722032> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 609.87 m +547.04 609.87 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 588.09 m +547.04 588.09 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 610.12 m +261.3383 587.84 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 610.12 m +547.04 587.84 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +264.3383 594.906 Td +/F1.0 10.5 Tf +<31206966206e65787420696e737472756374696f6e20697320696e646570656e64656e742c203220696620646570656e64656e742e> Tj +ET + + +BT +519.8978 600.4631 Td +/F1.0 6.121499999999999 Tf +<5b> Tj +ET + +0.2588 0.5451 0.7922 scn +0.2588 0.5451 0.7922 SCN + +BT +522.0954 600.4631 Td +/F1.0 6.121499999999999 Tf +<32> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +525.5173 600.4631 Td +/F1.0 6.121499999999999 Tf +<5d> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 588.09 m +186.8346 588.09 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 566.31 m +186.8346 566.31 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 588.34 m +48.24 566.06 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 588.34 m +186.8346 566.06 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 575.31 Td +/F3.0 10.5 Tf +<6c622072642c20696d6d2872733129> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 588.09 m +261.3383 588.09 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 566.31 m +261.3383 566.31 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 588.34 m +186.8346 566.06 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 588.34 m +261.3383 566.06 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 573.126 Td +/F1.0 10.5 Tf +<31206f722032> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 588.09 m +547.04 588.09 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 566.31 m +547.04 566.31 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 588.34 m +261.3383 566.06 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 588.34 m +547.04 566.06 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +264.3383 573.126 Td +/F1.0 10.5 Tf +<31206966206e65787420696e737472756374696f6e20697320696e646570656e64656e742c203220696620646570656e64656e742e> Tj +ET + + +BT +519.8978 578.6831 Td +/F1.0 6.121499999999999 Tf +<5b> Tj +ET + +0.2588 0.5451 0.7922 scn +0.2588 0.5451 0.7922 SCN + +BT +522.0954 578.6831 Td +/F1.0 6.121499999999999 Tf +<32> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +525.5173 578.6831 Td +/F1.0 6.121499999999999 Tf +<5d> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 566.31 m +186.8346 566.31 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 544.53 m +186.8346 544.53 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 566.56 m +48.24 544.28 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 566.56 m +186.8346 544.28 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 553.53 Td +/F3.0 10.5 Tf +<6c62752072642c20696d6d2872733129> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 566.31 m +261.3383 566.31 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 544.53 m +261.3383 544.53 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 566.56 m +186.8346 544.28 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 566.56 m +261.3383 544.28 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 551.346 Td +/F1.0 10.5 Tf +<31206f722032> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 566.31 m +547.04 566.31 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 544.53 m +547.04 544.53 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 566.56 m +261.3383 544.28 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 566.56 m +547.04 544.28 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +264.3383 551.346 Td +/F1.0 10.5 Tf +<31206966206e65787420696e737472756374696f6e20697320696e646570656e64656e742c203220696620646570656e64656e742e> Tj +ET + + +BT +519.8978 556.9031 Td +/F1.0 6.121499999999999 Tf +<5b> Tj +ET + +0.2588 0.5451 0.7922 scn +0.2588 0.5451 0.7922 SCN + +BT +522.0954 556.9031 Td +/F1.0 6.121499999999999 Tf +<32> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +525.5173 556.9031 Td +/F1.0 6.121499999999999 Tf +<5d> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 544.53 m +186.8346 544.53 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 522.75 m +186.8346 522.75 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 544.78 m +48.24 522.5 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 544.78 m +186.8346 522.5 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 531.75 Td +/F3.0 10.5 Tf +<7377207273322c20696d6d2872733129> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 544.53 m +261.3383 544.53 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 522.75 m +261.3383 522.75 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 544.78 m +186.8346 522.5 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 544.78 m +261.3383 522.5 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 529.566 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 544.53 m +547.04 544.53 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 522.75 m +547.04 522.75 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 544.78 m +261.3383 522.5 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 544.78 m +547.04 522.5 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 522.75 m +186.8346 522.75 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 500.97 m +186.8346 500.97 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 523.0 m +48.24 500.72 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 523.0 m +186.8346 500.72 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 509.97 Td +/F3.0 10.5 Tf +<7368207273322c20696d6d2872733129> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 522.75 m +261.3383 522.75 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 500.97 m +261.3383 500.97 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 523.0 m +186.8346 500.72 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 523.0 m +261.3383 500.72 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 507.786 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 522.75 m +547.04 522.75 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 500.97 m +547.04 500.97 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 523.0 m +261.3383 500.72 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 523.0 m +547.04 500.72 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 500.97 m +186.8346 500.97 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 479.19 m +186.8346 479.19 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 501.22 m +48.24 478.94 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 501.22 m +186.8346 478.94 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 488.19 Td +/F3.0 10.5 Tf +<7362207273322c20696d6d2872733129> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 500.97 m +261.3383 500.97 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 479.19 m +261.3383 479.19 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +186.8346 501.22 m +186.8346 478.94 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 501.22 m +261.3383 478.94 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +189.8346 486.006 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 500.97 m +547.04 500.97 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 479.19 m +547.04 479.19 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +261.3383 501.22 m +261.3383 478.94 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 501.22 m +547.04 478.94 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 443.166 Td +/F2.0 18 Tf +<322e322e204d20457874656e73696f6e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.5367 Tw + +BT +48.24 415.146 Td +/F1.0 10.5 Tf +<54696d696e677320617373756d652074686520636f726520697320636f6e66696775726564207769746820> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.5367 Tw + +BT +271.8981 415.146 Td +/F3.0 10.5 Tf +<4d554c4449565f554e524f4c4c203d2032> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.5367 Tw + +BT +362.2214 415.146 Td +/F1.0 10.5 Tf +<20616e6420> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.5367 Tw + +BT +387.8437 415.146 Td +/F3.0 10.5 Tf +<4d554c5f46415354203d2031> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.5367 Tw + +BT +451.917 415.146 Td +/F1.0 10.5 Tf +<2e20492e652e207468652073657175656e7469616c> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.9109 Tw + +BT +48.24 399.366 Td +/F1.0 10.5 Tf +[<6d756c7469706c792f64697669646520636972637569742070726f6365737365732074776f206269747320706572206379636c652c20616e642061207365706172> 20.0195 <61746520646564696361746564206d756c7469706c6965722069732070726573656e74>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 383.586 Td +/F1.0 10.5 Tf +<666f722074686520> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +84.528 383.586 Td +/F3.0 10.5 Tf +<6d756c> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +100.278 383.586 Td +/F1.0 10.5 Tf +<20696e737472756374696f6e2e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 345.99 136.8006 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +185.0406 345.99 81.6981 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +266.7387 345.99 280.3013 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 324.21 498.8 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +185.0406 324.21 81.6981 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +266.7387 324.21 280.3013 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 302.43 136.8006 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +185.0406 302.43 81.6981 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +266.7387 302.43 280.3013 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 280.65 498.8 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +185.0406 280.65 81.6981 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +266.7387 280.65 280.3013 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 258.87 136.8006 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +185.0406 258.87 81.6981 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +266.7387 258.87 280.3013 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 237.09 136.8006 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +185.0406 237.09 81.6981 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +266.7387 237.09 280.3013 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 215.31 136.8006 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +185.0406 215.31 81.6981 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +266.7387 215.31 280.3013 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 193.53 498.8 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +185.0406 193.53 81.6981 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +266.7387 193.53 280.3013 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 171.75 136.8006 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +185.0406 171.75 81.6981 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +266.7387 171.75 280.3013 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 149.97 136.8006 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +185.0406 149.97 81.6981 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +266.7387 149.97 280.3013 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 128.19 136.8006 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +185.0406 128.19 81.6981 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +266.7387 128.19 280.3013 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 106.41 136.8006 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +185.0406 106.41 81.6981 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +266.7387 106.41 280.3013 21.78 re +f +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 367.77 m +185.0406 367.77 l +S +[] 0 d +1.25 w +0.8667 0.8667 0.8667 SCN +48.24 345.99 m +185.0406 345.99 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 368.02 m +48.24 345.365 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 368.02 m +185.0406 345.365 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +51.24 352.806 Td +/F2.0 10.5 Tf +<496e737472756374696f6e> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 367.77 m +266.7387 367.77 l +S +[] 0 d +1.25 w +0.8667 0.8667 0.8667 SCN +185.0406 345.99 m +266.7387 345.99 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 368.02 m +185.0406 345.365 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 368.02 m +266.7387 345.365 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +188.0406 352.806 Td +/F2.0 10.5 Tf +<4379636c6573> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 367.77 m +547.04 367.77 l +S +[] 0 d +1.25 w +0.8667 0.8667 0.8667 SCN +266.7387 345.99 m +547.04 345.99 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 368.02 m +266.7387 345.365 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 368.02 m +547.04 345.365 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +269.7387 352.806 Td +/F2.0 10.5 Tf +<4e6f7465> Tj +ET + +0.0 0.0 0.0 scn +1.25 w +0.8667 0.8667 0.8667 SCN +48.24 345.99 m +547.04 345.99 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 324.21 m +547.04 324.21 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 346.615 m +48.24 323.96 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 346.615 m +547.04 323.96 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +51.24 331.026 Td +/F1.0 10.5 Tf +<333220> Tj +/F1.1 10.5 Tf +<2220> Tj +/F1.0 10.5 Tf +<333220> Tj +/F1.1 10.5 Tf +<2320> Tj +/F1.0 10.5 Tf +<3332204d756c7469706c79> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 324.21 m +185.0406 324.21 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 302.43 m +185.0406 302.43 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 324.46 m +48.24 302.18 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 324.46 m +185.0406 302.18 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 311.43 Td +/F3.0 10.5 Tf +<6d756c2072642c207273312c20727332> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 324.21 m +266.7387 324.21 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 302.43 m +266.7387 302.43 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 324.46 m +185.0406 302.18 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 324.46 m +266.7387 302.18 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +188.0406 309.246 Td +/F1.0 10.5 Tf +<31206f722032> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 324.21 m +547.04 324.21 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 302.43 m +547.04 302.43 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 324.46 m +266.7387 302.18 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 324.46 m +547.04 302.18 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +269.7387 309.246 Td +/F1.0 10.5 Tf +<31206966206e65787420696e737472756374696f6e20697320696e646570656e64656e742c203220696620646570656e64656e742e> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 302.43 m +547.04 302.43 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 280.65 m +547.04 280.65 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 302.68 m +48.24 280.4 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 302.68 m +547.04 280.4 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +51.24 287.466 Td +/F1.0 10.5 Tf +<333220> Tj +/F1.1 10.5 Tf +<2220> Tj +/F1.0 10.5 Tf +<333220> Tj +/F1.1 10.5 Tf +<2320> Tj +/F1.0 10.5 Tf +[<3634204d756c7469706c79> 89.8438 <2c2055707065722048616c66>] TJ +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 280.65 m +185.0406 280.65 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 258.87 m +185.0406 258.87 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 280.9 m +48.24 258.62 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 280.9 m +185.0406 258.62 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 267.87 Td +/F3.0 10.5 Tf +<6d756c682072642c207273312c20727332> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 280.65 m +266.7387 280.65 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 258.87 m +266.7387 258.87 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 280.9 m +185.0406 258.62 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 280.9 m +266.7387 258.62 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +188.0406 265.686 Td +/F1.0 10.5 Tf +<313820746f203230> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 280.65 m +547.04 280.65 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 258.87 m +547.04 258.87 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 280.9 m +266.7387 258.62 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 280.9 m +547.04 258.62 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +269.7387 265.686 Td +/F1.0 10.5 Tf +<446570656e64696e67206f6e207369676e20636f7272656374696f6e> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 258.87 m +185.0406 258.87 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 237.09 m +185.0406 237.09 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 259.12 m +48.24 236.84 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 259.12 m +185.0406 236.84 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 246.09 Td +/F3.0 10.5 Tf +<6d756c6873752072642c207273312c20727332> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 258.87 m +266.7387 258.87 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 237.09 m +266.7387 237.09 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 259.12 m +185.0406 236.84 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 259.12 m +266.7387 236.84 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +188.0406 243.906 Td +/F1.0 10.5 Tf +<313820746f203230> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 258.87 m +547.04 258.87 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 237.09 m +547.04 237.09 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 259.12 m +266.7387 236.84 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 259.12 m +547.04 236.84 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +269.7387 243.906 Td +/F1.0 10.5 Tf +<446570656e64696e67206f6e207369676e20636f7272656374696f6e> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 237.09 m +185.0406 237.09 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 215.31 m +185.0406 215.31 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 237.34 m +48.24 215.06 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 237.34 m +185.0406 215.06 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 224.31 Td +/F3.0 10.5 Tf +<6d756c68752072642c207273312c20727332> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 237.09 m +266.7387 237.09 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 215.31 m +266.7387 215.31 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 237.34 m +185.0406 215.06 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 237.34 m +266.7387 215.06 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +188.0406 222.126 Td +/F1.0 10.5 Tf +<3138> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 237.09 m +547.04 237.09 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 215.31 m +547.04 215.31 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 237.34 m +266.7387 215.06 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 237.34 m +547.04 215.06 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 215.31 m +547.04 215.31 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 193.53 m +547.04 193.53 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 215.56 m +48.24 193.28 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 215.56 m +547.04 193.28 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +51.24 200.346 Td +/F1.0 10.5 Tf +<44697669646520616e642052656d61696e646572> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 193.53 m +185.0406 193.53 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 171.75 m +185.0406 171.75 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 193.78 m +48.24 171.5 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 193.78 m +185.0406 171.5 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 180.75 Td +/F3.0 10.5 Tf +<646976> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 193.53 m +266.7387 193.53 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 171.75 m +266.7387 171.75 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 193.78 m +185.0406 171.5 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 193.78 m +266.7387 171.5 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +188.0406 178.566 Td +/F1.0 10.5 Tf +<3138206f72203139> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 193.53 m +547.04 193.53 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 171.75 m +547.04 171.75 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 193.78 m +266.7387 171.5 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 193.78 m +547.04 171.5 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +269.7387 178.566 Td +/F1.0 10.5 Tf +<446570656e64696e67206f6e207369676e20636f7272656374696f6e> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 171.75 m +185.0406 171.75 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 149.97 m +185.0406 149.97 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 172.0 m +48.24 149.72 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 172.0 m +185.0406 149.72 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 158.97 Td +/F3.0 10.5 Tf +<64697675> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 171.75 m +266.7387 171.75 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 149.97 m +266.7387 149.97 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 172.0 m +185.0406 149.72 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 172.0 m +266.7387 149.72 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +188.0406 156.786 Td +/F1.0 10.5 Tf +<3138> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 171.75 m +547.04 171.75 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 149.97 m +547.04 149.97 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 172.0 m +266.7387 149.72 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 172.0 m +547.04 149.72 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 149.97 m +185.0406 149.97 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 128.19 m +185.0406 128.19 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 150.22 m +48.24 127.94 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 150.22 m +185.0406 127.94 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 137.19 Td +/F3.0 10.5 Tf +<72656d> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 149.97 m +266.7387 149.97 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 128.19 m +266.7387 128.19 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 150.22 m +185.0406 127.94 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 150.22 m +266.7387 127.94 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +188.0406 135.006 Td +/F1.0 10.5 Tf +<3138206f72203139> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 149.97 m +547.04 149.97 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 128.19 m +547.04 128.19 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 150.22 m +266.7387 127.94 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 150.22 m +547.04 127.94 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +269.7387 135.006 Td +/F1.0 10.5 Tf +<446570656e64696e67206f6e207369676e20636f7272656374696f6e> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 128.19 m +185.0406 128.19 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 106.41 m +185.0406 106.41 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 128.44 m +48.24 106.16 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 128.44 m +185.0406 106.16 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 115.41 Td +/F3.0 10.5 Tf +<72656d75> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 128.19 m +266.7387 128.19 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 106.41 m +266.7387 106.41 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +185.0406 128.44 m +185.0406 106.16 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 128.44 m +266.7387 106.16 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +188.0406 113.226 Td +/F1.0 10.5 Tf +<3138> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 128.19 m +547.04 128.19 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 106.41 m +547.04 106.41 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +266.7387 128.44 m +266.7387 106.16 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 128.44 m +547.04 106.16 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +q +0.0 0.0 0.0 scn +0.0 0.0 0.0 SCN +1 w +0 J +0 j +[] 0 d +/Stamp1 Do +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +541.009 14.263 Td +/F1.0 9 Tf +<33> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +Q +Q + +endstream +endobj +29 0 obj +<< /Type /Page +/Parent 3 0 R +/MediaBox [0 0 595.28 841.89] +/CropBox [0 0 595.28 841.89] +/BleedBox [0 0 595.28 841.89] +/TrimBox [0 0 595.28 841.89] +/ArtBox [0 0 595.28 841.89] +/Contents 28 0 R +/Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] +/Font << /F2.0 17 0 R +/F3.0 18 0 R +/F1.0 8 0 R +/F1.1 19 0 R +>> +/XObject << /Stamp1 133 0 R +>> +>> +/Annots [30 0 R 31 0 R 32 0 R 33 0 R 34 0 R 36 0 R 37 0 R 38 0 R 39 0 R 40 0 R] +>> +endobj +30 0 obj +<< /Border [0 0 0] +/Dest (_footnotedef_1) +/Subtype /Link +/Rect [220.2142 772.9156 223.6362 781.2409] +/Type /Annot +>> +endobj +31 0 obj +<< /Border [0 0 0] +/Dest (_footnotedef_1) +/Subtype /Link +/Rect [220.2142 751.1356 223.6362 759.4609] +/Type /Annot +>> +endobj +32 0 obj +<< /Border [0 0 0] +/Dest (_footnotedef_1) +/Subtype /Link +/Rect [220.2142 729.3556 223.6362 737.6809] +/Type /Annot +>> +endobj +33 0 obj +<< /Border [0 0 0] +/Dest (_footnotedef_1) +/Subtype /Link +/Rect [220.2142 707.5756 223.6362 715.9009] +/Type /Annot +>> +endobj +34 0 obj +<< /Border [0 0 0] +/Dest (_footnotedef_1) +/Subtype /Link +/Rect [220.2142 685.7956 223.6362 694.1209] +/Type /Annot +>> +endobj +35 0 obj +[29 0 R /XYZ 519.8978 649.68 null] +endobj +36 0 obj +<< /Border [0 0 0] +/Dest (_footnotedef_2) +/Subtype /Link +/Rect [522.0954 642.2356 525.5173 650.5609] +/Type /Annot +>> +endobj +37 0 obj +<< /Border [0 0 0] +/Dest (_footnotedef_2) +/Subtype /Link +/Rect [522.0954 620.4556 525.5173 628.7809] +/Type /Annot +>> +endobj +38 0 obj +<< /Border [0 0 0] +/Dest (_footnotedef_2) +/Subtype /Link +/Rect [522.0954 598.6756 525.5173 607.0009] +/Type /Annot +>> +endobj +39 0 obj +<< /Border [0 0 0] +/Dest (_footnotedef_2) +/Subtype /Link +/Rect [522.0954 576.8956 525.5173 585.2209] +/Type /Annot +>> +endobj +40 0 obj +<< /Border [0 0 0] +/Dest (_footnotedef_2) +/Subtype /Link +/Rect [522.0954 555.1156 525.5173 563.4409] +/Type /Annot +>> +endobj +41 0 obj +[29 0 R /XYZ 0 467.19 null] +endobj +42 0 obj +<< /Length 19246 +>> +stream +q +/DeviceRGB cs +0.2 0.2 0.2 scn +/DeviceRGB CS +0.2 0.2 0.2 SCN + +BT +48.24 786.666 Td +/F2.0 18 Tf +<322e332e204320457874656e73696f6e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.4947 Tw + +BT +48.24 758.646 Td +/F1.0 10.5 Tf +[<416c6c204320657874656e73696f6e2031362d62697420696e737472756374696f6e73206f6e2048617a617264332061726520616c6961736573206f6620626173652052> 29.7852 <5633324920696e737472756374696f6e732e205468657920706572666f726d>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 742.866 Td +/F1.0 10.5 Tf +<6964656e746963616c6c7920746f2074686569722033322d62697420636f756e74657270617274732e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.7903 Tw + +BT +48.24 715.086 Td +/F1.0 10.5 Tf +[<4120636f6e73657175656e6365206f6620746865204320657874656e73696f6e20697320746861742033322d62697420696e737472756374696f6e732063616e206265206e6f6e2d6e61747572> 20.0195 <616c6c792d616c69676e65642e205468697320686173>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +2.0691 Tw + +BT +48.24 699.306 Td +/F1.0 10.5 Tf +[<6e6f2070656e616c747920647572696e672073657175656e7469616c20657865637574696f6e2c20627574206272> 20.0195 <616e6368696e6720746f20612033322d62697420696e737472756374696f6e2074686174206973206e6f742033322d6269742d>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.326 Tw + +BT +48.24 683.526 Td +/F1.0 10.5 Tf +[<616c69676e6564206361727269657320612031206379636c652070656e616c7479> 89.8438 <2c20626563617573652074686520696e737472756374696f6e206665746368206973206372> 20.0195 <61636b> 20.0195 <656420696e746f2074776f206e61747572> 20.0195 <616c6c792d616c69676e6564>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 667.746 Td +/F1.0 10.5 Tf +<6275732061636365737365732e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 627.906 Td +/F2.0 18 Tf +<322e342e2050726976696c6567656420496e737472756374696f6e732028696e636c7564696e67205a6963737229> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 590.07 165.813 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +214.053 590.07 107.6811 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +321.7341 590.07 225.3059 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 568.29 498.8 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +214.053 568.29 107.6811 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +321.7341 568.29 225.3059 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 546.51 165.813 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +214.053 546.51 107.6811 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +321.7341 546.51 225.3059 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 524.73 165.813 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +214.053 524.73 107.6811 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +321.7341 524.73 225.3059 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 502.95 165.813 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +214.053 502.95 107.6811 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +321.7341 502.95 225.3059 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 481.17 165.813 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +214.053 481.17 107.6811 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +321.7341 481.17 225.3059 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 459.39 165.813 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +214.053 459.39 107.6811 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +321.7341 459.39 225.3059 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 437.61 165.813 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +214.053 437.61 107.6811 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +321.7341 437.61 225.3059 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 415.83 498.8 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +214.053 415.83 107.6811 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +321.7341 415.83 225.3059 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 394.05 165.813 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +214.053 394.05 107.6811 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +321.7341 394.05 225.3059 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 372.27 165.813 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +214.053 372.27 107.6811 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +321.7341 372.27 225.3059 21.78 re +f +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 611.85 m +214.053 611.85 l +S +[] 0 d +1.25 w +0.8667 0.8667 0.8667 SCN +48.24 590.07 m +214.053 590.07 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 612.1 m +48.24 589.445 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 612.1 m +214.053 589.445 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +51.24 596.886 Td +/F2.0 10.5 Tf +<496e737472756374696f6e> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 611.85 m +321.7341 611.85 l +S +[] 0 d +1.25 w +0.8667 0.8667 0.8667 SCN +214.053 590.07 m +321.7341 590.07 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 612.1 m +214.053 589.445 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 612.1 m +321.7341 589.445 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +217.053 596.886 Td +/F2.0 10.5 Tf +<4379636c6573> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 611.85 m +547.04 611.85 l +S +[] 0 d +1.25 w +0.8667 0.8667 0.8667 SCN +321.7341 590.07 m +547.04 590.07 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 612.1 m +321.7341 589.445 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 612.1 m +547.04 589.445 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +324.7341 596.886 Td +/F2.0 10.5 Tf +<4e6f7465> Tj +ET + +0.0 0.0 0.0 scn +1.25 w +0.8667 0.8667 0.8667 SCN +48.24 590.07 m +547.04 590.07 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 568.29 m +547.04 568.29 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 590.695 m +48.24 568.04 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 590.695 m +547.04 568.04 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +51.24 575.106 Td +/F1.0 10.5 Tf +[<4353522041> 20.0195 <6363657373>] TJ +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 568.29 m +214.053 568.29 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 546.51 m +214.053 546.51 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 568.54 m +48.24 546.26 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 568.54 m +214.053 546.26 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 555.51 Td +/F3.0 10.5 Tf +<63737272772072642c206373722c20727331> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 568.29 m +321.7341 568.29 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 546.51 m +321.7341 546.51 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 568.54 m +214.053 546.26 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 568.54 m +321.7341 546.26 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +217.053 553.326 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 568.29 m +547.04 568.29 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 546.51 m +547.04 546.51 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 568.54 m +321.7341 546.26 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 568.54 m +547.04 546.26 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 546.51 m +214.053 546.51 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 524.73 m +214.053 524.73 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 546.76 m +48.24 524.48 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 546.76 m +214.053 524.48 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 533.73 Td +/F3.0 10.5 Tf +<63737272632072642c206373722c20727331> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 546.51 m +321.7341 546.51 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 524.73 m +321.7341 524.73 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 546.76 m +214.053 524.48 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 546.76 m +321.7341 524.48 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +217.053 531.546 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 546.51 m +547.04 546.51 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 524.73 m +547.04 524.73 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 546.76 m +321.7341 524.48 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 546.76 m +547.04 524.48 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 524.73 m +214.053 524.73 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 502.95 m +214.053 502.95 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 524.98 m +48.24 502.7 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 524.98 m +214.053 502.7 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 511.95 Td +/F3.0 10.5 Tf +<63737272732072642c206373722c20727331> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 524.73 m +321.7341 524.73 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 502.95 m +321.7341 502.95 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 524.98 m +214.053 502.7 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 524.98 m +321.7341 502.7 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +217.053 509.766 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 524.73 m +547.04 524.73 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 502.95 m +547.04 502.95 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 524.98 m +321.7341 502.7 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 524.98 m +547.04 502.7 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 502.95 m +214.053 502.95 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 481.17 m +214.053 481.17 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 503.2 m +48.24 480.92 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 503.2 m +214.053 480.92 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 490.17 Td +/F3.0 10.5 Tf +<6373727277692072642c206373722c20696d6d> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 502.95 m +321.7341 502.95 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 481.17 m +321.7341 481.17 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 503.2 m +214.053 480.92 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 503.2 m +321.7341 480.92 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +217.053 487.986 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 502.95 m +547.04 502.95 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 481.17 m +547.04 481.17 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 503.2 m +321.7341 480.92 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 503.2 m +547.04 480.92 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 481.17 m +214.053 481.17 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 459.39 m +214.053 459.39 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 481.42 m +48.24 459.14 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 481.42 m +214.053 459.14 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 468.39 Td +/F3.0 10.5 Tf +<6373727263692072642c206373722c20696d6d> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 481.17 m +321.7341 481.17 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 459.39 m +321.7341 459.39 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 481.42 m +214.053 459.14 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 481.42 m +321.7341 459.14 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +217.053 466.206 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 481.17 m +547.04 481.17 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 459.39 m +547.04 459.39 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 481.42 m +321.7341 459.14 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 481.42 m +547.04 459.14 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 459.39 m +214.053 459.39 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 437.61 m +214.053 437.61 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 459.64 m +48.24 437.36 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 459.64 m +214.053 437.36 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 446.61 Td +/F3.0 10.5 Tf +<6373727273692072642c206373722c20696d6d> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 459.39 m +321.7341 459.39 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 437.61 m +321.7341 437.61 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 459.64 m +214.053 437.36 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 459.64 m +321.7341 437.36 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +217.053 444.426 Td +/F1.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 459.39 m +547.04 459.39 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 437.61 m +547.04 437.61 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 459.64 m +321.7341 437.36 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 459.64 m +547.04 437.36 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 437.61 m +547.04 437.61 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 415.83 m +547.04 415.83 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 437.86 m +48.24 415.58 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 437.86 m +547.04 415.58 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +51.24 422.646 Td +/F1.0 10.5 Tf +[<5472> 20.0195 <61702052657175657374>] TJ +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 415.83 m +214.053 415.83 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 394.05 m +214.053 394.05 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 416.08 m +48.24 393.8 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 416.08 m +214.053 393.8 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 403.05 Td +/F3.0 10.5 Tf +<6563616c6c> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 415.83 m +321.7341 415.83 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 394.05 m +321.7341 394.05 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 416.08 m +214.053 393.8 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 416.08 m +321.7341 393.8 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +217.053 400.866 Td +/F1.0 10.5 Tf +<33> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 415.83 m +547.04 415.83 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 394.05 m +547.04 394.05 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 416.08 m +321.7341 393.8 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 416.08 m +547.04 393.8 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +324.7341 400.866 Td +/F1.0 10.5 Tf +<54696d6520676976656e20697320666f72206a756d70696e6720746f20> Tj +ET + +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +468.4581 400.866 Td +/F3.0 10.5 Tf +<6d74766563> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 394.05 m +214.053 394.05 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 372.27 m +214.053 372.27 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 394.3 m +48.24 372.02 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 394.3 m +214.053 372.02 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 381.27 Td +/F3.0 10.5 Tf +<65627265616b> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 394.05 m +321.7341 394.05 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 372.27 m +321.7341 372.27 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +214.053 394.3 m +214.053 372.02 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 394.3 m +321.7341 372.02 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +217.053 379.086 Td +/F1.0 10.5 Tf +<33> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 394.05 m +547.04 394.05 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 372.27 m +547.04 372.27 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +321.7341 394.3 m +321.7341 372.02 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 394.3 m +547.04 372.02 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +324.7341 379.086 Td +/F1.0 10.5 Tf +<54696d6520676976656e20697320666f72206a756d70696e6720746f20> Tj +ET + +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +468.4581 379.086 Td +/F3.0 10.5 Tf +<6d74766563> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.9725 Tw + +BT +48.24 351.1546 Td +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.9725 Tw + +BT +48.24 351.1546 Td +/F1.0 8 Tf +<5b> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2588 0.5451 0.7922 scn +0.2588 0.5451 0.7922 SCN + +0.9725 Tw + +BT +51.112 351.1546 Td +/F1.0 8 Tf +<31> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.9725 Tw + +BT +55.584 351.1546 Td +/F1.0 8 Tf +[<5d2041206272> 20.0195 <616e636820746f20612033322d62697420696e737472756374696f6e207768696368206973206e6f742033322d6269742d616c69676e6564207265717569726573206f6e65206164646974696f6e616c206379636c652c20626563617573652074776f206e61747572> 20.0195 <616c6c792d616c69676e656420627573>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 339.1317 Td +/F1.0 8 Tf +<6379636c65732061726520726571756972656420746f206665746368207468652074617267657420696e737472756374696f6e2e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.04 Tw + +BT +48.24 324.1089 Td +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.04 Tw + +BT +48.24 324.1089 Td +/F1.0 8 Tf +<5b> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2588 0.5451 0.7922 scn +0.2588 0.5451 0.7922 SCN + +1.04 Tw + +BT +51.112 324.1089 Td +/F1.0 8 Tf +<32> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.04 Tw + +BT +55.584 324.1089 Td +/F1.0 8 Tf +<5d20496620616e20696e737472756374696f6e2075736573206c6f61642064617461202866726f6d20737461676520332920696e20737461676520322c206120312d6379636c6520627562626c6520697320696e73657274656420616674657220746865206c6f61642e204c6f61642d6461746120746f2073746f72652d64617461> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.1496 Tw + +BT +48.24 312.086 Td +/F1.0 8 Tf +<646570656e64656e637920646f6573206e6f7420657870657269656e636520746869732c2062656361757365207468652073746f72652064617461206973207573656420696e20737461676520332e20486f77657665722c206c6f61642d6461746120746f2073746f72652d6164647265737320286f7220652e672e206c6f61642d746f2d> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 300.0631 Td +/F1.0 8 Tf +[<6164642920646f6573207175616c696679> 89.8438 <2e>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +q +0.0 0.0 0.0 scn +0.0 0.0 0.0 SCN +1 w +0 J +0 j +[] 0 d +/Stamp2 Do +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +49.24 14.263 Td +/F1.0 9 Tf +<34> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +Q +Q + +endstream +endobj +43 0 obj +<< /Type /Page +/Parent 3 0 R +/MediaBox [0 0 595.28 841.89] +/CropBox [0 0 595.28 841.89] +/BleedBox [0 0 595.28 841.89] +/TrimBox [0 0 595.28 841.89] +/ArtBox [0 0 595.28 841.89] +/Contents 42 0 R +/Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] +/Font << /F2.0 17 0 R +/F1.0 8 0 R +/F3.0 18 0 R +>> +/XObject << /Stamp2 134 0 R +>> +>> +/Annots [47 0 R 49 0 R] +>> +endobj +44 0 obj +[43 0 R /XYZ 0 841.89 null] +endobj +45 0 obj +[43 0 R /XYZ 0 651.93 null] +endobj +46 0 obj +[43 0 R /XYZ 48.24 359.6986 null] +endobj +47 0 obj +<< /Border [0 0 0] +/Dest (_footnoteref_1) +/Subtype /Link +/Rect [51.112 348.8186 55.584 359.6986] +/Type /Annot +>> +endobj +48 0 obj +[43 0 R /XYZ 48.24 332.6529 null] +endobj +49 0 obj +<< /Border [0 0 0] +/Dest (_footnoteref_2) +/Subtype /Link +/Rect [51.112 321.7729 55.584 332.6529] +/Type /Annot +>> +endobj +50 0 obj +<< /Length 7437 +>> +stream +q +/DeviceRGB cs +0.2 0.2 0.2 scn +/DeviceRGB CS +0.2 0.2 0.2 SCN + +BT +48.24 782.394 Td +/F2.0 22 Tf +<4368617074657220332e2043535273> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.9036 Tw + +BT +48.24 753.206 Td +/F1.0 10.5 Tf +<54686520524953432d562070726976696c656765642073706563696669636174696f6e206166666f72647320666c65786962696c69747920617320746f20776869636820435352732061726520696d706c656d656e7465642c20616e6420686f77> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +5.6154 Tw + +BT +48.24 737.426 Td +/F1.0 10.5 Tf +<74686579206265686176652e20546869732073656374696f6e20646f63756d656e74732074686520636f6e6372657465206265686176696f7572206f662048617a61726433d573207374616e6461726420616e64> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 721.646 Td +/F1.0 10.5 Tf +<6e6f6e7374616e64617264204d2d6d6f646520435352732c20617320696d706c656d656e7465642e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 681.806 Td +/F2.0 18 Tf +<332e312e205374616e646172642043535273> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 647.066 Td +/F2.0 13 Tf +<332e312e312e206d76656e646f726964> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 620.506 Td +/F1.0 10.5 Tf +[<41> 20.0195 <6464726573733a20>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +94.0618 620.506 Td +/F3.0 10.5 Tf +<3078663131> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.0602 Tw + +BT +48.24 592.726 Td +/F1.0 10.5 Tf +[<526561642d6f6e6c79> 89.8438 <2c20636f6e7374616e742e2056> 60.0586 <616c756520697320636f6e66696775726564207768656e207468652070726f636573736f7220697320696e7374616e7469617465642e2053686f756c6420636f6e7461696e20656974686572>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 576.946 Td +/F1.0 10.5 Tf +<616c6c2d7a65726f65732c206f7220612076616c6964204a45444543204a45503130362076656e646f722049442e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 542.446 Td +/F2.0 13 Tf +<332e312e322e206d617263686964> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 515.886 Td +/F1.0 10.5 Tf +[<41> 20.0195 <6464726573733a20>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +94.0618 515.886 Td +/F3.0 10.5 Tf +<3078663132> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.1822 Tw + +BT +48.24 488.106 Td +/F1.0 10.5 Tf +[<526561642d6f6e6c79> 89.8438 <2c20636f6e7374616e742e20417263686974656374757265206964656e74696669657220666f722048617a617264332c2076616c75652063616e20626520616c7465726564207768656e207468652070726f636573736f72206973>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 472.326 Td +/F1.0 10.5 Tf +<696e7374616e7469617465642e2044656661756c742069732063757272656e746c7920616c6c207a65726f657320617320756e726567697374657265642e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 437.826 Td +/F2.0 13 Tf +<332e312e332e206d696d706964> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 411.266 Td +/F1.0 10.5 Tf +[<41> 20.0195 <6464726573733a20>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +94.0618 411.266 Td +/F3.0 10.5 Tf +<3078663132> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.0602 Tw + +BT +48.24 383.486 Td +/F1.0 10.5 Tf +[<526561642d6f6e6c79> 89.8438 <2c20636f6e7374616e742e2056> 60.0586 <616c756520697320636f6e66696775726564207768656e207468652070726f636573736f7220697320696e7374616e7469617465642e2053686f756c6420636f6e7461696e20656974686572>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 367.706 Td +/F1.0 10.5 Tf +<616c6c2d7a65726f65732c206f7220736f6d65206e756d626572207370656369666979696e6720612076657273696f6e206f662048617a617264332028652e672e206769742068617368292e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 333.206 Td +/F2.0 13 Tf +<332e312e342e206d737461747573> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 306.646 Td +/F1.0 10.5 Tf +<626c616820626c6168> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 272.146 Td +/F2.0 13 Tf +<332e312e352e206d697361> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 245.586 Td +/F1.0 10.5 Tf +[<526561642d6f6e6c79> 89.8438 <2c20636f6e7374616e742e2056> 60.0586 <616c756520646570656e6473206f6e207768696368204953> 20.0195 <4120657874656e73696f6e732048617a6172643520697320636f6e6669677572656420776974682e>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 205.746 Td +/F2.0 18 Tf +<332e322e20437573746f6d2043535273> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.2427 Tw + +BT +48.24 177.726 Td +/F1.0 10.5 Tf +<54686573652061726520616c6c20616c6c6f636174656420696e2074686520737061636520> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.2427 Tw + +BT +226.1391 177.726 Td +/F3.0 10.5 Tf +<3078626330> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.2427 Tw + +BT +252.3891 177.726 Td +/F1.0 10.5 Tf +<207468726f75676820> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.2427 Tw + +BT +298.6334 177.726 Td +/F3.0 10.5 Tf +<3078626666> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.2427 Tw + +BT +324.8834 177.726 Td +/F1.0 10.5 Tf +<20776869636820697320617661696c61626c6520666f7220637573746f6d20726561642f7772697465204d2d> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 161.946 Td +/F1.0 10.5 Tf +<6d6f646520435352732c20616e6420> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +129.909 161.946 Td +/F3.0 10.5 Tf +<3078666330> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +156.159 161.946 Td +/F1.0 10.5 Tf +<207468726f75676820> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +201.918 161.946 Td +/F3.0 10.5 Tf +<3078666666> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +228.168 161.946 Td +/F1.0 10.5 Tf +<20776869636820697320617661696c61626c6520666f7220637573746f6d20726561642d6f6e6c79204d2d6d6f646520435352732e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 127.446 Td +/F2.0 13 Tf +<332e322e312e206d69646372> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 100.886 Td +/F1.0 10.5 Tf +[<41> 20.0195 <6464726573733a20>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +94.0618 100.886 Td +/F3.0 10.5 Tf +<3078626330> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 73.106 Td +/F1.0 10.5 Tf +<496d706c656d656e746174696f6e2d646566696e656420636f6e74726f6c2072656769737465722e204d697363656c6c616e656f7573206e6f6e7374616e6461726420636f6e74726f6c732e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +q +0.0 0.0 0.0 scn +0.0 0.0 0.0 SCN +1 w +0 J +0 j +[] 0 d +/Stamp1 Do +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +541.009 14.263 Td +/F1.0 9 Tf +<35> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +Q +Q + +endstream +endobj +51 0 obj +<< /Type /Page +/Parent 3 0 R +/MediaBox [0 0 595.28 841.89] +/CropBox [0 0 595.28 841.89] +/BleedBox [0 0 595.28 841.89] +/TrimBox [0 0 595.28 841.89] +/ArtBox [0 0 595.28 841.89] +/Contents 50 0 R +/Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] +/Font << /F2.0 17 0 R +/F1.0 8 0 R +/F3.0 18 0 R +>> +/XObject << /Stamp1 133 0 R +>> +>> +>> +endobj +52 0 obj +[51 0 R /XYZ 0 841.89 null] +endobj +53 0 obj +[51 0 R /XYZ 0 705.83 null] +endobj +54 0 obj +[51 0 R /XYZ 0 665.75 null] +endobj +55 0 obj +[51 0 R /XYZ 0 561.13 null] +endobj +56 0 obj +[51 0 R /XYZ 0 456.51 null] +endobj +57 0 obj +[51 0 R /XYZ 0 351.89 null] +endobj +58 0 obj +[51 0 R /XYZ 0 290.83 null] +endobj +59 0 obj +[51 0 R /XYZ 0 229.77 null] +endobj +60 0 obj +[51 0 R /XYZ 0 146.13 null] +endobj +61 0 obj +<< /Length 20945 +>> +stream +q +/DeviceRGB cs +1.0 1.0 1.0 scn +48.24 784.11 49.88 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +98.12 784.11 99.76 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +197.88 784.11 349.16 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 762.33 49.88 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +98.12 762.33 99.76 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +197.88 762.33 349.16 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 677.43 49.88 84.9 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +98.12 677.43 99.76 84.9 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +197.88 677.43 349.16 84.9 re +f +0.0 0.0 0.0 scn +0.5 w +/DeviceRGB CS +0.8667 0.8667 0.8667 SCN +48.24 805.89 m +98.12 805.89 l +S +[] 0 d +1.25 w +0.8667 0.8667 0.8667 SCN +48.24 784.11 m +98.12 784.11 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 806.14 m +48.24 783.485 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 806.14 m +98.12 783.485 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +51.24 790.926 Td +/F2.0 10.5 Tf +<42697473> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 805.89 m +197.88 805.89 l +S +[] 0 d +1.25 w +0.8667 0.8667 0.8667 SCN +98.12 784.11 m +197.88 784.11 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 806.14 m +98.12 783.485 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 806.14 m +197.88 783.485 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +101.12 790.926 Td +/F2.0 10.5 Tf +<4e616d65> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 805.89 m +547.04 805.89 l +S +[] 0 d +1.25 w +0.8667 0.8667 0.8667 SCN +197.88 784.11 m +547.04 784.11 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 806.14 m +197.88 783.485 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 806.14 m +547.04 783.485 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +200.88 790.926 Td +/F2.0 10.5 Tf +<4465736372697074696f6e> Tj +ET + +0.0 0.0 0.0 scn +1.25 w +0.8667 0.8667 0.8667 SCN +48.24 784.11 m +98.12 784.11 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 762.33 m +98.12 762.33 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 784.735 m +48.24 762.08 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 784.735 m +98.12 762.08 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +51.24 769.146 Td +/F2.0 10.5 Tf +<33313a31> Tj +ET + +0.0 0.0 0.0 scn +1.25 w +0.8667 0.8667 0.8667 SCN +98.12 784.11 m +197.88 784.11 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 762.33 m +197.88 762.33 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 784.735 m +98.12 762.08 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 784.735 m +197.88 762.08 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +101.12 769.146 Td +/F2.0 10.5 Tf +<2d> Tj +ET + +0.0 0.0 0.0 scn +1.25 w +0.8667 0.8667 0.8667 SCN +197.88 784.11 m +547.04 784.11 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 762.33 m +547.04 762.33 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 784.735 m +197.88 762.08 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 784.735 m +547.04 762.08 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +200.88 769.146 Td +/F1.0 10.5 Tf +<52455330> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 762.33 m +98.12 762.33 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 677.43 m +98.12 677.43 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 762.58 m +48.24 677.18 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 762.58 m +98.12 677.18 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +51.24 747.366 Td +/F2.0 10.5 Tf +<30> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 762.33 m +197.88 762.33 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 677.43 m +197.88 677.43 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 762.58 m +98.12 677.18 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 762.58 m +197.88 677.18 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +101.12 749.55 Td +/F3.0 10.5 Tf +<656976656374> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 762.33 m +547.04 762.33 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 677.43 m +547.04 677.43 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 762.58 m +197.88 677.18 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 762.58 m +547.04 677.18 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +200.88 747.366 Td +/F1.0 10.5 Tf +<4d6f6469666965642065787465726e616c20696e7465727275707420766563746f72696e672e20496620302c20757365207374616e64617264206265686176696f75723a> Tj +ET + + +BT +200.88 731.586 Td +/F1.0 10.5 Tf +<616c6c2065787465726e616c20696e74657272757074732073657420696e7465727275707420> Tj +ET + +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +379.779 731.586 Td +/F3.0 10.5 Tf +<6d6361757365> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +411.279 731.586 Td +/F1.0 10.5 Tf +<206f6620313120616e6420766563746f7220746f20> Tj +ET + +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +509.6955 731.586 Td +/F3.0 10.5 Tf +<6d74766563> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +200.88 715.806 Td +/F3.0 10.5 Tf +<2b2030783263> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +232.38 715.806 Td +/F1.0 10.5 Tf +<2e20496620312c2065787465726e616c20696e7465727275707473207573652064697374696e637420696e7465727275707420> Tj +ET + +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +466.0365 715.806 Td +/F3.0 10.5 Tf +<6d6361757365> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +497.5365 715.806 Td +ET + + +BT +200.88 700.026 Td +/F1.0 10.5 Tf +<6e756d62657273203136207570776172642c20616e642064697374696e637420766563746f727320> Tj +ET + +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +408.6645 700.026 Td +/F3.0 10.5 Tf +<6d74766563202b2028697271202b20313629202a2034> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +524.1645 700.026 Td +/F1.0 10.5 Tf +<2e> Tj +ET + + +BT +200.88 684.246 Td +/F1.0 10.5 Tf +<52657365747320746f20302e20486173206e6f20656666656374207768656e20> Tj +ET + +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +357.162 684.246 Td +/F3.0 10.5 Tf +<6d747665635b305d> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +399.162 684.246 Td +/F1.0 10.5 Tf +<20697320302e> Tj +ET + +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 646.746 Td +/F2.0 13 Tf +<332e322e322e206d65696530> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 620.186 Td +/F1.0 10.5 Tf +[<41> 20.0195 <6464726573733a20>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +94.0618 620.186 Td +/F3.0 10.5 Tf +<3078626530> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.0813 Tw + +BT +48.24 592.406 Td +/F1.0 10.5 Tf +<45787465726e616c20696e7465727275707420656e61626c6520726567697374657220302e20436f6e7461696e73206120726561642d77726974652062697420666f7220656163682065787465726e616c20696e746572727570742072657175657374> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 576.626 Td +/F1.0 10.5 Tf +[<4952> 20.0195 <5130207468726f756768204952> 20.0195 <5133312e204120>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +163.6976 576.626 Td +/F3.0 10.5 Tf +<31> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +168.9476 576.626 Td +/F1.0 10.5 Tf +<2062697420696e64696361746573207468617420696e746572727570742069732063757272656e746c7920656e61626c65642e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.1243 Tw + +BT +48.24 548.846 Td +/F1.0 10.5 Tf +[<41> 20.0195 <646472657373657320>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.1243 Tw + +BT +101.5361 548.846 Td +/F3.0 10.5 Tf +<3078626531> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.1243 Tw + +BT +127.7861 548.846 Td +/F1.0 10.5 Tf +<207468726f75676820> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.1243 Tw + +BT +173.7938 548.846 Td +/F3.0 10.5 Tf +<3078626533> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.1243 Tw + +BT +200.0438 548.846 Td +/F1.0 10.5 Tf +<2061726520726573657276656420666f72206675727468657220> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.1243 Tw + +BT +325.9935 548.846 Td +/F3.0 10.5 Tf +<6d656965> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.1243 Tw + +BT +346.9935 548.846 Td +/F1.0 10.5 Tf +<207265676973746572732c20737570706f7274696e6720757020746f203132382065787465726e616c> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 533.066 Td +/F1.0 10.5 Tf +<696e74657272757074732e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 505.286 Td +/F1.0 10.5 Tf +[<416e2065787465726e616c20696e746572727570742069732074616b> 20.0195 <656e207768656e20616c6c206f662074686520666f6c6c6f77696e672061726520747275653a>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 477.506 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 477.506 Td +/F1.0 10.5 Tf +<54686520696e746572727570742069732063757272656e746c7920617373657274656420696e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +254.2425 477.506 Td +/F3.0 10.5 Tf +<6d65697030> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 455.726 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 455.726 Td +/F1.0 10.5 Tf +<546865206d61746368696e6720696e7465727275707420656e61626c65206269742069732073657420696e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +279.2535 455.726 Td +/F3.0 10.5 Tf +<6d65696530> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 433.946 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 433.946 Td +/F1.0 10.5 Tf +<546865207374616e64617264204d2d6d6f646520696e7465727275707420656e61626c6520> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +264.1965 433.946 Td +/F3.0 10.5 Tf +<6d7374617475732e6d6965> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +321.9465 433.946 Td +/F1.0 10.5 Tf +<20697320736574> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 412.166 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 412.166 Td +/F1.0 10.5 Tf +<546865207374616e64617264204d2d6d6f646520676c6f62616c2065787465726e616c20696e7465727275707420656e61626c6520> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +342.0645 412.166 Td +/F3.0 10.5 Tf +<6d69652e6d656965> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +384.0645 412.166 Td +/F1.0 10.5 Tf +<20697320736574> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +1.6014 Tw + +BT +48.24 384.386 Td +/F3.0 10.5 Tf +<6d65696530> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.6014 Tw + +BT +74.49 384.386 Td +/F1.0 10.5 Tf +<2072657365747320746f20> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.6014 Tw + +BT +126.5548 384.386 Td +/F2.0 10.5 Tf +<616c6c2d6f6e6573> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.6014 Tw + +BT +168.0088 384.386 Td +/F1.0 10.5 Tf +<2c20666f7220636f6d7061746962696c697479207769746820736f667477617265207768696368206973206f6e6c79206177617265206f6620> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +1.6014 Tw + +BT +464.1631 384.386 Td +/F3.0 10.5 Tf +<6d737461747573> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.6014 Tw + +BT +500.9131 384.386 Td +/F1.0 10.5 Tf +<20616e6420> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +1.6014 Tw + +BT +528.665 384.386 Td +/F3.0 10.5 Tf +<6d6965> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.6014 Tw + +BT +544.415 384.386 Td +/F1.0 10.5 Tf +<2e> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.8609 Tw + +BT +48.24 368.606 Td +/F1.0 10.5 Tf +<4265636175736520> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.8609 Tw + +BT +92.3714 368.606 Td +/F3.0 10.5 Tf +<6d7374617475732e6d6965> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.8609 Tw + +BT +150.1214 368.606 Td +/F1.0 10.5 Tf +<20616e6420> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.8609 Tw + +BT +176.3921 368.606 Td +/F3.0 10.5 Tf +<6d69652e6d656965> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.8609 Tw + +BT +218.3921 368.606 Td +/F1.0 10.5 Tf +[<2061726520626f746820696e697469616c6c7920636c6561722c2074686520636f72652077696c6c206e6f742074616b> 20.0195 <6520696e746572727570747320737472> 20.0195 <6169676874>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.0785 Tw + +BT +48.24 352.826 Td +/F1.0 10.5 Tf +<6f7574206f662072657365742c20627574206974206973207374726f6e676c79207265636f6d6d656e64656420746f20636f6e66696775726520> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +1.0785 Tw + +BT +343.0923 352.826 Td +/F3.0 10.5 Tf +<6d65696530> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.0785 Tw + +BT +369.3423 352.826 Td +/F1.0 10.5 Tf +<206265666f72652073657474696e672074686520676c6f62616c20696e74657272757074> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 337.046 Td +/F1.0 10.5 Tf +<656e61626c652c20746f2061766f696420696e74657272757074732066726f6d20756e657870656374656420736f75726365732e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 302.546 Td +/F2.0 13 Tf +<332e322e332e206d65697030> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 275.986 Td +/F1.0 10.5 Tf +[<41> 20.0195 <6464726573733a20>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +94.0618 275.986 Td +/F3.0 10.5 Tf +<3078666530> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.8691 Tw + +BT +48.24 248.206 Td +/F1.0 10.5 Tf +[<45787465726e616c204952> 20.0195 <512070656e64696e6720726567697374657220302e20436f6e7461696e73206120726561642d6f6e6c792062697420666f7220656163682065787465726e616c20696e746572727570742072657175657374204952> 20.0195 <5130>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.8313 Tw + +BT +48.24 232.426 Td +/F1.0 10.5 Tf +[<7468726f756768204952> 20.0195 <5133312e204120>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.8313 Tw + +BT +139.2908 232.426 Td +/F3.0 10.5 Tf +<31> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.8313 Tw + +BT +144.5408 232.426 Td +/F1.0 10.5 Tf +[<2062697420696e64696361746573207468617420696e746572727570742069732063757272656e746c792061737365727465642e204952> 20.0195 <51732061726520617373756d656420746f206265206c6576656c2d>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.7816 Tw + +BT +48.24 216.646 Td +/F1.0 10.5 Tf +<73656e7369746976652c20616e64207468652072656c6576616e7420> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +1.7816 Tw + +BT +189.7873 216.646 Td +/F3.0 10.5 Tf +<6d65697030> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.7816 Tw + +BT +216.0373 216.646 Td +/F1.0 10.5 Tf +[<2062697420697320636c65617265642062> 20.0195 <7920736572766963696e672074686520726571756573746f7220736f20746861742069742064656173736572747320697473>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 200.866 Td +/F1.0 10.5 Tf +<696e7465727275707420726571756573742e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.1243 Tw + +BT +48.24 173.086 Td +/F1.0 10.5 Tf +[<41> 20.0195 <646472657373657320>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.1243 Tw + +BT +101.5361 173.086 Td +/F3.0 10.5 Tf +<3078666531> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.1243 Tw + +BT +127.7861 173.086 Td +/F1.0 10.5 Tf +<207468726f75676820> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.1243 Tw + +BT +173.7938 173.086 Td +/F3.0 10.5 Tf +<3078666533> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.1243 Tw + +BT +200.0438 173.086 Td +/F1.0 10.5 Tf +<2061726520726573657276656420666f72206675727468657220> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.1243 Tw + +BT +325.9935 173.086 Td +/F3.0 10.5 Tf +<6d656970> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.1243 Tw + +BT +346.9935 173.086 Td +/F1.0 10.5 Tf +<207265676973746572732c20737570706f7274696e6720757020746f203132382065787465726e616c> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 157.306 Td +/F1.0 10.5 Tf +<696e74657272757074732e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.4674 Tw + +BT +48.24 129.526 Td +/F1.0 10.5 Tf +[<5768656e20616e> 20.0195 <79206269742069732073657420696e20626f746820>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.4674 Tw + +BT +187.5498 129.526 Td +/F3.0 10.5 Tf +<6d65697030> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.4674 Tw + +BT +213.7998 129.526 Td +/F1.0 10.5 Tf +<20616e6420> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.4674 Tw + +BT +239.2836 129.526 Td +/F3.0 10.5 Tf +<6d65696530> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.4674 Tw + +BT +265.5336 129.526 Td +/F1.0 10.5 Tf +<2c20746865207374616e646172642065787465726e616c20696e746572727570742070656e64696e672062697420> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.4674 Tw + +BT +493.7681 129.526 Td +/F3.0 10.5 Tf +<6d69702e6d656970> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.4674 Tw + +BT +535.7681 129.526 Td +/F1.0 10.5 Tf +<206973> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.7917 Tw + +BT +48.24 113.746 Td +/F1.0 10.5 Tf +<616c736f207365742e20496e206f7468657220776f7264732c20> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +1.7917 Tw + +BT +178.8619 113.746 Td +/F3.0 10.5 Tf +<6d65697030> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.7917 Tw + +BT +205.1119 113.746 Td +/F1.0 10.5 Tf +[<2069732066696c74657265642062> 20.0195 <7920>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +1.7917 Tw + +BT +280.1818 113.746 Td +/F3.0 10.5 Tf +<6d65696530> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.7917 Tw + +BT +306.4318 113.746 Td +/F1.0 10.5 Tf +[<20746f2067656e6572> 20.0195 <61746520746865207374616e6461726420>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +1.7917 Tw + +BT +443.1435 113.746 Td +/F3.0 10.5 Tf +<6d69702e6d656970> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.7917 Tw + +BT +485.1435 113.746 Td +/F1.0 10.5 Tf +<20666c61672e20536f2c20616e> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 97.966 Td +/F1.0 10.5 Tf +[<65787465726e616c20696e746572727570742069732074616b> 20.0195 <656e207768656e20>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +213.9088 97.966 Td +/F4.0 10.5 Tf +<616c6c> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +226.3723 97.966 Td +/F1.0 10.5 Tf +<206f662074686520666f6c6c6f77696e672061726520747275653a> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 70.186 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 70.186 Td +/F1.0 10.5 Tf +<416e20696e746572727570742069732063757272656e746c7920617373657274656420696e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +249.717 70.186 Td +/F3.0 10.5 Tf +<6d65697030> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +q +0.0 0.0 0.0 scn +0.0 0.0 0.0 SCN +1 w +0 J +0 j +[] 0 d +/Stamp2 Do +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +49.24 14.263 Td +/F1.0 9 Tf +<36> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +Q +Q + +endstream +endobj +62 0 obj +<< /Type /Page +/Parent 3 0 R +/MediaBox [0 0 595.28 841.89] +/CropBox [0 0 595.28 841.89] +/BleedBox [0 0 595.28 841.89] +/TrimBox [0 0 595.28 841.89] +/ArtBox [0 0 595.28 841.89] +/Contents 61 0 R +/Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] +/Font << /F2.0 17 0 R +/F1.0 8 0 R +/F3.0 18 0 R +/F4.0 67 0 R +>> +/XObject << /Stamp2 134 0 R +>> +>> +>> +endobj +63 0 obj +[62 0 R /XYZ 0 665.43 null] +endobj +64 0 obj +<< /Limits [(__anchor-top) (_introduction)] +/Names [(__anchor-top) 13 0 R (_c_extension) 44 0 R (_csrs) 52 0 R (_custom_csrs) 59 0 R (_footnotedef_1) 46 0 R (_footnotedef_2) 48 0 R (_footnoteref_1) 24 0 R (_footnoteref_2) 35 0 R (_instruction_cycle_counts) 22 0 R (_introduction) 16 0 R] +>> +endobj +65 0 obj +<< /Limits [(_m_extension) (_standard_csrs)] +/Names [(_m_extension) 41 0 R (_marchid) 55 0 R (_maybe_adds) 71 0 R (_meie0) 63 0 R (_meip0) 66 0 R (_midcr) 60 0 R (_mimpid) 56 0 R (_misa) 58 0 R (_mlei) 70 0 R (_mstatus) 57 0 R (_mvendorid) 54 0 R (_privileged_instructions_including_zicsr) 45 0 R (_rv32i) 23 0 R (_standard_csrs) 53 0 R] +>> +endobj +66 0 obj +[62 0 R /XYZ 0 321.23 null] +endobj +67 0 obj +<< /Type /Font +/BaseFont /e6a149+NotoSerif-Italic +/Subtype /TrueType +/FontDescriptor 152 0 R +/FirstChar 32 +/LastChar 255 +/Widths 154 0 R +/ToUnicode 153 0 R +>> +endobj +68 0 obj +<< /Length 14941 +>> +stream +q + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +/DeviceRGB cs +0.2 0.2 0.2 scn +/DeviceRGB CS +0.2 0.2 0.2 SCN + +BT +56.8805 793.926 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 793.926 Td +/F1.0 10.5 Tf +<546865206d61746368696e6720696e7465727275707420656e61626c65206269742069732073657420696e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +279.2535 793.926 Td +/F3.0 10.5 Tf +<6d65696530> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 772.146 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 772.146 Td +/F1.0 10.5 Tf +<546865207374616e64617264204d2d6d6f646520696e7465727275707420656e61626c6520> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +264.1965 772.146 Td +/F3.0 10.5 Tf +<6d7374617475732e6d6965> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +321.9465 772.146 Td +/F1.0 10.5 Tf +<20697320736574> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 750.366 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 750.366 Td +/F1.0 10.5 Tf +<546865207374616e64617264204d2d6d6f646520676c6f62616c2065787465726e616c20696e7465727275707420656e61626c6520> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +342.0645 750.366 Td +/F3.0 10.5 Tf +<6d69652e6d656965> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +384.0645 750.366 Td +/F1.0 10.5 Tf +<20697320736574> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 722.586 Td +/F1.0 10.5 Tf +<496e207468697320636173652c207468652070726f636573736f72206a756d707320746f206569746865723a> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 694.806 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 694.806 Td +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +66.24 694.806 Td +/F3.0 10.5 Tf +<6d74766563> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +92.49 694.806 Td +/F1.0 10.5 Tf +[<206469726563746c79> 89.8438 <2c20696620766563746f72696e672069732064697361626c65642028>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +257.3206 694.806 Td +/F3.0 10.5 Tf +<6d747665635b305d> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +299.3206 694.806 Td +/F1.0 10.5 Tf +<206973203029> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 673.026 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +2.2781 Tw + +BT +66.24 673.026 Td +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +2.2781 Tw + +BT +66.24 673.026 Td +/F3.0 10.5 Tf +<6d74766563202b2030783263> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +2.2781 Tw + +BT +133.7963 673.026 Td +/F1.0 10.5 Tf +<2c20696620766563746f72696e6720697320656e61626c65642028> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +2.2781 Tw + +BT +267.722 673.026 Td +/F3.0 10.5 Tf +<6d747665635b305d> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +2.2781 Tw + +BT +309.722 673.026 Td +/F1.0 10.5 Tf +[<20697320312920616e64206d6f6469666965642065787465726e616c204952> 20.0195 <5120766563746f72696e67206973>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 657.246 Td +/F1.0 10.5 Tf +<64697361626c65642028> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +114.7605 657.246 Td +/F3.0 10.5 Tf +<6d696463722e656976656374> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +177.7605 657.246 Td +/F1.0 10.5 Tf +<206973203029> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 635.466 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.8841 Tw + +BT +66.24 635.466 Td +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +1.8841 Tw + +BT +66.24 635.466 Td +/F3.0 10.5 Tf +<6d7476656374202b20286d6c6569202b20313629202a2034> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.8841 Tw + +BT +203.5448 635.466 Td +/F1.0 10.5 Tf +<2c20696620766563746f72696e6720697320656e61626c65642028> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +1.8841 Tw + +BT +335.5004 635.466 Td +/F3.0 10.5 Tf +<6d747665635b305d> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.8841 Tw + +BT +377.5004 635.466 Td +/F1.0 10.5 Tf +[<20697320312920616e64206d6f6469666965642065787465726e616c204952> 20.0195 <51>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 619.686 Td +/F1.0 10.5 Tf +<766563746f72696e6720697320656e61626c65642028> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +173.487 619.686 Td +/F3.0 10.5 Tf +<6d696463722e656976656374> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +236.487 619.686 Td +/F1.0 10.5 Tf +<2069732031292e2060> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +74.954 597.906 Td +/F1.1 10.5 Tf +<21> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +3.3427 Tw + +BT +84.24 597.906 Td +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +3.3427 Tw + +BT +84.24 597.906 Td +/F3.0 10.5 Tf +<6d6c6569> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +3.3427 Tw + +BT +105.24 597.906 Td +/F1.0 10.5 Tf +<206973206120726561642d6f6e6c792043535220636f6e7461696e696e6720746865206c6f776573742d6e756d62657265642070656e64696e672d616e642d656e61626c65642065787465726e616c> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +84.24 582.126 Td +/F1.0 10.5 Tf +<696e746572727570742e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 547.626 Td +/F2.0 13 Tf +<332e322e342e206d6c6569> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 521.066 Td +/F1.0 10.5 Tf +[<41> 20.0195 <6464726573733a20>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +94.0618 521.066 Td +/F3.0 10.5 Tf +<3078666534> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.9185 Tw + +BT +48.24 493.286 Td +/F1.0 10.5 Tf +<4c6f776573742065787465726e616c20696e746572727570742e20436f6e7461696e732074686520696e646578206f6620746865206c6f776573742d6e756d62657265642065787465726e616c20696e74657272757074207768696368206973> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +2.7606 Tw + +BT +48.24 477.506 Td +/F1.0 10.5 Tf +<626f746820617373657274656420696e20> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +2.7606 Tw + +BT +139.3354 477.506 Td +/F3.0 10.5 Tf +<6d65697030> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +2.7606 Tw + +BT +165.5854 477.506 Td +/F1.0 10.5 Tf +<20616e6420656e61626c656420696e20> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +2.7606 Tw + +BT +256.7744 477.506 Td +/F3.0 10.5 Tf +<6d65696530> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +2.7606 Tw + +BT +283.0244 477.506 Td +/F1.0 10.5 Tf +<2e2043616e206265207573656420666f722066617374657220736f66747761726520766563746f72696e67207768656e> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 461.726 Td +/F1.0 10.5 Tf +<6d6f6469666965642065787465726e616c20696e7465727275707420766563746f72696e672028> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +243.1095 461.726 Td +/F3.0 10.5 Tf +<6d696463722e656976656374203d2031> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +327.1095 461.726 Td +/F1.0 10.5 Tf +<29206973206e6f7420696e207573652e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 424.13 49.88 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +98.12 424.13 99.76 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +197.88 424.13 349.16 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 402.35 49.88 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +98.12 402.35 99.76 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +197.88 402.35 349.16 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 349.01 49.88 53.34 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +98.12 349.01 99.76 53.34 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +197.88 349.01 349.16 53.34 re +f +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 445.91 m +98.12 445.91 l +S +[] 0 d +1.25 w +0.8667 0.8667 0.8667 SCN +48.24 424.13 m +98.12 424.13 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 446.16 m +48.24 423.505 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 446.16 m +98.12 423.505 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +51.24 430.946 Td +/F2.0 10.5 Tf +<42697473> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 445.91 m +197.88 445.91 l +S +[] 0 d +1.25 w +0.8667 0.8667 0.8667 SCN +98.12 424.13 m +197.88 424.13 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 446.16 m +98.12 423.505 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 446.16 m +197.88 423.505 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +101.12 430.946 Td +/F2.0 10.5 Tf +<4e616d65> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 445.91 m +547.04 445.91 l +S +[] 0 d +1.25 w +0.8667 0.8667 0.8667 SCN +197.88 424.13 m +547.04 424.13 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 446.16 m +197.88 423.505 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 446.16 m +547.04 423.505 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +200.88 430.946 Td +/F2.0 10.5 Tf +<4465736372697074696f6e> Tj +ET + +0.0 0.0 0.0 scn +1.25 w +0.8667 0.8667 0.8667 SCN +48.24 424.13 m +98.12 424.13 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 402.35 m +98.12 402.35 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 424.755 m +48.24 402.1 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 424.755 m +98.12 402.1 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +51.24 409.166 Td +/F2.0 10.5 Tf +<33313a35> Tj +ET + +0.0 0.0 0.0 scn +1.25 w +0.8667 0.8667 0.8667 SCN +98.12 424.13 m +197.88 424.13 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 402.35 m +197.88 402.35 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 424.755 m +98.12 402.1 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 424.755 m +197.88 402.1 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +101.12 409.166 Td +/F2.0 10.5 Tf +<2d> Tj +ET + +0.0 0.0 0.0 scn +1.25 w +0.8667 0.8667 0.8667 SCN +197.88 424.13 m +547.04 424.13 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 402.35 m +547.04 402.35 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 424.755 m +197.88 402.1 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 424.755 m +547.04 402.1 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +200.88 409.166 Td +/F1.0 10.5 Tf +<52455330> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 402.35 m +98.12 402.35 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 349.01 m +98.12 349.01 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 402.6 m +48.24 348.76 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 402.6 m +98.12 348.76 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +51.24 387.386 Td +/F2.0 10.5 Tf +<343a30> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 402.35 m +197.88 402.35 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 349.01 m +197.88 349.01 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +98.12 402.6 m +98.12 348.76 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 402.6 m +197.88 348.76 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +101.12 387.386 Td +/F2.0 10.5 Tf +<2d> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 402.35 m +547.04 402.35 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 349.01 m +547.04 349.01 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +197.88 402.6 m +197.88 348.76 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 402.6 m +547.04 348.76 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +200.88 387.386 Td +/F1.0 10.5 Tf +<496e646578206f6620746865206c6f776573742d6e756d6265726564206163746976652065787465726e616c20696e746572727570742e2041204c53422d6669727374> Tj +ET + + +BT +200.88 371.606 Td +/F1.0 10.5 Tf +<7072696f7269747920656e636f6465206f6620> Tj +ET + +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +293.343 371.606 Td +/F3.0 10.5 Tf +<6d656970302026206d65696530> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +361.593 371.606 Td +/F1.0 10.5 Tf +<2e205a65726f207768656e206e6f2065787465726e616c20696e7465727275707473> Tj +ET + + +BT +200.88 355.826 Td +/F1.0 10.5 Tf +<61726520626f74682070656e64696e6720616e6420656e61626c65642e> Tj +ET + +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 318.326 Td +/F2.0 13 Tf +[<332e322e352e204d61> 20.0195 <7962652d61646473>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +2.4768 Tw + +BT +48.24 291.766 Td +/F1.0 10.5 Tf +<416e206f7074696f6e20746f20636c65617220612062697420696e20> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +2.4768 Tw + +BT +195.3053 291.766 Td +/F3.0 10.5 Tf +<6d65696530> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +2.4768 Tw + +BT +221.5553 291.766 Td +/F1.0 10.5 Tf +[<207768656e207468617420696e746572727570742069732074616b> 20.0195 <656e2c20616e6420736574206974207768656e20616e20>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +2.4768 Tw + +BT +492.4528 291.766 Td +/F3.0 10.5 Tf +<6d726574> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +2.4768 Tw + +BT +513.4528 291.766 Td +/F1.0 10.5 Tf +<206861732061> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 275.986 Td +/F1.0 10.5 Tf +<6d61746368696e6720> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +98.0625 275.986 Td +/F3.0 10.5 Tf +<6d6361757365> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +129.5625 275.986 Td +/F1.0 10.5 Tf +[<20666f72207468617420696e746572727570742e204d616b> 20.0195 <657320707265656d7074696f6e20737570706f7274206561736965722e>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +q +0.0 0.0 0.0 scn +0.0 0.0 0.0 SCN +1 w +0 J +0 j +[] 0 d +/Stamp1 Do +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +541.009 14.263 Td +/F1.0 9 Tf +<37> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +Q +Q + +endstream +endobj +69 0 obj +<< /Type /Page +/Parent 3 0 R +/MediaBox [0 0 595.28 841.89] +/CropBox [0 0 595.28 841.89] +/BleedBox [0 0 595.28 841.89] +/TrimBox [0 0 595.28 841.89] +/ArtBox [0 0 595.28 841.89] +/Contents 68 0 R +/Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] +/Font << /F1.0 8 0 R +/F3.0 18 0 R +/F1.1 19 0 R +/F2.0 17 0 R +>> +/XObject << /Stamp1 133 0 R +>> +>> +>> +endobj +70 0 obj +[69 0 R /XYZ 0 566.31 null] +endobj +71 0 obj +[69 0 R /XYZ 0 337.01 null] +endobj +72 0 obj +<< /Border [0 0 0] +/Dest (_introduction) +/Subtype /Link +/Rect [48.24 748.79 122.916 763.07] +/Type /Annot +>> +endobj +73 0 obj +<< /Border [0 0 0] +/Dest (_introduction) +/Subtype /Link +/Rect [541.1705 748.79 547.04 763.07] +/Type /Annot +>> +endobj +74 0 obj +<< /Border [0 0 0] +/Dest (_instruction_cycle_counts) +/Subtype /Link +/Rect [48.24 730.31 181.3485 744.59] +/Type /Annot +>> +endobj +75 0 obj +<< /Border [0 0 0] +/Dest (_instruction_cycle_counts) +/Subtype /Link +/Rect [541.1705 730.31 547.04 744.59] +/Type /Annot +>> +endobj +76 0 obj +<< /Border [0 0 0] +/Dest (_rv32i) +/Subtype /Link +/Rect [60.24 711.83 109.1828 726.11] +/Type /Annot +>> +endobj +77 0 obj +<< /Border [0 0 0] +/Dest (_rv32i) +/Subtype /Link +/Rect [541.1705 711.83 547.04 726.11] +/Type /Annot +>> +endobj +78 0 obj +<< /Border [0 0 0] +/Dest (_m_extension) +/Subtype /Link +/Rect [60.24 693.35 142.119 707.63] +/Type /Annot +>> +endobj +79 0 obj +<< /Border [0 0 0] +/Dest (_m_extension) +/Subtype /Link +/Rect [541.1705 693.35 547.04 707.63] +/Type /Annot +>> +endobj +80 0 obj +<< /Border [0 0 0] +/Dest (_c_extension) +/Subtype /Link +/Rect [60.24 674.87 138.717 689.15] +/Type /Annot +>> +endobj +81 0 obj +<< /Border [0 0 0] +/Dest (_c_extension) +/Subtype /Link +/Rect [541.1705 674.87 547.04 689.15] +/Type /Annot +>> +endobj +82 0 obj +<< /Border [0 0 0] +/Dest (_privileged_instructions_including_zicsr) +/Subtype /Link +/Rect [60.24 656.39 278.262 670.67] +/Type /Annot +>> +endobj +83 0 obj +<< /Border [0 0 0] +/Dest (_privileged_instructions_including_zicsr) +/Subtype /Link +/Rect [541.1705 656.39 547.04 670.67] +/Type /Annot +>> +endobj +84 0 obj +<< /Border [0 0 0] +/Dest (_csrs) +/Subtype /Link +/Rect [48.24 637.91 83.205 652.19] +/Type /Annot +>> +endobj +85 0 obj +<< /Border [0 0 0] +/Dest (_csrs) +/Subtype /Link +/Rect [541.1705 637.91 547.04 652.19] +/Type /Annot +>> +endobj +86 0 obj +<< /Border [0 0 0] +/Dest (_standard_csrs) +/Subtype /Link +/Rect [60.24 619.43 152.2095 633.71] +/Type /Annot +>> +endobj +87 0 obj +<< /Border [0 0 0] +/Dest (_standard_csrs) +/Subtype /Link +/Rect [541.1705 619.43 547.04 633.71] +/Type /Annot +>> +endobj +88 0 obj +<< /Border [0 0 0] +/Dest (_mvendorid) +/Subtype /Link +/Rect [72.24 600.95 156.051 615.23] +/Type /Annot +>> +endobj +89 0 obj +<< /Border [0 0 0] +/Dest (_mvendorid) +/Subtype /Link +/Rect [541.1705 600.95 547.04 615.23] +/Type /Annot +>> +endobj +90 0 obj +<< /Border [0 0 0] +/Dest (_marchid) +/Subtype /Link +/Rect [72.24 582.47 142.8105 596.75] +/Type /Annot +>> +endobj +91 0 obj +<< /Border [0 0 0] +/Dest (_marchid) +/Subtype /Link +/Rect [541.1705 582.47 547.04 596.75] +/Type /Annot +>> +endobj +92 0 obj +<< /Border [0 0 0] +/Dest (_mimpid) +/Subtype /Link +/Rect [72.24 563.99 139.839 578.27] +/Type /Annot +>> +endobj +93 0 obj +<< /Border [0 0 0] +/Dest (_mimpid) +/Subtype /Link +/Rect [541.1705 563.99 547.04 578.27] +/Type /Annot +>> +endobj +94 0 obj +<< /Border [0 0 0] +/Dest (_mstatus) +/Subtype /Link +/Rect [72.24 545.51 139.776 559.79] +/Type /Annot +>> +endobj +95 0 obj +<< /Border [0 0 0] +/Dest (_mstatus) +/Subtype /Link +/Rect [541.1705 545.51 547.04 559.79] +/Type /Annot +>> +endobj +96 0 obj +<< /Border [0 0 0] +/Dest (_misa) +/Subtype /Link +/Rect [72.24 527.03 124.341 541.31] +/Type /Annot +>> +endobj +97 0 obj +<< /Border [0 0 0] +/Dest (_misa) +/Subtype /Link +/Rect [541.1705 527.03 547.04 541.31] +/Type /Annot +>> +endobj +98 0 obj +<< /Border [0 0 0] +/Dest (_custom_csrs) +/Subtype /Link +/Rect [60.24 508.55 143.9145 522.83] +/Type /Annot +>> +endobj +99 0 obj +<< /Border [0 0 0] +/Dest (_custom_csrs) +/Subtype /Link +/Rect [541.1705 508.55 547.04 522.83] +/Type /Annot +>> +endobj +100 0 obj +<< /Border [0 0 0] +/Dest (_midcr) +/Subtype /Link +/Rect [72.24 490.07 130.2525 504.35] +/Type /Annot +>> +endobj +101 0 obj +<< /Border [0 0 0] +/Dest (_midcr) +/Subtype /Link +/Rect [541.1705 490.07 547.04 504.35] +/Type /Annot +>> +endobj +102 0 obj +<< /Border [0 0 0] +/Dest (_meie0) +/Subtype /Link +/Rect [72.24 471.59 130.809 485.87] +/Type /Annot +>> +endobj +103 0 obj +<< /Border [0 0 0] +/Dest (_meie0) +/Subtype /Link +/Rect [541.1705 471.59 547.04 485.87] +/Type /Annot +>> +endobj +104 0 obj +<< /Border [0 0 0] +/Dest (_meip0) +/Subtype /Link +/Rect [72.24 453.11 131.628 467.39] +/Type /Annot +>> +endobj +105 0 obj +<< /Border [0 0 0] +/Dest (_meip0) +/Subtype /Link +/Rect [541.1705 453.11 547.04 467.39] +/Type /Annot +>> +endobj +106 0 obj +<< /Border [0 0 0] +/Dest (_mlei) +/Subtype /Link +/Rect [72.24 434.63 122.577 448.91] +/Type /Annot +>> +endobj +107 0 obj +<< /Border [0 0 0] +/Dest (_mlei) +/Subtype /Link +/Rect [541.1705 434.63 547.04 448.91] +/Type /Annot +>> +endobj +108 0 obj +<< /Border [0 0 0] +/Dest (_maybe_adds) +/Subtype /Link +/Rect [72.24 416.15 160.7128 430.43] +/Type /Annot +>> +endobj +109 0 obj +<< /Border [0 0 0] +/Dest (_maybe_adds) +/Subtype /Link +/Rect [541.1705 416.15 547.04 430.43] +/Type /Annot +>> +endobj +110 0 obj +<< /Type /Outlines +/Count 21 +/First 111 0 R +/Last 119 0 R +>> +endobj +111 0 obj +<< /Title +/Parent 110 0 R +/Count 0 +/Next 112 0 R +/Dest [7 0 R /XYZ 0 841.89 null] +>> +endobj +112 0 obj +<< /Title +/Parent 110 0 R +/Count 0 +/Next 113 0 R +/Prev 111 0 R +/Dest [10 0 R /XYZ 0 841.89 null] +>> +endobj +113 0 obj +<< /Title +/Parent 110 0 R +/Count 0 +/Next 114 0 R +/Prev 112 0 R +/Dest [12 0 R /XYZ 0 841.89 null] +>> +endobj +114 0 obj +<< /Title +/Parent 110 0 R +/Count 4 +/First 115 0 R +/Last 118 0 R +/Next 119 0 R +/Prev 113 0 R +/Dest [21 0 R /XYZ 0 841.89 null] +>> +endobj +115 0 obj +<< /Title +/Parent 114 0 R +/Count 0 +/Next 116 0 R +/Dest [21 0 R /XYZ 0 721.61 null] +>> +endobj +116 0 obj +<< /Title +/Parent 114 0 R +/Count 0 +/Next 117 0 R +/Prev 115 0 R +/Dest [29 0 R /XYZ 0 467.19 null] +>> +endobj +117 0 obj +<< /Title +/Parent 114 0 R +/Count 0 +/Next 118 0 R +/Prev 116 0 R +/Dest [43 0 R /XYZ 0 841.89 null] +>> +endobj +118 0 obj +<< /Title +/Parent 114 0 R +/Count 0 +/Prev 117 0 R +/Dest [43 0 R /XYZ 0 651.93 null] +>> +endobj +119 0 obj +<< /Title +/Parent 110 0 R +/Count 12 +/First 120 0 R +/Last 126 0 R +/Prev 114 0 R +/Dest [51 0 R /XYZ 0 841.89 null] +>> +endobj +120 0 obj +<< /Title +/Parent 119 0 R +/Count 5 +/First 121 0 R +/Last 125 0 R +/Next 126 0 R +/Dest [51 0 R /XYZ 0 705.83 null] +>> +endobj +121 0 obj +<< /Title +/Parent 120 0 R +/Count 0 +/Next 122 0 R +/Dest [51 0 R /XYZ 0 665.75 null] +>> +endobj +122 0 obj +<< /Title +/Parent 120 0 R +/Count 0 +/Next 123 0 R +/Prev 121 0 R +/Dest [51 0 R /XYZ 0 561.13 null] +>> +endobj +123 0 obj +<< /Title +/Parent 120 0 R +/Count 0 +/Next 124 0 R +/Prev 122 0 R +/Dest [51 0 R /XYZ 0 456.51 null] +>> +endobj +124 0 obj +<< /Title +/Parent 120 0 R +/Count 0 +/Next 125 0 R +/Prev 123 0 R +/Dest [51 0 R /XYZ 0 351.89 null] +>> +endobj +125 0 obj +<< /Title +/Parent 120 0 R +/Count 0 +/Prev 124 0 R +/Dest [51 0 R /XYZ 0 290.83 null] +>> +endobj +126 0 obj +<< /Title +/Parent 119 0 R +/Count 5 +/First 127 0 R +/Last 131 0 R +/Prev 120 0 R +/Dest [51 0 R /XYZ 0 229.77 null] +>> +endobj +127 0 obj +<< /Title +/Parent 126 0 R +/Count 0 +/Next 128 0 R +/Dest [51 0 R /XYZ 0 146.13 null] +>> +endobj +128 0 obj +<< /Title +/Parent 126 0 R +/Count 0 +/Next 129 0 R +/Prev 127 0 R +/Dest [62 0 R /XYZ 0 665.43 null] +>> +endobj +129 0 obj +<< /Title +/Parent 126 0 R +/Count 0 +/Next 130 0 R +/Prev 128 0 R +/Dest [62 0 R /XYZ 0 321.23 null] +>> +endobj +130 0 obj +<< /Title +/Parent 126 0 R +/Count 0 +/Next 131 0 R +/Prev 129 0 R +/Dest [69 0 R /XYZ 0 566.31 null] +>> +endobj +131 0 obj +<< /Title +/Parent 126 0 R +/Count 0 +/Prev 130 0 R +/Dest [69 0 R /XYZ 0 337.01 null] +>> +endobj +132 0 obj +<< /Nums [0 << /P (i) +>> 1 << /P (ii) +>> 2 << /P (1) +>> 3 << /P (2) +>> 4 << /P (3) +>> 5 << /P (4) +>> 6 << /P (5) +>> 7 << /P (6) +>> 8 << /P (7) +>>] +>> +endobj +133 0 obj +<< /Type /XObject +/Subtype /Form +/BBox [0 0 595.28 841.89] +/Length 162 +>> +stream +q +/DeviceRGB cs +0.0 0.0 0.0 scn +/DeviceRGB CS +0.0 0.0 0.0 SCN +1 w +0 J +0 j +[] 0 d +q +0.25 w +/DeviceRGB CS +0.8667 0.8667 0.8667 SCN +48.24 30.0 m +547.04 30.0 l +S +Q +Q + +endstream +endobj +134 0 obj +<< /Type /XObject +/Subtype /Form +/BBox [0 0 595.28 841.89] +/Length 162 +>> +stream +q +/DeviceRGB cs +0.0 0.0 0.0 scn +/DeviceRGB CS +0.0 0.0 0.0 SCN +1 w +0 J +0 j +[] 0 d +q +0.25 w +/DeviceRGB CS +0.8667 0.8667 0.8667 SCN +48.24 30.0 m +547.04 30.0 l +S +Q +Q + +endstream +endobj +135 0 obj +<< /Length1 16236 +/Length 10218 +/Filter [/FlateDecode] +>> +stream +x{ xSǵ[l)[,$ٖeے?eY6Xe !J8&I%"M M{r i{qrsOҞniim|ddC޳~̚kkZkf B(١I%i(@GN,\kgY'%@(|slrnWeٟІs:>CH%ԧM:v0e$Co;ݼePvͽ~RP~c1l}L;BnNBsP_83yX/"v̌N*1Ս~!SBL11"C( owgX88H%VbJHLBW +itqD(+;G +EJJLrKEeU=j>``wJbQBJVIU#lZۍܟymA .2ccAF1ƲwO?|_|V]x>%V61*Xfz +Z˞[^2yX@jY@ + +MkKMO۸/IU2,cfXR0;Wʨ2/XZ\Stnb2F{(~Wn>_EƻЂ41AcKvuv1|[kNNlqciNL^%bM†&dF9i]=nO+T6 4(I]S26c+xvb=U "tB% j*ÚIȄ2s^XY" ҙc΄&On+)KSJ;OY36T/d4V2aӔkhXMJtWwGie- +XUv쩟-Z^x_<` +R# ooy$<[z,ꇱalmDԫc)\ӌ&Z[6qf_o*o'F 3G{{j6zijrcVcVùE=zʔP.iʉӍݵjcߤƪ +eZL[Ə~Y?\Zٚ]p~2֔ɩu^cO15t{c'՛XUt >GL"cf`hb:xҦM=Ƿmvtu ={u#2K#ZGNEYO/|M|.x^v&eO/$˵e*п=Pa,PyC,Yz}4+_V`]w U!9iԓƭ"l +WccV5lgZCj0"+U9 KLQȗK:FiPL\r&6[x9{N8^aPk|uӍ\!j-`?THJ}=X!sR{U6m1w@SvSY||&I0:Sj![aTEîwULm^>׌%a%s,HlxvhI᫶669Io9C~L  +xQ<"=qægFtcW%Igh>]lSղ&}׳Nճ)婡JM{e6:eLQ]n"⿓Uk"V ! +RQ\>̗/.w?\< gK:$ÕwD__Z0cbU:>WKElZRI''>l +t SO9e N.Ҳ09e'헯@eSIjTPm˾&l^ *O5k)$ + ߍn>g[-J!3 s@Nt?ZhWT8oG +`ˈ'辬#S9Z^/ +yu7&aI]~U_%_Mo*9ٶ5+w2Vd> +,b_x-X $q'{ڣiR +:J>߹+-XnjoRx"6I=ҹu!bupGפ[!|zn3'2$tmܓ1=A$=fxW{w'6I +B ;6}STO7.>}ƋU( +aƳ-1?͏>JcSۛS䦙\? 3 6nGNt{Ra9bK{^eiCfDWOG<|Y&K|W|XFؒѸ⼘cܒ:c>Nm=4; EӾ UjW .>ZT{ +AܦT&phK<((!/i(sZ)mf+Wo1%'[hURneYS#GMY}1O8qnz۹ f|vзwqpOз`G^ZM͞tXX6Ei +JT}- s.N,h5֕*9O2bzPzǻ:JoH )YFzėz),XƲ RlVpMW wܠ\7QTIo@no"5]R2ܶW^$!HTqQDR+&0'4K=}qw͟ *]1g7DG$b?Jwx VTg3aH')2>(Dy0b4v5ńq/ }/akT"QkR+ 49iXN͗!Hߣy|rvJTZ5XXFd:#aԍ&nnAKE5?ts0`&7@61^rdqdRlRL6LD&I׷]]#? ,95܁'zS^ddF~iR\:P625Unl18<}gP~MNuxSiRa aFYHOTHEdž +-d+ +UCϣ1 QU4Es'AR̫+7MBDdV3;nQ!U.s@}uko^6/?}/7!|llR>9ٔHyC89W4yHWpʡht&fN?)|VbB2&FG$9 ؖ5"KZ",Lp$o=2<G2]Aj}LUdTIf2;!!M\di +Fv77ӷW?n̛7a6(Wet>]4k)=.km'@j #UvRH]w9afV㊙ބ8@uKO5uq*2)f'Дo(^E^`=k_}ی.yXH.dSeƮލ'k6v bզSfQ՝А Q@su) \5p:N3g__ԏ7C֞}=x:[JB{]#7>jAHzQPsϗ/_nNTSr]QTm۸qkes~>1|YK/JJ\/}OL3qJ~ĘW%'jZ#{cTH#wO?Hu1i)E)tfr[)}IrR@>cG cwT"2VL r˴ hMBK_jʮs hwƸ"5Yi:4W;d1:uK3#u'MCvnsKW$N,zե+K,޵5Y +%MɑD$OQF į'ү!zYlٛmmJئƏEkҋ!5+ Yy +_`5YmDf߻&OIhUM~-I#rs=s*ߖ̡⁐(X$O +^1p|7&R1aECKlix9)Cl0 = F̬7~H1z ])]Yz1~+ZWj˷B EԈ= 1|f<mNHĂ.)$1d:\rA緞mey6[uh20>UR`t +C:nb-X_`0ׇ~_uqd%4KmMc'&=#TM|"B)ġ`CbkNl͊r'}|fRߒ I~;9s gݎX\J+cBl!Ko22 +TMJ_O֙Tzϫ샱YL Knǐߧ3KK35 +EͬպVN~GúQ> ~G#4HH?FB ŻϘfydOp +n{B/zT7wA9A]&镜&<|!_CBvXX~Cao3?qdeÑe:$^*?ȧ9F+hJ&f2}CvPs' iDE :4/zKElG-gp|R:49j}\܇޻A(S&RF hM@Wl4g'p֣稈kAz ǑY-}w3H"5b(;$\<1F_J._@(i7QO)%D"ZG`-7i}$:%2]έ ?1 1ccXkmCY 9ySL#$Bcef>G=& BR s,L@( (z'iPʆ"J.D|dʈ +Q~M RUAvRUo| 56Ԏ:P'.ȎzMmF0FOVRR*z*)H%/>:ɟ^^Y[>: ^9}tɰG0lE(Wb{|A(j|GX.AYW>z01>z0`R}c=p>z(23^EP꣇&Μ~G ==\5=0;>:6uӣNajHWLLw8]au͠4(Cs`b>CA7&J h + !瘣)b G H5A\; gU2)9 +b+v ;:Z} <{jo(Como'HEgv2tFt@%g7q<`4F'.qɴ%Oc:u3TI_#.~>Oұt8ݩU?y*üO&3;K95>շ6s. :*G褙Ftnj#Y*!_Nf(K D|y` Ǣ6, 'c 䊙WVP%7dIn[r}+8j{ږږq2~|_eX<($x["q$"P6M(Ó+Z0my q,8hA=8YV|8 + >Ap}>}W}p#ۼ-89Dg(nXTuK+\8c,| >k]e`aۉc~icy:8S8)SLvr䎓,z2ə'?ɅL{q|qrC?{?{]?v1)3i6 't%Ƭ(xy_ZyHHxҩw2Ö~8c ]"#}-t&,6[^[]6gV Qfa']q.)2Dv1pC]OG^d#ߋN}et qqX/'.Z;.t46#nu'Z{Gܨw"ƏXDkZ]Xt\Z?(QŰř@K+okqG `kc7݌s bJoU8S % {[Y +{y_EjkV_@ME7nj#ADB; JX=-R5 nQ:oB^Y/?ʡti4A6OP3c,w}WV`qtTs1Wo8@ET}n0 $bՌw+ܲ*7TEb[&&<3Zl헑ad0LYa#]r[zE`w43?TT:7Se7u +Mb +ŽS׬c#^6`nZ9 ƒPYWwZg(RYWv ;qVڑ"bzU~nbR>UrU{hs}z4P=#nKC5ǧWRXRA@tfuj~]u_Mc@7"n)ʩ #:Lf,^XE^F[+MFMZWyQ_G:{헣_a0S5Ps1 y,*!O +S$Z$~FC/-OcyiJ#h)a 0__|glq8Dvc 拘Cg;T$rB/ń6gbT->#{-t|^MIܤ{WsM!? !>6уy]G/яi:$$<!Sڎ}E_@Vi@[@Q\=Yc Q[SE zV F@'%OAG+R[1N䘁a:?@Ϡh| +kKB++ytG@|OXp޻fr> +endobj +137 0 obj +<< /Length 1286 +/Filter [/FlateDecode] +>> +stream +xenFὮBtHs&@nu{stԒ + }i /y_!ut~]snVyg쾝+|<.÷}v)[eO/_q9_ɯ}ഏOmt_LLv͵LJ1w9)e6=nPҪtN*eP)DT.ʕrU)BYTVʪQ6[ky ^#k5x5F^ky ^#k5x5F^kZy-^+kxZV^kZy-^+kxZV^:y^'uxu:N^:y^'uxu:N^zy=^/xz^^zy=^/xz^ހ7y o xo7 Aހ7y o xo7 Aވ7Fy#(oĻnuW.I$$*I$ITH$$QI"IDD%$JI$$*I$ITH$$III$$%I$I|›Mx o7M&Iބ7ɛ&y$o›Mx o7M.xyUo ުMMol x; +JÂ31x; +JJ특xxx+!ÊwBxbx+ށr;2kΜJYeY7+|x oS7+[ƛețךyޢoV浖 -㭌"RW*4XqC^J[(^1»y]k}YM-x Vz[YEVY_}/7*Y%eӫq+:.7JE/3Y(Y*AW RVJS:(u@cD]a*f)9J)o,#\Z>MU\jPS {HSMj{fkyGm[z*Esa>&ӫj%u; 2^W[®v[2쯲u[P:V̡Յ> MBi2 .Ħԇ!dk`=o qWޕwdJF(L164U)x0E~Z?=/ί~:o?$O +endstream +endobj +138 0 obj +[259 500 500 500 500 500 500 500 346 346 500 500 250 310 250 288 559 559 559 559 559 559 559 559 559 559 286 500 500 500 500 500 500 705 653 613 727 623 500 500 792 367 356 500 623 937 763 742 604 742 655 543 612 716 674 1046 500 500 591 359 500 359 500 500 577 562 613 492 613 535 369 538 634 319 299 584 310 944 645 577 613 613 471 451 352 634 579 861 578 564 511 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 361 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 259 500 500 500 500 500 500 500 500 500 500 250 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500] +endobj +139 0 obj +<< /Length1 13112 +/Length 8378 +/Filter [/FlateDecode] +>> +stream +x{ t׵93$[۲ƒld}lߒm ɖ2 !@@ |JJh%˧Jzo4/-]Mn&moh !7]o=g}Ϟ JFv$@'C[-B8utbHWwPa{&"w^L(X/o "N}`VmO!Mg"Ԩ` ;'+1J N  /~ƝSБ +p!rhdj4T~Po#C"dQk0bC( B . EI"O$HepCKMC +TQ:LMVvbr F_a.@!kDpHPN+|1b٫+Ǩٹ@|! %BDIqj .U ϝţ~wz2}wbu?̓?u,:2OJJrTHfs8Z,/r9ﱏ9O/ywE/McJ+)~R\] wq)JCpJE +ĮLK j4j=Sc P/`86é0VBP^޽-[^;e|4y؟ޜx{+ذ؟x'?>!G GB҃\!Xֳ$]0iZ>ǡ?&gB9E[˴ʼ2Xm(1lVL!5.b]蒺\CPrmG~,l||m|՟*ߚx Ր2]˧~05sjKžַ_ob6;S*Ҳ*`cVBE^KjqYaRXđ/"ȲCeE;6ޱnMvz);ʧ0:=]_sMUڡ:]gr1o=\?ustUs}c^AȀWX}OF {G넽PCwur;KSH֑5}|Q9Sm ƐP,)(&>ZI($Vطo'zut_zw[ZU'* xB>34ȔKcLVс1ລ^Rd/9yer9ZR$ tFL横nymo9Kz-;=&LL7bيDsz +'w-ooj(kmsj o<2m;캁p$Q9ďlCVT3!RA!$עR<+g{l_~;?ѭ6e&U7ыsq~J^1ظi}y]IyũT׺;[PBVqn#+qkr'Z[Ms\ Ώ>!mq?1rlnq0V"GZf4ęľ=|*RըJzC2e Lb2Ċ7' 5EZtt>ё#nf} -'ݭ*Tt,Zpf_~4?Ы6fdFU/s8'ñ.Ό$|6q[&03at\kX쾇Ѝ[A8:Si 4A^1[&2{Mq+}a,szEgg%L[ch$O|ʳRrfjy\5>zM޸:bu+ 5Ӣy|+C]]HbbmJSYnRYw0P 8b)O p +Y:C^ijdA6؞o /{yI;1'<ۨopBݫ$5v}9xO_'H%ᄸV12gv_7ClHSnW,3?XjCVSNQݷ:v.0C|0UNĪ tnm3x,vT iYb$cSd\"V9Ox~R=@+?-~=6IO|al:rJ۟K3:~:s6Sme:{{7^kZĦ7k]]tVcG֛[~[Q9RTdU:l^Wn >2Q&ky,h5jn^a^;euP ߑV2J1\fL8Ws^~ZcJR뒽R*Tc}F5* N| 6C4(_ "SvKy1*58V]Cq ;"86RboVILF<=(R$ /d* P "Ű V&*lVQ.e)O}"‰L>wf wRs ncǮv\!Q,d1ȩAzT4bkGw2 +${JA.vOⅇ(L[V'-FkbU4:4E:yn_̣k&i39ˮ7<=5EYq<DŽGWai)k/R:w\QK ȯK"&Goe@ދBԚm0F,BPk(rǨTVWcR +4:1ÑUnW(kϴIrlSP#=E-U}. Kˋ9>!?Z칯m0M l0Hr--`{KXUnST~v*,:=d6=af,S{m>jʆjoKvaT1l™4uj_U{KK7<6\qGK20IbJ/ßYQPvT;-5me9Љu}x3UESN¦LM6+8>V#XQ`31Z& E:\"o6吜T|AJ5Ugn5|y?iK6%wYNCA[U.3evquEρJ)LÜ}lim!I7eg%83a١qU돎:NΨ(i*=S +DTLAmA]hm#(RxO(a:K)9E*uT u'M)ɪ,ܔ +G1Q׊5W#G֦Wd9Wdjyե4o&C!m_x?^ޙ&yN] +ŨRISJOq>'$)3T=mnm>r61T+JljYyH o2S4zի=cD}5ysM^/-` r Nd|1o_!,rin#M.Ó4(œ]PsCۺv\_F kf\lX)RDTހZPQK r\r *e1bG56q۳nifqhbU˙2,755<tm̭R/:,3.]u{/(MN?p.tmZ 0V޼1+ ?p'Wұ.q w7V¡M^ݾ-nU[/ϑ{@.)@tlzڛ=϶76c)o{}oZ謬p{gȏ][:CSS'CLN>ý/ EPVx&21*uƋf\Y,&ld) !AGJ GB +tigF՝ WgϬ?#,^@<"q_tcއB-fNxw 긿ȗ(\[;Am-U'S*cIN贔$NXVuO + +UnySs]z KiVbM9j1.Se(*Y]Qoڱ<57?_Wmd&=3]铊\wZi4L,}͒]۵yWG4IFh]͞^=PA^ Yh gCɝ~*fZVŻĩRnb}wMj%{%M(fLK +J5i1{m;"ƒ)QeL5 Cz(ȋbVBI93řz&J)2RD%S%geq"O.LVR"!Yyyƍ OQK)Hr[ 3bryjʛ"L5RE RR=HH6R+C)Ly&sbrW>U5mRs08kr9Jf$`c7/*VA5 :~( FYCI$JSwQ#3N~/EFш#~D80p. Iaɟ3O$ k<_4 ؆ZڊBkVM*MB娜,A'P` ga~UØBp "  +C"C&j!.ƛ(|#ZN !不ԅ'P$R`ux2:㓣f q\qlv>,'_N Nό'B 1̎ Vc#9HIˑd9.3Lz#Y%9E䴚Ff1irOpf|tCY\:պ ? +y?=6>V@hZ(*qRD:\ +ׯEH\dD*l-[XG9Z!h0bA6.2#@ mV rӞV0FH\8lKx83xh~ fA1d +DlL'g4 ]ͫ趩d|fhZ DLJfG4O.KOv5wkz5.ok4w7@׶-ͭ:8L;33txMM ~H8Tpx|d|OGAth|UdG oNOa5<;M%Bj7Qgٍ)xcM}I.M6 ٨vY6΁P^u .3rߑ,#\"|q~.yc nk!!wµf88:ZV}ۏ5pjNfnK;Rŭ3Awe98,k?dWl'3ܚa.C3Y_6e/8 C-=2/?flB̭'na)#WTd:3>a OV-K3 )rRO~YoҁKM~^Z7(+{s9dFZVvp5 +iI)btbppRڠv +gЄ =cp}G:c~a'Z'LY&# + Ka,$']eJ6lŀqxB#`pXC3Z#@F \zÕU _F"-93hz@W w;+}3)sq㻺ꡫѫWE^}$Bm"¯pW;\}^dϩ}\к_C/F_EᎳ^9K^pW_fh''m'C'#Q\G Qώ.%G>?LBR#|%H;x5p! Hs@ +4;HRFN%z0uwv C#CC@F<)"%\Ϥdz3DA$Ta>2[Ή:[uQ@^kg?ؿT-[NȲOP]L}0t!,fP8 2 -2;;8I3$2s,lfpħ9 ̚gfg\r{z:;ZWjYպ]5UeΒBj)3 ^MWeҔDIX$P$4LS? K(Tц[Di7uf,tߘet^asxmGx~:)_)#$ +ڛ>档G{ >;(gK:-In"yiW8 p,(i>G[ +)Bɨ>*Hh}չdhgN +0@_9;7'*7GO4K̓㍚Y-7d|ɭ?dCl;7 s9C -cN'%My¨V[>MaT i\L/iasMu:V}hΎ8 % rQǎ8aGv.Xc-]}sQ``}! +Y4KK~n. R5fUK@Kdet9dX:^6Rm2] +>pѸs_LEL byǻ%>|a996^OO޻ t ;]Lk~@ŨVC s}֧ 4H3}~6(ttQiXW `Q22L&N3*6>BCD 0uUp bh2peúzq6ͧA?o!*`CiN}Fׯ, _w|_oñ nB1=U4.] ܎i >#~O%\2;gH<?"Pjo /ۉD(8O> +endobj +141 0 obj +<< /Length 1286 +/Filter [/FlateDecode] +>> +stream +xenFὮBtHs&@nu{stԒ + }i /y_!ut~]snVyg쾝+|<.÷}v)[eO/_q9_ɯ}ഏOmt_LLv͵LJ1w9)e6=nPҪtN*eP)DT.ʕrU)BYTVʪQ6[ky ^#k5x5F^ky ^#k5x5F^kZy-^+kxZV^kZy-^+kxZV^:y^'uxu:N^:y^'uxu:N^zy=^/xz^^zy=^/xz^ހ7y o xo7 Aހ7y o xo7 Aވ7Fy#(oĻnuW.I$$*I$ITH$$QI"IDD%$JI$$*I$ITH$$III$$%I$I|›Mx o7M&Iބ7ɛ&y$o›Mx o7M.xyUo ުMMol x; +JÂ31x; +JJ특xxx+!ÊwBxbx+ށr;2kΜJYeY7+|x oS7+[ƛețךyޢoV浖 -㭌"RW*4XqC^J[(^1»y]k}YM-x Vz[YEVY_}/7*Y%eӫq+:.7JE/3Y(Y*AW RVJS:(u@cD]a*f)9J)o,#\Z>MU\jPS {HSMj{fkyGm[z*Esa>&ӫj%u; 2^W[®v[2쯲u[P:V̡Յ> MBi2 .Ħԇ!dk`=o qWޕwdJF(L164U)x0E~Z?=/ί~:o?$O +endstream +endobj +142 0 obj +[259 600 600 600 600 600 600 600 399 399 600 600 600 310 293 600 559 559 559 559 559 559 600 600 600 600 304 600 600 600 600 600 600 600 671 667 767 652 600 600 600 400 600 600 600 952 788 600 638 600 707 585 652 600 698 600 600 600 666 600 600 600 600 600 600 599 648 526 648 570 407 560 666 352 600 600 352 985 666 612 645 600 522 487 404 666 605 600 645 579 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600] +endobj +143 0 obj +<< /Length1 7220 +/Length 4963 +/Filter [/FlateDecode] +>> +stream +x8kTSך{y*'@b %[_ժSm8GmSt:mc]..k;morosPκFܯo߷9##gz#" ʾ |2̿A(zpw C,B90;۰!|6!LcS0C `22<6z7_ߙ !!Y6?BhM#зk>X Ɯ; Ϻ CRšJʂy0s,CLD&p5o>6c**uB(aEpFPtdi.'7 +&saѲҿ~?@ZF$GȠ(2 +G|[t r%@/FC!NiS3fc.6 6UK[2NJswk2cDV]$3ln厪&Qdot,DfQ AX!'h7]oi"g|zʵiEd0=X-]u''3LsBAnƗ[_]"ͦ'4mִڟYȌ/lqZh0ⴓ']'Ok׭/͸B Z n[HmW+} X\J1(ld&U`Y S }iU~}0$,,,]͢Br3G҄az{FOyZ|'tMdz|/7 +4C~ q1EmΎSNLsnq=;ZEVzw:=R)M<ǩqAdAo,Ȇ0dP;E9Ket &}JBnzh%GGjcW[+kKki|BOP$t'*eV/7~Ew\vN@Z@L*7j `pQ@xqIo'Ɗ֦ΞC{-0MI]ic~E받Xy!TFM\{oZ׬V>נAMDJ+1$hZA<}uukd +uwJMVTFrK$+&oϖIj!pxXhMb!SǜƶS&CAĦeE$t!)5 ҹTSi\ |('*)B/iԨqɖJۮ͕Q{6xy*q {Qk bT;W?p:rV2e.j +Cb{م˿ r˩mx.+**`sqXQ\;t.+%\a0!WN\.qG`b`FYLK;Gy(cYCdeg +2YED|Qa @_,ApU3\'VXYjFRoH]^V<:q?3ܱcwD*3b)X1|$R_,+[\R4X)Q7;$u-$ikU-F q7)yi!4򑞑y2]ڎsF5-8K7koJvPg}IIrdjEH +TNp"#G2o5V;rz'!D U;k u? ǭ2`_m!6ݕ 6&yz:s7gcwlԀ8N7rwq'4)w*=}: wv34|Ǐ߹C.P98GŧA1tqrh$O8gN{,N} touA*硍{jˉnlhSbL=U', mbԁNekfY:,YE 7M"TgJPeA[)>gOV~^QmPs؋u +nY^; ƕܰ08,6cw/PqrNr;t6h7v Hm|211qO:/@3j "v^m[$,ܼ;^L| ]#Qͤ wy᾽uJ?+|Iml4Nb!̭ JjM \^. +3.InpSLTpeڅ +fw9kXtSY^% +C]psgrɴD[϶l?}iJ8U{Hegs)-u9W:[w OBg ]>S럫}lk4o\Mc; W%_c8#ʊЋR_8pc"}5=Rvϊ~T۞\)-_VUH>C5RXS`h\ :s&5>on,˘_YьZ^rB5̸+e$Ϫ .gt.Odywo۰ޞW[_A[o9q؜Y>|w/pN8=S-Imw{Xd59P/ڈ.Ïu%foůK&Y@JH7 QLH%iAYے/HIYiIalaa.ݒEʲdQv+dY*xi0~mm ,h +x9U{6ffuAk>mM/bDІE^vq4.E9K4{~P<77H]X=ҙgTEq{ Z ʄ߆K<Ģ|fD&нe#+BP/T&G+Pj\ ~eVFE;/q 4XJ=TJcZ Jr4! ȾEKrE|'¥GqCIX%e((D(TW=|@χ(ȩ?K#EX$!ĢyK"_qH.LQhD:SȆ@0g g𺭱8uՕ.TڀFCWVlmDFT5лgѐ7>$?0{Ѩmx(h3\Yd0r +rtp0\A~J?/F.@0s!zd@9( bsY ac0%o>^3g QA@08,/cc#`eyp:s Uƀ0>Xhc(~?36\2CArZߨwB__uwǂA?1fxǃయF:P(PG{(\P.㪩mÄIhu*Zilv1NgE˓ 1s1gfGԍc ;i($`̀;Ђlw OI> 2Pa[!QOp4<`Fzd@aC/%~SҳᏮz` ,x ZW9ƈk!Z`Ed@Re$ +3J6u +qBOxP.طa}ޝ |vL{ oٓQ"O;Vîy(m,f7]ͶuKƳ,ya]<=WtJ G324~> +endobj +145 0 obj +<< /Length 1286 +/Filter [/FlateDecode] +>> +stream +xenFὮBtHs&@nu{stԒ + }i /y_!ut~]snVyg쾝+|<.÷}v)[eO/_q9_ɯ}ഏOmt_LLv͵LJ1w9)e6=nPҪtN*eP)DT.ʕrU)BYTVʪQ6[ky ^#k5x5F^ky ^#k5x5F^kZy-^+kxZV^kZy-^+kxZV^:y^'uxu:N^:y^'uxu:N^zy=^/xz^^zy=^/xz^ހ7y o xo7 Aހ7y o xo7 Aވ7Fy#(oĻnuW.I$$*I$ITH$$QI"IDD%$JI$$*I$ITH$$III$$%I$I|›Mx o7M&Iބ7ɛ&y$o›Mx o7M.xyUo ުMMol x; +JÂ31x; +JJ특xxx+!ÊwBxbx+ށr;2kΜJYeY7+|x oS7+[ƛețךyޢoV浖 -㭌"RW*4XqC^J[(^1»y]k}YM-x Vz[YEVY_}/7*Y%eӫq+:.7JE/3Y(Y*AW RVJS:(u@cD]a*f)9J)o,#\Z>MU\jPS {HSMj{fkyGm[z*Esa>&ӫj%u; 2^W[®v[2쯲u[P:V̡Յ> MBi2 .Ħԇ!dk`=o qWޕwdJF(L164U)x0E~Z?=/ί~:o?$O +endstream +endobj +146 0 obj +[500 364 364 364 364 364 500 364 500 500 500 500 500 364 500 364 500 500 500 500 500 364 500 364 364 364 364 364 364 500 364 364 364 500 500 500 500 500 500 364 364 500 364 500 500 500 500 500 364 364 500 500 500 500 500 500 364 364 500 500 364 500 364 500 364 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364] +endobj +147 0 obj +<< /Length1 6656 +/Length 3828 +/Filter [/FlateDecode] +>> +stream +xXkp[u>{/^|%E+\芔$FI/ .GL<$znF +F+TS_SdZy$ɰINTIGSҸǓD..)R4?{wϷg={ٽ 5xRΈzU?@WqOG(WǓ cb5ʬ>Wd CItF'_Gy+tgyώDٍr8ۀ;(b|i䟣&lߓ*?9lEَ:h&M؏ Ii\#G9Z|dC"vX,&G>GRK.%d]b+}lNm![Xjj;BB_v&}Md're]d$t_"K%~D/3ՙ3gJ3z& u-yxj]Ũ+ЌwcdtJrE)=VD cx{]ڀ֡Y(ڏ64GFC|v~@?Dwe˶~b0] :R0w~۵¡b»ptF'sY;g,xUг*9Xi>Ϋ8lpoMOCFTC^5;LażqzC\./;k?r;䖐\{5Q?_(ϑ X¢ e2L csx3(vg(F9=q_ʁٽcٱuK[fi\[d4u"z)Tm 6d$# *!Uj]iG#}Yi7twvPD9$:G >BTןu]֠``l;JԩNƋΰf*>f+Z5u%[^: +`ZÆUVQNG57AT }S3.َW0nH*ط(:ŗպvuPQ\Q;$Smgޑq$,⧸#Fd 1?Vu{ED]pQ+MI,g'zk ͪ땐jm.uUE2"=$Y5[BK:COjt}j_ +p,べ:m!UK- 2Բ=,a4`Qյz"}|AV0B!՚Ϛ-Rqmo q]Vy" -ke֥hBg8@[Z_B㔜a{2ބCuC5]bnrCp6)Kdf9 uSTkTF"˭5Sɗ^ 1^i8t `č}mb0U[\QlRbIvMpT$>rӵ:eLOjAY Šۍjl5mpuo7 {zIPQTRϽf`"-!Kjc {Il]1i8ļJ"8UCA67<>Z\B7L޼ҹj?E#͞fZ4I^_K!X5e1M0gxgv-,9hQD/͵q9"k-xف_Dy߱{fz./݌m(C47g:pyl3-a2f^te1vac4x1b9H;Y"JIU^b2n`34ΎfiS'`@CACC=\(!.3LKy}:/-vᇼ=/Dx-g5? O8߁XI8 _.~Di>ZG!/h.XdW|}MWe#oxvkH߆}߁9 ڇ0/V: +_3=Poh0|Sጐ6̂k_Iq[&|G+PB~ +endstream +endobj +148 0 obj +<< /Type /FontDescriptor +/FontName /a68193+NotoSerif +/FontFile2 147 0 R +/FontBBox [-212 -250 1246 1047] +/Flags 6 +/StemV 0 +/ItalicAngle 0.0 +/Ascent 1068 +/Descent -292 +/CapHeight 1462 +/XHeight 1098 +>> +endobj +149 0 obj +<< /Length 242 +/Filter [/FlateDecode] +>> +stream +x]Pn {L6C*8H5 .$JX쌆.HA:o.aMay +0N+U*2Na[2νd% v/&x{2`}k?8аN*Wپ7ĻIEQq{ %*I lNZ1uVUbRbPxҊ25O=д}*߷zMԄ%x_ f:t +endstream +endobj +150 0 obj +[259 354 559 1000 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500] +endobj +151 0 obj +<< /Length1 6472 +/Length 3684 +/Filter [/FlateDecode] +>> +stream +xX}l[u?=,Ӫ9GzbOiYvd;EI$%JH*|D&GGJXEĥc7kph6H MyC3lMaPNQMgFEV;Ilz{y) P gr +XH|'gd ѸzMrE4Ȗ4POw)Fϱރ~édF-|~7QޙJs(_(F8x7V`C*9ހ lj.@,1>'qVl}h6M{MapPf"ڪm^|;*,,?opnpM Zpq 5m.T_׵{Ij޷Uj\-ܬgOD<၁GZqWod6˩3S}=ϼ'|82ő/n +S`kmjݷ}{5+\[>?|㻕/ߙ׾>Ś/6*0gOއ+oAPHK,J3˯y.QD~DI7i/ɋs.(`^(RpzA_R(`>#)W e;1} + +0{8` dz-E X?Ru\|O#yaHEudq#Tu$&Jv 꿬딀\q3ԓe7ß 5:^ +uBNa\.2x\C(x9mk;W@WW +/ :-䭁dj!t=t0W71c}tue褒Qǔ )X4`b8ۉ%AM"> +J^H رՇ<֓k2\RV&$),"/׈Wc3Ȫl1y$H )7.sޣ#Ȓl hyѮ82!CK +g>6ק)%%ӾNqfEmCrmwl #J\N?EónSI0 O!f72'<ܥdbs W}FxYٺ(wɪLIW"tzF*ڑC~< +0Fag#hأ:p?~>kIs@C*Rǎ$iGg$ʁ}$8֑qĒd= bY\&?I&TKΪSGIdГDDIS5P0K)B;vzH,cYEM9A|k}>>ѾɾQct`l{FQоکCsT97L&4OXmJ2)e&6rb.+*RJ:˰^IDp*\KS lf 1'&p׫|Ob@FRֶ('-,\ׇ{0c< ̇70#rgƲU~MUn_Se3>}ئe&1;Ŗ 5re/1D(~!#]~BX`a +ZUNK'3i#34_GQNVsbcf94Y,e~eVE[\HF_DHفpM e Yݦaz.efұgbdz19ZXSkέǚ~YLCNo.LV| f~%r= uڝ+PyK+~v`4Blźz0K\X@jA{qN +X"Xcx@T ; +R r`|T--eһL`ٲLžgHjER'T*, Bi R:刲2KN)$W?gZyxJ7Wyaߤ._WʱU&QtZ]b? Moy[zu+ꕦW_>%2uRW$;Zۤ`;9j M%B 9G +ue&š#XGjЃۜ +@mWMp*x[Dc=SSbo`,p5p=p;` x 5VRhT*  ^^%V]]%b朄76ϋ Hȋymd09?SZΉ## =w oZx{hD`ذl7@(ɨm!6i6-22L=UU'PKV0l-s4鰞ƣNw(&'F9<2]}C_yw|elkjTm,/+5t6[ݫm\ 8fJ8%14ͷ_νdp[EDȏ5QƱ9ѝ=Ui$_5]r6:26Ƚ)flH41r[77"Z,kzнG\8'/%jr\ʍ Z*iy!YQrP_ӻvⱠ&xhTFz%~:3Y݀@wOm6KNFA[eZ/҄0빼S`==kFsioDrO4ӓ,Erjr5#u)ZĨflE0Sؐ [[V%wX;mDѮ C-†Scv9!x4x21_чiugQb3Sw.*%*t^rU;jMakw, Zm3IA% =Ng3j€?8F&;ŽF +Z4rLU ߃Vbׂ(KįUm4CE݊KcR#KU6g`j يOGTGSV< C̗,iPRs<ý;\Y&ayڬ띫 ryM]941rI'ܫKaj+l?K71hsy(۶9I`Y3l!#v<95wS#Kz a %@&P&0I\z {  p8f^, E̲ c(5Fx~i/B\8r#G4"BHD0UheүK exo7134grqcwUè"lp3 C| pp^ Rr}Ǽڸ=6 _ky?yn;7c'n:]"- 20&8[8'௜MЉrR\/~[簧S68'7߂g/񯡾pހOX[W=^qIQp(ub4k@d%w(|_x#a}.<]Rg +endstream +endobj +152 0 obj +<< /Type /FontDescriptor +/FontName /e6a149+NotoSerif-Italic +/FontFile2 151 0 R +/FontBBox [-254 -250 1238 1047] +/Flags 70 +/StemV 0 +/ItalicAngle -12.0 +/Ascent 1068 +/Descent -292 +/CapHeight 1462 +/XHeight 1098 +>> +endobj +153 0 obj +<< /Length 1286 +/Filter [/FlateDecode] +>> +stream +xenFὮBtHs&@nu{stԒ + }i /y_!ut~]snVyg쾝+|<.÷}v)[eO/_q9_ɯ}ഏOmt_LLv͵LJ1w9)e6=nPҪtN*eP)DT.ʕrU)BYTVʪQ6[ky ^#k5x5F^ky ^#k5x5F^kZy-^+kxZV^kZy-^+kxZV^:y^'uxu:N^:y^'uxu:N^zy=^/xz^^zy=^/xz^ހ7y o xo7 Aހ7y o xo7 Aވ7Fy#(oĻnuW.I$$*I$ITH$$QI"IDD%$JI$$*I$ITH$$III$$%I$I|›Mx o7M&Iބ7ɛ&y$o›Mx o7M.xyUo ުMMol x; +JÂ31x; +JJ특xxx+!ÊwBxbx+ށr;2kΜJYeY7+|x oS7+[ƛețךyޢoV浖 -㭌"RW*4XqC^J[(^1»y]k}YM-x Vz[YEVY_}/7*Y%eӫq+:.7JE/3Y(Y*AW RVJS:(u@cD]a*f)9J)o,#\Z>MU\jPS {HSMj{fkyGm[z*Esa>&ӫj%u; 2^W[®v[2쯲u[P:V̡Յ> MBi2 .Ħԇ!dk`=o qWޕwdJF(L164U)x0E~Z?=/ί~:o?$O +endstream +endobj +154 0 obj +[600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 579 600 600 600 600 600 600 600 600 600 600 304 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600] +endobj +xref +0 155 +0000000000 65535 f +0000000015 00000 n +0000000239 00000 n +0000000443 00000 n +0000000556 00000 n +0000000607 00000 n +0000000879 00000 n +0000001085 00000 n +0000001381 00000 n +0000001548 00000 n +0000015698 00000 n +0000016294 00000 n +0000023847 00000 n +0000024215 00000 n +0000024259 00000 n +0000024308 00000 n +0000024352 00000 n +0000024396 00000 n +0000024569 00000 n +0000024744 00000 n +0000024912 00000 n +0000066726 00000 n +0000067112 00000 n +0000067156 00000 n +0000067200 00000 n +0000067250 00000 n +0000067383 00000 n +0000067514 00000 n +0000067645 00000 n +0000110569 00000 n +0000111017 00000 n +0000111150 00000 n +0000111283 00000 n +0000111416 00000 n +0000111549 00000 n +0000111682 00000 n +0000111733 00000 n +0000111866 00000 n +0000111999 00000 n +0000112132 00000 n +0000112265 00000 n +0000112398 00000 n +0000112442 00000 n +0000131742 00000 n +0000132121 00000 n +0000132165 00000 n +0000132209 00000 n +0000132259 00000 n +0000132388 00000 n +0000132438 00000 n +0000132567 00000 n +0000140057 00000 n +0000140412 00000 n +0000140456 00000 n +0000140500 00000 n +0000140544 00000 n +0000140588 00000 n +0000140632 00000 n +0000140676 00000 n +0000140720 00000 n +0000140764 00000 n +0000140808 00000 n +0000161807 00000 n +0000162175 00000 n +0000162219 00000 n +0000162526 00000 n +0000162883 00000 n +0000162927 00000 n +0000163102 00000 n +0000178097 00000 n +0000178465 00000 n +0000178509 00000 n +0000178553 00000 n +0000178677 00000 n +0000178803 00000 n +0000178940 00000 n +0000179078 00000 n +0000179196 00000 n +0000179315 00000 n +0000179438 00000 n +0000179563 00000 n +0000179686 00000 n +0000179811 00000 n +0000179962 00000 n +0000180115 00000 n +0000180230 00000 n +0000180348 00000 n +0000180474 00000 n +0000180601 00000 n +0000180722 00000 n +0000180845 00000 n +0000180965 00000 n +0000181086 00000 n +0000181204 00000 n +0000181324 00000 n +0000181443 00000 n +0000181564 00000 n +0000181680 00000 n +0000181798 00000 n +0000181922 00000 n +0000182047 00000 n +0000182166 00000 n +0000182286 00000 n +0000182404 00000 n +0000182524 00000 n +0000182642 00000 n +0000182762 00000 n +0000182879 00000 n +0000182998 00000 n +0000183122 00000 n +0000183247 00000 n +0000183325 00000 n +0000183462 00000 n +0000183654 00000 n +0000183870 00000 n +0000184163 00000 n +0000184313 00000 n +0000184501 00000 n +0000184689 00000 n +0000184983 00000 n +0000185183 00000 n +0000185394 00000 n +0000185568 00000 n +0000185748 00000 n +0000185924 00000 n +0000186104 00000 n +0000186258 00000 n +0000186461 00000 n +0000186619 00000 n +0000186791 00000 n +0000186963 00000 n +0000187131 00000 n +0000187309 00000 n +0000187476 00000 n +0000187747 00000 n +0000188018 00000 n +0000198329 00000 n +0000198545 00000 n +0000199908 00000 n +0000200824 00000 n +0000209294 00000 n +0000209515 00000 n +0000210878 00000 n +0000211793 00000 n +0000216847 00000 n +0000217062 00000 n +0000218425 00000 n +0000219340 00000 n +0000223259 00000 n +0000223475 00000 n +0000223793 00000 n +0000224709 00000 n +0000228484 00000 n +0000228710 00000 n +0000230073 00000 n +trailer +<< /Size 155 +/Root 2 0 R +/Info 1 0 R +>> +startxref +230988 +%%EOF diff --git a/doc/sections/csr.adoc b/doc/sections/csr.adoc index 84bb8b4..d6573a8 100644 --- a/doc/sections/csr.adoc +++ b/doc/sections/csr.adoc @@ -72,9 +72,9 @@ External IRQ pending register 0. Contains a read-only bit for each external inte Addresses `0xfe1` through `0xfe3` are reserved for further `meip` registers, supporting up to 128 external interrupts. -When any bit is set in `meip0`, the standard external interrupt pending bit `mip.meip` is also set. An external interrupt is taken when all of the following are true: +When any bit is set in both `meip0` and `meie0`, the standard external interrupt pending bit `mip.meip` is also set. In other words, `meip0` is filtered by `meie0` to generate the standard `mip.meip` flag. So, an external interrupt is taken when _all_ of the following are true: -* The interrupt is currently asserted in `meip0` +* An interrupt is currently asserted in `meip0` * The matching interrupt enable bit is set in `meie0` * The standard M-mode interrupt enable `mstatus.mie` is set * The standard M-mode global external interrupt enable `mie.meie` is set @@ -84,7 +84,7 @@ In this case, the processor jumps to either: * `mtvec` directly, if vectoring is disabled (`mtvec[0]` is 0) * `mtvec + 0x2c`, if vectoring is enabled (`mtvec[0]` is 1) and modified external IRQ vectoring is disabled (`midcr.eivect` is 0) * `mtvect + (mlei + 16) * 4`, if vectoring is enabled (`mtvec[0]` is 1) and modified external IRQ vectoring is enabled (`midcr.eivect` is 1). ` -** `mlei` is a read-only CSR containing the lowest-numbered +** `mlei` is a read-only CSR containing the lowest-numbered pending-and-enabled external interrupt. ==== mlei diff --git a/hdl/hazard3_config.vh b/hdl/hazard3_config.vh index 8fd466f..6efac3d 100644 --- a/hdl/hazard3_config.vh +++ b/hdl/hazard3_config.vh @@ -4,6 +4,10 @@ // your top-level instantiation, it's up to you. These parameters are all // plumbed through Hazard3's internal hierarchy to the appropriate places. +// If you add a parameter here, you should add a matching line to +// hazard3_config_inst.vh to propagate the parameter through module +// instantiations. + // ---------------------------------------------------------------------------- // Reset state configuration @@ -41,7 +45,11 @@ parameter CSR_M_MANDATORY = 1, parameter CSR_M_TRAP = 1, // CSR_COUNTER: Include performance counters and relevant M-mode CSRs -parameter CSR_COUNTER = 0, +parameter CSR_COUNTER = 1, + +// NUM_IRQ: Number of external IRQs implemented in meie0 and meip0. +// Minimum 1 (if CSR_M_TRAP = 1), maximum 32. +parameter NUM_IRQ = 32, // ---------------------------------------------------------------------------- // ID registers diff --git a/hdl/hazard3_config_inst.vh b/hdl/hazard3_config_inst.vh index e290834..0a8d67b 100644 --- a/hdl/hazard3_config_inst.vh +++ b/hdl/hazard3_config_inst.vh @@ -9,6 +9,10 @@ .CSR_M_MANDATORY (CSR_M_MANDATORY), .CSR_M_TRAP (CSR_M_TRAP), .CSR_COUNTER (CSR_COUNTER), +.NUM_IRQ (NUM_IRQ), +.MVENDORID_VAL (MVENDORID_VAL), +.MARCHID_VAL (MARCHID_VAL), +.MIMPID_VAL (MIMPID_VAL), .REDUCED_BYPASS (REDUCED_BYPASS), .MULDIV_UNROLL (MULDIV_UNROLL), .MUL_FAST (MUL_FAST), diff --git a/hdl/hazard3_core.v b/hdl/hazard3_core.v index 3152cba..314a310 100644 --- a/hdl/hazard3_core.v +++ b/hdl/hazard3_core.v @@ -53,8 +53,10 @@ module hazard3_core #( output reg [W_DATA-1:0] bus_wdata_d, input wire [W_DATA-1:0] bus_rdata_d, - // External level-sensitive interrupt sources (tie 0 if unused) - input wire [15:0] irq + // Level-sensitive interrupt sources + input wire [NUM_IRQ-1:0] irq, // -> mip.meip + input wire soft_irq, // -> mip.msip + input wire timer_irq // -> mip.mtip ); `include "hazard3_ops.vh" @@ -493,6 +495,8 @@ hazard3_csr #( // IRQ and exception requests .delay_irq_entry (xm_delay_irq_entry), .irq (irq), + .irq_software (soft_irq), + .irq_timer (timer_irq), .except (xm_except), // Other CSR-specific signalling diff --git a/hdl/hazard3_cpu_1port.v b/hdl/hazard3_cpu_1port.v index 510840d..ca912d1 100644 --- a/hdl/hazard3_cpu_1port.v +++ b/hdl/hazard3_cpu_1port.v @@ -43,8 +43,10 @@ module hazard3_cpu_1port #( output wire [W_DATA-1:0] ahblm_hwdata, input wire [W_DATA-1:0] ahblm_hrdata, - // External level-sensitive interrupt sources (tie 0 if unused) - input wire [15:0] irq + // Level-sensitive interrupt sources + input wire [NUM_IRQ-1:0] irq, // -> mip.meip + input wire irq_software, // -> mip.msip + input wire irq_timer // -> mip.mtip ); // ---------------------------------------------------------------------------- @@ -104,7 +106,9 @@ hazard3_core #( .bus_wdata_d (core_wdata_d), .bus_rdata_d (core_rdata_d), - .irq (irq) + .irq (irq), + .soft_irq (soft_irq), + .timer_irq (timer_irq) ); diff --git a/hdl/hazard3_cpu_2port.v b/hdl/hazard3_cpu_2port.v index 790b641..a18ec3f 100644 --- a/hdl/hazard3_cpu_2port.v +++ b/hdl/hazard3_cpu_2port.v @@ -56,8 +56,10 @@ module hazard3_cpu_2port #( output wire [W_DATA-1:0] d_hwdata, input wire [W_DATA-1:0] d_hrdata, - // External level-sensitive interrupt sources (tie 0 if unused) - input wire [15:0] irq + // Level-sensitive interrupt sources + input wire [NUM_IRQ-1:0] irq, // -> mip.meip + input wire soft_irq, // -> mip.msip + input wire timer_irq // -> mip.mtip ); // ---------------------------------------------------------------------------- @@ -117,7 +119,9 @@ hazard3_core #( .bus_wdata_d (core_wdata_d), .bus_rdata_d (core_rdata_d), - .irq (irq) + .irq (irq), + .soft_irq (soft_irq), + .timer_irq (timer_irq) ); // ---------------------------------------------------------------------------- diff --git a/hdl/hazard3_csr.v b/hdl/hazard3_csr.v index 7f9d886..93d7321 100644 --- a/hdl/hazard3_csr.v +++ b/hdl/hazard3_csr.v @@ -70,10 +70,14 @@ module hazard3_csr #( input wire [XLEN-1:0] mepc_in, // Exceptions must *not* be a function of bus stall. - input wire delay_irq_entry, - input wire [15:0] irq, input wire [W_EXCEPT-1:0] except, + // Level-sensitive interrupt sources + input wire delay_irq_entry, + input wire [NUM_IRQ-1:0] irq, + input wire irq_software, + input wire irq_timer, + // Other CSR-specific signalling input wire instr_ret ); @@ -215,6 +219,12 @@ localparam MHPMEVENT29 = 12'h33d; // WARL (we tie to 0) localparam MHPMEVENT30 = 12'h33e; // WARL (we tie to 0) localparam MHPMEVENT31 = 12'h33f; // WARL (we tie to 0) +// Custom M-mode CSRs: +localparam MIDCR = 12'hbc0; // Implementation-defined control register (bag of bits) +localparam MEIE0 = 12'hbe0; // External interrupt enable register 0 +localparam MEIP0 = 12'hfe0; // External interrupt pending register 0 +localparam MLEI = 12'hfe4; // Lowest external interrupt number + // ---------------------------------------------------------------------------- // CSR state + update logic // ---------------------------------------------------------------------------- @@ -231,8 +241,38 @@ begin end endfunction +function [XLEN-1:0] update_nonconst; + input [XLEN-1:0] prev; + input [XLEN-1:0] nonconst; +begin + update_nonconst = (( + wtype == CSR_WTYPE_C ? prev & ~wdata : + wtype == CSR_WTYPE_S ? prev | wdata : + wdata) & nonconst) | (prev & ~nonconst) ; +end +endfunction + // ---------------------------------------------------------------------------- -// Trap-handling +// Implementation-defined control register + +localparam MIDCR_INIT = X0; +localparam MIDCR_WMASK = 32'h00000001; + +reg [XLEN-1:0] midcr; + +always @ (posedge clk or negedge rst_n) begin + if (!rst_n) begin + midcr <= MIDCR_INIT; + end else if (wen && addr == MIDCR) begin + midcr <= update_nonconst(midcr, MIDCR_WMASK); + end +end + +// Modified external interrupt vectoring +wire midcr_eivect = midcr[0]; + +// ---------------------------------------------------------------------------- +// Trap-handling CSRs // Two-level interrupt enable stack, shuffled on entry/exit: reg mstatus_mpie; @@ -273,7 +313,7 @@ end // Trap vector base reg [XLEN-1:0] mtvec_reg; -wire [XLEN-1:0] mtvec = ((mtvec_reg & MTVEC_WMASK) | (MTVEC_INIT & ~MTVEC_WMASK)) & ({XLEN{1'b1}} << 2); +wire [XLEN-1:0] mtvec = mtvec_reg & ({XLEN{1'b1}} << 2); wire irq_vector_enable = mtvec_reg[0]; always @ (posedge clk or negedge rst_n) begin @@ -281,7 +321,7 @@ always @ (posedge clk or negedge rst_n) begin mtvec_reg <= MTVEC_INIT; end else if (CSR_M_TRAP) begin if (wen && addr == MTVEC) - mtvec_reg <= update(mtvec_reg); + mtvec_reg <= update_nonconst(mtvec_reg, MTVEC_WMASK); end end @@ -304,21 +344,18 @@ end // Interrupt enable (reserved bits are tied to 0) reg [XLEN-1:0] mie; -localparam MIE_CONST_MASK = 32'h0000f777; +localparam MIE_WMASK = 32'h00000888; // meie, mtip, msip always @ (posedge clk or negedge rst_n) begin if (!rst_n) begin mie <= X0; end else if (CSR_M_TRAP) begin if (wen && addr == MIE) - mie <= update(mie) & ~MIE_CONST_MASK; + mie <= update_nonconst(mie, MIE_WMASK); end end -wire [15:0] mie_irq = mie[31:16]; // Per-IRQ mask. Nonstandard, but legal. -wire mie_meie = mie[11]; // Global external IRQ enable. This is ANDed over our per-IRQ mask -wire mie_mtie = mie[7]; // Timer interrupt enable -wire mie_msie = mie[3]; // Software interrupt enable +wire mie_meie = mie[11]; // Interrupt status ("pending") register, handled later wire [XLEN-1:0] mip; @@ -329,27 +366,47 @@ wire [XLEN-1:0] mip; // Trap cause registers. The non-constant bits can be written by software, // and update automatically on trap entry. (bits 30:0 are WLRL, so we tie most off) reg mcause_irq; -reg [4:0] mcause_code; +reg [5:0] mcause_code; wire mcause_irq_next; -wire [4:0] mcause_code_next; +wire [5:0] mcause_code_next; always @ (posedge clk or negedge rst_n) begin if (!rst_n) begin mcause_irq <= 1'b0; - mcause_code <= 5'h0; + mcause_code <= 6'h0; end else if (CSR_M_TRAP) begin if (trap_enter_vld && trap_enter_rdy && except != EXCEPT_MRET) begin mcause_irq <= mcause_irq_next; mcause_code <= mcause_code_next; end else if (wen && addr == MCAUSE) begin {mcause_irq, mcause_code} <= - wtype == CSR_WTYPE_C ? {mcause_irq, mcause_code} & ~{wdata[31], wdata[4:0]} : - wtype == CSR_WTYPE_S ? {mcause_irq, mcause_code} | {wdata[31], wdata[4:0]} : - {wdata[31], wdata[4:0]} ; + wtype == CSR_WTYPE_C ? {mcause_irq, mcause_code} & ~{wdata[31], wdata[5:0]} : + wtype == CSR_WTYPE_S ? {mcause_irq, mcause_code} | {wdata[31], wdata[5:0]} : + {wdata[31], wdata[5:0]} ; end end end +// Custom external interrupt enable register (would be at top of mie, but that +// only leaves room for 16 external interrupts) + +localparam MEIE0_WMASK = ~({XLEN{1'b1}} << NUM_IRQ); + +reg [XLEN-1:0] meie0; + +always @ (posedge clk or negedge rst_n) begin + if (!rst_n) begin + // All-ones for implemented IRQs + meie0 <= MEIE0_WMASK; + end else if (wen && addr == MEIE0) begin + meie0 <= update_nonconst(meie0, MEIE0_WMASK); + end +end + +// Assigned later: +wire [XLEN-1:0] meip0; +wire [4:0] mlei; + // ---------------------------------------------------------------------------- // Counters @@ -517,6 +574,7 @@ always @ (*) begin end MIP: if (CSR_M_TRAP) begin + // Writes are permitted, but ignored. decode_match = 1'b1; rdata = mip; end @@ -652,6 +710,29 @@ always @ (*) begin rdata = minstreth; end + // ------------------------------------------------------------------------ + // Custom CSRs + + MIDCR: if (CSR_M_TRAP) begin + decode_match = 1'b1; + rdata = midcr; + end + + MEIE0: if (CSR_M_TRAP) begin + decode_match = 1'b1; + rdata = meie0; + end + + MEIP0: if (CSR_M_TRAP) begin + decode_match = !wen_soon; + rdata = meip0; + end + + MLEI: if (CSR_M_TRAP) begin + decode_match = !wen_soon; + rdata = {{XLEN-5{1'b0}}, mlei}; + end + default: begin end endcase end @@ -660,53 +741,74 @@ assign illegal = (wen_soon || ren_soon) && !decode_match; // ---------------------------------------------------------------------------- // Trap request generation -// ---------------------------------------------------------------------------- + +reg [NUM_IRQ-1:0] irq_r; +reg irq_software_r; +reg irq_timer_r; + +always @ (posedge clk or negedge rst_n) begin + if (!rst_n) begin + irq_r <= {NUM_IRQ{1'b0}}; + irq_software_r <= 1'b0; + irq_timer_r <= 1'b0; + end else begin + irq_r <= irq; + irq_software_r <= irq_software; + irq_timer_r <= irq_timer; + end +end + +assign meip0 = {{XLEN-NUM_IRQ{1'b0}}, irq_r}; +wire external_irq_pending = |(meie0 & meip0); + +assign mip = { + 20'h0, // Reserved + external_irq_pending, // meip, Global pending bit for external IRQs + 3'h0, // Reserved + irq_timer_r, // mtip, interrupt from memory-mapped timer peripheral + 3'h0, // Reserved + irq_software_r, // msip, software interrupt from memory-mapped register + 3'h0 // Reserved +}; + +// When eivect = 1, mip.meip is masked from the standard IRQs, so that the +// platform-specific causes and vectors are used instead. +wire [31:0] mip_no_global = mip & ~(32'h800 & ~{XLEN{midcr_eivect}}); +wire standard_irq_active = |(mip_no_global & mie) && mstatus_mie; +wire external_irq_active = external_irq_pending && mstatus_mie && mie_meie; + +wire [4:0] external_irq_num; +wire [3:0] standard_irq_num; +assign mlei = external_irq_num; + +hazard3_priority_encode #( + .W_REQ (32) +) mlei_priority_encode ( + .req (meie0 & meip0), + .gnt (external_irq_num) +); + +hazard3_priority_encode #( + .W_REQ (16) +) irq_priority ( + .req (mip_no_global[15:0] & mie[15:0]), + .gnt (standard_irq_num) +); wire exception_req_any = except != EXCEPT_NONE; -// Interrupt masking and selection +wire [5:0] vector_sel = + exception_req_any || !irq_vector_enable ? 6'd0 : + standard_irq_active ? standard_irq_num : + external_irq_active ? 6'd16 + external_irq_num : 6'd0; -reg [15:0] irq_r; - -always @ (posedge clk or negedge rst_n) - if (!rst_n) - irq_r <= 16'h0; - else - irq_r <= irq; - -assign mip = { - irq_r, // Our nonstandard bits for per-IRQ status - 4'h0, // Reserved - |irq_r, // Global pending bit for external IRQs - 3'h0, // Reserved - 1'b0, // Timer (FIXME) - 3'h0, // Reserved - 1'b0, // Software interrupt (FIXME) - 3'h0 // Reserved -}; - -// We don't actually trap the aggregate IRQ, just provide it for software info -wire [31:0] mip_no_global = mip & 32'hffff_f7ff; -wire irq_any = |(mip_no_global & {{16{mie_meie}}, {16{1'b1}}}) && mstatus_mie && !delay_irq_entry; -wire [4:0] irq_num; - -hazard3_priority_encode #( - .W_REQ(32) -) irq_priority ( - .req (mip_no_global), - .gnt (irq_num) -); - -wire [11:0] mtvec_offs = ( - exception_req_any || !irq_vector_enable ? 12'h0 : {7'h0, irq_num} -) << 2; - -assign trap_addr = except == EXCEPT_MRET ? mepc : mtvec | {20'h0, mtvec_offs}; +assign trap_addr = except == EXCEPT_MRET ? mepc : mtvec | {24'h0, vector_sel, 2'h0}; assign trap_is_irq = !exception_req_any; -assign trap_enter_vld = CSR_M_TRAP && (exception_req_any || irq_any); +assign trap_enter_vld = CSR_M_TRAP && (exception_req_any || + !delay_irq_entry && (standard_irq_active || external_irq_active)); assign mcause_irq_next = !exception_req_any; -assign mcause_code_next = exception_req_any ? except : {1'b0, irq_num}; +assign mcause_code_next = exception_req_any ? {2'h0, except} : vector_sel; // ---------------------------------------------------------------------------- @@ -736,6 +838,9 @@ always @ (posedge clk) begin if (!trap_enter_rdy) assume(~|(irq_r & ~irq)); + // Make sure CSR accesses are flushed + if (trap_enter_vld && trap_enter_rdy) + assert(!(wen || ren)); // Something is screwed up if this happens if ($past(trap_enter_vld && trap_enter_rdy)) assert(!wen);