From 83244c6651f748736b2729e0983720dbd0d528e0 Mon Sep 17 00:00:00 2001 From: Luke Wren Date: Sat, 10 Jul 2021 16:14:35 +0100 Subject: [PATCH] Add Read ID command to UART DTM --- doc/hazard3.pdf | 3335 ++++++++++++----- doc/sections/debug.adoc | 54 +- .../{ => dtm}/hazard3_apb_async_bridge.v | 0 hdl/debug/{ => dtm}/hazard3_sync_1bit.v | 0 hdl/debug/{ => dtm}/hazard3_uart_dtm.f | 0 hdl/debug/{ => dtm}/hazard3_uart_dtm.v | 108 +- hdl/debug/{ => dtm}/hazard3_uart_dtm_fifo.v | 0 7 files changed, 2526 insertions(+), 971 deletions(-) rename hdl/debug/{ => dtm}/hazard3_apb_async_bridge.v (100%) rename hdl/debug/{ => dtm}/hazard3_sync_1bit.v (100%) rename hdl/debug/{ => dtm}/hazard3_uart_dtm.f (100%) rename hdl/debug/{ => dtm}/hazard3_uart_dtm.v (74%) rename hdl/debug/{ => dtm}/hazard3_uart_dtm_fifo.v (100%) diff --git a/doc/hazard3.pdf b/doc/hazard3.pdf index d47b0c4..4a3a749 100644 --- a/doc/hazard3.pdf +++ b/doc/hazard3.pdf @@ -5,15 +5,15 @@ /Creator (Asciidoctor PDF 1.5.4, based on Prawn 2.2.2) /Producer (Asciidoctor PDF 1.5.4, based on Prawn 2.2.2) /ModDate (D:20210618200929+01'00') -/CreationDate (D:20210708153803+01'00') +/CreationDate (D:20210710154407+01'00') >> endobj 2 0 obj << /Type /Catalog /Pages 3 0 R /Names 14 0 R -/Outlines 120 0 R -/PageLabels 144 0 R +/Outlines 125 0 R +/PageLabels 150 0 R /PageMode /UseOutlines /OpenAction [7 0 R /FitH 841.89] /ViewerPreferences << /DisplayDocTitle true @@ -22,8 +22,8 @@ endobj endobj 3 0 obj << /Type /Pages -/Count 11 -/Kids [7 0 R 10 0 R 12 0 R 21 0 R 29 0 R 43 0 R 51 0 R 62 0 R 69 0 R 73 0 R 77 0 R] +/Count 12 +/Kids [7 0 R 10 0 R 12 0 R 21 0 R 29 0 R 43 0 R 51 0 R 62 0 R 69 0 R 73 0 R 77 0 R 80 0 R] >> endobj 4 0 obj @@ -86,17 +86,17 @@ endobj endobj 8 0 obj << /Type /Font -/BaseFont /5e9f94+NotoSerif +/BaseFont /2af285+NotoSerif /Subtype /TrueType -/FontDescriptor 148 0 R +/FontDescriptor 154 0 R /FirstChar 32 /LastChar 255 -/Widths 150 0 R -/ToUnicode 149 0 R +/Widths 156 0 R +/ToUnicode 155 0 R >> endobj 9 0 obj -<< /Length 15621 +<< /Length 16311 >> stream q @@ -919,7 +919,7 @@ ET BT 60.24 382.256 Td /F1.0 10.5 Tf -[<342e312e2055> 20.0195 <4152> 20.0195 <542044> 20.0195 <544d>] TJ +<342e312e20496d706c656d656e746174696f6e2d646566696e6564206265686176696f7572> Tj ET 0.0 0.0 0.0 SCN @@ -928,9 +928,9 @@ ET 0.6627 0.6627 0.6627 SCN BT -134.3086 382.256 Td +257.2321 382.256 Td /F1.0 10.5 Tf -<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj ET 0.0 0.0 0.0 SCN @@ -951,6 +951,46 @@ BT <38> Tj ET +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +60.24 363.776 Td +/F1.0 10.5 Tf +[<342e322e2055> 20.0195 <4152> 20.0195 <542044> 20.0195 <544d>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6627 0.6627 0.6627 scn +0.6627 0.6627 0.6627 SCN + +BT +134.3086 363.776 Td +/F1.0 10.5 Tf +<2e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e202e20> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +BT +540.4906 363.776 Td +/F1.0 2.625 Tf + Tj +ET + +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +541.1705 363.776 Td +/F1.0 10.5 Tf +<39> Tj +ET + 0.0 0.0 0.0 SCN 0.0 0.0 0.0 scn Q @@ -971,7 +1011,7 @@ endobj /F1.0 8 0 R >> >> -/Annots [78 0 R 79 0 R 80 0 R 81 0 R 82 0 R 83 0 R 84 0 R 85 0 R 86 0 R 87 0 R 88 0 R 89 0 R 90 0 R 91 0 R 92 0 R 93 0 R 94 0 R 95 0 R 96 0 R 97 0 R 98 0 R 99 0 R 100 0 R 101 0 R 102 0 R 103 0 R 104 0 R 105 0 R 106 0 R 107 0 R 108 0 R 109 0 R 110 0 R 111 0 R 112 0 R 113 0 R 114 0 R 115 0 R 116 0 R 117 0 R 118 0 R 119 0 R] +/Annots [81 0 R 82 0 R 83 0 R 84 0 R 85 0 R 86 0 R 87 0 R 88 0 R 89 0 R 90 0 R 91 0 R 92 0 R 93 0 R 94 0 R 95 0 R 96 0 R 97 0 R 98 0 R 99 0 R 100 0 R 101 0 R 102 0 R 103 0 R 104 0 R 105 0 R 106 0 R 107 0 R 108 0 R 109 0 R 110 0 R 111 0 R 112 0 R 113 0 R 114 0 R 115 0 R 116 0 R 117 0 R 118 0 R 119 0 R 120 0 R 121 0 R 122 0 R 123 0 R 124 0 R] >> endobj 11 0 obj @@ -1647,7 +1687,7 @@ endobj /F3.0 18 0 R /F1.1 19 0 R >> -/XObject << /Stamp1 145 0 R +/XObject << /Stamp1 151 0 R >> >> >> @@ -1669,35 +1709,35 @@ endobj endobj 17 0 obj << /Type /Font -/BaseFont /6e971e+NotoSerif-Bold +/BaseFont /09bf34+NotoSerif-Bold /Subtype /TrueType -/FontDescriptor 152 0 R +/FontDescriptor 158 0 R /FirstChar 32 /LastChar 255 -/Widths 154 0 R -/ToUnicode 153 0 R +/Widths 160 0 R +/ToUnicode 159 0 R >> endobj 18 0 obj << /Type /Font -/BaseFont /041300+mplus1mn-regular +/BaseFont /3405ff+mplus1mn-regular /Subtype /TrueType -/FontDescriptor 156 0 R +/FontDescriptor 162 0 R /FirstChar 32 /LastChar 255 -/Widths 158 0 R -/ToUnicode 157 0 R +/Widths 164 0 R +/ToUnicode 163 0 R >> endobj 19 0 obj << /Type /Font /BaseFont /a68193+NotoSerif /Subtype /TrueType -/FontDescriptor 160 0 R +/FontDescriptor 166 0 R /FirstChar 32 /LastChar 255 -/Widths 162 0 R -/ToUnicode 161 0 R +/Widths 168 0 R +/ToUnicode 167 0 R >> endobj 20 0 obj @@ -5002,7 +5042,7 @@ endobj /F1.0 8 0 R /F3.0 18 0 R >> -/XObject << /Stamp2 146 0 R +/XObject << /Stamp2 152 0 R >> >> /Annots [25 0 R 26 0 R 27 0 R] @@ -8413,7 +8453,7 @@ endobj /F1.0 8 0 R /F1.1 19 0 R >> -/XObject << /Stamp1 145 0 R +/XObject << /Stamp1 151 0 R >> >> /Annots [30 0 R 31 0 R 32 0 R 33 0 R 34 0 R 36 0 R 37 0 R 38 0 R 39 0 R 40 0 R] @@ -9966,7 +10006,7 @@ endobj /F1.0 8 0 R /F3.0 18 0 R >> -/XObject << /Stamp2 146 0 R +/XObject << /Stamp2 152 0 R >> >> /Annots [47 0 R 49 0 R] @@ -10514,7 +10554,7 @@ endobj /F1.0 8 0 R /F3.0 18 0 R >> -/XObject << /Stamp1 145 0 R +/XObject << /Stamp1 151 0 R >> >> >> @@ -12261,7 +12301,7 @@ endobj /F3.0 18 0 R /F4.0 67 0 R >> -/XObject << /Stamp2 146 0 R +/XObject << /Stamp2 152 0 R >> >> >> @@ -12271,12 +12311,12 @@ endobj endobj 64 0 obj << /Limits [(__anchor-top) (_introduction)] -/Names [(__anchor-top) 13 0 R (_c_extension) 44 0 R (_csrs) 52 0 R (_custom_csrs) 59 0 R (_debug) 74 0 R (_footnotedef_1) 46 0 R (_footnotedef_2) 48 0 R (_footnoteref_1) 24 0 R (_footnoteref_2) 35 0 R (_instruction_cycle_counts) 22 0 R (_introduction) 16 0 R] +/Names [(__anchor-top) 13 0 R (_c_extension) 44 0 R (_csrs) 52 0 R (_custom_csrs) 59 0 R (_debug) 74 0 R (_footnotedef_1) 46 0 R (_footnotedef_2) 48 0 R (_footnoteref_1) 24 0 R (_footnoteref_2) 35 0 R (_implementation_defined_behaviour) 75 0 R (_instruction_cycle_counts) 22 0 R (_introduction) 16 0 R] >> endobj 65 0 obj << /Limits [(_m_extension) (_uart_dtm)] -/Names [(_m_extension) 41 0 R (_marchid) 55 0 R (_maybe_adds) 71 0 R (_meie0) 63 0 R (_meip0) 66 0 R (_midcr) 60 0 R (_mimpid) 56 0 R (_misa) 58 0 R (_mlei) 70 0 R (_mstatus) 57 0 R (_mvendorid) 54 0 R (_privileged_instructions_including_zicsr) 45 0 R (_rv32i) 23 0 R (_standard_csrs) 53 0 R (_uart_dtm) 75 0 R] +/Names [(_m_extension) 41 0 R (_marchid) 55 0 R (_maybe_adds) 71 0 R (_meie0) 63 0 R (_meip0) 66 0 R (_midcr) 60 0 R (_mimpid) 56 0 R (_misa) 58 0 R (_mlei) 70 0 R (_mstatus) 57 0 R (_mvendorid) 54 0 R (_privileged_instructions_including_zicsr) 45 0 R (_rv32i) 23 0 R (_standard_csrs) 53 0 R (_uart_dtm) 78 0 R] >> endobj 66 0 obj @@ -12286,11 +12326,11 @@ endobj << /Type /Font /BaseFont /f37706+NotoSerif-Italic /Subtype /TrueType -/FontDescriptor 164 0 R +/FontDescriptor 170 0 R /FirstChar 32 /LastChar 255 -/Widths 166 0 R -/ToUnicode 165 0 R +/Widths 172 0 R +/ToUnicode 171 0 R >> endobj 68 0 obj @@ -13548,7 +13588,7 @@ endobj /F1.1 19 0 R /F2.0 17 0 R >> -/XObject << /Stamp1 145 0 R +/XObject << /Stamp1 151 0 R >> >> >> @@ -13560,7 +13600,7 @@ endobj [69 0 R /XYZ 0 337.01 null] endobj 72 0 obj -<< /Length 13529 +<< /Length 13598 >> stream q @@ -14400,7 +14440,7 @@ ET BT 48.24 186.866 Td /F2.0 18 Tf -[<342e312e2055> 20.0195 <4152> 20.0195 <542044> 20.0195 <544d>] TJ +<342e312e20496d706c656d656e746174696f6e2d646566696e6564206265686176696f7572> Tj ET 0.0 0.0 0.0 SCN @@ -14408,55 +14448,10 @@ ET 0.2 0.2 0.2 scn 0.2 0.2 0.2 SCN -0.8924 Tw - BT 48.24 158.846 Td /F1.0 10.5 Tf -[<48617a6172643320646566696e65732061206d696e696d616c2055> 20.0195 <4152> 20.0195 <54204465627567205472> 20.0195 <616e73706f7274204d6f64756c652c20776869636820616c6c6f777320746865204465627567204d6f64756c6520746f206265>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -1.5868 Tw - -BT -48.24 143.066 Td -/F1.0 10.5 Tf -[<6163636573736564207669612061207374616e6461726420386e31206173796e6368726f6e6f75732073657269616c20706f72742e205468652055> 20.0195 <4152> 20.0195 <542044> 20.0195 <544d20697320616c7761> 20.0195 <79732061636365737365642062> 20.0195 <7920746865>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -1.3109 Tw - -BT -48.24 127.286 Td -/F1.0 10.5 Tf -<686f7374207573696e6720612074776f2d776972652073657269616c20696e74657266616365202854584420525844292072756e6e696e672061742031204d626175642e2054686520696e74657266616365206265747765656e20746865> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 111.506 Td -/F1.0 10.5 Tf -[<44> 20.0195 <544d20616e6420444d20697320616e20414d42> 20.0195 <4120332041504220706f7274207769746820612033322d62697420646174612062757320616e6420382d6269742061646472657373206275732e>] TJ +<54686973206973206e6f7420616e2065786861757374697665206c6973742028796574292e> Tj ET 0.0 0.0 0.0 SCN @@ -14465,9 +14460,9 @@ ET 0.2 0.2 0.2 SCN BT -48.24 83.726 Td +48.24 131.066 Td /F1.0 10.5 Tf -<54686973206973206e6f7420696e74656e64656420666f722070726f64756374696f6e2073797374656d733a> Tj +<444d206665617475726520737570706f72743a> Tj ET 0.0 0.0 0.0 SCN @@ -14482,7 +14477,7 @@ ET 0.2 0.2 0.2 SCN BT -56.8805 55.946 Td +56.8805 103.286 Td /F1.0 10.5 Tf Tj ET @@ -14495,9 +14490,113 @@ ET 0.2 0.2 0.2 SCN BT -66.24 55.946 Td +66.24 103.286 Td /F1.0 10.5 Tf -[<44656275672068617264776172652073686f756c64206e6f74206578706563742061206672657175656e6379207265666572656e636520666f7220612055> 20.0195 <4152> 20.0195 <5420746f2062652070726573656e74>] TJ +[<4162737472> 20.0195 <6163742043535220616e64206d656d6f72792061636365737320617265206e6f7420696d706c656d656e746564>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 81.506 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 81.506 Td +/F1.0 10.5 Tf +[<5468652050726f6772> 20.0195 <616d2042756666657220697320696d706c656d656e7465642c2073697a65203220776f7264732c20>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +318.1768 81.506 Td +/F3.0 10.5 Tf +<696d7065627265616b> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +365.4268 81.506 Td +/F1.0 10.5 Tf +<203d20312e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 59.726 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 59.726 Td +/F1.0 10.5 Tf +<412073696e676c6520646174612072656769737465722028> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +178.023 59.726 Td +/F3.0 10.5 Tf +<6461746130> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +204.273 59.726 Td +/F1.0 10.5 Tf +[<2920697320696d706c656d656e7465642061732061207065722d68617274204353522061636365737369626c652062> 20.0195 <792074686520444d>] TJ ET 0.0 0.0 0.0 SCN @@ -14542,7 +14641,7 @@ endobj /F1.1 19 0 R /F4.0 67 0 R >> -/XObject << /Stamp2 146 0 R +/XObject << /Stamp2 152 0 R >> >> >> @@ -14554,7 +14653,7 @@ endobj [73 0 R /XYZ 0 210.89 null] endobj 76 0 obj -<< /Length 10003 +<< /Length 21312 >> stream q @@ -14584,8 +14683,17 @@ ET BT 66.24 793.926 Td -/F1.0 10.5 Tf -[<5468652055> 20.0195 <4152> 20.0195 <542044> 20.0195 <544d20646f6573206e6f7420696d706c656d656e7420616e> 20.0195 <7920666c6f7720636f6e74726f6c206f72206572726f7220646574656374696f6e2f636f7272656374696f6e>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +66.24 793.926 Td +/F3.0 10.5 Tf +<61627374726163746175746f> Tj ET 0.0 0.0 0.0 SCN @@ -14593,25 +14701,10 @@ ET 0.2 0.2 0.2 scn 0.2 0.2 0.2 SCN -2.3036 Tw - BT -48.24 766.146 Td +129.24 793.926 Td /F1.0 10.5 Tf -[<486f77657665722c20697420737566666963657320666f72206272696e67757020616e6420706c61> 20.0195 <79696e672061726f756e64206f6e204650474120626f617264732e2054686520686f73742073656e6473206120362d62> 20.0195 <797465>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 750.366 Td -/F1.0 10.5 Tf -[<7061636b> 20.0195 <65743a>] TJ +[<20697320737570706f72746564206f6e207468652070726f6772> 20.0195 <616d206275666665722072656769737465727320616e64207468652064617461207265676973746572>] TJ ET 0.0 0.0 0.0 SCN @@ -14626,7 +14719,7 @@ ET 0.2 0.2 0.2 SCN BT -56.8805 722.586 Td +56.8805 772.146 Td /F1.0 10.5 Tf Tj ET @@ -14639,9 +14732,42 @@ ET 0.2 0.2 0.2 SCN BT -66.24 722.586 Td +66.24 772.146 Td /F1.0 10.5 Tf -<436f6d6d616e643a> Tj +<4d756c7469706c6520686172742073656c656374696f6e2028> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +185.604 772.146 Td +/F3.0 10.5 Tf +<686173656c> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +211.854 772.146 Td +/F1.0 10.5 Tf +<203d203129206973206e6f7420737570706f72746564> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 744.366 Td +/F1.0 10.5 Tf +<436f7265206265686176696f75723a> Tj ET 0.0 0.0 0.0 SCN @@ -14656,9 +14782,9 @@ ET 0.2 0.2 0.2 SCN BT -74.954 700.806 Td -/F1.1 10.5 Tf -<21> Tj +56.8805 716.586 Td +/F1.0 10.5 Tf + Tj ET 0.0 0.0 0.0 SCN @@ -14668,10 +14794,92 @@ ET 0.2 0.2 0.2 scn 0.2 0.2 0.2 SCN -2.1979 Tw +BT +66.24 716.586 Td +/F1.0 10.5 Tf +[<416c6c20636f6e74726f6c207472> 20.0195 <616e7366657220696e737472756374696f6e732061726520696c6c6567616c20696e206465627567206d6f64652028646570656e64206f6e2076616c7565206f6620504329>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN BT -84.24 700.806 Td +56.8805 694.806 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 694.806 Td +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +66.24 694.806 Td +/F3.0 10.5 Tf +<6175697063> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +92.49 694.806 Td +/F1.0 10.5 Tf +<20697320696c6c6567616c20696e206465627567206d6f64652028646570656e6473206f6e2076616c7565206f6620504329> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 673.026 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +2.2271 Tw + +BT +66.24 673.026 Td +/F1.0 10.5 Tf +<54686520> Tj ET @@ -14681,12 +14889,12 @@ ET 0.6941 0.1294 0.2745 scn 0.6941 0.1294 0.2745 SCN -2.1979 Tw +2.2271 Tw BT -84.24 700.806 Td +89.8871 673.026 Td /F3.0 10.5 Tf -<30783030> Tj +<64726574> Tj ET @@ -14696,12 +14904,12 @@ ET 0.2 0.2 0.2 scn 0.2 0.2 0.2 SCN -2.1979 Tw +2.2271 Tw BT -105.24 700.806 Td +110.8871 673.026 Td /F1.0 10.5 Tf -[<206e6f702c2069676e6f7265642c206e65787420636f6d6d616e642063616e20666f6c6c6f7720696d6d6564696174656c7920286e6f2061646472657373206f7220646174612062> 20.0195 <797465732c206e6f>] TJ +<20696e737472756374696f6e206973206e6f7420737570706f72746564202861207370656369616c20707572706f736520444d2d746f2d636f7265207369676e616c206973207573656420746f207369676e616c> Tj ET @@ -14712,9 +14920,9 @@ ET 0.2 0.2 0.2 SCN BT -84.24 685.026 Td +66.24 657.246 Td /F1.0 10.5 Tf -<726573706f6e736529> Tj +<726573756d6529> Tj ET 0.0 0.0 0.0 SCN @@ -14729,9 +14937,9 @@ ET 0.2 0.2 0.2 SCN BT -74.954 663.246 Td -/F1.1 10.5 Tf -<21> Tj +56.8805 635.466 Td +/F1.0 10.5 Tf + Tj ET 0.0 0.0 0.0 SCN @@ -14741,8 +14949,25 @@ ET 0.2 0.2 0.2 scn 0.2 0.2 0.2 SCN +2.4941 Tw + BT -84.24 663.246 Td +66.24 635.466 Td +/F1.0 10.5 Tf +[<456e746572696e6720616e642065786974696e67206465627567206d6f646520646f6573206e6f7420636c65617220616e2061746f6d6963206c6f6164207265736572766174696f6e3b2074686520686f7374206d61> 20.0195 <79>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 619.686 Td +/F1.0 10.5 Tf +<6578706c696369746c7920636c6561722061207265736572766174696f6e207573696e6720612064756d6d7920> Tj ET 0.0 0.0 0.0 SCN @@ -14751,9 +14976,9 @@ ET 0.6941 0.1294 0.2745 SCN BT -84.24 663.246 Td +291.801 619.686 Td /F3.0 10.5 Tf -<30783031> Tj +<7363> Tj ET 0.0 0.0 0.0 SCN @@ -14762,109 +14987,9 @@ ET 0.2 0.2 0.2 SCN BT -105.24 663.246 Td +302.301 619.686 Td /F1.0 10.5 Tf -<2072656164> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - --0.5 Tc - -0.0 Tc - --0.5 Tc -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -74.954 641.466 Td -/F1.1 10.5 Tf -<21> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - -0.0 Tc -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -84.24 641.466 Td -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -84.24 641.466 Td -/F3.0 10.5 Tf -<30783032> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -105.24 641.466 Td -/F1.0 10.5 Tf -<207772697465> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - --0.5 Tc - -0.0 Tc - --0.5 Tc -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -74.954 619.686 Td -/F1.1 10.5 Tf -<21> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn - -0.0 Tc -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -84.24 619.686 Td -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.6941 0.1294 0.2745 scn -0.6941 0.1294 0.2745 SCN - -BT -84.24 619.686 Td -/F3.0 10.5 Tf -<30786135> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -105.24 619.686 Td -/F1.0 10.5 Tf -[<2072657475726e20746f2069646c6520286e6f2061646472657373206f7220646174612062> 20.0195 <797465732c206e6f20726573706f6e736529>] TJ +[<20696e737472756374696f6e20766961207468652070726f6772> 20.0195 <616d206275666665722e>] TJ ET 0.0 0.0 0.0 SCN @@ -14894,7 +15019,29 @@ ET BT 66.24 597.906 Td /F1.0 10.5 Tf -[<4f6e6520616464726573732062> 20.0195 <797465>] TJ +<54686520> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +87.66 597.906 Td +/F3.0 10.5 Tf +<6473637261746368> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +129.66 597.906 Td +/F1.0 10.5 Tf +<204353527320617265206e6f7420696d706c656d656e746564> Tj ET 0.0 0.0 0.0 SCN @@ -14921,176 +15068,10 @@ ET 0.2 0.2 0.2 scn 0.2 0.2 0.2 SCN +0.2887 Tw + BT 66.24 576.126 Td -/F1.0 10.5 Tf -[<3420646174612062> 20.0195 <797465732028777269746529206f722034207a65726f2d70616464696e672062> 20.0195 <7974657320287265616429>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -0.4396 Tw - -BT -48.24 548.346 Td -/F1.0 10.5 Tf -[<54686520362d62> 20.0195 <797465206672> 20.0195 <616d696e672063616e206265207265636f766572656420617420616e> 20.0195 <792074696d652062> 20.0195 <792077726974696e672036207a65726f2d62> 20.0195 <797465732c2077686963682077696c6c20626520696e746572707265746564>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 532.566 Td -/F1.0 10.5 Tf -[<6173206265747765656e203120616e642036206e6f707320646570656e64696e67206f6e2063757272656e742044> 20.0195 <544d2073746174652e>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -0.0793 Tw - -BT -48.24 504.786 Td -/F1.0 10.5 Tf -[<5468652044> 20.0195 <544d20616c7761> 20.0195 <797320726573706f6e6473207769746820666f757220646174612062> 20.0195 <797465732e2046> 40.0391 <6f722061207265616420636f6d6d616e6420746869732077696c6c20626520746865206461746120726561642066726f6d>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 489.006 Td -/F1.0 10.5 Tf -[<74686520676976656e20616464726573732e2046> 40.0391 <6f72206120777269746520636f6d6d616e6420746869732077696c6c206563686f206261636b2074686520777269746520646174612e>] TJ -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -2.3354 Tw - -BT -48.24 461.226 Td -/F1.0 10.5 Tf -[<5468697320696e7465726661636520617373756d6573207468652061637475616c2064617461207472> 20.0195 <616e736665722074616b> 20.0195 <65732076657279206c6974746c652074696d6520636f6d70617265642077697468207468652055> 20.0195 <4152> 20.0195 <54>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -0.7607 Tw - -BT -48.24 445.446 Td -/F1.0 10.5 Tf -[<61636365737320287479706963616c6c79206c657373207468616e206f6e65206261756420706572696f64292e20426563617573652074686520686f73742d746f2d44> 20.0195 <544d2062616e64776964746820697320616c7761> 20.0195 <79732067726561746572>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -2.6076 Tw - -BT -48.24 429.666 Td -/F1.0 10.5 Tf -[<7468616e207468652044> 20.0195 <544d2d746f2d686f73742062616e6477696474682c2074686520686f73742063616e2071756575652075702062617463686573206f6620636f6d6d616e647320696e20697473207472> 20.0195 <616e736d6974>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -0.4862 Tw - -BT -48.24 413.886 Td -/F1.0 10.5 Tf -[<6275666665722c20616e6420746869732073686f756c64206e65766572206f76657272756e207468652044> 20.0195 <544dd57320726573706f6e7365206368616e6e656c2e20536f2c207468652031204d6261756420386e312055> 20.0195 <4152> 20.0195 <54206c696e6b>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -0.4572 Tw - -BT -48.24 398.106 Td -/F1.0 10.5 Tf -<70726f7669646573203637206b422f73206f662068616c662d6475706c657820646174612062616e647769647468206265747765656e20686f737420616e6420444d2c20776869636820697320656e6f75676820746f2067657420796f7572> Tj -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -BT -48.24 382.326 Td -/F1.0 10.5 Tf -<73797374656d206f6666207468652067726f756e642e> Tj -ET - -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -0.4576 Tw - -BT -48.24 354.546 Td -/F1.0 10.5 Tf -[<496e697469616c6c7920616674657220706f7765722d6f6e207468652044> 20.0195 <544d20697320696e207468652069646c652073746174652c20616e642077696c6c2069676e6f726520616e> 20.0195 <7920636f6d6d616e64732e2054686520686f73742073656e6473>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -2.5875 Tw - -BT -48.24 338.766 Td -/F1.0 10.5 Tf -<746865206d616769632073657175656e636520> Tj ET @@ -15100,12 +15081,12 @@ ET 0.6941 0.1294 0.2745 scn 0.6941 0.1294 0.2745 SCN -2.5875 Tw +0.2887 Tw BT -156.7289 338.766 Td +66.24 576.126 Td /F3.0 10.5 Tf -<2753272c202755272c202750272c20273f27> Tj +<6461746130> Tj ET @@ -15115,12 +15096,12 @@ ET 0.2 0.2 0.2 scn 0.2 0.2 0.2 SCN -2.5875 Tw +0.2887 Tw BT -258.9913 338.766 Td +92.49 576.126 Td /F1.0 10.5 Tf -<2028> Tj +[<20697320696d706c656d656e746564206173206120736372> 20.0195 <6174636820435352206d617070656420617420>] TJ ET @@ -15130,12 +15111,12 @@ ET 0.6941 0.1294 0.2745 scn 0.6941 0.1294 0.2745 SCN -2.5875 Tw +0.2887 Tw BT -267.9312 338.766 Td +316.2185 576.126 Td /F3.0 10.5 Tf -<307835332c20307835352c20307835302c2030783366> Tj +<3078376232> Tj ET @@ -15145,27 +15126,12 @@ ET 0.2 0.2 0.2 scn 0.2 0.2 0.2 SCN -2.5875 Tw +0.2887 Tw BT -391.1936 338.766 Td +342.4685 576.126 Td /F1.0 10.5 Tf -[<2920746f2077616b> 20.0195 <65207468652044> 20.0195 <544d2c20616e64207468656e>] TJ -ET - - -0.0 Tw -0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn -0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN - -1.0225 Tw - -BT -48.24 322.986 Td -/F1.0 10.5 Tf -<617474656d70747320746f20616363657373206120726561642d6f6e6c7920444d207265676973746572207375636820617320> Tj +<2028746865206c6f636174696f6e206f6620> Tj ET @@ -15175,12 +15141,12 @@ ET 0.6941 0.1294 0.2745 scn 0.6941 0.1294 0.2745 SCN -1.0225 Tw +0.2887 Tw BT -310.6287 322.986 Td +424.295 576.126 Td /F3.0 10.5 Tf -<646d737461747573> Tj +<647363726174636830> Tj ET @@ -15190,12 +15156,12 @@ ET 0.2 0.2 0.2 scn 0.2 0.2 0.2 SCN -1.0225 Tw +0.2887 Tw BT -352.6287 322.986 Td +471.545 576.126 Td /F1.0 10.5 Tf -[<20746f206d616b> 20.0195 <65207375726520746865206c696e6b2069732075702e205468652044> 20.0195 <544d>] TJ +<292c207265616461626c6520616e64> Tj ET @@ -15206,9 +15172,37 @@ ET 0.2 0.2 0.2 SCN BT -48.24 307.206 Td +66.24 560.346 Td /F1.0 10.5 Tf -[<63616e2062652072657475726e656420746f207468652069646c6520617420616e> 20.0195 <792074696d65207573696e672074686520>] TJ +[<7772697461626c652062> 20.0195 <79207468652064656275676765722e>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 538.566 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 538.566 Td ET 0.0 0.0 0.0 SCN @@ -15217,23 +15211,1139 @@ ET 0.6941 0.1294 0.2745 SCN BT -290.8108 307.206 Td +66.24 538.566 Td +/F3.0 10.5 Tf +<646373722e737465706965> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +123.99 538.566 Td +/F1.0 10.5 Tf +<2069732068617264776972656420746f203020286e6f20696e746572727570747320647572696e672073696e676c65207374657070696e6729> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 516.786 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +2.3563 Tw + +BT +66.24 516.786 Td +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +2.3563 Tw + +BT +66.24 516.786 Td +/F3.0 10.5 Tf +<646373722e73746f70636f756e74> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +2.3563 Tw + +BT +139.74 516.786 Td +/F1.0 10.5 Tf +<20616e6420> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +2.3563 Tw + +BT +169.0015 516.786 Td +/F3.0 10.5 Tf +<646373722e73746f7074696d65> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +2.3563 Tw + +BT +237.2515 516.786 Td +/F1.0 10.5 Tf +<206172652068617264776972656420746f203120286e6f20636f756e7465722f74696d657220696e6372656d656e7420696e206465627567> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 501.006 Td +/F1.0 10.5 Tf +<6d6f646529> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 479.226 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 479.226 Td +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +66.24 479.226 Td +/F3.0 10.5 Tf +<646373722e6d707276656e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +123.99 479.226 Td +/F1.0 10.5 Tf +<2069732068617264776972656420746f2030> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 457.446 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 457.446 Td +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +66.24 457.446 Td +/F3.0 10.5 Tf +<646373722e707276> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +108.24 457.446 Td +/F1.0 10.5 Tf +<2069732068617264776972656420746f203320284d2d6d6f646529> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 417.606 Td +/F2.0 18 Tf +[<342e322e2055> 20.0195 <4152> 20.0195 <542044> 20.0195 <544d>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.8924 Tw + +BT +48.24 389.586 Td +/F1.0 10.5 Tf +[<48617a6172643320646566696e65732061206d696e696d616c2055> 20.0195 <4152> 20.0195 <54204465627567205472> 20.0195 <616e73706f7274204d6f64756c652c20776869636820616c6c6f777320746865204465627567204d6f64756c6520746f206265>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.5868 Tw + +BT +48.24 373.806 Td +/F1.0 10.5 Tf +[<6163636573736564207669612061207374616e6461726420386e31206173796e6368726f6e6f75732073657269616c20706f72742e205468652055> 20.0195 <4152> 20.0195 <542044> 20.0195 <544d20697320616c7761> 20.0195 <79732061636365737365642062> 20.0195 <7920746865>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.3109 Tw + +BT +48.24 358.026 Td +/F1.0 10.5 Tf +<686f7374207573696e6720612074776f2d776972652073657269616c20696e74657266616365202854584420525844292072756e6e696e672061742031204d626175642e2054686520696e74657266616365206265747765656e20746865> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 342.246 Td +/F1.0 10.5 Tf +[<44> 20.0195 <544d20616e6420444d20697320616e20414d42> 20.0195 <4120332041504220706f7274207769746820612033322d62697420646174612062757320616e6420382d6269742061646472657373206275732e>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 314.466 Td +/F1.0 10.5 Tf +<54686973206973206120717569636b206861636b2c20616e64206e6f74207375697461626c6520666f722070726f64756374696f6e2073797374656d733a> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 286.686 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 286.686 Td +/F1.0 10.5 Tf +[<44656275672068617264776172652073686f756c64206e6f74206578706563742061206672657175656e6379207265666572656e636520666f7220612055> 20.0195 <4152> 20.0195 <5420746f2062652070726573656e74>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +-0.5 Tc + +0.0 Tc + +-0.5 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +56.8805 264.906 Td +/F1.0 10.5 Tf + Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn + +0.0 Tc +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +66.24 264.906 Td +/F1.0 10.5 Tf +[<5468652055> 20.0195 <4152> 20.0195 <542044> 20.0195 <544d20646f6573206e6f7420696d706c656d656e7420616e> 20.0195 <7920666c6f7720636f6e74726f6c206f72206572726f7220646574656374696f6e2f636f7272656374696f6e>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 237.126 Td +/F1.0 10.5 Tf +[<54686520686f7374206d61> 20.0195 <792073656e642074686520666f6c6c6f77696e6720636f6d6d616e64733a>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 199.53 99.76 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +148.0 199.53 199.52 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +347.52 199.53 199.52 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 177.75 99.76 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +148.0 177.75 199.52 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +347.52 177.75 199.52 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 140.19 99.76 37.56 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +148.0 140.19 199.52 37.56 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +347.52 140.19 199.52 37.56 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 118.41 99.76 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +148.0 118.41 199.52 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +347.52 118.41 199.52 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 96.63 99.76 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +148.0 96.63 199.52 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +347.52 96.63 199.52 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +48.24 74.85 99.76 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +148.0 74.85 199.52 21.78 re +f +0.0 0.0 0.0 scn +1.0 1.0 1.0 scn +347.52 74.85 199.52 21.78 re +f +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 221.31 m +148.0 221.31 l +S +[] 0 d +1.25 w +0.8667 0.8667 0.8667 SCN +48.24 199.53 m +148.0 199.53 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 221.56 m +48.24 198.905 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +148.0 221.56 m +148.0 198.905 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +51.24 206.346 Td +/F2.0 10.5 Tf +<436f6d6d616e64> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +148.0 221.31 m +347.52 221.31 l +S +[] 0 d +1.25 w +0.8667 0.8667 0.8667 SCN +148.0 199.53 m +347.52 199.53 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +148.0 221.56 m +148.0 198.905 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +347.52 221.56 m +347.52 198.905 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +151.0 206.346 Td +/F2.0 10.5 Tf +[<54> 29.7852 <6f2044> 20.0195 <544d>] TJ +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +347.52 221.31 m +547.04 221.31 l +S +[] 0 d +1.25 w +0.8667 0.8667 0.8667 SCN +347.52 199.53 m +547.04 199.53 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +347.52 221.56 m +347.52 198.905 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 221.56 m +547.04 198.905 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +350.52 206.346 Td +/F2.0 10.5 Tf +[<46726f6d2044> 20.0195 <544d>] TJ +ET + +0.0 0.0 0.0 scn +1.25 w +0.8667 0.8667 0.8667 SCN +48.24 199.53 m +148.0 199.53 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 177.75 m +148.0 177.75 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 200.155 m +48.24 177.5 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +148.0 200.155 m +148.0 177.5 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 184.566 Td +/F3.0 10.5 Tf +<30783030> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +72.24 184.566 Td +/F2.0 10.5 Tf +<204e4f50> Tj +ET + +0.0 0.0 0.0 scn +1.25 w +0.8667 0.8667 0.8667 SCN +148.0 199.53 m +347.52 199.53 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +148.0 177.75 m +347.52 177.75 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +148.0 200.155 m +148.0 177.5 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +347.52 200.155 m +347.52 177.5 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +151.0 184.566 Td +/F1.0 10.5 Tf +<2d> Tj +ET + +0.0 0.0 0.0 scn +1.25 w +0.8667 0.8667 0.8667 SCN +347.52 199.53 m +547.04 199.53 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +347.52 177.75 m +547.04 177.75 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +347.52 200.155 m +347.52 177.5 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 200.155 m +547.04 177.5 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +350.52 184.566 Td +/F1.0 10.5 Tf +<2d> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 177.75 m +148.0 177.75 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 140.19 m +148.0 140.19 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 178.0 m +48.24 139.94 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +148.0 178.0 m +148.0 139.94 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 162.786 Td +/F3.0 10.5 Tf +<30783031> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +72.24 162.786 Td +/F2.0 10.5 Tf +<2052656164204944> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +148.0 177.75 m +347.52 177.75 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +148.0 140.19 m +347.52 140.19 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +148.0 178.0 m +148.0 139.94 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +347.52 178.0 m +347.52 139.94 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +151.0 162.786 Td +/F1.0 10.5 Tf +<2d> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +347.52 177.75 m +547.04 177.75 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +347.52 140.19 m +547.04 140.19 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +347.52 178.0 m +347.52 139.94 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 178.0 m +547.04 139.94 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +350.52 162.786 Td +/F1.0 10.5 Tf +[<342d62> 20.0195 <7974652049442c2073616d6520666f726d6174206173204a54> 60.0586 <41> 20.0195 <472d44> 20.0195 <544d>] TJ +ET + + +BT +350.52 147.006 Td +/F1.0 10.5 Tf +<494420284a45503130362d636f6d70617469626c6529> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 140.19 m +148.0 140.19 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 118.41 m +148.0 118.41 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 140.44 m +48.24 118.16 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +148.0 140.44 m +148.0 118.16 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 125.226 Td +/F3.0 10.5 Tf +<30783032> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +72.24 125.226 Td +/F2.0 10.5 Tf +<205265616420444d49> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +148.0 140.19 m +347.52 140.19 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +148.0 118.41 m +347.52 118.41 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +148.0 140.44 m +148.0 118.16 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +347.52 140.44 m +347.52 118.16 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +151.0 125.226 Td +/F1.0 10.5 Tf +[<3120616464726573732062> 20.0195 <797465>] TJ +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +347.52 140.19 m +547.04 140.19 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +347.52 118.41 m +547.04 118.41 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +347.52 140.44 m +347.52 118.16 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 140.44 m +547.04 118.16 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +350.52 125.226 Td +/F1.0 10.5 Tf +[<3420646174612062> 20.0195 <79746573>] TJ +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 118.41 m +148.0 118.41 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 96.63 m +148.0 96.63 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 118.66 m +48.24 96.38 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +148.0 118.66 m +148.0 96.38 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 103.446 Td +/F3.0 10.5 Tf +<30783033> Tj +ET + +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +72.24 103.446 Td +/F2.0 10.5 Tf +<20577269746520444d49> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +148.0 118.41 m +347.52 118.41 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +148.0 96.63 m +347.52 96.63 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +148.0 118.66 m +148.0 96.38 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +347.52 118.66 m +347.52 96.38 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +151.0 103.446 Td +/F1.0 10.5 Tf +[<3120616464726573732062> 20.0195 <7974652c203420646174612062> 20.0195 <79746573>] TJ +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +347.52 118.41 m +547.04 118.41 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +347.52 96.63 m +547.04 96.63 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +347.52 118.66 m +347.52 96.38 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 118.66 m +547.04 96.38 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +350.52 103.446 Td +/F1.0 10.5 Tf +[<646174612062> 20.0195 <79746573206563686f6564206261636b>] TJ +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 96.63 m +148.0 96.63 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 74.85 m +148.0 74.85 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +48.24 96.88 m +48.24 74.6 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +148.0 96.88 m +148.0 74.6 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +51.24 81.666 Td /F3.0 10.5 Tf <30786135> Tj ET 0.0 0.0 0.0 SCN -0.0 0.0 0.0 scn 0.2 0.2 0.2 scn -0.2 0.2 0.2 SCN BT -311.8108 307.206 Td -/F1.0 10.5 Tf -<2072657475726e2d746f2d69646c6520636f6d6d616e642e> Tj +72.24 81.666 Td +/F2.0 10.5 Tf +<20446973636f6e6e656374> Tj ET +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +148.0 96.63 m +347.52 96.63 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +148.0 74.85 m +347.52 74.85 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +148.0 96.88 m +148.0 74.6 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +347.52 96.88 m +347.52 74.6 l +S +[] 0 d +1 w 0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +151.0 81.666 Td +/F1.0 10.5 Tf +<2d> Tj +ET + +0.0 0.0 0.0 scn +0.5 w +0.8667 0.8667 0.8667 SCN +347.52 96.63 m +547.04 96.63 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +347.52 74.85 m +547.04 74.85 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +347.52 96.88 m +347.52 74.6 l +S +[] 0 d +0.5 w +0.8667 0.8667 0.8667 SCN +547.04 96.88 m +547.04 74.6 l +S +[] 0 d +1 w +0.0 0.0 0.0 SCN +0.2 0.2 0.2 scn + +BT +350.52 81.666 Td +/F1.0 10.5 Tf +<2d> Tj +ET + 0.0 0.0 0.0 scn q 0.0 0.0 0.0 scn @@ -15270,15 +16380,373 @@ endobj /Contents 76 0 R /Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Font << /F1.0 8 0 R -/F1.1 19 0 R /F3.0 18 0 R +/F2.0 17 0 R >> -/XObject << /Stamp1 145 0 R +/XObject << /Stamp1 151 0 R >> >> >> endobj 78 0 obj +[77 0 R /XYZ 0 441.63 null] +endobj +79 0 obj +<< /Length 5925 +>> +stream +q +/DeviceRGB cs +0.2 0.2 0.2 scn +/DeviceRGB CS +0.2 0.2 0.2 SCN + +0.7834 Tw + +BT +48.24 794.676 Td +/F1.0 10.5 Tf +[<496e697469616c6c7920616674657220706f7765722d6f6e207468652044> 20.0195 <544d20697320696e2074686520446f726d616e742073746174652c20616e642077696c6c2069676e6f726520616e> 20.0195 <7920636f6d6d616e64732e2054686520686f7374>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.2741 Tw + +BT +48.24 778.896 Td +/F1.0 10.5 Tf +<73656e647320746865206d616769632073657175656e636520> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.2741 Tw + +BT +181.0799 778.896 Td +/F3.0 10.5 Tf +<225355503f22> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.2741 Tw + +BT +212.5799 778.896 Td +/F1.0 10.5 Tf +<2028> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +0.2741 Tw + +BT +219.2066 778.896 Td +/F3.0 10.5 Tf +<307835332c20307835352c20307835302c2030783366> Tj +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.2741 Tw + +BT +335.5289 778.896 Td +/F1.0 10.5 Tf +[<2920746f2077616b> 20.0195 <65207468652044> 20.0195 <544d2c20616e64207468656e2069737375657320612052656164>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.3627 Tw + +BT +48.24 763.116 Td +/F1.0 10.5 Tf +[<494420636f6d6d616e6420746f20636865636b20746865206c696e6b2069732075702e205468652044> 20.0195 <544d2063616e2062652072657475726e656420746f2074686520446f726d616e7420737461746520617420616e> 20.0195 <792074696d65>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 747.336 Td +/F1.0 10.5 Tf +<7573696e672074686520> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.6941 0.1294 0.2745 scn +0.6941 0.1294 0.2745 SCN + +BT +96.813 747.336 Td +/F3.0 10.5 Tf +<30786135> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +117.813 747.336 Td +/F1.0 10.5 Tf +<20446973636f6e6e65637420636f6d6d616e642e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.2519 Tw + +BT +48.24 719.556 Td +/F1.0 10.5 Tf +[<536f20746861742074686520686f73742063616e2071756575652075702062617463686573206f6620636f6d6d616e647320696e20697473207472> 20.0195 <616e736d6974206275666665722c20776974686f7574206f76657272756e6e696e6720746865>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.5081 Tw + +BT +48.24 703.776 Td +/F1.0 10.5 Tf +[<44> 20.0195 <544dd573207472> 20.0195 <616e736d69742062616e6477696474682c206974d573207265636f6d6d656e64656420746f20706164206561636820636f6d6d616e642077697468204e4f507320736f2074686174206974206973207374726963746c79>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.2647 Tw + +BT +48.24 687.996 Td +/F1.0 10.5 Tf +[<6c6172676572207468616e2074686520726573706f6e73652e2046> 40.0391 <6f72206578616d706c652c206120526561642049442073686f756c6420626520666f6c6c6f7765642062> 20.0195 <7920666f7572204e4f50732c20616e642061205265616420444d49>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 672.216 Td +/F1.0 10.5 Tf +[<73686f756c6420626520666f6c6c6f7765642062> 20.0195 <792033204e4f50732e>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.8809 Tw + +BT +48.24 644.436 Td +/F1.0 10.5 Tf +[<54> 29.7852 <6f207265636f76657220636f6d6d616e64206672> 20.0195 <616d696e672c2077726974652036204e4f5020636f6d6d616e64732028746865206c656e677468206f6620746865206c6f6e6765737420636f6d6d616e6473292e2054686973>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 628.656 Td +/F1.0 10.5 Tf +[<77696c6c20626520696e746572707265746564206173206265747765656e203120616e642036204e4f507320646570656e64696e67206f6e207468652044> 20.0195 <544dd5732073746174652e>] TJ +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.527 Tw + +BT +48.24 600.876 Td +/F1.0 10.5 Tf +[<5468697320696e7465726661636520617373756d65732074686520444d492064617461207472> 20.0195 <616e736665722074616b> 20.0195 <65732076657279206c6974746c652074696d6520636f6d70617265642077697468207468652055> 20.0195 <4152> 20.0195 <5420616363657373>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.6349 Tw + +BT +48.24 585.096 Td +/F1.0 10.5 Tf +[<287479706963616c6c79206c657373207468616e206f6e65206261756420706572696f64292e205768656e2074686520686f73742d746f2d44> 20.0195 <544d2062616e647769647468206973206b> 20.0195 <6570742067726561746572207468616e20746865>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +2.2072 Tw + +BT +48.24 569.316 Td +/F1.0 10.5 Tf +[<44> 20.0195 <544d2d746f2d686f73742062616e6477696474682c207468616e6b7320746f20617070726f707269617465204e4f502070616464696e672c2074686520686f73742063616e2071756575652075702062617463686573206f66>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +1.1826 Tw + +BT +48.24 553.536 Td +/F1.0 10.5 Tf +[<636f6d6d616e647320696e20697473207472> 20.0195 <616e736d6974206275666665722c20616e6420746869732073686f756c64206e65766572206f76657272756e207468652044> 20.0195 <544dd57320726573706f6e7365206368616e6e656c2e20536f2c>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +0.5656 Tw + +BT +48.24 537.756 Td +/F1.0 10.5 Tf +[<7468652031204d6261756420386e312055> 20.0195 <4152> 20.0195 <54206c696e6b2070726f7669646573203637206b422f73206f662068616c662d6475706c657820646174612062616e647769647468206265747765656e20686f737420616e6420444d2c>] TJ +ET + + +0.0 Tw +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +48.24 521.976 Td +/F1.0 10.5 Tf +<776869636820697320656e6f75676820746f2067657420796f75722073797374656d206f6666207468652067726f756e642e> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +q +0.0 0.0 0.0 scn +0.0 0.0 0.0 SCN +1 w +0 J +0 j +[] 0 d +/Stamp2 Do +0.2 0.2 0.2 scn +0.2 0.2 0.2 SCN + +BT +49.24 14.263 Td +/F1.0 9 Tf +<3130> Tj +ET + +0.0 0.0 0.0 SCN +0.0 0.0 0.0 scn +Q +Q + +endstream +endobj +80 0 obj +<< /Type /Page +/Parent 3 0 R +/MediaBox [0 0 595.28 841.89] +/CropBox [0 0 595.28 841.89] +/BleedBox [0 0 595.28 841.89] +/TrimBox [0 0 595.28 841.89] +/ArtBox [0 0 595.28 841.89] +/Contents 79 0 R +/Resources << /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] +/Font << /F1.0 8 0 R +/F3.0 18 0 R +>> +/XObject << /Stamp2 152 0 R +>> +>> +>> +endobj +81 0 obj << /Border [0 0 0] /Dest (_introduction) /Subtype /Link @@ -15286,7 +16754,7 @@ endobj /Type /Annot >> endobj -79 0 obj +82 0 obj << /Border [0 0 0] /Dest (_introduction) /Subtype /Link @@ -15294,7 +16762,7 @@ endobj /Type /Annot >> endobj -80 0 obj +83 0 obj << /Border [0 0 0] /Dest (_instruction_cycle_counts) /Subtype /Link @@ -15302,7 +16770,7 @@ endobj /Type /Annot >> endobj -81 0 obj +84 0 obj << /Border [0 0 0] /Dest (_instruction_cycle_counts) /Subtype /Link @@ -15310,7 +16778,7 @@ endobj /Type /Annot >> endobj -82 0 obj +85 0 obj << /Border [0 0 0] /Dest (_rv32i) /Subtype /Link @@ -15318,7 +16786,7 @@ endobj /Type /Annot >> endobj -83 0 obj +86 0 obj << /Border [0 0 0] /Dest (_rv32i) /Subtype /Link @@ -15326,7 +16794,7 @@ endobj /Type /Annot >> endobj -84 0 obj +87 0 obj << /Border [0 0 0] /Dest (_m_extension) /Subtype /Link @@ -15334,7 +16802,7 @@ endobj /Type /Annot >> endobj -85 0 obj +88 0 obj << /Border [0 0 0] /Dest (_m_extension) /Subtype /Link @@ -15342,7 +16810,7 @@ endobj /Type /Annot >> endobj -86 0 obj +89 0 obj << /Border [0 0 0] /Dest (_c_extension) /Subtype /Link @@ -15350,7 +16818,7 @@ endobj /Type /Annot >> endobj -87 0 obj +90 0 obj << /Border [0 0 0] /Dest (_c_extension) /Subtype /Link @@ -15358,7 +16826,7 @@ endobj /Type /Annot >> endobj -88 0 obj +91 0 obj << /Border [0 0 0] /Dest (_privileged_instructions_including_zicsr) /Subtype /Link @@ -15366,7 +16834,7 @@ endobj /Type /Annot >> endobj -89 0 obj +92 0 obj << /Border [0 0 0] /Dest (_privileged_instructions_including_zicsr) /Subtype /Link @@ -15374,7 +16842,7 @@ endobj /Type /Annot >> endobj -90 0 obj +93 0 obj << /Border [0 0 0] /Dest (_csrs) /Subtype /Link @@ -15382,7 +16850,7 @@ endobj /Type /Annot >> endobj -91 0 obj +94 0 obj << /Border [0 0 0] /Dest (_csrs) /Subtype /Link @@ -15390,7 +16858,7 @@ endobj /Type /Annot >> endobj -92 0 obj +95 0 obj << /Border [0 0 0] /Dest (_standard_csrs) /Subtype /Link @@ -15398,7 +16866,7 @@ endobj /Type /Annot >> endobj -93 0 obj +96 0 obj << /Border [0 0 0] /Dest (_standard_csrs) /Subtype /Link @@ -15406,7 +16874,7 @@ endobj /Type /Annot >> endobj -94 0 obj +97 0 obj << /Border [0 0 0] /Dest (_mvendorid) /Subtype /Link @@ -15414,7 +16882,7 @@ endobj /Type /Annot >> endobj -95 0 obj +98 0 obj << /Border [0 0 0] /Dest (_mvendorid) /Subtype /Link @@ -15422,7 +16890,7 @@ endobj /Type /Annot >> endobj -96 0 obj +99 0 obj << /Border [0 0 0] /Dest (_marchid) /Subtype /Link @@ -15430,7 +16898,7 @@ endobj /Type /Annot >> endobj -97 0 obj +100 0 obj << /Border [0 0 0] /Dest (_marchid) /Subtype /Link @@ -15438,7 +16906,7 @@ endobj /Type /Annot >> endobj -98 0 obj +101 0 obj << /Border [0 0 0] /Dest (_mimpid) /Subtype /Link @@ -15446,7 +16914,7 @@ endobj /Type /Annot >> endobj -99 0 obj +102 0 obj << /Border [0 0 0] /Dest (_mimpid) /Subtype /Link @@ -15454,7 +16922,7 @@ endobj /Type /Annot >> endobj -100 0 obj +103 0 obj << /Border [0 0 0] /Dest (_mstatus) /Subtype /Link @@ -15462,7 +16930,7 @@ endobj /Type /Annot >> endobj -101 0 obj +104 0 obj << /Border [0 0 0] /Dest (_mstatus) /Subtype /Link @@ -15470,7 +16938,7 @@ endobj /Type /Annot >> endobj -102 0 obj +105 0 obj << /Border [0 0 0] /Dest (_misa) /Subtype /Link @@ -15478,7 +16946,7 @@ endobj /Type /Annot >> endobj -103 0 obj +106 0 obj << /Border [0 0 0] /Dest (_misa) /Subtype /Link @@ -15486,7 +16954,7 @@ endobj /Type /Annot >> endobj -104 0 obj +107 0 obj << /Border [0 0 0] /Dest (_custom_csrs) /Subtype /Link @@ -15494,7 +16962,7 @@ endobj /Type /Annot >> endobj -105 0 obj +108 0 obj << /Border [0 0 0] /Dest (_custom_csrs) /Subtype /Link @@ -15502,7 +16970,7 @@ endobj /Type /Annot >> endobj -106 0 obj +109 0 obj << /Border [0 0 0] /Dest (_midcr) /Subtype /Link @@ -15510,7 +16978,7 @@ endobj /Type /Annot >> endobj -107 0 obj +110 0 obj << /Border [0 0 0] /Dest (_midcr) /Subtype /Link @@ -15518,7 +16986,7 @@ endobj /Type /Annot >> endobj -108 0 obj +111 0 obj << /Border [0 0 0] /Dest (_meie0) /Subtype /Link @@ -15526,7 +16994,7 @@ endobj /Type /Annot >> endobj -109 0 obj +112 0 obj << /Border [0 0 0] /Dest (_meie0) /Subtype /Link @@ -15534,7 +17002,7 @@ endobj /Type /Annot >> endobj -110 0 obj +113 0 obj << /Border [0 0 0] /Dest (_meip0) /Subtype /Link @@ -15542,7 +17010,7 @@ endobj /Type /Annot >> endobj -111 0 obj +114 0 obj << /Border [0 0 0] /Dest (_meip0) /Subtype /Link @@ -15550,7 +17018,7 @@ endobj /Type /Annot >> endobj -112 0 obj +115 0 obj << /Border [0 0 0] /Dest (_mlei) /Subtype /Link @@ -15558,7 +17026,7 @@ endobj /Type /Annot >> endobj -113 0 obj +116 0 obj << /Border [0 0 0] /Dest (_mlei) /Subtype /Link @@ -15566,7 +17034,7 @@ endobj /Type /Annot >> endobj -114 0 obj +117 0 obj << /Border [0 0 0] /Dest (_maybe_adds) /Subtype /Link @@ -15574,7 +17042,7 @@ endobj /Type /Annot >> endobj -115 0 obj +118 0 obj << /Border [0 0 0] /Dest (_maybe_adds) /Subtype /Link @@ -15582,7 +17050,7 @@ endobj /Type /Annot >> endobj -116 0 obj +119 0 obj << /Border [0 0 0] /Dest (_debug) /Subtype /Link @@ -15590,7 +17058,7 @@ endobj /Type /Annot >> endobj -117 0 obj +120 0 obj << /Border [0 0 0] /Dest (_debug) /Subtype /Link @@ -15598,235 +17066,260 @@ endobj /Type /Annot >> endobj -118 0 obj +121 0 obj << /Border [0 0 0] -/Dest (_uart_dtm) +/Dest (_implementation_defined_behaviour) /Subtype /Link -/Rect [60.24 379.19 134.1594 393.47] +/Rect [60.24 379.19 256.5795 393.47] /Type /Annot >> endobj -119 0 obj +122 0 obj << /Border [0 0 0] -/Dest (_uart_dtm) +/Dest (_implementation_defined_behaviour) /Subtype /Link /Rect [541.1705 379.19 547.04 393.47] /Type /Annot >> endobj -120 0 obj -<< /Type /Outlines -/Count 23 -/First 121 0 R -/Last 142 0 R ->> -endobj -121 0 obj -<< /Title -/Parent 120 0 R -/Count 0 -/Next 122 0 R -/Dest [7 0 R /XYZ 0 841.89 null] ->> -endobj -122 0 obj -<< /Title -/Parent 120 0 R -/Count 0 -/Next 123 0 R -/Prev 121 0 R -/Dest [10 0 R /XYZ 0 841.89 null] ->> -endobj 123 0 obj -<< /Title -/Parent 120 0 R -/Count 0 -/Next 124 0 R -/Prev 122 0 R -/Dest [12 0 R /XYZ 0 841.89 null] +<< /Border [0 0 0] +/Dest (_uart_dtm) +/Subtype /Link +/Rect [60.24 360.71 134.1594 374.99] +/Type /Annot >> endobj 124 0 obj -<< /Title -/Parent 120 0 R -/Count 4 -/First 125 0 R -/Last 128 0 R -/Next 129 0 R -/Prev 123 0 R -/Dest [21 0 R /XYZ 0 841.89 null] +<< /Border [0 0 0] +/Dest (_uart_dtm) +/Subtype /Link +/Rect [541.1705 360.71 547.04 374.99] +/Type /Annot >> endobj 125 0 obj -<< /Title -/Parent 124 0 R -/Count 0 -/Next 126 0 R -/Dest [21 0 R /XYZ 0 721.61 null] +<< /Type /Outlines +/Count 24 +/First 126 0 R +/Last 147 0 R >> endobj 126 0 obj -<< /Title -/Parent 124 0 R +<< /Title +/Parent 125 0 R /Count 0 /Next 127 0 R -/Prev 125 0 R -/Dest [29 0 R /XYZ 0 467.19 null] +/Dest [7 0 R /XYZ 0 841.89 null] >> endobj 127 0 obj -<< /Title -/Parent 124 0 R +<< /Title +/Parent 125 0 R /Count 0 /Next 128 0 R /Prev 126 0 R -/Dest [43 0 R /XYZ 0 841.89 null] +/Dest [10 0 R /XYZ 0 841.89 null] >> endobj 128 0 obj -<< /Title -/Parent 124 0 R +<< /Title +/Parent 125 0 R /Count 0 +/Next 129 0 R /Prev 127 0 R -/Dest [43 0 R /XYZ 0 651.93 null] +/Dest [12 0 R /XYZ 0 841.89 null] >> endobj 129 0 obj -<< /Title -/Parent 120 0 R -/Count 12 +<< /Title +/Parent 125 0 R +/Count 4 /First 130 0 R -/Last 136 0 R -/Next 142 0 R -/Prev 124 0 R -/Dest [51 0 R /XYZ 0 841.89 null] +/Last 133 0 R +/Next 134 0 R +/Prev 128 0 R +/Dest [21 0 R /XYZ 0 841.89 null] >> endobj 130 0 obj -<< /Title +<< /Title /Parent 129 0 R -/Count 5 -/First 131 0 R -/Last 135 0 R -/Next 136 0 R -/Dest [51 0 R /XYZ 0 705.83 null] +/Count 0 +/Next 131 0 R +/Dest [21 0 R /XYZ 0 721.61 null] >> endobj 131 0 obj -<< /Title -/Parent 130 0 R +<< /Title +/Parent 129 0 R /Count 0 /Next 132 0 R -/Dest [51 0 R /XYZ 0 665.75 null] +/Prev 130 0 R +/Dest [29 0 R /XYZ 0 467.19 null] >> endobj 132 0 obj -<< /Title -/Parent 130 0 R +<< /Title +/Parent 129 0 R /Count 0 /Next 133 0 R /Prev 131 0 R -/Dest [51 0 R /XYZ 0 561.13 null] +/Dest [43 0 R /XYZ 0 841.89 null] >> endobj 133 0 obj -<< /Title -/Parent 130 0 R +<< /Title +/Parent 129 0 R /Count 0 -/Next 134 0 R /Prev 132 0 R -/Dest [51 0 R /XYZ 0 456.51 null] +/Dest [43 0 R /XYZ 0 651.93 null] >> endobj 134 0 obj -<< /Title -/Parent 130 0 R -/Count 0 -/Next 135 0 R -/Prev 133 0 R -/Dest [51 0 R /XYZ 0 351.89 null] +<< /Title +/Parent 125 0 R +/Count 12 +/First 135 0 R +/Last 141 0 R +/Next 147 0 R +/Prev 129 0 R +/Dest [51 0 R /XYZ 0 841.89 null] >> endobj 135 0 obj -<< /Title -/Parent 130 0 R -/Count 0 -/Prev 134 0 R -/Dest [51 0 R /XYZ 0 290.83 null] +<< /Title +/Parent 134 0 R +/Count 5 +/First 136 0 R +/Last 140 0 R +/Next 141 0 R +/Dest [51 0 R /XYZ 0 705.83 null] >> endobj 136 0 obj -<< /Title -/Parent 129 0 R -/Count 5 -/First 137 0 R -/Last 141 0 R -/Prev 130 0 R -/Dest [51 0 R /XYZ 0 229.77 null] +<< /Title +/Parent 135 0 R +/Count 0 +/Next 137 0 R +/Dest [51 0 R /XYZ 0 665.75 null] >> endobj 137 0 obj -<< /Title -/Parent 136 0 R +<< /Title +/Parent 135 0 R /Count 0 /Next 138 0 R -/Dest [51 0 R /XYZ 0 146.13 null] +/Prev 136 0 R +/Dest [51 0 R /XYZ 0 561.13 null] >> endobj 138 0 obj -<< /Title -/Parent 136 0 R +<< /Title +/Parent 135 0 R /Count 0 /Next 139 0 R /Prev 137 0 R -/Dest [62 0 R /XYZ 0 665.43 null] +/Dest [51 0 R /XYZ 0 456.51 null] >> endobj 139 0 obj -<< /Title -/Parent 136 0 R +<< /Title +/Parent 135 0 R /Count 0 /Next 140 0 R /Prev 138 0 R -/Dest [62 0 R /XYZ 0 321.23 null] +/Dest [51 0 R /XYZ 0 351.89 null] >> endobj 140 0 obj -<< /Title -/Parent 136 0 R +<< /Title +/Parent 135 0 R /Count 0 -/Next 141 0 R /Prev 139 0 R -/Dest [69 0 R /XYZ 0 566.31 null] +/Dest [51 0 R /XYZ 0 290.83 null] >> endobj 141 0 obj -<< /Title -/Parent 136 0 R -/Count 0 -/Prev 140 0 R -/Dest [69 0 R /XYZ 0 337.01 null] +<< /Title +/Parent 134 0 R +/Count 5 +/First 142 0 R +/Last 146 0 R +/Prev 135 0 R +/Dest [51 0 R /XYZ 0 229.77 null] >> endobj 142 0 obj -<< /Title -/Parent 120 0 R -/Count 1 -/First 143 0 R -/Last 143 0 R -/Prev 129 0 R -/Dest [73 0 R /XYZ 0 841.89 null] +<< /Title +/Parent 141 0 R +/Count 0 +/Next 143 0 R +/Dest [51 0 R /XYZ 0 146.13 null] >> endobj 143 0 obj -<< /Title -/Parent 142 0 R +<< /Title +/Parent 141 0 R /Count 0 -/Dest [73 0 R /XYZ 0 210.89 null] +/Next 144 0 R +/Prev 142 0 R +/Dest [62 0 R /XYZ 0 665.43 null] >> endobj 144 0 obj +<< /Title +/Parent 141 0 R +/Count 0 +/Next 145 0 R +/Prev 143 0 R +/Dest [62 0 R /XYZ 0 321.23 null] +>> +endobj +145 0 obj +<< /Title +/Parent 141 0 R +/Count 0 +/Next 146 0 R +/Prev 144 0 R +/Dest [69 0 R /XYZ 0 566.31 null] +>> +endobj +146 0 obj +<< /Title +/Parent 141 0 R +/Count 0 +/Prev 145 0 R +/Dest [69 0 R /XYZ 0 337.01 null] +>> +endobj +147 0 obj +<< /Title +/Parent 125 0 R +/Count 2 +/First 148 0 R +/Last 149 0 R +/Prev 134 0 R +/Dest [73 0 R /XYZ 0 841.89 null] +>> +endobj +148 0 obj +<< /Title +/Parent 147 0 R +/Count 0 +/Next 149 0 R +/Dest [73 0 R /XYZ 0 210.89 null] +>> +endobj +149 0 obj +<< /Title +/Parent 147 0 R +/Count 0 +/Prev 148 0 R +/Dest [77 0 R /XYZ 0 441.63 null] +>> +endobj +150 0 obj << /Nums [0 << /P (i) >> 1 << /P (ii) >> 2 << /P (1) @@ -15838,10 +17331,11 @@ endobj >> 8 << /P (7) >> 9 << /P (8) >> 10 << /P (9) +>> 11 << /P (10) >>] >> endobj -145 0 obj +151 0 obj << /Type /XObject /Subtype /Form /BBox [0 0 595.28 841.89] @@ -15869,7 +17363,7 @@ Q endstream endobj -146 0 obj +152 0 obj << /Type /XObject /Subtype /Form /BBox [0 0 595.28 841.89] @@ -15897,62 +17391,58 @@ Q endstream endobj -147 0 obj -<< /Length1 16792 -/Length 10563 +153 0 obj +<< /Length1 16976 +/Length 10644 /Filter [/FlateDecode] >> stream -x{ |[Օ=ɲʖWғeybnY^$,/q˶$% !I!8BLH!dR -eBBi@ B@ӡM  ~}l my[{{ι)#ЙI̟m{ʯQ#7 LLVhsjlr~wL+P -mccnWLIi|'Pf[: k(L_:l= W)פMBYw!i?"wgf3wC#3~tf#3k}rO;! -b7S4BH$ GDʢظDEJVXu -IӦg,2cN)Ϝ_PXT\RZf)VTVU5sQ4lY"QB&ZQ#tފZS,G1\I)ʜe14?vl|5:^/_ƪ_?^g޻X+WWҚTT -Af FW()x^!z{־_|3xcX)yzƜoURXj>wlJZJlS[3֡p}rE<)CUbU!˄P^E1&*_9u - uWh -,aq)zj3( \L gHT8t3Κӵ/Ҫj18}*o*,zxk ^x#%MpI. - ^ڿ{KVI # -EaԚD}]oLL~_۾5ϜTWSNlgϼc; 6H`\Jhdy yWSh2XU^azD}7씪LPU#Ә5odUR'=c3~WZm?샕Ҵ S|?vOW+L-(ը0O NM1 ?YONo/f:BU&m>#asEC4  8H) |˦%gEEBWnk:Ӓӽ&h*ᲛFa^UzSӵ/ԕWݱl3d1ѩͣrUgFk,:-KFQx^bQ (ÀIf0pM+tD+G󼅢ELPZaC7o *yA0Szd{Aw3jKz:Z[2&KSk.-g꺼1hlSzY[h}&! -~J:BR'% [{QRѱ(zꚫJJ (i;j۔=؈ڸƦ/jh=/㭋'TV6-O–vЕ? Xpqxh T>9:hAϭ|O8DgaΧ -4(6vm`VҾ"z h5>,P23E8MHV*V2 eͲؤOߏ7NgX%yF^aP;֖5#XfLjG%>CT׽YnY9ޚoW+2}B_Hi3+?ݘ}kU)]GZX9JZu >+P;/p5Fت nK`!v -xQXPjq;;溫es?:J&gqSG~do<%$Mm̂ sXƔKMDw"z#^1!DBQ&sO+Й;3+=/)_>: 2Ggps/Xf= oe5ͱE;o\LL)+HOd$R&.BϟǟQ#Dn38@G&T7i藌pFM]CD;N{;UE+a. ]ӵ~uFj DY8/s? Y4ғ}=?d:$伴l|#4 -Zb0} d~`Meo[B#l8):Еq -ʱ^# g#hg~^Y$;Of 9 OLBWvI,x~Xn:JqѕvMjxf4]ߞ`~>K@WIj0<"3 z@wjs֏K @9|km``b)>kȵ)b^ny|.3Xad#Jo7M/ oB9&ů<((J 2-7dC7(n^[FqGLk/| ?>;Pv?Ξq6ob^۱͵NkoC0~oWBT~}Z>kN5v.iC'w"hd$~ Sظh%h[&PFx{H"N)R,ʖEfڀUfqJ|fd+[?7@M3(dBH 2";]Z>1uڤiXDdRԥ*#s?"MHуy TJ^Rt~kv*\)ӍrfC߹{?:p+c뒒e`!8ްz^b - y˲x1~5JINjVm!{Dኘ7)a> ;4[ -*K4ZvqI"MT\ -3y9NguH2;yO:U%;)#cCCTr[= bqD{Aj`<-irg4teh77foשb9X1ľޓ⍟)= otU#+PguMQ #tX iQל1[,cn,ARGw"&1YsHӏ‰XMP8-l?91rq~9ޤIkVuI]FxgO{ H"-N1-~{91ÕQեs!V8<”p'6/Y۶ ނUW.>:T[Bd6\$2y7]pŠH,l]2T_`DE -I\q@|IBQz[YRR>{g[|nKdh4'WV'N8;ST43s?V"6Ē5wGU<{Ͷ\#־-ڪmթ~j}\<[{{Gw&䵘k -M#<4=z_uuϿCq4'8܁2T$ċL~PY Ë|F -kj,iK~r u׷x..yjLJK_$)"R c kd5t -2 uj/쩸驒'4SgR_{ mwXnxCXS,{_ZXN|)I)M'%}8Z!l -k d. Mg尰svNU)te0U6$5IPuSw '&-MJPR+]+Lga匙9t[q%.tmGf\~\ó,2)"eaIĘL9o9 oa+(8 0/ y5nS h>xG_nNWG19jW, f~,sŵVʈ0v%k{_,o1֖4 P(R& KJ3AdUqipUu~)AKd4d\/ѐ[Ԫ ׯgW -!o@bJq b''2[xHTDe6>'/"FG"Y\b{Lw4p}b f(g1-?KsxWV35Wfkm-j ? 9ג=[ - k[ -* FG \տc-MrMW?r ry/y#SR S -ο rZBLm_lɴdH0X0Pis8Q;\\8XY?XX<\A})Yc]Dx+DJ #AQK}U"ǟ. -1 ~S%bGUH:`ݍD/c -n!OP/Sҕ)]F3/3_rEEc/rf.JI9o3*-K%=#}9$*d*P*2̰^ ;jDql/##L,k=(2/hi4 B#BhJe^d~|1XD}ps >8'uT=:': 53;p_" nL}V?%Y.*h=T$(JT"WP=ug#saGC| -g-I} -fdɑ3^2PsZh Яj1GyIĿCsICH!';4Lկ6d?Wrl3 ) J74"CQ'D -d]RHʯzeY}T=X!k(n!Bd( -b,P"5P2J5RҢt3kND"#D\dˌQE`%dYY :4&ԌZP+jC9P'.ԍz-mE1FC/-LFAd: 23H|tɱGPlE(Wb{|A(j|G.A>z0S>z0JR|t). ,ԟ~s|PTJa>꣇Q'_(1hGGyAwWM,ΎͳƜ\fzztM ي Tͱ9.;f"Ehy0\1iE :4*2愒n H<=?vgGT4Zv„kVxm-Cm_']S N WIxmMSfo_鞝bs|0bB:$G]4RE |su '];@zq9][{4Lo&8ͯWGX5yU;Bծy;6>5f{=.kLL灩x# ż6q'4 B- ǼW)6n6~hz07 E1Mu<42@ m !o`o=Ius@[8Gsej?9>ʣӻܳSĬfSh&|.@?/L_2qA!d"צ܇<%8#bo <,LkbUcB#po8s@SGa>/8Aj'Ksfp+FӾp#ۼ 58Dk+XTu\K$,~>MuӝWU:f,SǃCgΓ#'wÑ<|a&<|*}!<C! %!Ǚ?~׎2r7^hVé.q|gB*YUAGWH*MgxepF:E 6Gk4m튉Vg.l|kV2jXRLXSL GtF":) ՠ#>#ގAOyt 1X/.8:.7xZx=MZz={V? M3& V-~[ T !*-e x 'O:7s[@ۊ/PHFkmV=:O8W-T*G\ XDttҽ"@V07.xw--Ȳ<\'coanO6Wmd k4w="M$.<).E "vwiα%ץ-] ]¨޺Q~o'r` kn4vvWΩ jYM7U#Lj2𣗬h -m2$̊ՐHͫNRs_l^0auy =m'"=_)R-2mYnxx+RP{:HEE!|lmoX<0`uٞ,0vh7\jA}7sk$b;W|yU40{cUYW@-6pmݗi y{d0L-uxTa#lBCDS y*GwC][A1&6\#HnJA@H vx*K HTb֕l7ikÓվv^UOUBݣl -Y_(_Ekk6OPe97Íkk7GЈ A?>:6ǞS#׊쒄kX"9Cy1wkL!x -b1f6#XX 1v^:Km)PnpTU^=mˑ8_0U5Ps!/Y*EH -,)NPj gh? KQ@c4@#h)~ 0_oc~n[!6b=:ġ)p^N^Ѓ2p,eYqב3,/.ڸ9#9իڕÏOa센})fRf*zsuP;ї\= +x{ |[ŕ̽W,۲eOҕe-v~#cٖ_!I!8 ؐBȦ`!e_>.) - nKK _;s%ٲʹ93s9HA!LHNN(@G/ Gm:N2>5A(khsjtbnB'MQ2~ +)sn(_2nxe*?=5;wl<']PV1BnvL=B.ϛqOuۇG&!T+cG`|[BTPx'E#ĈA`iHh,2Eŕm}?ƚO^z k9j5P:VP2dxwTٷ]EEwo [//||W  V!Kn9GE#){{}1ɩ1؂xgٮv-V("k^f|v(ʢ6SɓHۻGƉB(?J0l*82EGsSI2kIg`J+ c9l^XuPޕϙ$;[G - H nα#(&h w2_P0ޝ/,ZV$*["߽=>6}xk۶?{ӝO_;q3g {7,"#\r*h0xt++uv[J_.n7?xwrJՅ&&T.ɖj-m73Mj޿@?X+`+P4hJ{ ]{[CCqjt)]:mSxss3'Rk3)ヮ㛘'd䫴ڋVis%j]}0;k +u!-BTrK%sr3'^d5 raYt4qYsUo/]=Mwեkʓj_h5h3Q)yM#mvUfDizkUr`/^XqD{&QWa2M)d#Dˇ򼕢ELPFeCw *yA4ЏOOdB[%۱9edYÏDq:ihhWWJ7geT[˩N :g类{a~ᮔruɖ!ugN!QWwJbR7s+tŵɛlY m6m$! x b䦉*hgM<_Rӑwb{aюƆ[Tu^GzKcTVBZ]Y^4LWuIU_.H)nJ/tiEk2O~h{N @! $c.J %aG,|e-`hban̓Ҙyo/*w윭HL5ܛn3ʨ4hNUqw6\e.6wѩ[K/oʉuM͕E +U?:v6pg!c^17n-ZгkL8"2o6 _%7}XH"+u7O ކ\SKߡ [Xvߔ"x b1)a1m:Dk`iEթ2Q,rqw kE$FAQkr:R̛7،x^_˛V4iȤja}_ [B&f* Đ4$'PZ,rߏ:w6$jw@urB!j) -ܲKo?竂oꙶ;Rيmunk_aK: +4hc#f,5~抹' HX<ۿoq<X +S_k'꩞$SirHZZ Bbۓn"g֟hEV'&(E7aH:~gٲ]%O#@^\Nl\~3`@uƅե]K 5rMgWD$$$/I`$mR&6_D.381_O!;diɐ~̖pF CèD廅A {WD-˞!U!& C8ӵ(zJbG D Ӗzq(P~չܼ]?h*$Ԙkh~#4 ?h20KȐ8|.>4޶+J!"Cl+J} k5Oyv-3Sk5K`'L= 2䳷IJpށ~:їx; mYˠU>bNg8~^q7ks-:ay7_2bv:уUX55~\0F_rk3KCSVO535ٜJscKQ͟޲nN0nV7WA,^,2f8Nc(3YXE}h̗=--?g7zbf9֯߇E}}ص3Nko0nWBTolOʕOlǡ#p܋N m>?+,꤮d^9ftIZo?4jt~n6Ǘ3v#?s{_@#!kU/no0,#ڀX>;P&N,?# +8U[^-<ЃX~F[SVS/=h|=őƴ-m'|{)]oA@ HŁ>֛C6VӚغ*vq>0`*+bKfwuȭ5EFvvGOށ= +{^G֜T[´֠)qZJWh{IN]/?HfD"=^&>$͸|Dń@O"jgkk!D\<싲W;cY|ꙿR^x=Ч7ߑQl + {h^ڽ)1o+O(Fc>0ȔA0.l/bbT8֊H*Py{HN)Z,ΒGd%_҈Ser*9\g32՝Ysn +2D"$i + +Aro/8FIzY.eZ"TU8"7䕊_O0ӕE AjgJ,ȕl5,ev<^[^k =[>=[`5{2z> z+1䱯ɓHU!;/[t ɔ282BZV)´FC"vkT3$HiicE0) +2xNgeH*+yO&E%9yiw#bBC%8+̭X3+E0%b T o~G4pm [ X䰇O3Mn\X=Kk +_^N $$?o=E5BϯĊtX hQ,۔SLSN ab{W2:!I8H:ӇdċaE)HFG dNzuWJ6ַvXթwwר.Mutm軿(SeC{VlUw~gBqzִmeg WK +-u2 eNOfMnuSA[* ]|m r8HdဍY(&,! + + |$ ǷE-mJeʭ%%.Jп_`*O;=q3Lfc%ϰ2~fjMxje{5Z_xU zc~rR# u76{*,~zG +8IR8YN@ֈH"j֨2e?NUG&TztesݦHY|VAˋ/۟{s`5N~uoqiDqj8?t hH9ί1Ż4S2YחhԹp?!ANk\613)\]dHL([p-#yW0Dh҅aAG\C!a +yGu2ɢw@%'2ް,$eDTQ}ڲ{/ս4VagUM5%i9Ej*|t\pQGdIfkiꝝ9UYQFKEew5`Lci*56^%Zww=0wHq--8X)Έ,m7w?ȓt7M|sg4wRY]/3?/ z'οUl΃BmYVۖiӯ+XKTI]'xsw=B2Lo.n<GvE%jQOzFd32QQꌸ 6RHШC Թ7gRLP)aa*؄P+?dGػ]5" E323yl{]d7Ld Տ.DTmUR*,,Ugˏݪ54 l3~P ut7Wd?@uKK0Yp CtmdToД?n;KX~ W 6^ 6]6ۿ+̈)SNOcI\]mjXs[3/6X .nMSm&[91iKy;W \'%̳//LGGTR;uo1#Ͼ0Euyd ';#:jnFVϧ</KU'ʅi!U<7[X8O ~}Ƌ"d-]b#F!9W ;|6.<?ߘ m1D$I'{ m}I%a./'!ߒ`˃7f$Bzӯ[ - w$X^f +A>Ir6&ljhCAlY_xF|2'=ulx(tREFIOD&TZWGIHݦ@s|Z5Uy^WcuBSv5? OoeR1|&U즁:kR;6B R:WRa25/= NWfWrXUaMUR *FfⲊStL[4W9N_F `jrJayqM5d.3;$qhHSzMť5//7 #_3uB1k 4 ^ћzSTtvU"'.laMza!LYSꙥ m9M,s$JH$L(6I-;& ǯ ~?>j(9_;&t:b[(9@}}|啇BHKؼRu9IL{9TÒ*~%Aũ\$]Mo(K!~iLTH,&]a*hK0?<1C9y9꽃K缲:)9rS,NِPܦ 4  9S^`$пt`jtd{`QפőCG*1-Qa%%cnM/hc%{bYɜp2&o)p:1G9>_$З@Ni @]19Fbo[9z|6? Kd%Aeje #% +3u^83x|=#e? R%[kvr9g@X:6vDcBQ$uJ^7r5!SA˗O4hmt K{j?CMmk + 22 + +jҩ3U*UՌñîRw L" h$\-g ~%b_H>݋B/b +NG SQI}F13̏E2Q^6 [⯂A-J$S~K/ z,d8!|3xGawT!kp[oGdE< y5(&}Q@hR@X*E^BFfxȷ pu'\\;\}Cg3MP%. r9/BMԗp|3BڏJ2WOQ)^AבZ4EjP:p50!f?q gpՠu4!HQdW~<ﻑ2($JGSB{%C_B㨗z頏yIĿFsIH"'k4DpFlWrdBR7NI4QzR,\1b/PD'EfOhBTbD E1v)uuss5!)Arb~@cu3Bc0-m>MoBP(H"i4ba`ߑ +)PJZ&L)sr1 Cko[/| _9UUϭ̳5FVԆڑuD]ٌztcz5h~rd*3 &U|Ԙ!LtߏfN N!zGa^o4 )]BG8\棋Qde_HA|`F%R[m] +vGAj}=Y?XEg表np=}G3?e(!hGܠ{+fFFSv[=552fk' l8Ff6{;Ach901)A Z4ʀ2϶^B O7C457ŶgƆTjZ6kF6恡 4NMN-LVpi+t~pgۍ%qgfǦ&l)PgNb )dF,bf\C vvj8|\po`Aہ6_acJ$p&nӻRPQ%J.4Qscs`&!v`]e:e:L G)&;hFfo#:9r txwFx S2 +7, +ѝŸi0nhk <'|M} % -Y!&L +%,]" ᄀ_#>|B-J 8G񗐟%B& 8`X (Hw;^\A0>-eó̷,w,e|t[eX < [q8dBMÒ;-Xhu (@p .\4 +bh+> ̑"5uFMNIv4wIǵQKETKX,ƉfeG9C;"ܪQោS_ ^,홙V=A-=>m=}ѳ<t8r'{rڻwe +XJ{ZH%z 7 +LPF=تJփ*ŪJw>DZU,t^!I4+^a=5iiTj4ZP# ,1"::̞zs h?3trvyh]z䙞tғWq0s'dmԯ ="m.XgD^⢝c +86[<8].uaJnOD(.MպCi ((5noFj4d/{FTExd_)5bdڲ U,+X +!d_yPHvl*8`<5^;`W‹QyzAEc> endobj -149 0 obj +155 0 obj << /Length 1286 /Filter [/FlateDecode] >> @@ -15973,55 +17463,60 @@ x JJ특xxx+!ÊwBxbx+ށr;2kΜJYeY7+|x oS7+[ƛețךyޢoV浖 -㭌"RW*4XqC^J[(^1»y]k}YM-x Vz[YEVY_}/7*Y%eӫq+:.7JE/3Y(Y*AW RVJS:(u@cD]a*f)9J)o,#\Z>MU\jPS {HSMj{fkyGm[z*Esa>&ӫj%u; 2^W[®v[2쯲u[P:V̡Յ> MBi2 .Ħԇ!dk`=o qWޕwdJF(L164U)x0E~Z?=/ί~:o?$O endstream endobj -150 0 obj -[259 500 500 500 500 500 500 500 346 346 500 500 250 310 250 288 559 559 559 559 559 559 559 559 559 559 286 500 500 500 500 500 500 705 653 613 727 623 589 713 792 367 356 500 623 937 763 742 604 742 655 543 612 716 674 1046 660 500 591 359 500 359 500 500 577 562 613 492 613 535 369 538 634 319 299 584 310 944 645 577 613 613 471 451 352 634 579 861 578 564 511 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 361 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 259 500 500 500 500 500 500 1000 500 500 500 250 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500] +156 0 obj +[259 500 500 500 500 500 500 500 346 346 500 500 250 310 250 288 559 559 559 559 559 559 559 559 559 559 286 286 500 559 500 500 500 705 653 613 727 623 589 713 792 367 356 500 623 937 763 742 604 742 655 543 612 716 674 1046 660 500 591 359 500 359 500 500 577 562 613 492 613 535 369 538 634 319 299 584 310 944 645 577 613 613 471 451 352 634 579 861 578 564 511 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 361 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 259 500 500 500 500 500 500 1000 500 500 500 250 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500] endobj -151 0 obj -<< /Length1 13440 -/Length 8612 +157 0 obj +<< /Length1 13900 +/Length 8902 /Filter [/FlateDecode] >> stream -x{ X[י9^-EhJI`Eb16;XKFb 8cg5ƩOqtXN3eyIuuLdLelWcL:|9?. ddE1SB䦡`!!A0=ԸBE.rXBIo}p&HxQ<Dq쓜"HN&CrPgdBGQ-NoG&3X}O^DKD`B#ՔByl{~1wCv.F_M(²B)7DIqj5. ם#|Íw2wJy?Ou,:bW0r%$Ψp54pY\}yk_=y>_p\ˆ_#J+m{1.|ť( jVCe)5{3MՌʬRL)ũHNZ/Y4,|Bx?k ۀ @jk -AzqߡowͷK|8ѼJB\AoO?eozO?<ݏxz1{A?2ދHGBԃ&+ͧ2IwM:H]k=cHPOslyeZRW|.J ?#] -%ƚ";%5%,)3Z-.;#ya3oξTĹ2lxWCVOHwϭwL`bJjuw˽vF;%96= -2sOGȘ2qqB՗,S d+E[lYV'hCC~~Ƕm{K=3L/쬳vOW߻uǣo\}VCevS&5ԘǾ~o1vw߭L,dz႘S;61Uh8b0P#q4` Kef9*j7~7BQA9) ~ -e-WAܺko{ӻҢ:AVI'3M i4`& C [n%vV=w{ NU9PK -D^ΈHlh~񎩳~S_w'ݲ{Sdx=zȝ-K ?1W<7->kSC!.wר߬<ѕmIv-,)D#;JrJJK]f!5 -TISd`ROp;Po$~DR.LHWv"4PZL=wcѡI rf[-fm{[zukkn/-1[+1g]Ra<&Zݙ4س?2Y'0KʡFyo Ƣ}-Q$`O͘JΉ91Xk -6(zcsf7x"厲[uC]]HKmrCYnRIw Q@0ݤoȨO1r8?$=ǐW8L18F BH+$AE~'2{?HfMA({Ffn#9s 1 dg -eI]9Ԝ򋃮5ժvټ -Tn=w߹̀=XQ;Ҹ`ƆO,ҹ,˻4&X6fg  ;꙯"ƍJ+\Gq@<_O @_q_[1si5 -p8#2v3!˴jpU@vUϽ[77;ܖbsSYUjdFcoɊ;J2>Rv1vOwـ[m4[5ͫw >'<wU,bW#&f8-3WҨDjNt@L羸ihTLgƇSvK1}ث:qߊ_[{rŮW{lCٷ}5vu:o5V>7Yex)isN7Փ$A)(N""-2MYMq9!GΩ"]x+Ffr܀8KĿGi2IewϤBHyᄴXC}GP#dljW}"BL6wf{R Xꭹ(gENNn (VTqkaaʛ C;U!|~ 'X&H!V䗋^e`9w1Ƭ9e6UUw⒡N9X?ȺbOβjJWOkz]>|JiÅ<'5=kuKY{R׹;2HWuYZ 2@ rx9=#X2/qǽVdQWJ7P9;S -T!a#(UnɬU<\i;Y($G4}՛,k<)3gW.s:.|B~5-_szNt}<ݡep;{a1p'-c#5#;%+3ϡ)o3i֜o8o S#ekAV_2Q⡲Q,U)Gb-OR.O(LXeJ_VudUf|ݜ,G<ΒQ🏟x;X(re -Ǯo]Yؙ!ʌ */12z&dD TCU}os_;B]7# ͥVwkOk<ؕ}~pٻFOxͬr -*sYC^t>]ڊy+q#*SX5iQM+eӼS2F*e ܲэ瞊192VuSvqT+rg_]JN - -2Uׂ`3*gu VQ(] {b'8fiRf\Oo< Vmt+bG~xsZ$HÆG`}|@3c4+Ί;D~Z_̆# p8&ǟ/-q韨ȋ OL-Hd`ń೘X˒l)!]3K.4# Tugp{^bgJ^5/OxP}_Ť,G/_J l>3b됒PP!B+?*fL#ޝ3嫔1}=/Y78wL>xwҐ[L:i*I5ʞU5cҬ;y - @~ō:3h2Tԩ򎢒徦L{|4U&Us5e)6y68S9Ez\W$$Eд,Wq ॖ -2B -Rx@$1&ݘp"ŔPO-zZ֦˭iNrj>#z4)G$*)TN,% ")%O dk#:8CwO7S{u{AIj\fm?$V)W 9:/b%SnS+G˻7' F - apiP-:"c9q_į*HRoW64 ؉lzځBi•IQ> y^yV>9<V諠<k" -dHՐ ~yJ!%됁ډ(^/b+"=ʇ~>ˇ-(UU}gDJꉘ~BH3&*ZSA -3H^GxӒl,܎bO88@a~ǿHI8BbS(x[r'p!8 HF (E8\D&-ODd_sȖ8< Uqx2n!ÓSqx -SPl}hbH.эXn4ӵcct';4Ew~3G!pha4`*E!8Dfhd ΥUS\/upm[CB' p Յ4mh<1}ãôf@q  mv|(ɽɩ8]h)Ax $=(- YZetI?MnCCUlQNr>(aR p\M- qV _ۅr+05:y38aPH%7z\bm~ηYvS-܂ uO(suApNB< lhXn8; -f88:]u+x_37¶cc \hoSU1Lr;A2GNq4Nr|Jxѻ٘JBd[3Ehv&KѦGaFxa#q6 l֎/h @qijprt"߰]f[㽾o_ /?w]vm"/qo+PUɞ WqUrA]={>w_p%RXcϽ8C->>o9Oy()>:uNʗN^>IxOO~vr$Ys_>铸'_:~8~q=D$>Dq=i j5/ _6LZjڇHj;/_R{xg$)^^Gx{'zM=uK{yY6U&bq&!pc<.&&d|3D%Q`.vw-Ζ}C?uԳ~"G>["E]7%F  IE뛚 -Cbk -ŀ넧;iCF7MxcӌF(S\ ^ո<=]mk״nnjlpjkU厲R{Ib6\FQˤqJr(A((VftDPz|d[Dh/7uf Z1&6fi&ЕT@:򖋡g>:)^˵)=IF+hw`/4lq{]bL(Њ1q^5D"\m#G;U#|03Ra@U X|X -dFuRU`4v0fm#退6D1wQ}j>/hũ/ba&"2nI,Y.$," `|Uv;ֶRMM{otx^@.ӪP1s`z?Q{U~!ڣDjE F HpEnOKұS':6th*3" i"`t4JxG:! (f]WI{^ dDiwoAcMi&O{L aU@0p VTi`:Rayci$. N?qv[&,bfZ.H#_6n^gLK #D@Jf=q;?ty*U]ؽRQ n3@ૻ;.{^ D?yDb.y^\ elf;,NjÍRb0gL#F`5}@K# cnokHL?L5 ~RD"L wpg g f$n&Smvyxo!Z2Q>W.X#ql@o ozV5f&ًj {/6tb$ H;yd_Ek]"V#| |_ ˢI%|ɿJ" 2qulԯO@G'A!@#2`?bTP" + +%%S@H Pf +S62[k?}&G$ ZٗF-syon}1oF + By P!&+ɮ{kkku%{˕a)reօPz%+BӉcA~9^55jǗ8t]GϞ+ayDqd@ZP7{/y]\ڨ0 +`tbgѕ[jK0: RaTd2CO(;W0J a( p4aϭ ^t+9/okwhEUW\\%dׯ~[ccoac׿x^AZ<21ɢ59]bϥRng&D'j\ʢǧۍak*(2tB>_VW|&V:1%Kjqg`t|;[TwGM'/kٖ"l]{_MjgHGm(ԙef[gÃOzߨw;m|6OҶhl~ "c1B0pDpP K )H'" TWS&+uӪ9鮎BAcx3[lwLWX%\WMuMwnj TOVPt;Eh;޳8Mg%JO2՚AFbZےPXָ޹:PiS}m>:rY۲z;zKAz.)F{r)eO +EK{)o?RR48<@{WFOn( |./]6+'%flߪY}c66 +YZwL.<º?ɦy[-]JCTjPuQE2GF8ejE&dK 6V*eK}#5;?aR3iY*h%eG4(kg5ot)l٨슨"+G:aVl zWNpXnE4\CT@If=KN}2 ymgM • eB]ssPdiE~x+.ٙz,A*V)3~˻W?/YW+/+x]s6<3[?:qhͭ5t\٦׷}ggWB }inqoDb4g8?E"4|I,98]v{}MuZw E=Ъ̜d +)x2\nh{mKq /XlenFYV1YGKl̓y&3@#lk\9ALծ-NN-K[TY~1dmаi̭v9+!6V4KY!UH++VWVt>صpqׅ6ikҬtj#G֚(kͯȻwueּV##7VЌ +Ki(Vk15ׯ0omxvS],F fɄ βs圕OY*x&P +˩/n;;U+K ~~ʇJt}GǙ;λͮmcdizE7:L-5U+x+SkmZ rd8jX;rXiȕ*p6UYcb2Ini 8/IUW u'I\#o%d[G54%QnQUSenw*UucpG ^@E,+.ԻXI +U)P-i _ Ĥ0t5#kEypOl2kFw`$$֨ccqLVڬFH԰Ѹ.mT_<*k8?qX~[^FQ5[VIqll胵Db`2` dBT023Re刏e}|:~foˆTN~ؔ+suWs;ֻex@[jh(jUvz\Qm?)owU/xpfx\bˢLvIht+O zV*[iERGR8ppт0Ubmm^"SlU'"+d秋]z{Xl9wbCrgЭyIZ4M?ݵr 7*nafP4'$'Y%?q%fÖ3F^xH63{2 tA"hTvr1/.Z&*NT >p{Jb$2:eyk\Zn7+VZE+{sdSYFq"ۘq=E8vs +N VgT)LV +u|Kam:Lɩu r=߶_5%g~o}aBmO5eoTBuS7{.O YVwϺ42lwe%( :^)1%eەIؐq75?"1|♪})Gx)/ݪӋ,TNVZ8>gdTic,#aN~䧐!'.;wŒ=㴥ٜaUOLyʤi1c#1EFCQFBBF9Y<"DDO0%A6xJ<s}ʂs ^ۿ]*NJ20QwX"O4kl㇋{m.?1 1Ȗ+qeZװ%!!kˆR4vdiAUos;?"cˀFcn%NRe#<`1z,­o쩟Smv%lUY=!)5;?=Q\͎}=w r<߀]Dp~'h*b+h'W\pj# <*u|*&Q)Tom䩡9h r#DpfOaWyO=agЂxylN*@7] աz5FԌZP+jQ7AkP7[^#+73]j:F"?Jg)}7J'~ɜIC/E$SHӢt +((pWG +<- ?#@.l.>GpJ(=ɉ(=eeQAPX4ĿF鱨_dC(=7F1(]Rt1Ll ҹ\6rlnc6ߔoroЂQ4F0AApܨi0jh V@(cl[5|MpD@0@&GP ESنcPx eãô=z-8Ǐ0(Їn.@9:ri.h`α +)A=PRxݐ=ؚY,SNz}~:0K&4+xAk>NIh]5q]Iˇ|SthgRȬP"#Apx n t04L- YG8s,h؃8@`pjݼyeSe Vr%\h32 +uF' `hxA +h PGM`_F|SA$to<ҹ1!9GF4Ӻv]GWU׷gӫ;;;ՕmmMvnnrw77Al\ٴoLҾ{'&}SSt`O}3Vz(dj70:4:@yLJ ńo?: +ke|A>gzK`zN_>9]D5jfN .$2ǹ04z׷H#<9@[d r1h +FExzn;ۡƩJk;c5:`n=WB@n{andj{5<`s-l9VÅ&حi>,ohg VqTV K4(pM1\f{rmn[Lp.,2`~N6"ng&nbitS$.ĥщejt64. S k n pJ? M`I_Jb[kCDSWU`9!;F;&&\:gp1rjZ;Cxϓ=/z$iiiP|"ĥ'HɱKt襣(~gG珒ΣQL͇&~0×-[qϾ}D}[ԙ8P>äm92DRC@W/ <%v3֬&<]];ȺN:TSiWtʰSjtF֩AOKK3 @!_ Q ;/Cg;M eM?ҷwgkoHu>񁞝Pn{wȓ-쀂4ULMMMCBi +E +NOO~ L[7E#L&9 + Nܒr{;;Z[V56q*Ғ‚b4NIˤq|(F((fjʫ2e*PjaNq!ݡM#3n U3>9B1JLf,ά\t\<;mԻnKCb5je_p,Q:K~uf)Z1 2޾酱3{ffwHf +e1P}Bٌ2\i=%R+DH'wRQ +_/+b5ޙx/XRfl\̄4ZaԅUj\=uMw#^>-Ԍ@ЩV +JhGkw)rZM=!öТd[v,,0`͆dܠЎuOYS0ҐKI/ GjQKCf\Ee&0bذ|܌4 hsv1},pިgmVF]BVf"$grwsCB DGn;3a}ozF Lk>sd-ᛤG}D}E >_W_#~A^px0tq["0o_##%; endstream endobj -152 0 obj +158 0 obj << /Type /FontDescriptor -/FontName /6e971e+NotoSerif-Bold -/FontFile2 151 0 R +/FontName /09bf34+NotoSerif-Bold +/FontFile2 157 0 R /FontBBox [-212 -250 1306 1058] /Flags 6 /StemV 0 @@ -16032,7 +17527,7 @@ endobj /XHeight 1098 >> endobj -153 0 obj +159 0 obj << /Length 1286 /Filter [/FlateDecode] >> @@ -16042,49 +17537,47 @@ x JJ특xxx+!ÊwBxbx+ށr;2kΜJYeY7+|x oS7+[ƛețךyޢoV浖 -㭌"RW*4XqC^J[(^1»y]k}YM-x Vz[YEVY_}/7*Y%eӫq+:.7JE/3Y(Y*AW RVJS:(u@cD]a*f)9J)o,#\Z>MU\jPS {HSMj{fkyGm[z*Esa>&ӫj%u; 2^W[®v[2쯲u[P:V̡Յ> MBi2 .Ħԇ!dk`=o qWޕwdJF(L164U)x0E~Z?=/ί~:o?$O endstream endobj -154 0 obj -[259 600 600 600 600 600 600 600 399 399 600 600 600 310 293 600 559 559 559 559 559 559 600 600 600 600 304 600 600 600 600 600 600 752 671 667 767 652 600 600 600 400 600 600 600 952 788 600 638 600 707 585 652 747 698 600 600 600 666 600 600 600 600 600 600 599 648 526 648 570 407 560 666 352 600 600 352 985 666 612 645 600 522 487 404 666 605 600 645 579 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600] +160 0 obj +[259 600 600 600 600 600 600 600 399 399 600 600 600 310 293 600 559 559 559 559 559 559 600 600 600 600 304 600 600 600 600 600 600 752 671 667 767 652 621 600 600 400 600 600 600 952 788 787 638 600 707 585 652 747 698 1066 600 600 666 600 600 600 600 600 600 599 648 526 648 570 407 560 666 352 600 600 352 985 666 612 645 600 522 487 404 666 605 600 645 579 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600] endobj -155 0 obj -<< /Length1 7536 -/Length 5198 +161 0 obj +<< /Length1 7604 +/Length 5240 /Filter [/FlateDecode] >> stream -xX{X[Ǖ ˖$0 x# H Aܸodcy(N6Ik&n>7:Xu|iM\̽ݯ;s330BhP zbh?ɸ*BX=2mmۡ)B&}sBc'4uBP(B~&s['4&3Ʋw50{rb:_2_d _"z4:ɬ58YٳDq -l:P*kW֪~Q bǏ]*!@.Q*D@H k siR'$:ʕ:񕺪Lq姫ϣzԓ#6td"p4[M*@+*5KumcZp8_[=m=^s%~*kYo翉+WU(bÂVB++ 5.7*#}uf`]=z6cy@Ӛ_Yڽ ucaeTfҰ_^>t-s$عN -62ɓDm}[{]blR, 2jޏMVP@SѪU)_&ܲnGJqXքeB^.Vz_Q2D|D^_]lq YolU8C*'[bC8 ۅN1MԢ_( *Т}/@EpCPZ(о,".wtb4T>rVf5 -r D JzϾH/ڶnzLJ7`i\]?ݠ egm[v? &/qެaQuDboݸAnU 5~+lYFm[Z4:X};oT!*/9CmWy{5>_`mT33EMkIɋO2K~U5.ϖR8[ߺ84tqhvŲBԻv™?~'s`OaO&/ߺ'vAp\|][o-fIꆠ'|‹=` uׄz0s.gb]-Ըd1{݇&o׌RnZOcʺ܎ig383k}Aq]]&o# ’Cqa6LX_d87i㯭[QWӅ^#V8'jxYq$vcI,Rд^_lZGBd7J0]Fc"Mo8PS6= ;d +7a]ͻJ¿o ~_- -cD84d/4ĠlEˀP1P 4!3@ P9Z lq:T5f'U+jC0Q'nԃ1,Y#[ -鷙:t [ tD'..Ƀ]zrG.=_Jc$z @XǣUAGxD_$ -*<A6"{#Fkzk AxBd A0!,A7(HҵᏎ`-ÂE_璝HcquÈ:3t>=УS07<*:0KGg>n/>L ia*P~Ɲrp}˸Ȳtܽ:j/`Workf -@ qn :<WGd#ĵAq:ޛ.q^ڗ;k#R$fc?xܽ$s}#9]<_ GAƺc||BE|Ψ } -}"Ciѵ.oe/0 >(,b3]/g}¨0]+ TTs_:yKgu7ڰ'L|/ư00<̾(5J9~kP2.PDe,D;3Sg[Dғt1-Gid/(|+ʰ4346Yfc8?Jڃt2 JUWVt̕Y55]m:-2WYLE; 5,3<(B_$5حЎkߴa'TTc'fҰYeqy+_dv;_IM.<)s>^v#X:YD<9Õ^Qf(X\+*n9\abWl_U +x8iX[ו^ c B,@l%񒸍bvm2'S7Qɸ5&ד|:O>7MLꦉs{I;_ }s=!Z8O0 +BX== >jְr廁y͓SZRa]849TI5M8i$~} <_܍q>š-Fz콏ִ]iέJKkoKC~\2|@i 뤰fl<y%͑y@N[h~$SY;*W%ZP{S"? }r:]4oh=w6k"/%oj_ēbZɰ`M!% rcںϘ%Uw*#яʏ=4a?]8ZA@W@'RZ +Ǵj纮>W9਩q][rx3We ~E%d\A.'|㿷񿶓y;Kp~]8>mTnxi-Xp Oo`̌t -ٯ7ƈ(AXOx<(nO##Sи|P~`Iiga.V ?Jz&mDo$ ]ofas]dv!Q/я,]UչJ.)<&By\Ccr(NAm7?)хCcvL4i{[ȅo>,=DiNHeTߺ PT,]8ҺCR8CԐ#iN:쫼\ IUvݺʒ,mzW+jқчkpm6&>|ۅ_wwfgUTad3' sYxe"͓'\ ں2Si%طU6< +U~ O#fneZee4iBuinw{UJȍ[=#_B^!R`MZ<!%`ݾ}m7v:v_޽IJ[[6?pL/1ְ4`T>QI-7 }ZGlA&#ΘoV=ܠԩ~S +VIi:m+}ZK׮37T_]OJ9S)jKbnOZ"ᮻ.8ǡTѾp۵j gw;DpCBLfAL!&/F1ײw;C@?WB \!{g yCeӮ{? u;Bh{##ݗ᫗2tqW 9.IT*>c![Ud[wV5F,1˻UcV 癆{I9xM&a1c<aF ra8`8} +Jk/Rx@!pJstBOa9EKw;%+taOBCɍ[&|2'֗RBRC?G +M|dև71^AbV"Wo-|-_%a 0ͦO]&o;Wh r|T+ Xt5dP&F9(ѯazTPTբ:Ȫ5&Wͨ6 +#D]ȋ|ZVr=V"$8nG%8Kp28yXˀ \t(@+ qBiX#(IX;$XT7I2~T*?c$DLIDq I8*(Pwfbrp(71pe Xig\sMh +j0 +0k,ƀ`ւkahKXh 3)@ +PM9&Î c( x &cAISp2(Q0*+$uTCb/ NML3Ņ' +iwBR,73 ML)QP8'![fxctp=@6I/z\ +QngoL-OFwBrT"0rF]1~CE}Έ |k#^/G\#H㌬wy#M[}VmoO1DpUAH\~AnoDdUL6_ADGuw!TVF[}†+{ " +M Կ%lAz)+*3%?2LD2yNSY?C':/uVX0F.15QceA8=SQBu73^&0 x+p53:,3 +ױx DlA3MstTfn7g9r:Su΂@,6β~z@> endobj -157 0 obj +163 0 obj << /Length 1286 /Filter [/FlateDecode] >> @@ -16105,10 +17598,10 @@ x JJ특xxx+!ÊwBxbx+ށr;2kΜJYeY7+|x oS7+[ƛețךyޢoV浖 -㭌"RW*4XqC^J[(^1»y]k}YM-x Vz[YEVY_}/7*Y%eӫq+:.7JE/3Y(Y*AW RVJS:(u@cD]a*f)9J)o,#\Z>MU\jPS {HSMj{fkyGm[z*Esa>&ӫj%u; 2^W[®v[2쯲u[P:V̡Յ> MBi2 .Ħԇ!dk`=o qWޕwdJF(L164U)x0E~Z?=/ί~:o?$O endstream endobj -158 0 obj -[500 364 364 364 364 364 500 500 500 500 500 500 500 364 500 364 500 500 500 500 500 500 500 364 364 364 364 364 364 500 364 500 364 500 500 500 500 500 500 364 364 500 364 500 500 500 500 500 500 364 500 500 500 500 500 500 364 364 500 500 364 500 364 500 364 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364] +164 0 obj +[500 364 500 364 364 364 500 364 500 500 500 500 500 364 500 364 500 500 500 500 500 500 500 500 364 364 364 364 364 500 364 500 364 500 500 500 500 500 500 364 364 500 364 500 500 500 500 500 500 364 500 500 500 500 500 500 364 364 500 500 364 500 364 500 364 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364 364] endobj -159 0 obj +165 0 obj << /Length1 6672 /Length 3845 /Filter [/FlateDecode] @@ -16132,10 +17625,10 @@ ZU q~9"㿄-}|mB6Ƹ+";at-ޅxQ}b9}aY |N o>׃P# 5Z `t`/\Oj8u7uw]6\WOw endstream endobj -160 0 obj +166 0 obj << /Type /FontDescriptor /FontName /a68193+NotoSerif -/FontFile2 159 0 R +/FontFile2 165 0 R /FontBBox [-212 -250 1246 1047] /Flags 6 /StemV 0 @@ -16146,7 +17639,7 @@ endobj /XHeight 1098 >> endobj -161 0 obj +167 0 obj << /Length 247 /Filter [/FlateDecode] >> @@ -16156,10 +17649,10 @@ u> }pJI'7{q;޳Dwߧ3B9QZpxU7=eM-}Pxw1c%iY \I`Yg".ra8cvS펢Afܬ9S3[m(SLUU/v endstream endobj -162 0 obj +168 0 obj [259 354 559 1000 200 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500 500] endobj -163 0 obj +169 0 obj << /Length1 6860 /Length 4046 /Filter [/FlateDecode] @@ -16183,10 +17676,10 @@ c Kru endstream endobj -164 0 obj +170 0 obj << /Type /FontDescriptor /FontName /f37706+NotoSerif-Italic -/FontFile2 163 0 R +/FontFile2 169 0 R /FontBBox [-254 -250 1238 1047] /Flags 70 /StemV 0 @@ -16197,7 +17690,7 @@ endobj /XHeight 1098 >> endobj -165 0 obj +171 0 obj << /Length 1286 /Filter [/FlateDecode] >> @@ -16207,183 +17700,189 @@ x JJ특xxx+!ÊwBxbx+ށr;2kΜJYeY7+|x oS7+[ƛețךyޢoV浖 -㭌"RW*4XqC^J[(^1»y]k}YM-x Vz[YEVY_}/7*Y%eӫq+:.7JE/3Y(Y*AW RVJS:(u@cD]a*f)9J)o,#\Z>MU\jPS {HSMj{fkyGm[z*Esa>&ӫj%u; 2^W[®v[2쯲u[P:V̡Յ> MBi2 .Ħԇ!dk`=o qWޕwdJF(L164U)x0E~Z?=/ί~:o?$O endstream endobj -166 0 obj +172 0 obj [600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 579 600 600 600 600 600 600 600 600 600 600 304 600 600 600 577 600 600 463 600 600 600 818 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600] endobj xref -0 167 +0 173 0000000000 65535 f 0000000015 00000 n 0000000239 00000 n 0000000443 00000 n -0000000571 00000 n -0000000622 00000 n -0000000894 00000 n -0000001100 00000 n -0000001396 00000 n -0000001563 00000 n -0000017237 00000 n -0000017871 00000 n -0000025424 00000 n -0000025792 00000 n -0000025836 00000 n -0000025885 00000 n -0000025929 00000 n -0000025973 00000 n -0000026146 00000 n -0000026321 00000 n -0000026489 00000 n -0000068303 00000 n -0000068689 00000 n -0000068733 00000 n -0000068777 00000 n -0000068827 00000 n -0000068960 00000 n -0000069091 00000 n -0000069222 00000 n -0000112146 00000 n -0000112594 00000 n -0000112727 00000 n -0000112860 00000 n -0000112993 00000 n -0000113126 00000 n -0000113259 00000 n +0000000578 00000 n +0000000629 00000 n +0000000901 00000 n +0000001107 00000 n +0000001403 00000 n +0000001570 00000 n +0000017934 00000 n +0000018587 00000 n +0000026140 00000 n +0000026508 00000 n +0000026552 00000 n +0000026601 00000 n +0000026645 00000 n +0000026689 00000 n +0000026862 00000 n +0000027037 00000 n +0000027205 00000 n +0000069019 00000 n +0000069405 00000 n +0000069449 00000 n +0000069493 00000 n +0000069543 00000 n +0000069676 00000 n +0000069807 00000 n +0000069938 00000 n +0000112862 00000 n 0000113310 00000 n 0000113443 00000 n 0000113576 00000 n 0000113709 00000 n 0000113842 00000 n 0000113975 00000 n -0000114019 00000 n -0000133319 00000 n -0000133698 00000 n -0000133742 00000 n -0000133786 00000 n -0000133836 00000 n -0000133965 00000 n -0000134015 00000 n -0000134144 00000 n -0000141634 00000 n -0000141989 00000 n -0000142033 00000 n -0000142077 00000 n -0000142121 00000 n -0000142165 00000 n -0000142209 00000 n -0000142253 00000 n -0000142297 00000 n -0000142341 00000 n -0000142385 00000 n -0000163384 00000 n -0000163752 00000 n -0000163796 00000 n -0000164119 00000 n -0000164490 00000 n -0000164534 00000 n -0000164709 00000 n -0000179704 00000 n -0000180072 00000 n -0000180116 00000 n -0000180160 00000 n -0000193743 00000 n -0000194124 00000 n -0000194168 00000 n -0000194212 00000 n -0000204269 00000 n -0000204624 00000 n -0000204748 00000 n -0000204874 00000 n -0000205011 00000 n -0000205149 00000 n -0000205267 00000 n -0000205386 00000 n -0000205509 00000 n -0000205634 00000 n -0000205757 00000 n -0000205882 00000 n -0000206033 00000 n -0000206186 00000 n -0000206301 00000 n -0000206419 00000 n -0000206545 00000 n -0000206672 00000 n -0000206793 00000 n -0000206916 00000 n -0000207036 00000 n -0000207157 00000 n -0000207275 00000 n -0000207395 00000 n -0000207515 00000 n -0000207637 00000 n -0000207754 00000 n -0000207873 00000 n -0000207998 00000 n -0000208124 00000 n -0000208243 00000 n -0000208363 00000 n -0000208481 00000 n -0000208601 00000 n -0000208719 00000 n -0000208839 00000 n -0000208956 00000 n -0000209075 00000 n -0000209199 00000 n -0000209324 00000 n -0000209442 00000 n -0000209562 00000 n -0000209684 00000 n -0000209807 00000 n -0000209885 00000 n -0000210022 00000 n -0000210214 00000 n -0000210430 00000 n -0000210723 00000 n -0000210873 00000 n -0000211061 00000 n -0000211249 00000 n -0000211543 00000 n -0000211757 00000 n -0000211968 00000 n -0000212142 00000 n -0000212322 00000 n -0000212498 00000 n -0000212678 00000 n -0000212832 00000 n -0000213035 00000 n -0000213193 00000 n -0000213365 00000 n -0000213537 00000 n -0000213705 00000 n -0000213883 00000 n -0000214086 00000 n -0000214234 00000 n -0000214432 00000 n -0000214703 00000 n -0000214974 00000 n -0000225630 00000 n -0000225846 00000 n -0000227209 00000 n -0000228126 00000 n -0000236830 00000 n -0000237051 00000 n -0000238414 00000 n -0000239329 00000 n -0000244618 00000 n -0000244833 00000 n -0000246196 00000 n -0000247111 00000 n -0000251047 00000 n -0000251263 00000 n -0000251586 00000 n -0000252502 00000 n -0000256639 00000 n -0000256865 00000 n -0000258228 00000 n +0000114026 00000 n +0000114159 00000 n +0000114292 00000 n +0000114425 00000 n +0000114558 00000 n +0000114691 00000 n +0000114735 00000 n +0000134035 00000 n +0000134414 00000 n +0000134458 00000 n +0000134502 00000 n +0000134552 00000 n +0000134681 00000 n +0000134731 00000 n +0000134860 00000 n +0000142350 00000 n +0000142705 00000 n +0000142749 00000 n +0000142793 00000 n +0000142837 00000 n +0000142881 00000 n +0000142925 00000 n +0000142969 00000 n +0000143013 00000 n +0000143057 00000 n +0000143101 00000 n +0000164100 00000 n +0000164468 00000 n +0000164512 00000 n +0000164878 00000 n +0000165249 00000 n +0000165293 00000 n +0000165468 00000 n +0000180463 00000 n +0000180831 00000 n +0000180875 00000 n +0000180919 00000 n +0000194571 00000 n +0000194952 00000 n +0000194996 00000 n +0000195040 00000 n +0000216406 00000 n +0000216761 00000 n +0000216805 00000 n +0000222783 00000 n +0000223125 00000 n +0000223249 00000 n +0000223375 00000 n +0000223512 00000 n +0000223650 00000 n +0000223768 00000 n +0000223887 00000 n +0000224010 00000 n +0000224135 00000 n +0000224258 00000 n +0000224383 00000 n +0000224534 00000 n +0000224687 00000 n +0000224802 00000 n +0000224920 00000 n +0000225046 00000 n +0000225173 00000 n +0000225294 00000 n +0000225417 00000 n +0000225537 00000 n +0000225659 00000 n +0000225778 00000 n +0000225899 00000 n +0000226019 00000 n +0000226141 00000 n +0000226258 00000 n +0000226377 00000 n +0000226502 00000 n +0000226628 00000 n +0000226747 00000 n +0000226867 00000 n +0000226985 00000 n +0000227105 00000 n +0000227223 00000 n +0000227343 00000 n +0000227460 00000 n +0000227579 00000 n +0000227703 00000 n +0000227828 00000 n +0000227946 00000 n +0000228066 00000 n +0000228212 00000 n +0000228359 00000 n +0000228481 00000 n +0000228604 00000 n +0000228682 00000 n +0000228819 00000 n +0000229011 00000 n +0000229227 00000 n +0000229520 00000 n +0000229670 00000 n +0000229858 00000 n +0000230046 00000 n +0000230340 00000 n +0000230554 00000 n +0000230765 00000 n +0000230939 00000 n +0000231119 00000 n +0000231295 00000 n +0000231475 00000 n +0000231629 00000 n +0000231832 00000 n +0000231990 00000 n +0000232162 00000 n +0000232334 00000 n +0000232502 00000 n +0000232680 00000 n +0000232883 00000 n +0000233141 00000 n +0000233303 00000 n +0000233518 00000 n +0000233789 00000 n +0000234060 00000 n +0000244797 00000 n +0000245013 00000 n +0000246376 00000 n +0000247293 00000 n +0000256287 00000 n +0000256508 00000 n +0000257871 00000 n +0000258787 00000 n +0000264118 00000 n +0000264333 00000 n +0000265696 00000 n +0000266611 00000 n +0000270547 00000 n +0000270763 00000 n +0000271086 00000 n +0000272002 00000 n +0000276139 00000 n +0000276365 00000 n +0000277728 00000 n trailer -<< /Size 167 +<< /Size 173 /Root 2 0 R /Info 1 0 R >> startxref -259143 +278643 %%EOF diff --git a/doc/sections/debug.adoc b/doc/sections/debug.adoc index 830bf93..3e90500 100644 --- a/doc/sections/debug.adoc +++ b/doc/sections/debug.adoc @@ -24,29 +24,57 @@ The DM implements abstract GPR access by injecting a dummy CSR access instructio The debugger implements memory and CSR access using the Program Buffer, which uses the same instruction injection interface used by the DM to implement abstract GPR access. The `abstractauto` feature allows the DM to execute the program buffer automatically following every abstract GPR access, which can be used for e.g. autoincrementing read/write memory bursts. +=== Implementation-defined behaviour + +This is not an exhaustive list (yet). + +DM feature support: + +* Abstract CSR and memory access are not implemented +* The Program Buffer is implemented, size 2 words, `impebreak` = 1. +* A single data register (`data0`) is implemented as a per-hart CSR accessible by the DM +* `abstractauto` is supported on the program buffer registers and the data register +* Multiple hart selection (`hasel` = 1) is not supported + +Core behaviour: + +* All control transfer instructions are illegal in debug mode (depend on value of PC) +* `auipc` is illegal in debug mode (depends on value of PC) +* The `dret` instruction is not supported (a special purpose DM-to-core signal is used to signal resume) +* Entering and exiting debug mode does not clear an atomic load reservation; the host may explicitly clear a reservation using a dummy `sc` instruction via the program buffer. +* The `dscratch` CSRs are not implemented +* `data0` is implemented as a scratch CSR mapped at `0x7b2` (the location of `dscratch0`), readable and writable by the debugger. +* `dcsr.stepie` is hardwired to 0 (no interrupts during single stepping) +* `dcsr.stopcount` and `dcsr.stoptime` are hardwired to 1 (no counter/timer increment in debug mode) +* `dcsr.mprven` is hardwired to 0 +* `dcsr.prv` is hardwired to 3 (M-mode) + === UART DTM Hazard3 defines a minimal UART Debug Transport Module, which allows the Debug Module to be accessed via a standard 8n1 asynchronous serial port. The UART DTM is always accessed by the host using a two-wire serial interface (TXD RXD) running at 1 Mbaud. The interface between the DTM and DM is an AMBA 3 APB port with a 32-bit data bus and 8-bit address bus. -This is not intended for production systems: +This is a quick hack, and not suitable for production systems: * Debug hardware should not expect a frequency reference for a UART to be present * The UART DTM does not implement any flow control or error detection/correction -However, it suffices for bringup and playing around on FPGA boards. The host sends a 6-byte packet: +The host may send the following commands: -* Command: -** `0x00` nop, ignored, next command can follow immediately (no address or data bytes, no response) -** `0x01` read -** `0x02` write -** `0xa5` return to idle (no address or data bytes, no response) -* One address byte -* 4 data bytes (write) or 4 zero-padding bytes (read) +[cols="20h,~,~", options="header"] +|=== +| Command | To DTM | From DTM +| `0x00` NOP | - | - +| `0x01` Read ID | - | 4-byte ID, same format as JTAG-DTM ID (JEP106-compatible) +| `0x02` Read DMI | 1 address byte | 4 data bytes +| `0x03` Write DMI | 1 address byte, 4 data bytes | data bytes echoed back +| `0xa5` Disconnect | - | - +|=== -The 6-byte framing can be recovered at any time by writing 6 zero-bytes, which will be interpreted as between 1 and 6 nops depending on current DTM state. +Initially after power-on the DTM is in the Dormant state, and will ignore any commands. The host sends the magic sequence `"SUP?"` (`0x53, 0x55, 0x50, 0x3f`) to wake the DTM, and then issues a Read ID command to check the link is up. The DTM can be returned to the Dormant state at any time using the `0xa5` Disconnect command. -The DTM always responds with four data bytes. For a read command this will be the data read from the given address. For a write command this will echo back the write data. +So that the host can queue up batches of commands in its transmit buffer, without overrunning the DTM's transmit bandwidth, it's recommended to pad each command with NOPs so that it is strictly larger than the response. For example, a Read ID should be followed by four NOPs, and a Read DMI should be followed by 3 NOPs. -This interface assumes the actual data transfer takes very little time compared with the UART access (typically less than one baud period). Because the host-to-DTM bandwidth is always greater than the DTM-to-host bandwidth, the host can queue up batches of commands in its transmit buffer, and this should never overrun the DTM's response channel. So, the 1 Mbaud 8n1 UART link provides 67 kB/s of half-duplex data bandwidth between host and DM, which is enough to get your system off the ground. +To recover command framing, write 6 NOP commands (the length of the longest commands). This will be interpreted as between 1 and 6 NOPs depending on the DTM's state. + +This interface assumes the DMI data transfer takes very little time compared with the UART access (typically less than one baud period). When the host-to-DTM bandwidth is kept greater than the DTM-to-host bandwidth, thanks to appropriate NOP padding, the host can queue up batches of commands in its transmit buffer, and this should never overrun the DTM's response channel. So, the 1 Mbaud 8n1 UART link provides 67 kB/s of half-duplex data bandwidth between host and DM, which is enough to get your system off the ground. -Initially after power-on the DTM is in the idle state, and will ignore any commands. The host sends the magic sequence `'S', 'U', 'P', '?'` (`0x53, 0x55, 0x50, 0x3f`) to wake the DTM, and then attempts to access a read-only DM register such as `dmstatus` to make sure the link is up. The DTM can be returned to the idle at any time using the `0xa5` return-to-idle command. diff --git a/hdl/debug/hazard3_apb_async_bridge.v b/hdl/debug/dtm/hazard3_apb_async_bridge.v similarity index 100% rename from hdl/debug/hazard3_apb_async_bridge.v rename to hdl/debug/dtm/hazard3_apb_async_bridge.v diff --git a/hdl/debug/hazard3_sync_1bit.v b/hdl/debug/dtm/hazard3_sync_1bit.v similarity index 100% rename from hdl/debug/hazard3_sync_1bit.v rename to hdl/debug/dtm/hazard3_sync_1bit.v diff --git a/hdl/debug/hazard3_uart_dtm.f b/hdl/debug/dtm/hazard3_uart_dtm.f similarity index 100% rename from hdl/debug/hazard3_uart_dtm.f rename to hdl/debug/dtm/hazard3_uart_dtm.f diff --git a/hdl/debug/hazard3_uart_dtm.v b/hdl/debug/dtm/hazard3_uart_dtm.v similarity index 74% rename from hdl/debug/hazard3_uart_dtm.v rename to hdl/debug/dtm/hazard3_uart_dtm.v index 5e41e30..bbc4008 100644 --- a/hdl/debug/hazard3_uart_dtm.v +++ b/hdl/debug/dtm/hazard3_uart_dtm.v @@ -24,6 +24,7 @@ module hazard3_uart_dtm #( // Expected to run at 1 Mbaud from some fixed reference frequency. parameter BAUD_CLKDIV = 12, + parameter DTM_ID_REG = 32'hdeadbeef, parameter W_BAUDCTR = $clog2(BAUD_CLKDIV) // do not modify ) ( input wire clk, @@ -157,61 +158,73 @@ end localparam W_STATE = 5; -localparam [W_STATE-1:0] S_IDLE0 = 5'd0; -localparam [W_STATE-1:0] S_IDLE1 = 5'd1; -localparam [W_STATE-1:0] S_IDLE2 = 5'd2; -localparam [W_STATE-1:0] S_IDLE3 = 5'd3; +localparam [W_STATE-1:0] S_DORMANT0 = 5'd0; +localparam [W_STATE-1:0] S_DORMANT1 = 5'd1; +localparam [W_STATE-1:0] S_DORMANT2 = 5'd2; +localparam [W_STATE-1:0] S_DORMANT3 = 5'd3; -localparam [W_STATE-1:0] S_CMD = 5'd4; +localparam [W_STATE-1:0] S_CMD = 5'd4; -localparam [W_STATE-1:0] S_WADDR = 5'd5; -localparam [W_STATE-1:0] S_WDATA0 = 5'd6; -localparam [W_STATE-1:0] S_WDATA1 = 5'd7; -localparam [W_STATE-1:0] S_WDATA2 = 5'd8; -localparam [W_STATE-1:0] S_WDATA3 = 5'd9; -localparam [W_STATE-1:0] S_WSETUP = 5'd10; -localparam [W_STATE-1:0] S_WACCESS = 5'd11; +localparam [W_STATE-1:0] S_ID0 = 5'd5; +localparam [W_STATE-1:0] S_ID1 = 5'd6; +localparam [W_STATE-1:0] S_ID2 = 5'd7; +localparam [W_STATE-1:0] S_ID3 = 5'd8; -localparam [W_STATE-1:0] S_RADDR = 5'd12; -localparam [W_STATE-1:0] S_RSETUP = 5'd13; -localparam [W_STATE-1:0] S_RACCESS = 5'd14; -localparam [W_STATE-1:0] S_RDATA0 = 5'd15; -localparam [W_STATE-1:0] S_RDATA1 = 5'd16; -localparam [W_STATE-1:0] S_RDATA2 = 5'd17; -localparam [W_STATE-1:0] S_RDATA3 = 5'd18; +localparam [W_STATE-1:0] S_WADDR = 5'd9; +localparam [W_STATE-1:0] S_WDATA0 = 5'd10; +localparam [W_STATE-1:0] S_WDATA1 = 5'd11; +localparam [W_STATE-1:0] S_WDATA2 = 5'd12; +localparam [W_STATE-1:0] S_WDATA3 = 5'd13; +localparam [W_STATE-1:0] S_WSETUP = 5'd14; +localparam [W_STATE-1:0] S_WACCESS = 5'd15; + +localparam [W_STATE-1:0] S_RADDR = 5'd16; +localparam [W_STATE-1:0] S_RSETUP = 5'd17; +localparam [W_STATE-1:0] S_RACCESS = 5'd18; +localparam [W_STATE-1:0] S_RDATA0 = 5'd19; +localparam [W_STATE-1:0] S_RDATA1 = 5'd20; +localparam [W_STATE-1:0] S_RDATA2 = 5'd21; +localparam [W_STATE-1:0] S_RDATA3 = 5'd22; localparam CMD_NOP = 8'h00; -localparam CMD_READ = 8'h01; -localparam CMD_WRITE = 8'h02; -localparam CMD_RETURN_TO_IDLE = 8'ha5; +localparam CMD_ID = 8'h01; +localparam CMD_READ = 8'h02; +localparam CMD_WRITE = 8'h03; +localparam CMD_RETURN_TO_DORMANT = 8'ha5; reg [W_STATE-1:0] state; reg [7:0] dm_addr; reg [31:0] dm_data; - always @ (posedge clk or negedge rst_n) begin if (!rst_n) begin - state <= S_IDLE0; + state <= S_DORMANT0; dm_addr <= 8'h0; dm_data <= 32'h0; end else case (state) - S_IDLE0: if (rx_rvld) state <= rx_rdata == "S" ? S_IDLE1 : S_IDLE0; - S_IDLE1: if (rx_rvld) state <= rx_rdata == "U" ? S_IDLE2 : S_IDLE0; - S_IDLE2: if (rx_rvld) state <= rx_rdata == "P" ? S_IDLE3 : S_IDLE0; - S_IDLE3: if (rx_rvld) state <= rx_rdata == "?" ? S_CMD : S_IDLE0; - S_CMD: if (rx_rvld) begin + S_DORMANT0: if (rx_rvld) state <= rx_rdata == "S" ? S_DORMANT1 : S_DORMANT0; + S_DORMANT1: if (rx_rvld) state <= rx_rdata == "U" ? S_DORMANT2 : S_DORMANT0; + S_DORMANT2: if (rx_rvld) state <= rx_rdata == "P" ? S_DORMANT3 : S_DORMANT0; + S_DORMANT3: if (rx_rvld) state <= rx_rdata == "?" ? S_CMD : S_DORMANT0; + S_CMD: if (rx_rvld) begin if (rx_rdata == CMD_READ) state <= S_RADDR; else if (rx_rdata == CMD_WRITE) state <= S_WADDR; - else if (rx_rdata == CMD_RETURN_TO_IDLE) - state <= S_IDLE0; + else if (rx_rdata == CMD_ID) + state <= S_ID0; + else if (rx_rdata == CMD_RETURN_TO_DORMANT) + state <= S_DORMANT0; // NOP or invalid leave DTM in command state. end - S_WADDR: if (rx_rvld) begin + S_ID0: if (tx_wrdy) state <= S_ID1; + S_ID1: if (tx_wrdy) state <= S_ID2; + S_ID2: if (tx_wrdy) state <= S_ID3; + S_ID3: if (tx_wrdy) state <= S_CMD; + + S_WADDR: if (rx_rvld) begin state <= S_WDATA0; dm_addr <= rx_rdata; end @@ -266,11 +279,17 @@ end // ---------------------------------------------------------------------------- // Bus & FIFO hookup -wire state_is_idle = - state == S_IDLE0 || - state == S_IDLE1 || - state == S_IDLE2 || - state == S_IDLE3; +wire state_is_dormant = + state == S_DORMANT0 || + state == S_DORMANT1 || + state == S_DORMANT2 || + state == S_DORMANT3; + +wire state_is_id = + state == S_ID0 || + state == S_ID1 || + state == S_ID2 || + state == S_ID3; wire state_is_wdata = state == S_WDATA0 || @@ -288,14 +307,23 @@ wire state_is_rdata = // these are actually interpreted as NOPs preceding the next command. // (They are still important for bus pacing though.) assign rx_rrdy = - state_is_idle || + state_is_dormant || state == S_CMD || state == S_WADDR || state == S_RADDR || state_is_wdata; -assign tx_wdata = state_is_wdata ? rx_rdata : dm_data[7:0]; -assign tx_wvld = (state_is_wdata && state_is_wdata) || state_is_rdata; +assign tx_wvld = + (state_is_wdata && rx_rvld) || + state_is_rdata || + state_is_id; + +assign tx_wdata = + state_is_wdata ? rx_rdata : + state == S_ID0 ? DTM_ID_REG[ 7: 0] : + state == S_ID1 ? DTM_ID_REG[15: 8] : + state == S_ID2 ? DTM_ID_REG[23:16] : + state == S_ID3 ? DTM_ID_REG[31:24] : dm_data[7:0]; assign psel = state == S_WSETUP || diff --git a/hdl/debug/hazard3_uart_dtm_fifo.v b/hdl/debug/dtm/hazard3_uart_dtm_fifo.v similarity index 100% rename from hdl/debug/hazard3_uart_dtm_fifo.v rename to hdl/debug/dtm/hazard3_uart_dtm_fifo.v