abstractaccelerator/fpga/xc7z010/pin.xdc

54 lines
1.6 KiB
Plaintext
Raw Normal View History

2025-04-13 23:34:26 +08:00
#KEY
set_property PACKAGE_PIN G14 [get_ports key]
set_property IOSTANDARD LVCMOS33 [get_ports key]
#LED
set_property PACKAGE_PIN H15 [get_ports led1]
set_property IOSTANDARD LVCMOS33 [get_ports led1]
set_property PACKAGE_PIN G15 [get_ports led2]
set_property IOSTANDARD LVCMOS33 [get_ports led2]
set_property PACKAGE_PIN N15 [get_ports led3]
set_property IOSTANDARD LVCMOS33 [get_ports led3]
#UART
set_property PACKAGE_PIN N20 [get_ports uart_tx]
set_property IOSTANDARD LVCMOS33 [get_ports uart_tx]
set_property PACKAGE_PIN U20 [get_ports uart_rx]
set_property IOSTANDARD LVCMOS33 [get_ports uart_rx]
#EEPROM
set_property PACKAGE_PIN P16 [get_ports eeprom_scl]
set_property IOSTANDARD LVCMOS33 [get_ports eeprom_scl]
set_property PACKAGE_PIN P15 [get_ports eeprom_sda]
set_property IOSTANDARD LVCMOS33 [get_ports eeprom_sda]
#GPIO
set_property PACKAGE_PIN K19 [get_ports gpio1]
set_property IOSTANDARD LVCMOS33 [get_ports gpio1]
set_property PACKAGE_PIN F20 [get_ports gpio2]
set_property IOSTANDARD LVCMOS33 [get_ports gpio2]
set_property PACKAGE_PIN J18 [get_ports gpio3]
set_property IOSTANDARD LVCMOS33 [get_ports gpio3]
set_property PACKAGE_PIN L20 [get_ports gpio4]
set_property IOSTANDARD LVCMOS33 [get_ports gpio4]
set_property PACKAGE_PIN L17 [get_ports gpio5]
set_property IOSTANDARD LVCMOS33 [get_ports gpio5]
set_property PACKAGE_PIN M17 [get_ports gpio6]
set_property IOSTANDARD LVCMOS33 [get_ports gpio6]
set_property PACKAGE_PIN K18 [get_ports gpio7]
set_property IOSTANDARD LVCMOS33 [get_ports gpio7]
set_property PACKAGE_PIN L16 [get_ports gpio8]
set_property IOSTANDARD LVCMOS33 [get_ports gpio8]