abstractaccelerator/fpga/blink/.gitignore

6 lines
38 B
Plaintext
Raw Normal View History

2022-02-02 11:43:53 +08:00
*.svf
*.bit
blink.cfg
2022-02-02 11:43:53 +08:00
*.ys
*.json