abstractaccelerator/fpga/blink/Makefile

29 lines
561 B
Makefile
Raw Normal View History

2022-02-02 11:43:53 +08:00
TARGET=blink
OBJS+=blink.v
all: ${TARGET}.bit
$(TARGET).json: $(OBJS)
yosys -p "read_verilog $(OBJS); synth_ecp5 -top ${TARGET} -json $@"
$(TARGET).cfg: $(TARGET).json
nextpnr-ecp5 --25k --package CABGA381 --speed 6 --json $< --textcfg $@ --lpf $(TARGET).lpf --freq 65
$(TARGET).bit: $(TARGET).cfg
ecppack --svf ${TARGET}.svf $< $@
${TARGET}.svf : ${TARGET}.bit
2025-03-30 23:48:18 +08:00
prog: ${TARGET}.bit
2025-04-01 19:03:29 +08:00
# ./dapprog blink.svf
2025-03-30 23:48:18 +08:00
ecpdap program blink.bit
2022-02-27 23:39:45 +08:00
flash: ${TARGET}.bit
ecpdap flash write blink.bit
2022-02-02 11:43:53 +08:00
clean:
rm -f *.svf *.bit *.config *.ys *.json
.PHONY: prog clean