Update dmi_jtag_to_core_sync.v

Fixed incorrect syntax
This commit is contained in:
jrahmeh 2020-02-24 14:52:54 -06:00 committed by GitHub
parent ee77552301
commit 2a1d9be0c2
No known key found for this signature in database
GPG Key ID: 4AEE18F83AFDEB23
1 changed files with 2 additions and 2 deletions

View File

@ -48,8 +48,8 @@ module dmi_jtag_to_core_sync (
// synchronizers
always @ ( posedge clk or negedge rst_n) begin
if(!rst_n) begin
rden <= '0;
wren <= '0;
rden <= 0;
wren <= 0;
end
else begin
rden <= {rden[1:0], rd_en};