From 3258c057e37d2fd91df78fac060acb6461266d5d Mon Sep 17 00:00:00 2001 From: colin Date: Thu, 24 Feb 2022 03:18:07 +0000 Subject: [PATCH] Enable demo openocd and gdb. --- opene906/demo/sim/Makefile | 1 + opene906/demo/sim/a.log | 14026 ------------------ opene906/demo/sim/dpi/jtagdpi/jtagdpi.c | 14 +- opene906/demo/sim/dpi/jtagdpi/jtagdpi.sv | 6 +- opene906/demo/sim/riscv.cfg | 11 +- opene906/demo/sim/soc_sim.v | 87 +- opene906/demo/sim/test_soc_sim.cpp | 28 +- opene906/demo/sim/work/.gitignore | 5 + opene906/demo/sim/work/E906_DEBUG_PATTERN.s | 25 + opene906/demo/sim/work/Makefile | 107 + opene906/demo/sim/work/Srec2vmem | Bin 0 -> 1355077 bytes opene906/demo/sim/work/crt0.s | 177 + opene906/demo/sim/work/linker.lcf | 55 + 13 files changed, 473 insertions(+), 14069 deletions(-) delete mode 100644 opene906/demo/sim/a.log create mode 100644 opene906/demo/sim/work/.gitignore create mode 100644 opene906/demo/sim/work/E906_DEBUG_PATTERN.s create mode 100644 opene906/demo/sim/work/Makefile create mode 100755 opene906/demo/sim/work/Srec2vmem create mode 100644 opene906/demo/sim/work/crt0.s create mode 100644 opene906/demo/sim/work/linker.lcf diff --git a/opene906/demo/sim/Makefile b/opene906/demo/sim/Makefile index 92f40c5..e85b3e2 100644 --- a/opene906/demo/sim/Makefile +++ b/opene906/demo/sim/Makefile @@ -69,6 +69,7 @@ program.hex: $(TEST).o $(LINK) openocd: openocd -f riscv.cfg +# openocd -f riscv.cfg -d3 gdb: $(GDB_PREFIX) -x gdbinit ./build/$(TEST).bin diff --git a/opene906/demo/sim/a.log b/opene906/demo/sim/a.log deleted file mode 100644 index 508a235..0000000 --- a/opene906/demo/sim/a.log +++ /dev/null @@ -1,14026 +0,0 @@ -rm -rf build obj_dir -/opt/riscv/bin/riscv32-unknown-elf-cpp -g -I/home/colin/develop/AbstractAccelerator/opene906/demo/sim/build sim.s > /home/colin/develop/AbstractAccelerator/opene906/demo/sim/build/sim.cpp.s -/opt/riscv/bin/riscv32-unknown-elf-as -g -mabi=ilp32 -march=rv32imc /home/colin/develop/AbstractAccelerator/opene906/demo/sim/build/sim.cpp.s -o /home/colin/develop/AbstractAccelerator/opene906/demo/sim/build/sim.o -Building sim -/opt/riscv/bin/riscv32-unknown-elf-gcc -mabi=ilp32 -march=rv32imc -Wl,-Map=/home/colin/develop/AbstractAccelerator/opene906/demo/sim/build/sim.map -lgcc -T/home/colin/develop/AbstractAccelerator/opene906/demo/sim/link.ld -o /home/colin/develop/AbstractAccelerator/opene906/demo/sim/build/sim.bin /home/colin/develop/AbstractAccelerator/opene906/demo/sim/build/sim.o -nostartfiles -/opt/riscv/lib/gcc/riscv32-unknown-elf/7.2.0/../../../../riscv32-unknown-elf/bin/ld: warning: cannot find entry symbol _start; defaulting to 0000000000000000 -/opt/riscv/bin/riscv32-unknown-elf-objcopy -O verilog /home/colin/develop/AbstractAccelerator/opene906/demo/sim/build/sim.bin /home/colin/develop/AbstractAccelerator/opene906/demo/sim/build/program.hex -/opt/riscv/bin/riscv32-unknown-elf-objdump -S /home/colin/develop/AbstractAccelerator/opene906/demo/sim/build/sim.bin > /home/colin/develop/AbstractAccelerator/opene906/demo/sim/build/sim.dis -Completed building sim -verilator --cc -CFLAGS "-std=c++11" \ - -Wno-UNOPTFLAT \ - -Wno-STMTDLY \ - -Wno-MULTIDRIVEN \ - -Wno-CASEINCOMPLETE \ - -Wno-COMBDLY \ - -Wno-LATCH \ - -Wno-WIDTH \ - -Wno-IMPLICIT \ - -F ../../smart_run/logical/filelists/soc.fl -F ./dpi/jtag.fl \ - soc_sim.v \ - --trace \ - --top-module soc_sim -exe test_soc_sim.cpp --autoflush -cp /home/colin/develop/AbstractAccelerator/opene906/demo/sim/test_soc_sim.cpp obj_dir -make -j -C obj_dir/ -f Vsoc_sim.mk OPT_FAST="-Os" -make[1]: Entering directory '/home/colin/develop/AbstractAccelerator/opene906/demo/sim/obj_dir' -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o tcp_server.o ../dpi/./common/tcp_server.c -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o jtagdpi.o ../dpi/./jtagdpi/jtagdpi.c -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o test_soc_sim.o test_soc_sim.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o verilated.o /usr/local/share/verilator/include/verilated.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o verilated_dpi.o /usr/local/share/verilator/include/verilated_dpi.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o verilated_vcd_c.o /usr/local/share/verilator/include/verilated_vcd_c.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim.o Vsoc_sim.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim___024root__DepSet_h28dee139__0.o Vsoc_sim___024root__DepSet_h28dee139__0.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim___024root__DepSet_h28dee139__1.o Vsoc_sim___024root__DepSet_h28dee139__1.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim___024root__DepSet_h28dee139__2.o Vsoc_sim___024root__DepSet_h28dee139__2.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim___024root__DepSet_h28dee139__3.o Vsoc_sim___024root__DepSet_h28dee139__3.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim___024root__DepSet_h28dee139__4.o Vsoc_sim___024root__DepSet_h28dee139__4.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim___024root__DepSet_h4e838114__0.o Vsoc_sim___024root__DepSet_h4e838114__0.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim___024root__DepSet_h4e838114__1.o Vsoc_sim___024root__DepSet_h4e838114__1.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim___024root__DepSet_h4e838114__2.o Vsoc_sim___024root__DepSet_h4e838114__2.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim___024root__DepSet_h4e838114__3.o Vsoc_sim___024root__DepSet_h4e838114__3.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim___024root__DepSet_h4e838114__4.o Vsoc_sim___024root__DepSet_h4e838114__4.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim___024root__DepSet_h4e838114__5.o Vsoc_sim___024root__DepSet_h4e838114__5.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim___024root__DepSet_h4e838114__6.o Vsoc_sim___024root__DepSet_h4e838114__6.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim___024root__DepSet_h4e838114__7.o Vsoc_sim___024root__DepSet_h4e838114__7.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim_pa_clic_kid__DepSet_hb58081b9__0.o Vsoc_sim_pa_clic_kid__DepSet_hb58081b9__0.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim_pa_clic_kid__DepSet_hb58081b9__1.o Vsoc_sim_pa_clic_kid__DepSet_hb58081b9__1.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim_pa_clic_arb_32to1_kernel__pi1__DepSet_he887ed0a__0.o Vsoc_sim_pa_clic_arb_32to1_kernel__pi1__DepSet_he887ed0a__0.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim_pa_clic_arb_32to1_kernel__pi1__DepSet_he887ed0a__1.o Vsoc_sim_pa_clic_arb_32to1_kernel__pi1__DepSet_he887ed0a__1.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim_pa_clic_arb_32to1_kernel__pi1__DepSet_h0eda84e1__0.o Vsoc_sim_pa_clic_arb_32to1_kernel__pi1__DepSet_h0eda84e1__0.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim_pa_clic_sel__Dc_S10__DepSet_h728e9fd5__0.o Vsoc_sim_pa_clic_sel__Dc_S10__DepSet_h728e9fd5__0.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim_pa_clic_sel__Dc_S10__DepSet_h728e9fd5__1.o Vsoc_sim_pa_clic_sel__Dc_S10__DepSet_h728e9fd5__1.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim_pa_dcache_data_array__DepSet_h493edefd__0.o Vsoc_sim_pa_dcache_data_array__DepSet_h493edefd__0.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim_pa_idu_reg__DepSet_h27cdd4e0__0.o Vsoc_sim_pa_idu_reg__DepSet_h27cdd4e0__0.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim_pa_idu_reg32__DepSet_h7dd9e8cf__0.o Vsoc_sim_pa_idu_reg32__DepSet_h7dd9e8cf__0.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim_pa_idu_reg32__DepSet_h9984879e__0.o Vsoc_sim_pa_idu_reg32__DepSet_h9984879e__0.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim_pa_spsram_2048x32__DepSet_h7562b476__0.o Vsoc_sim_pa_spsram_2048x32__DepSet_h7562b476__0.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim__Dpi.o Vsoc_sim__Dpi.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim__Trace__0.o Vsoc_sim__Trace__0.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim__Trace__1.o Vsoc_sim__Trace__1.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim__Trace__2.o Vsoc_sim__Trace__2.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim__Trace__3.o Vsoc_sim__Trace__3.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim__Trace__4.o Vsoc_sim__Trace__4.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -Os -c -o Vsoc_sim__Trace__5.o Vsoc_sim__Trace__5.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim__ConstPool_0.o Vsoc_sim__ConstPool_0.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim__ConstPool_1.o Vsoc_sim__ConstPool_1.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim___024root__Slow.o Vsoc_sim___024root__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim___024root__DepSet_h28dee139__0__Slow.o Vsoc_sim___024root__DepSet_h28dee139__0__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim___024root__DepSet_h28dee139__1__Slow.o Vsoc_sim___024root__DepSet_h28dee139__1__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim___024root__DepSet_h28dee139__2__Slow.o Vsoc_sim___024root__DepSet_h28dee139__2__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim___024root__DepSet_h28dee139__3__Slow.o Vsoc_sim___024root__DepSet_h28dee139__3__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim___024root__DepSet_h28dee139__4__Slow.o Vsoc_sim___024root__DepSet_h28dee139__4__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim___024root__DepSet_h28dee139__5__Slow.o Vsoc_sim___024root__DepSet_h28dee139__5__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim___024root__DepSet_h4e838114__0__Slow.o Vsoc_sim___024root__DepSet_h4e838114__0__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim___024root__DepSet_h4e838114__1__Slow.o Vsoc_sim___024root__DepSet_h4e838114__1__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim___024root__DepSet_h4e838114__2__Slow.o Vsoc_sim___024root__DepSet_h4e838114__2__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim___024root__DepSet_h4e838114__3__Slow.o Vsoc_sim___024root__DepSet_h4e838114__3__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim___024root__DepSet_h4e838114__4__Slow.o Vsoc_sim___024root__DepSet_h4e838114__4__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim___024root__DepSet_h4e838114__5__Slow.o Vsoc_sim___024root__DepSet_h4e838114__5__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim_pa_clic_kid__Slow.o Vsoc_sim_pa_clic_kid__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim_pa_clic_kid__DepSet_hb58081b9__0__Slow.o Vsoc_sim_pa_clic_kid__DepSet_hb58081b9__0__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim_pa_clic_kid__DepSet_hd1dd2094__0__Slow.o Vsoc_sim_pa_clic_kid__DepSet_hd1dd2094__0__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim_pa_clic_arb_32to1_kernel__pi1__Slow.o Vsoc_sim_pa_clic_arb_32to1_kernel__pi1__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim_pa_clic_arb_32to1_kernel__pi1__DepSet_he887ed0a__0__Slow.o Vsoc_sim_pa_clic_arb_32to1_kernel__pi1__DepSet_he887ed0a__0__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim_pa_clic_arb_32to1_kernel__pi1__DepSet_he887ed0a__1__Slow.o Vsoc_sim_pa_clic_arb_32to1_kernel__pi1__DepSet_he887ed0a__1__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim_pa_clic_arb_32to1_kernel__pi1__DepSet_he887ed0a__2__Slow.o Vsoc_sim_pa_clic_arb_32to1_kernel__pi1__DepSet_he887ed0a__2__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim_pa_clic_arb_32to1_kernel__pi1__DepSet_h0eda84e1__0__Slow.o Vsoc_sim_pa_clic_arb_32to1_kernel__pi1__DepSet_h0eda84e1__0__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim_pa_clic_sel__Dc_S10__Slow.o Vsoc_sim_pa_clic_sel__Dc_S10__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim_pa_clic_sel__Dc_S10__DepSet_h94d336b8__0__Slow.o Vsoc_sim_pa_clic_sel__Dc_S10__DepSet_h94d336b8__0__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim_pa_dcache_data_array__Slow.o Vsoc_sim_pa_dcache_data_array__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim_pa_dcache_data_array__DepSet_h493edefd__0__Slow.o Vsoc_sim_pa_dcache_data_array__DepSet_h493edefd__0__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim_pa_dcache_data_array__DepSet_h6d2375d0__0__Slow.o Vsoc_sim_pa_dcache_data_array__DepSet_h6d2375d0__0__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim_pa_idu_reg__Slow.o Vsoc_sim_pa_idu_reg__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim_pa_idu_reg__DepSet_h27cdd4e0__0__Slow.o Vsoc_sim_pa_idu_reg__DepSet_h27cdd4e0__0__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim_pa_idu_reg__DepSet_h3f906bcb__0__Slow.o Vsoc_sim_pa_idu_reg__DepSet_h3f906bcb__0__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim_pa_idu_reg32__Slow.o Vsoc_sim_pa_idu_reg32__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim_pa_idu_reg32__DepSet_h7dd9e8cf__0__Slow.o Vsoc_sim_pa_idu_reg32__DepSet_h7dd9e8cf__0__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim_pa_idu_reg32__DepSet_h9984879e__0__Slow.o Vsoc_sim_pa_idu_reg32__DepSet_h9984879e__0__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim_pa_spsram_2048x32__Slow.o Vsoc_sim_pa_spsram_2048x32__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim_pa_spsram_2048x32__DepSet_h7562b476__0__Slow.o Vsoc_sim_pa_spsram_2048x32__DepSet_h7562b476__0__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim_pa_spsram_2048x32__DepSet_h90ff4c55__0__Slow.o Vsoc_sim_pa_spsram_2048x32__DepSet_h90ff4c55__0__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim__Syms.o Vsoc_sim__Syms.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim__Trace__0__Slow.o Vsoc_sim__Trace__0__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim__Trace__1__Slow.o Vsoc_sim__Trace__1__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim__Trace__2__Slow.o Vsoc_sim__Trace__2__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim__Trace__3__Slow.o Vsoc_sim__Trace__3__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim__Trace__4__Slow.o Vsoc_sim__Trace__4__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim__Trace__5__Slow.o Vsoc_sim__Trace__5__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim__Trace__6__Slow.o Vsoc_sim__Trace__6__Slow.cpp -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=c++11 -lpthread -std=gnu++14 -c -o Vsoc_sim__Trace__7__Slow.o Vsoc_sim__Trace__7__Slow.cpp -echo "" > Vsoc_sim__ALL.verilator_deplist.tmp -Archive ar -rcs Vsoc_sim__ALL.a Vsoc_sim.o Vsoc_sim___024root__DepSet_h28dee139__0.o Vsoc_sim___024root__DepSet_h28dee139__1.o Vsoc_sim___024root__DepSet_h28dee139__2.o Vsoc_sim___024root__DepSet_h28dee139__3.o Vsoc_sim___024root__DepSet_h28dee139__4.o Vsoc_sim___024root__DepSet_h4e838114__0.o Vsoc_sim___024root__DepSet_h4e838114__1.o Vsoc_sim___024root__DepSet_h4e838114__2.o Vsoc_sim___024root__DepSet_h4e838114__3.o Vsoc_sim___024root__DepSet_h4e838114__4.o Vsoc_sim___024root__DepSet_h4e838114__5.o Vsoc_sim___024root__DepSet_h4e838114__6.o Vsoc_sim___024root__DepSet_h4e838114__7.o Vsoc_sim_pa_clic_kid__DepSet_hb58081b9__0.o Vsoc_sim_pa_clic_kid__DepSet_hb58081b9__1.o Vsoc_sim_pa_clic_arb_32to1_kernel__pi1__DepSet_he887ed0a__0.o Vsoc_sim_pa_clic_arb_32to1_kernel__pi1__DepSet_he887ed0a__1.o Vsoc_sim_pa_clic_arb_32to1_kernel__pi1__DepSet_h0eda84e1__0.o Vsoc_sim_pa_clic_sel__Dc_S10__DepSet_h728e9fd5__0.o Vsoc_sim_pa_clic_sel__Dc_S10__DepSet_h728e9fd5__1.o Vsoc_sim_pa_dcache_data_array__DepSet_h493edefd__0.o Vsoc_sim_pa_idu_reg__DepSet_h27cdd4e0__0.o Vsoc_sim_pa_idu_reg32__DepSet_h7dd9e8cf__0.o Vsoc_sim_pa_idu_reg32__DepSet_h9984879e__0.o Vsoc_sim_pa_spsram_2048x32__DepSet_h7562b476__0.o Vsoc_sim__Dpi.o Vsoc_sim__Trace__0.o Vsoc_sim__Trace__1.o Vsoc_sim__Trace__2.o Vsoc_sim__Trace__3.o Vsoc_sim__Trace__4.o Vsoc_sim__Trace__5.o Vsoc_sim__ConstPool_0.o Vsoc_sim__ConstPool_1.o Vsoc_sim___024root__Slow.o Vsoc_sim___024root__DepSet_h28dee139__0__Slow.o Vsoc_sim___024root__DepSet_h28dee139__1__Slow.o Vsoc_sim___024root__DepSet_h28dee139__2__Slow.o Vsoc_sim___024root__DepSet_h28dee139__3__Slow.o Vsoc_sim___024root__DepSet_h28dee139__4__Slow.o Vsoc_sim___024root__DepSet_h28dee139__5__Slow.o Vsoc_sim___024root__DepSet_h4e838114__0__Slow.o Vsoc_sim___024root__DepSet_h4e838114__1__Slow.o Vsoc_sim___024root__DepSet_h4e838114__2__Slow.o Vsoc_sim___024root__DepSet_h4e838114__3__Slow.o Vsoc_sim___024root__DepSet_h4e838114__4__Slow.o Vsoc_sim___024root__DepSet_h4e838114__5__Slow.o Vsoc_sim_pa_clic_kid__Slow.o Vsoc_sim_pa_clic_kid__DepSet_hb58081b9__0__Slow.o Vsoc_sim_pa_clic_kid__DepSet_hd1dd2094__0__Slow.o Vsoc_sim_pa_clic_arb_32to1_kernel__pi1__Slow.o Vsoc_sim_pa_clic_arb_32to1_kernel__pi1__DepSet_he887ed0a__0__Slow.o Vsoc_sim_pa_clic_arb_32to1_kernel__pi1__DepSet_he887ed0a__1__Slow.o Vsoc_sim_pa_clic_arb_32to1_kernel__pi1__DepSet_he887ed0a__2__Slow.o Vsoc_sim_pa_clic_arb_32to1_kernel__pi1__DepSet_h0eda84e1__0__Slow.o Vsoc_sim_pa_clic_sel__Dc_S10__Slow.o Vsoc_sim_pa_clic_sel__Dc_S10__DepSet_h94d336b8__0__Slow.o Vsoc_sim_pa_dcache_data_array__Slow.o Vsoc_sim_pa_dcache_data_array__DepSet_h493edefd__0__Slow.o Vsoc_sim_pa_dcache_data_array__DepSet_h6d2375d0__0__Slow.o Vsoc_sim_pa_idu_reg__Slow.o Vsoc_sim_pa_idu_reg__DepSet_h27cdd4e0__0__Slow.o Vsoc_sim_pa_idu_reg__DepSet_h3f906bcb__0__Slow.o Vsoc_sim_pa_idu_reg32__Slow.o Vsoc_sim_pa_idu_reg32__DepSet_h7dd9e8cf__0__Slow.o Vsoc_sim_pa_idu_reg32__DepSet_h9984879e__0__Slow.o Vsoc_sim_pa_spsram_2048x32__Slow.o Vsoc_sim_pa_spsram_2048x32__DepSet_h7562b476__0__Slow.o Vsoc_sim_pa_spsram_2048x32__DepSet_h90ff4c55__0__Slow.o Vsoc_sim__Syms.o Vsoc_sim__Trace__0__Slow.o Vsoc_sim__Trace__1__Slow.o Vsoc_sim__Trace__2__Slow.o Vsoc_sim__Trace__3__Slow.o Vsoc_sim__Trace__4__Slow.o Vsoc_sim__Trace__5__Slow.o Vsoc_sim__Trace__6__Slow.o Vsoc_sim__Trace__7__Slow.o -g++ tcp_server.o jtagdpi.o test_soc_sim.o verilated.o verilated_dpi.o verilated_vcd_c.o Vsoc_sim__ALL.a -lpthread -o Vsoc_sim -rm Vsoc_sim__ALL.verilator_deplist.tmp -make[1]: Leaving directory '/home/colin/develop/AbstractAccelerator/opene906/demo/sim/obj_dir' -cd build && ../obj_dir/Vsoc_sim - -VerilatorTB: Start of sim - - -JTAG: Virtual JTAG interface jtag0 is listening on port 44853. Use -OpenOCD and the following configuration to connect: - interface remote_bitbang - remote_bitbang_host localhost - remote_bitbang_port 44853 -jtag0: Accepted client connection -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 1 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdo 1 -AAAAAA tdi 1 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdo 0 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 1 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -AAAAAA tdi 0 -AAAAAA tms 0 -JTAG DPI: Remote disconnected. -jtag0: Socket read failed, port: 44853 -make: *** [Makefile:52: verilator] Interrupt diff --git a/opene906/demo/sim/dpi/jtagdpi/jtagdpi.c b/opene906/demo/sim/dpi/jtagdpi/jtagdpi.c index 4f94bb7..4ce6829 100644 --- a/opene906/demo/sim/dpi/jtagdpi/jtagdpi.c +++ b/opene906/demo/sim/dpi/jtagdpi/jtagdpi.c @@ -65,16 +65,21 @@ static void update_jtag_signals(struct jtagdpi_ctx *ctx) { bool act_send_resp = false; bool act_quit = false; + // // Use for debug jtag signal + // if ((((cmd - '0') >> 2) & 0x1) == 0x1 && (ctx->tck) == 0x0) { + // char tdo_ascii = ctx->tdo + '0'; + // std::cout << "AAAAAA tms " << (int)(((cmd - '0') >> 1) & 0x1) << " tdi + // " + // << (int)(((cmd - '0') >> 0) & 0x1) << " tdo " << tdo_ascii + // << std::endl; + // } + // parse received command byte if (cmd >= '0' && cmd <= '7') { // JTAG write char cmd_bit = cmd - '0'; ctx->tdi = (cmd_bit >> 0) & 0x1; ctx->tms = (cmd_bit >> 1) & 0x1; - - std::cout << "AAAAAA tdi " << (int)(ctx->tdi) << std::endl; - std::cout << "AAAAAA tms " << (int)(ctx->tms) << std::endl; - ctx->tck = (cmd_bit >> 2) & 0x1; } else if (cmd >= 'r' && cmd <= 'u') { // JTAG reset (active high from OpenOCD) @@ -101,7 +106,6 @@ static void update_jtag_signals(struct jtagdpi_ctx *ctx) { // send tdo as response if (act_send_resp) { char tdo_ascii = ctx->tdo + '0'; - std::cout << "AAAAAA tdo " << tdo_ascii << std::endl; tcp_server_write(ctx->sock, tdo_ascii); } diff --git a/opene906/demo/sim/dpi/jtagdpi/jtagdpi.sv b/opene906/demo/sim/dpi/jtagdpi/jtagdpi.sv index f4b8b4c..451efed 100644 --- a/opene906/demo/sim/dpi/jtagdpi/jtagdpi.sv +++ b/opene906/demo/sim/dpi/jtagdpi/jtagdpi.sv @@ -32,6 +32,8 @@ module jtagdpi #( input bit tdo ); + reg [2:0] plit; + import "DPI-C" function void jtagdpi_close(input chandle ctx); chandle ctx; @@ -45,7 +47,9 @@ module jtagdpi #( ctx = 0; end - always_ff @(posedge clk_i, negedge rst_ni) begin + always_ff @(posedge clk_i) plit <= plit + 1'b1; + + always_ff @(posedge plit[2], negedge rst_ni) begin jtagdpi_tick(ctx, jtag_tck, jtag_tms, jtag_tdi, jtag_trst_n, jtag_srst_n, jtag_tdo); end diff --git a/opene906/demo/sim/riscv.cfg b/opene906/demo/sim/riscv.cfg index e12a210..4abd3b7 100644 --- a/opene906/demo/sim/riscv.cfg +++ b/opene906/demo/sim/riscv.cfg @@ -2,6 +2,10 @@ # speaking the remote_bitbang protocol. The adapter is implemented as # SystemVerilog DPI module. + +# reset_config srst_only # donot support TRST, use five tms=1 +# adapter_nsrst_assert_width 100 + adapter driver remote_bitbang remote_bitbang host localhost remote_bitbang port 44853 @@ -9,10 +13,11 @@ remote_bitbang port 44853 # Target configuration for the riscv chip set _CHIPNAME riscv -set _TARGETNAME $_CHIPNAME.cpu - -jtag newtap $_CHIPNAME tap -irlen 5 -expected-id 01 set _TARGETNAME $_CHIPNAME.tap + +jtag newtap $_CHIPNAME tap -irlen 5 -expected-id 0x10000B6F +# {4'h1, 16'h0, 12'b1011_011_0111_1}; + target create $_TARGETNAME riscv -chain-position $_TARGETNAME # Configure work area in on-chip SRAM diff --git a/opene906/demo/sim/soc_sim.v b/opene906/demo/sim/soc_sim.v index bfd5571..d2b607c 100644 --- a/opene906/demo/sim/soc_sim.v +++ b/opene906/demo/sim/soc_sim.v @@ -14,6 +14,28 @@ // limitations under the License. // + +`define E906 +// `define SOC_TOP tb.x_soc +// `define RTL_MEM tb.x_soc.x_smem_ctrl +// `define ISA_MEM tb.x_pa_isa +`define JTAG_5 + +`define IAHB_LITE +`define RTL_IAHBL_MEM soc_sim.rvsoc.x_cpu_sub_system_ahb.x_iahb_mem_ctrl + +`define DAHB_LITE +`define RTL_DAHBL_MEM soc_sim.rvsoc.x_cpu_sub_system_ahb.x_dahb_mem_ctrl + +// `define CLK_PERIOD 10 +// `define TCLK_PERIOD 33 +// `define MAX_RUN_TIME 700000000 +// `define clk tb.clk +// `define rst_b tb.rst_b +// `include "../cpu/environment.h" +// `timescale 1ns/100ps + + module soc_sim ( input bit clk, output jtag_tdo, @@ -24,19 +46,60 @@ module soc_sim ( wire rst; - bit [31:0] cycleCnt; + bit [63:0] cycleCnt; reg uart_tx; wire uart_rx; wire [ 7:0] gpioa; - reg jrst_b; - reg nrst_b; + wire jrst_b; + wire nrst_b; wire [ 7:0] WriteData; parameter MAX_CYCLES = 10_000_000_0; + assign rst = cycleCnt > 30 || cycleCnt < 10; + assign jrst_b = cycleCnt > 30 || cycleCnt < 10; // Very important + assign nrst_b = cycleCnt > 30 || cycleCnt < 10; + + /////////////////////////////////////// + // Memory Initialization + /////////////////////////////////////// + integer i; + // reg [31:0] mem_data_size; + // reg [31:0] mem_inst_size; + + reg [31:0] mem_inst_temp[65536]; + // reg [31:0] mem_data_temp[65536]; + + initial begin + $display("\t******START TO LOAD PROGRAM******\n"); + $readmemh("../work/case.pat", mem_inst_temp); + // $readmemh("./data.pat", mem_data_temp); + + for (i = 0; i < 65536; i = i + 1) begin + `RTL_IAHBL_MEM.ram0.mem[i][7:0] = ((^mem_inst_temp[i][31:24]) === 1'bx ) ? 8'b0:mem_inst_temp[i][31:24]; + `RTL_IAHBL_MEM.ram1.mem[i][7:0] = ((^mem_inst_temp[i][23:16]) === 1'bx ) ? 8'b0:mem_inst_temp[i][23:16]; + `RTL_IAHBL_MEM.ram2.mem[i][7:0] = ((^mem_inst_temp[i][15: 8]) === 1'bx ) ? 8'b0:mem_inst_temp[i][15: 8]; + `RTL_IAHBL_MEM.ram3.mem[i][7:0] = ((^mem_inst_temp[i][ 7: 0]) === 1'bx ) ? 8'b0:mem_inst_temp[i][ 7: 0]; + end + + for (i = 0; i <= 65536; i = i + 1) begin + `RTL_DAHBL_MEM.ram0.mem[i][7:0] = 8'b0; + `RTL_DAHBL_MEM.ram1.mem[i][7:0] = 8'b0; + `RTL_DAHBL_MEM.ram2.mem[i][7:0] = 8'b0; + `RTL_DAHBL_MEM.ram3.mem[i][7:0] = 8'b0; + end + for (i = 0; i <= 65536; i = i + 1) begin + `RTL_DAHBL_MEM.ram4.mem[i][7:0] = 8'b0; + `RTL_DAHBL_MEM.ram5.mem[i][7:0] = 8'b0; + `RTL_DAHBL_MEM.ram6.mem[i][7:0] = 8'b0; + `RTL_DAHBL_MEM.ram7.mem[i][7:0] = 8'b0; + end + end + + integer fd; always @(posedge clk) begin @@ -62,24 +125,6 @@ module soc_sim ( fd = $fopen("console.log", "w"); end - initial begin - jrst_b = 1; - #100; - jrst_b = 0; - #100; - jrst_b = 1; - end - - initial begin - nrst_b = 1; - #100; - nrst_b = 0; - #100; - nrst_b = 1; - end - - assign rst = cycleCnt > 5; - soc rvsoc ( .i_pad_clk (clk), .i_pad_rst_b(rst), diff --git a/opene906/demo/sim/test_soc_sim.cpp b/opene906/demo/sim/test_soc_sim.cpp index 34f802d..2a3b3f7 100644 --- a/opene906/demo/sim/test_soc_sim.cpp +++ b/opene906/demo/sim/test_soc_sim.cpp @@ -24,35 +24,37 @@ #include "verilated_vcd_c.h" vluint64_t main_time = 0; +const int isOpenDump = 0; double sc_time_stamp() { return main_time; } int main(int argc, char** argv) { std::cout << "\nVerilatorTB: Start of sim\n" << std::endl; - Vsoc_sim* soc = new Vsoc_sim; - Verilated::commandArgs(argc, argv); - // Verilated::mkdir("logs"); - Verilated::traceEverOn(true); + Vsoc_sim* soc = new Vsoc_sim; VerilatedVcdC* tfp = new VerilatedVcdC; - soc->trace(tfp, 99); - tfp->open("vlt_dump.vcd"); + + if (isOpenDump) { + Verilated::traceEverOn(true); + soc->trace(tfp, 99); + tfp->open("vlt_dump.vcd"); + } while (!Verilated::gotFinish()) { main_time += 5; soc->clk = !soc->clk; soc->eval(); - tfp->dump(main_time); - // tfp->dump(soc->jtag_tdo,"tdo"); - // tfp->dump(soc->jtag_tdi,"tdi"); - // tfp->dump(soc->jtag_tms,"tms"); - // tfp->dump(soc->jtag_tck,"tck"); + if (isOpenDump) { + tfp->dump(main_time); + } } - tfp->close(); - // soc->final(); + if (isOpenDump) { + tfp->close(); + } + soc->final(); std::cout << "\nVerilatorTB: End of sim" << std::endl; exit(EXIT_SUCCESS); diff --git a/opene906/demo/sim/work/.gitignore b/opene906/demo/sim/work/.gitignore new file mode 100644 index 0000000..b86ad13 --- /dev/null +++ b/opene906/demo/sim/work/.gitignore @@ -0,0 +1,5 @@ +*.o +*.pat +*.obj +*.hex +*.elf \ No newline at end of file diff --git a/opene906/demo/sim/work/E906_DEBUG_PATTERN.s b/opene906/demo/sim/work/E906_DEBUG_PATTERN.s new file mode 100644 index 0000000..a29bf88 --- /dev/null +++ b/opene906/demo/sim/work/E906_DEBUG_PATTERN.s @@ -0,0 +1,25 @@ +/*Copyright 2020-2021 T-Head Semiconductor Co., Ltd. + +Licensed under the Apache License, Version 2.0 (the "License"); +you may not use this file except in compliance with the License. +You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + +Unless required by applicable law or agreed to in writing, software +distributed under the License is distributed on an "AS IS" BASIS, +WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +See the License for the specific language governing permissions and +limitations under the License. +*/ +.global main + +main: + +csrsi mstatus, 0x8 +csrci 0x7C1, 0x1 # csrci mhcr, 0x1 +csrci 0x7C1, 0x2 # csrci mhcr, 0x2 + +loop: + j loop + diff --git a/opene906/demo/sim/work/Makefile b/opene906/demo/sim/work/Makefile new file mode 100644 index 0000000..87d7264 --- /dev/null +++ b/opene906/demo/sim/work/Makefile @@ -0,0 +1,107 @@ +#/*Copyright 2020-2021 T-Head Semiconductor Co., Ltd. +# +#Licensed under the Apache License, Version 2.0 (the "License"); +#you may not use this file except in compliance with the License. +#You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +#Unless required by applicable law or agreed to in writing, software +#distributed under the License is distributed on an "AS IS" BASIS, +#WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +#See the License for the specific language governing permissions and +#limitations under the License. +#*/ +#*/ +#*/ +# the compiler toolset setting + +TOOL_EXTENSION = /opt/riscv/bin + +CC = ${TOOL_EXTENSION}/riscv64-unknown-elf-gcc +AS = ${TOOL_EXTENSION}/riscv64-unknown-elf-as +LINK = ${TOOL_EXTENSION}/riscv64-unknown-elf-ld +OBJDUMP = ${TOOL_EXTENSION}/riscv64-unknown-elf-objdump +OBJCOPY = ${TOOL_EXTENSION}/riscv64-unknown-elf-objcopy +CONVERT =./Srec2vmem + +SSRC = $(wildcard *.S) +sSRC = $(wildcard *.s) +CSRC = $(wildcard *.c) + +OBJECTS = $(SSRC:%.S=%.o) $(sSRC:%.s=%.o) $(CSRC:%.c=%.o) + +CPU_ARCH_FLAG_0=e906f +CASENAME=debug +FILE=debug + +FLAG_MARCH = -mtune=e906 +FLAG_MARCH += -march=rv32imafc +FLAG_ABI = -mabi=ilp32f + +CFLAGS = ${FLAG_MARCH} ${FLAG_ABI} + +ifeq (${CASENAME}, coremark) + CFLAGS +=-c -v -O3 -funroll-all-loops -fgcse-sm -finline-limit=500 -fno-schedule-insns --param max-rtl-if-conversion-unpredictable-cost=100 -msignedness-cmpiv -fno-code-hoisting -mno-thread-jumps1 -mno-iv-adjust-addr-cost -mno-expand-split-imm -fno-tree-loop-distribution +else + CFLAGS += -c -v -O2 +endif + +LINKFLAGS = -Tlinker.lcf -nostartfiles ${FLAG_MARCH} ${FLAG_ABI} + +# LINKLIBS = -L${TOOL_PATH}/lib/gcc/riscv64-unknown-elf/8.1.0/rv32imac +# LINKLIBS += -L${TOOL_PATH}/riscv64-unknown-elf/lib/rv32imac +# LINKLIBS += -L${TOOL_PATH}/riscv64-unknown-elf/lib + +LINKLIBS = -lc -lgcc + +OBJDUMPFLAGS = -S -Mnumeric + +HEXFLAGS = -O srec + +%.o : %.c + ${CC} -c ${CFLAGS} -o $@ $< + +%.o : %.s + ${CC} -c ${CFLAGS} -o $@ $< + +%.o : %.S + ${CC} -c ${CFLAGS} -o $@ $< + +${FILE}.elf : ${OBJECTS} linker.lcf + ${CC} ${LINKFLAGS} ${LINKLIBS} ${OBJECTS} -o $@ -lm + +${FILE}.obj : ${FILE}.elf + ${OBJDUMP} ${OBJDUMPFLAGS} $< > $@ + +INST_HEX = ${FILE}_inst.hex +DATA_HEX = ${FILE}_data.hex +FILE_HEX = ${FILE}.hex + +${FILE}.hex : ${FILE}.elf + ${OBJCOPY} ${HEXFLAGS} $< ${INST_HEX} -j .text* -j .rodata* -j .eh_frame* + ${OBJCOPY} ${HEXFLAGS} $< ${DATA_HEX} -j .data* -j .bss -j .COMMON + ${OBJCOPY} ${HEXFLAGS} $< $@ + +INST_PAT = inst.pat +DATA_PAT = data.pat +FILE_PAT = case.pat + +%.pat : %.hex + rm -f *.pat + ${CONVERT} ${INST_HEX} ${INST_PAT} + ${CONVERT} ${DATA_HEX} ${DATA_PAT} + ${CONVERT} ${FILE_HEX} ${FILE_PAT} + + +#-------------------------------------------------------------------- +# target setting +.PHONY :all +all : ${FILE}.pat ${FILE}.hex ${FILE}.elf ${FILE}.obj + +#--------------------------------------------------------------------- +# clean some medium code and .pat +.PHONY :clean +clean: + rm -rf *.o *.pat *.obj *.hex + diff --git a/opene906/demo/sim/work/Srec2vmem b/opene906/demo/sim/work/Srec2vmem new file mode 100755 index 0000000000000000000000000000000000000000..4d7df0f9a384fecc3cf1b62acb4426c2e8662afc GIT binary patch literal 1355077 zcmeFa33OCN_CMZPnzV{`3pi#R9b3(4P;nALB?6iVEicl+D61gI2m)?n)DGYR1UnJl zJX@o<;EW6IsNd1dID-h1ge?u~Xv8gwN>r9=TO^7=Trj`Sy;X1NPA7oN%=w@5&w+mT zRoz#0>u$B(S8sM8cy4-Hn#=r4cb(;;yZW?3PLjVaS9RFO_w-Zf>g#gj_bAs9jO(^? zWUjm|@> zF_z0^UI~}}vhbhaj_UIxkNzg`ugm38*9$g>CJ~+c`e!~zby3TS%)vuP@Z;(DrLwx= zKjrtp{|x-6{E08jy|L<>F%!?~F?GoOz9~5~E}HPX7XHE`1_MECp`Sa??CR3Ot39i5 zWBuiCE7so}uj!v^;Y}^2!;7Q!HU9~?wCIW!zC}(yqfM-e4n9(<7rw!)Ac!% zy+;pnfw1~CO?U5{o#$%nv4)Wh_g35i=ekdqEL;VmQ0IYl{eqzE4fW0mQ*~=sGuX{t(|a7nM*$M){|~jGGNC95Ec6E5<;c|y>*K~(7Lu}@6i>M zwr*8*HMOB=MA7hJ+MF*u5WJ=@7p-k=n*Q%*x3!Vs65MoC63|BPp zGSL(}98%UIkrr7y*Fy@R>M6K54Y~KoTzdFjdVYRydj2&kHyUW9Vxob!=@QR30&i;* z8)Zd|JGdajLtIPh{>+#e3SoyHF|nUt;TmI0}(^4ocEg=<-ySc5E_1>y;p~gTbc_$FDvaci}KNLun{g}!= zHFSKc>`Im0JJhbiCJ>P7kqgWUWvdSWo5;fAeNrLknAO|JWP0%|JVmz1&!i`|sO1c9 z(Y&fM2!RnY5)s}o5v~P7L)CTobw#VD(glBz=~V!sLiBvC4uyeWrOrIj)3 z>zIJ1#KNyL3zxMwwPW}NCW51O)H$i6I`d_nk4=$sbMLvhp^%Vb#Fo`4TCxSDxB`7lV6PlN+a=|-T*;D*mxiAQHgx^^>0ycX$k zXn192K_e@jx5|s0cxH7xt-76o9Tao9!Yyf}Y3pE+WHM;Lr%-lJr@Om}4O}n}}(8;BFw|zZ3C$Xf{gpE{0{_ zL*yl9e`sbmY9KeD#{zn@{(kLqPO|$u$j+2)H0wkw7NAc2ch)&q7Mj$G2Y`tGPQ>vR zqO%nXfr$T3#M4YNQ@7$bW_Bm7nD(8`{PwMQ9ChNqv(6O|V|!UF0wVr95f@m9&Q|;% z5b@uMc#TBtNEW4Lb|nm{9*f6{0oTq??ha0Av#;J1c>xN=yc!BxGuHR@0bcY|+I<^p*0KTlxkHiXSb-ppc#fiM9-Gybm%^Ik%CI3$ONp`-)8o z(2^R>fQ1+Vz+7|-issIPlcM4kv>o06qKg7};FGdYuLsXo|jdhB@ktC{ef6uwlJcGDI3L|ZSl35{9 zNO)$m%X<%vY@ao$vuG~#B~c}no62iZW&M!}OsVTrIja*cnC7B`Y2X-}tuS10Sa;Ps zX|Zbc5gM@@D0c(JDw{BzrL^jVD!hy7(mJm+(`rW^8xMF0d~}cCO9VwqzN{ckVSysc zC_yqSRD)AGe#`ib!fY};`|rZ#BN zLYf^*xkU@J9kAa4=UOn7BMrqk_~_sl6$tvoP{ zJ2_6L);vKC#q5t}ir&gE=|Ma5W}*VK>XDiuACCm?P)$CcvWR$|8c<1XRFZTST{v@v zm1(-bP3TKgxWH2_#He_QOMzlXB)wd`HR9fb+}K)l|0Y3Z^=$bx9@q30dZVUCXsn=D zY20Qta0pa;7jw!y3{;Ikb!;{lR3-U?{+6nyCYf!0PPKI+XS8q5VcZ;V<+W^CsvOMN zjbtW207YlRmV6Frjs{mCFKGB3DSu|{Y?BVNi4N`9g)wey1^YRZ*5?(iFCvQ?p_U!` zsG1?4YdNQVE6)F(g)$i|sUSJ_q(`di<-|A#+KC3G6h;|Q(N9t#wMwS)9_o$rpb|P4-s)$tgIV zmbaH(E-Q&;BO#}`H4{g_l#8p$Wb4X3)jq1y2cXSApK$!}Ru zmqS&|2F|47Oj(@(mPk1`1z_0@=}YW3Ht>6B4FOG+7bmt7*FC_EPc&JaAgl3`rya_w z(UMgYWYriy$|9F~lV;UM^7hDX#_*V&6%Wu7`a9}_xf6$DOWFUv44rZKL*RDQpF~PM zvZzwn6uJic-kl5Ne`3XK20lCDlR2T7d?8eOridJG#b4G?5x2+XOX6tEChWuuJRJl zf#j%oooMP8SQP4q6d*(et;Vsr(RxC_w6%Gh3R|Pc%x-GHuJ+y3yuem8d!a;RqBpQ1 z5NGjhM1ziv!;p;8P~pd@^7#NO`4S=>T9+mtF3m+j0yID#Tu>&B185i$UOn0zLC{*Y zU=>)N%Ow8WPZy&~-eL7?CpCv8XC-~Wk*c$~ zT&Ca_;+9SxM;31~q7N#W7ua4gbGs{ah*{86;7+`&c{dk#Oh@ z5#}^c2)Uh4$%pFght|r6(D+g`R1I+EtR@XJHIBxY8o9@Cy*Y9=y%wd)K5Kaqad1Sd zoCP|^ql{yXx!XVaR$&KECR(}W)qG5k^aQ9bDss^%8`r|~TX<@QM7Se2WtzB2z6aYi zaH~$=b1Q~FcDafJ=orXzCC?OKP*Jgri(tEl74yu2Tm$mhmi`aDGz3UVFmP7PztauK0R6Z4Q; z+(#Ka>2B0kG^cB6bjcuc{=S0*Df@rY&n1)PUl%0uACrUrz$5O8XS$XybYrtH>dGrC zbJdpOhswBoYo^QE(zNj}CH%&ng9yL$8sL}VhwuwhiENbBi#?O@AF3EzK?xhL-&u{_jwoL z{%-rehVCov`@Au@52^cMn*P12Q#2Ql%r}mWo$!f8k;AGe6ocpW0y8|5UqtYO^Rb>6_awpLitvX8sgAU(+wn!N~2ZoR*+IDF@E%i(IjG z16Bkh!*je?Q`Rt&n~|B}s-pp@7$3_-3%5Wjn!ZN(`jQ&<&(Xr$v$Z*G6;GGB%DXKs z9aHG4ZN7vy>$Z!dHfPFRUTANqZ+LB6i+9CfUse5VEVhJl4iO*iPItv$116^Vq6Lb< z^&LP<&s#Q{j&Z6i$t*ePw$IRfDmOcqk)7wr8Vw4Az$1m}9hPM%jcQh?$tZwC3VM z*C72$Uu_LiAZAenr^#!`$!%LF+m=RcTaKEj`o}#~(?-!1ZKSqks~DUV>gnKrJ`RnCDOkj&1CK@xEfo(Tl%D;zk=v5gFO**2keFZJ(!zX`t4KnFX$ra zcXdktr5|@he{K@}O^AY#_F2m{n95O_lKyJuzoLI8j3}iJEBhSaq`wpjPyBCkOZp3E zcgFumKkA77sqZE7Kii`JN3Ox7-`6`8{~IqNIXqcs^v~Sk;D0qNf#}ax^jFO4 zjQ&rty_;Hp7QLHD|L8XCJF$N}D}nyJl=Lqo{+GgElm6_1l6FS_@~<8AH$vH1|KX3x zht$vPjQ(Rgq+h&~NdJN#P5NgshfMxgpPGvQHAH`-qJO|%=-=~|gMOc)e*wHM(eLV% z{!2G>#Q)qR`kVHc^w)9?CjBk>spzj~{-eJo{?CMwb;kbzPWnq>EUf?VcSL{T&7JZ8 z(GNPJf9l(b{Li-N|B-7j=`T%5e(Z3u<)*1aXTO9nahOw~zEBY&L>WuzR-)H)b z!5%eqtq1)X79<2($Y?g!;I?7|HRP&#I;9e6ilPK9n7Pg}VMxzN+=J zKcw!_D?Z&0(i)A@*2sBny4D)sY?UwWquD48v})fY8k~Pt{bt>>Jt7zOC+ovQnm#DU zqv<_z#6La<8AcDR$WjW%4I!jQ#b0g&_i!ldx=(vB-xY36 z#}gDV=sj9(H$QhjG_AQ3Tf&bMkc{2|)Z2qJi2cdg3R3pK9cYVKiOBBSg^#Z{=aw3+D(jqUvEh2r>_%0>_R6S%qj| zugiz!x$2sXGDHLh&ZCIHs5|{nd9IqfAeyMVjRO9t#HjlR8~oM=ciA8Z2TI%)l`6)b zjA!*;-{2l~?Yt0m^}P)P^QiklB$eF}bVc1801@YqC;+OOHv?2Pe`&a}ZB zZE(t{JXiLeEV@Ym3jvD3*jcZuxdrO92P>4dE^vWwQJxDp^w;1{BdEds{YY8oZo1+R zIN#XdS2p;i4ZbxZ&$ZwNuCobXy#&_)q(8A1@pW~Aw0;&Q7+uYk3`bJS?PO?o0aEJz zFhEuF0{~Uc_uJsTHaOn~t8Fl%Krutsd?)Tv^RZO(5?S+^NRlV zwhi8FgE!dVG#k8Lf#Sy#AY~1{6HGe=iBuPOFa;pwj9)f1Pb;*p9s)5@A5(ISx*t(c zgZm+ZP|SU{jPJI=J8kfG8@vgUUsBC_KLcPhKylLwkd!wUig)L3RO<&L_!dR?01o{{ z-Q5(_;Pw)PJda8N=(fRh8*C%}!5-fpg>it0pw~ALbd4W@c6&M0P07h?&FAUelETV zASH&5YYDp_x+h-+ypLODxbMUrHVO2@<^hOp!oEw`eKTRiKIA=^RWufP;5xT#6;1c= zSczS_m}DkyE9mxv_&ZXqkZ=tptc$Of0!PAlN>~zqL3(&3jH867;*U!Ai-aI0+#A1B zIz%M&qlBt>1v@XQ^h6}YD8x8+IevJ4pIcbj=3RW$f^+g*<(ZWm$`9l{vRriO)mr4L zoTF)Ex&xa|s#m`Tc*N?H!87XN#C?ZCRH_%AZYJJBiK>HKX(nDxiOQE9JWkrJ7)^=F zw~RIu2U4Ok`^U`0Q;?_`r)3SvbG<|YS?Y5Es~#PIxE>=XWNpHTLuS!BXI*^+S)*mv z`&O2G>ULxeKvpe1&m0vGfj71EjO^V=KDG70lf4beN8WNra4l|8$D}ZKwkjf8MZVtN_- zF_!d@z{5}RB<<4huoHv4-iWzONB~)j7JwcyujB)Q$o7 zl9t4KO+UktOZa0G@G&NDai(ZS7Dl$^N6T?829HNrqsh}Q$#YRq`~pqSqPG=bQpd_9 z-ThktJR;YOF6JN}Xd!n?*p;@5{wuOoeX$;|$&otlYc9t6X&+vF;q}?lak$Sh?=i5= zudiwg9bdRBdUm-l+}35sMcF7(#P>b)2_{?^;ta`uz~O}(eW zt%!e8y##xsuK4>vt^|OwGD$wZ&c4S|zbpQdeV8 z#QZW>?EHTuPe;smff(d zzqXlP5crbzE+`*MnwpWJ8Kv0Cxgt&LH?_rk>kTM@Q8tQ?v0S9`8!~+Z02bBJvM@Gt zMX=yIZ`C8fEjBVSCoA-8MlRKGMM4cPV>NO)$uM>+ekNC!7$=_+1lAy61uZ)61(vEO z2s(nGV|tdMwN|a0)s3+>iec?YAtH(k-t$)NK*=T%LF43HDu2@^XZfd7`QbH?=AH?m z8SQH-YpvR4)fB@Tlig_SpJ$jgRh|VUh!(b@J`g`uoYOGq#B5iL_KZz^Ee`KFsQg6Y z!=<3W=JX`236S0(d}8c4iiIv3Uv$Z;$<;x9_xVO>yC>EMThN%78Z%m}+Prt%jJudl zyVAyCv9df@(UKEU=S$$WD}R@WVu2_AkM@M@rU&#jB-u$7j=>s%+0T_81OcA{+hlA>RPP7K#~$xTG|khVn2jHcYY|HA3{ZwwBE8> zZ&d@8Z(N+yS2M24DGVBuaXxbGiCQ!>2alqc9h5`b z#{jtk2C_}SF9CPDJ*H_xSF2Kgu)%L_aF+sQ%^$v$>56>^ekwmkwO`Uw6h^h!^A2PW z)pJCQUhfeXHhVAwLeWCJft@kmX@#K0MUJC34?nbgQ1@!4LRmv7eu+Z9qqb3@JOC6~ zdi-(J1!;#4k-R@=4Y9HOHzf6Gmt#!?D@f&D@hWD5%UqH(=W2#>>WTn*olBNEpl^?A3MfE(2X%1H^$95zWjR4I8SK(&L|^hE648J0 z`^}!xTR9(Ca>;8LEqUETOJ4A&8|OjJLVTEx*Gd4zZiJvQ2mwu1>q2GWb+qgPcQ0 zGRiYxqq*2N*b{C|^HyF#<{X~sgQx5rnvlPNtf8nDWVXfq_IQcCL^RXSrG{7G70YqH zX$Udw-xQ4Ge8j1!WHVRt2b4HSZvjuaigLvAWWklhm%(qsA!IuptLcwRB2zMh#?_wl zB0V;9Y5IG-nE1`VsV_zeXf~}WqOZ?`>U#2Ns4)nX8-3o;^ggd@;T521#dX_@3sz6- zJ{kMdnX4qN_2AX&>4=#QWNTC?X~IKPsxiVxOQiWIE<1mn=@(>qYh`(FQh9Hy@)~7% zD^cFt)6ubS3+k64IsqKk1KA-D^=4Zd$UJX`EAv)PBqtBU@Q6mF#)h-+L9N7!$<^Fx zU@e#TS3R+J2pOK`538^+S-leYmm|f_zfk47DZh;JuT&{Ja6`@vRBRNJQIlA~M6go{ z9WJ_G29?z_bHtg1h+Simh7=K8M*az^5^F?I&*bX(f2^Sw1NQzM{0aFLZ1KKFTcqSi z^moLO7-<*7_MwcQgYk{iPog_0TMrJlI7$vos5d~`kvY^O0CjbCtUIc!E2VMgMVqbO zt-6{@v+=LfnfO@zb#6+d7#Y%u=jq7FFDqFj50$c361BlOP;r;bcrcC_A&QK|HDdlT) zI?&%`q<^yO3UwEMzZ6<#b!6KyI}o4a$4uHNKE$0r^BMGwuJKx|_BWs~6j_Af z4GgacyA|>0%tp8cMbV1hl2AF?XIHErPUJ)f%khaZ%cn&HYGe}dvro`x`m_~WGsIxb zQ{YYD6avt{woq|C8ndE^=Ya99%3qa0pVw-}^{|UR2*m2sh{4;NB(iQLG%uhR^;*J( zG%7(s;g!(P_olDo;zbQ{6rP$LP7xPgM+C$@)riG~d!ur*lgm8>q^7tJhGsTt_bhAqQ8C@p%yVEDQl~ys25l?(HaWdEJ;xb5GGRI>4PA+mc zJ@OX9=x`ky`{3nFmytpKw|g9+($ZhL#b=j52~Js@sI8b!BetdeFnjYv3_?jChx2H- z2M@xRR4k$)&)r}yjIb|;zv!px%8)3wJWu1_&>KuGQM$ha;5k$w6&!WXvca2daE1+* zD^OOw;W-%cFfdhI`;Ny|J>sQ8Oazwt?@Ki8w5@2TYkeN=Y5 zn^QlAK!Jy4Lr1VYLeI2#bJ#$II@gwaw=*#1V5!V<4j(Degq(s9d$pXzy|lj1c7eCQoD0Gq)Fu?H_5Eu}`+E>W_$5&_-JiWX*t&whT-1=ui=>XVLC#fmnh(qDtyMTrgW z?N;1@O+v%xJk_?ZnhD=_N*SV0Z$4Fol~-;tL$$njaudyVgb=53m&a3r0-@NPhq)*x)!D9Akr{ zY;d>@USNYmZE%PUYBm_K!Ej9RLQqBaL>`MxPD~1k5bp+LVWZ~js3t<8w^jZ%iA*j})dZT_8!oo*^ z@B@~Pml9Ols(Pa%fB?_xdz|z=4SQ~ihA*+zU40+x`wq494}EV@V1xTBf{;RZN-S|3 z6gIe7fl>Eo7W9#V8r>;lB6@c|b_F=O@Hj!9cuNpoPy8}z}C}pk5 z=YQE{JOZ%#K`^jCp%oHZqlxyQjrJFSd4zTt(4y}7c1{Hq@Gx>t5-6aQ3TTxDpii2P zOz|l?D|W>GCx~-%?Po&(8ztv%0H~NVO@R&kIQbTp5OrT^V@>v5_ONnMXAC-fGvMxiBK^W;D$Kv zI!J_?Ub|39583Z-B_mJ*2xOdA7t8s&yRky*-y4v90DD&Qzmo~a!A0Lma z27Qf`vIm$UR7@cnEH0b|hEUUM7b%9+e*x8TJ&BYWQ};drwkqRwfHn6+!|o?EAE7NV z(O$99UIbW1Xx9-MJzMJsZe9ITq;>zW5g!8RgD%4)9|NeEywC<8w86jH;C(iDw+-HD zgSXqDVT1E*u*wE+vBB9kc(V=OV1v_a@Om38v%#xv@CqB8VuO=x@J}{4-Ui3o;Ak5h zVS^Xi;Q2Nfw83H|=xZHh78+o@9f$Huwh{Jk|z}w!tH9 z@V5$Va34XCIO=y9n0!E0YMYc&p7c4?sD)C5scrp%s+6)P!0H9iwoF2Ep=+vbHqnR< zh1LyV9x3HVF|&X216RD>1Jw~!+oGy_83=Vek1(#x7PCIVL@PRI4No-cct}ghQ>s9@Q7Ka?vl&!Y57?weK0$w-M^gXwaN zj+VoE*En42$n7YEg9u?LCHn2eT^o^DfW+7-_@NeTdP1%w(0*}kI+|5iNCKqs1{_HG z*>GyO2iSI&0>O<{N%67X+M3~o7%I=llNry}Vcl)Ot3Y>!4j|sicvJwf`%tM`WTX1T zi$sKR+Ct#gO{Hp2--zRv2;%3Q>v6Q++erIHP1gQmb5OrIrxnYxw3CGGq)<)^O4W+7 z88IFOix@g)xwYl3Y-E8&#bwXPJ;DcZj}7fpP;;@7May`&1oa;g2919Ga3qUoz`)wy ztb`-Q>Rid{blP&dh!&_FuQhqA{*MaA+D%tl1Ipsk%V%IOotE^bek{*Z!j=sdy{^jf z(;{Fl?K|cLjZjYCAXeWC(Nc}pe~dmkb$DsVC5}b(b^JcL!`J0sG~7wC!}k#uYKUUv zv?~W7S_837XQRpTp*LTk9y!IH-y%FjwC33_`*`o5=VajZ>js^Ex8q7@{4oZ$(u-TRKDw`_i=GiG6&d^nC?g3amArZZ z1+~}qV_~88xDI;amFBu$cX3eAxk6p?C|0O7{Fp4ExO$kK^_P6FmdLR7o0WG7bT&fq z?~NQQ;2TR3Z*pVl-`G~M0+1FAF;^$6tLb@ZkI@Wzk#elFGStfXWWRXzjb0i|nLl0T z(@Te`@?o7sUzthYyf@m>cbJpD*7H)+SJOfH^8J8l2EE9cs(zv9qn9pI=8sbJBeW`2 zKGP35SUgU8zhN|@2cS&gx1~iX-fu{++xzHQTRnk_$!j}tAUU9(i^R@9&oc%E8F{4vIp9W82Wg!K5E7&NT!vx zL3{A~COF5&xR5ri%fEpP(4URM9=O);#_aOL?0k<_a87mzduLjp6NgVrM}RP9VWHa)yCm?60hu|{C+b$q2HT@9~jS;`)En!52o@N z*%#^(-=^v(exO`xpe<^KfQ&IiDKS*T)J1hJ;lZh!~v~@u)UQJ5DuO^VUTfn0HQiN%5#=z z7R_9OdVLYarcf~r@w-_+@vK&`+gq7I1kro!Et+v%w#1Lpz!?1|wpOdqtQ%Pz;JyKo z=(C^!7kIpt-RMC|={E|k;m9?8Iv8{va7Oy5W$`=h{izc0z68c|Lclm8P_@CNuP92< zYYqZqV_<{ucR`p8c;c=64B5r{3Z`#*w&IH-uxb}Em1GId8&vUhMcg)~A1VDtW_v3I z-RWoz=@hh!h>LxN4Rf15&6oz`KzU_83f(x@Lktc=0knQaXzcS`UK#GrMS0IyR?3sg&PV$Z!?m{D8^h$;j4zgcgUk|ssh|~HLHiL#2_;?&GQc7Y zY<&erW0ZPOAig3H@)W~nAwaYPe$O~R8|?rR+EOqJyu@4PP@MB|cLNlsE8Xcu;G^al zWOxnFARW)O>NvLBTS@1&NxlXP&c&nGqGD7MINgUydWh>EYSkb61kE+|eyAt*Dpb+Z`}4CoCPm$w;}nx?U5K#q3xdY^ zKIL;zHVJnfv=po;hOUyC2C?C-^&1KqH35NAiu)CVl(%qKtV1R&Cy-0>heSGTB}uO% z@xQ@RGra{~G6a{0TH>cLkg7W|P9Qyl-Js4^q>;iCozy*%TDw7!t=7j7wWQVsvq0_F zRAj-d>`-sR6FZKE9Ax5z9iv0MNPl(kFNgg?kuSC#!4=f9ST{$qx zvA(Vta)!y4e?u+-<-W=f4fVywaWN?GOo$x?XUFmZfIFlXroL`Nz2Ls-@jIH7eV?xL(VU z^{Hx6fn92f_&==u=sS~RV0I10={us;+#BMq`|7pB(*9d z#|0p@V6X@0?mtzJBCbD6Lr4w{nY@cj+w$wN92k1O;ByQapAJ-RDu1|Q8DY6X6A*jn zYY0CLIIVDAt}f!bim%Gz#rv0NIHW}zAgngbMG#VgLgkDH&Bx@1=RV%bA;{)%vW;z} zgru)5|4Zz2P8 zqON%~Ok{0AEbKMuzEj3>b=lZ?>~`x>$yt7q1}e%SAMpB>rVY9jozDnOA#skrT}(v z%JaXTe%CTu1%|`w6u6Q$e6+)GegTimmCQ?AA=^ z2%(b?B+Rj^_VIbqC&jbmCLGUG{3O>;!%DY!^ggVzMY$T(q$&nI%NlVfQD#dNN}xYr zH%W-VW*ek=82w@Ws^j`j-FtoB{jZPpBOIM7dSB0rhMrZnid38ik-TaosECE1(H_5) z@c)*GPp^qYuIWq5NLX5u#{#GbWrT22ql1x&Q_(486YS_5%+4Z@)3J{KHCCat$n}L- zc&?d3+u8#Q2U+tlA{r)-Lw~@mkr07P6e#@_rL46x8*Q-32AgfrR0i?Y7wIm1XW?Le zhrnL%*e*un&><)|70P+kWE{7bMiRkR-qR0L_I9zNHxdqUtSoKj!q+CU;`L0bnv`nyJ$QvEtMQ@ zK#V5IA^#yMhk{cbazLd1X1t4okpHU%Y$AvQS@C*Kb204{;yljq7iDlVBw5D*Hn27y z>j&?^r+6#Jr$JJ*Y@J8n=vhp1GnNrPCl=ymZFw7v%&af=KEGy2r0XfcNMK3OI2;4k z-)rGdTeO~UW57tNAB;@OIhuA)(T|N-6x6@gjPgar_|Rc-Br~%_|Aa=OMQs=Q5S>14 za=10aTeXR5irh36q4L<=6c53$f(3$>-;EJ#@t&oOEcaIZlPN+ojmw(xx|9eSXs1CR zTrmB%UC2c!q_|)Wy&?BfHIVCrk?T>x7Co>KZ?l&4+>FXZABkj?ECgQpot5iC5!KT3 zBPXA%8AEbGFEtc|;D7ld@Pk_x)KAW7D@7C1LwJ2=Ts;a#sllF~;dpBCF^P&l))4DQ zmID3;sA3tj%Ug9jZi2>@;Mc&sU{6u8 zjQwqScg>i;5Lp^tQiNR6HSfR`+5lH34xXSN&0B&+Uoji6S6q+j!A(<(brIA*Dek$G z)HQ9J_~SJsZb5t6qwr}E%xy`iKn2KEU#2bMEc|4A6O?}j%EH$vH7=? zVot~b{7CIL9Y7_Wgj^Kf1pYk+$l~9B#8?fc?izrAW7{Oj8iDTRL}-zt6V zh$WVfwf(Es6W>ue8No20{D4XSfgQTq>rsJnwG>+-=05^gTl<9RYIB~pWtt_roJ6jc z)?LJqIZk(5-KoDFWBS_*Quy0(P$9zmv*#0E`#7x(IpU z{|=J=Z=e0@@pPWTR|$UgCGxA}R5Nv20D6hqMgFvV!(8%fX{hB1YkUX4-j)42+-O(P zOHwvRDZegwS)pIk|))Dx*f9}h(PF9jkXsbeLq-SqtJ>rH2!Cu6KE?+$QP3i@r_wMB!z+0V zJM?fT9o%pe%7FnKjh9rhu3bIrH_+73p_=~e0x~!Kv4yzse5HwcW{+k#UUKL6O}CYQ zh8Yu~kyD7jYtvux2hj8CO)1(Nogp&X(_c#Xf9nAMtxMbS$4~fIro=B3kG02d!H0Q8 zzYg%PZ;xM3_y?uJhr9;1$FC;*4L7D}FYvp!$Dcs>&!)nUmWj{))ULgGgnv^9_%F1_ zcM<-Ol=w45xIKR3alrpw2l&I<<1Zxq_zj8e-J8B0gmQi*ee)4LW0UED&SM1$$`3)$ZARRNwQ~o1zUp#~8T%;N=-O|_S`77VxX>b!_!dMVUddZI8T|nId2c0k2(i)l zfo-MBt$0n3ie|jTB!=Lp=w&j!*m#LSF}L2zFj6S)_WNV_5avX(X-2p{o=o}AB0GK; zkyHX|h_R=k4Zp`Sr{2`})NSf|3CRlw*poKIY(`Y(|Z7-bB^wPh;szEd=z$9Y3 zSWZ?6W?TklptOGyd;nfm7^F{}Vaii(u9?gMf`$VDR;MS*t{e*?O|dIU4o1dO z?D6AttU4#QV;bkD^nr56HTZ;jP z$wJIkPnYgJTht((UbaVsS_aC@-!)dtm?2`9U(1`@=J<@VI0I`5;ny=`=ONoHO~&h? zugDgBtP2YJO=4k30$c89CYO`W<=FIi!ms$mQHmt!xs#-K%gp_DneL=#De~IU!-dfa zE-Bil%fdQp-xW#anf&sFU-5{Us>mezPVa=iBa+JFzLg8hOHE(wnojxu3bO6?lACHF zqo5@aoH~%jYomy%?oIphnAwRj5;miN7q zR)y!%-2?(7vwh+t(kH|H=S>Hp+{3P+3l`#0wO`XSn4suD56lk9Ju)yXp@*wO#3g!n z0_#|@XCDSrjKfam5)SUAN}%Dmrel2wv|1%}?JoXv75Ng*#QO?I68{q9(=oq%g5oaV zCKCA-4Jh*Vjc*n@@h=bcb3E;Pq-YdR`{Rs+&KEKz)xozlp7#(BL2Dzq)Mj`H`{fpX z-G$hOqdwTVzAL(bDM8~8XEz_@YN%?K{M#5b2AqxraFGflE@FNgtul8V8mc}a#A0v< z{pxWR+oDy1((wo676}p9s=%P$ACiJ_s(8Ric<@qU)z!pl_iGzjvj3q=kHLYy3Ao~WQWPP>$Gi zApL9VE3ct%fx+jUX?iQJt0(IK85pU!y}U>7-sIA|H?(!x*NQ_RKS$3=_bTF7Vo;3b zcbtNV@x~R@DQ_2(kANl6n=fm`L5MD@T}1T3Qio2X5j2wHU*IR1wZysj65Cf0L^5t7 zp-UN0B3WR>gXMmDk%`w)5&a6rkyY;uMkagmcNNiRC#7vi)!q%+IF8RF)}D#xQq9?P z(3QV4A^4av0mRFq1GX4%1R%!|g{D1{9U1{mi(E+~E|R8L!u==n3B54Y*#IUfIS`w+ z?v`>ufW7QB80ir(Pcxk7AW^rDrPdJ0glS_*p%4g3{J#=U#n*zH#P=_=$$~MYSR>|t zr?dd$yAV*Yg|a68C4N9H*fj#wwsR=)W&3Ym;PyM>$-l9EZTv9FzTQQ`f62ZkGWXn9 zQH{o7SJR~v`#McxA1|>7o#ISodmP z)_PHrd<{GvuOqoZzQ3O$?W@b-mVG^o!MkN&UtaeM*%#T8)4p(|A(@zIUwFN(L;Lyw z1n--D)i7uF-@ZnF3O-9aQ1&$$B}q9Dm*!zLoaE3uK@R7DcY86fL4=XS|AX*YJPQ7k zecgVTWnaBv!|Y`@iWwLg?vH&nJNhqdbi${p{tJs2&f>VZ1g+TkJINbfMv-EWFnG7%4rC zl_N3!Mtm!rJ{m{I%e5N^#%s)8*BoEbXhw~%=!<|fzQTLMJhW0HE;YV_|9?+Bc@L>- zulFEwdwd0rd7V@t8m7WJ9$y8}rJsc1l28Mv)Wa(0c#8Yn4DK|qA|;4S#0xYTqp#rc z5LH$IkUa7!A6r^A5QxRb>4Ws|kU>+oOX<~ZcnLaX8)FlQn|kUaClbf@>a88?-%0*r z!==!=;S+egLyiJt zT6)_D&KUELoe5(d#~5cMw<3U+jDXLc2%SI#jO^}FnK=9-Q@2Q2sM&wO1NK0X*fS6( zybya%Phig;G>F&(MKX8KX^?_pVn_`WJL<<);mP=FQrlu5Gec_V7uX7t6A)trT2+Ep zN$u3Tc8|XqkL|ZU?k>{b6~CF!`Z)OABz-&!3BRsBen=AUP#+)KVCmxvK;&Whk4hic z(+k?|^zpU{KT{uvpa4rBKOQgjvEdL)AFqTND1G$5vmg5SuI#wl>!UR`aOh(Lx1vLR zoclqtKHh@|tbrA&#x9J}p{Wr{%=O(iM?kn!B=Kg!-TTUg!Ndzw;VXsPPPCED9D+cvG+=Y`lk3=Jb zktdebPc+AMCYv2AUnrqZbpe)lxxKSK^)OdUELi3Cf{~5}f&QAfIO} zQ6GZtgBGb}{EIndvt5|k%{uhuUg#-0&5r!nsHS9nxr`r3@Jl>pB00Z*kVDQlla+NM zXVc%%+06HtzUc=Ps)BV{{V-czKuotlzM703;pA|IF{b!de}beEBBAP5QL2JT2^C{ zzW(^ee@kEgMVb+r*nz%Id)v}i1Bi}((j%UsxY~C5I_V-Q0JxRT7O~pv>q&Uf(%0Hi zQeU6Uvh;Nb%tPsG^XorDU-#Z$rqI{hxm6wN>-ldb>+3i?;OI9!;$~Wl0=Mvfnq!oE z`slr@Yo&|++S&K_U{Rc>A9K1m^fgE9yS`HYY3gey{pSTb_n(*k$El>~KQBVUUY)Ah zf9|7KH9OBFuX+ghgnl%omLk6SO{*W>46bx;|JFop%m_kN{pbKU@lf@n&7|4Re$-rZ z=Ki!cF7~fKO`%U`F_|6eQ(I%QJ{{b?T~@z3C(|i^llJ8CQ?MiVPs$EXWdS{zq4l(aoBykr2h`Hc1gd*s)Vf_@qSgRc6@dTw_}iO zN5Cw}(GIIP?DK5C(9xc?>2`Z~)r0m!U!e5{IEpUx>QEtAMDD`5Z<#)v_VEV5#Q>P}^94674gWN2fk+4OsQON%{SbNs=|IkN=`jWE}hhSic z;EVG#{t6m6Jsi8_SRTpK5P_y4X0NODc_mCVacGXW>PdET7w3#7J()neA5&>YTLv|s zLGeG>l4OUvMZ8%Aj?*4Ds>`M7sARuYdFU^QzHUTv;!7~FVTs%>NMb%Mcxd|kX1W;j z7x3M)*ZSFT_+6mQ?0q>=YvtB`D4lzpd1Yu;-OGV zY>yiAmvDkXEmQF%^x|@ceEn9(!SnL<+ZsZncW&w60rA&q&gg(6U2d0{GSb=xB>iY2 z{xtJ^!dxH8$46Wy@$$$F%}9PfWKa%k3&JekrT5N28N%yxtLpVN-g&Q~ZhUj+p?Z7= zhGcDVt;l=N>Y`&-i+%$*gl5z}sFdW0w`w5G9pG?ENu@@UN zx8*T$8yI*qUQF4hV%6!j`ycUjpPNA^hCxbF@A51A=G)ICh`zB)!Mw@Ud94OFY(s zl_beuHXds=Jct>Om3ysiXKwM#cM$E)Qe|b9{8lM>B*jbJJfx#|sY{V#_5U2-#Qh6Y zASr&U0EkKJ>CSP-5nPVBBB1Dz@kolKr1E0tbz0sl$TmxZJ|NyqKy}QNVUBpSj^eR8 zFaL^!^6m1N1s%m>$sz}l4@@3+9nnE~%mRA@t#rR8 z;RRc=zx|KjIrk=>3Ld=#6L4dI9~abvu|5Uvlu`JT9k!FdD=H&=(33P&ZNe`Wa0ct0g_Av_CNKJKSqQDRr(g7uDUT5+;Nc$y~imK8N4cM^dE zeJ)(_eI#rB<0KSguRw|Z6eb6OC(h@04JOUm>uMdp|3Ugidp;_DXBi%i;}eG*U+~WM5s*v%$M1|*aa6q%gwPGV+lzUzn3DLv0j6uk@4Wt%WnUSv zVP#*Qq5EfF&HEO=b0OPR1LjXr`tD1UmHq-M$r;a+x1F_921ZOIBcR1#XFSh%jDW<1Z%0&Bp6aU2CuWKf_Dc#{~ElVT0i};E|F<9dxcB`@XMDyPo$BKW|4!D&%kY3>U(zQ+ z{Lzu*c%D;W(PXNaV0I8*)^2?DJy;;)dA^D{^e|^Hab;$wA(xW(DV}EqPG~@Xe%G_q zpPx1#m;F;ha}xT~LVAunowSfcdfIu#?c(1rkQQ=C&v&Qom51hrV;vM$8Y@=u{wauk zYAbTH84i^k(nIS7*qYhTc%I2jQ=lXNwfmpR`f^(PMxd+AT}8`{2QZ-sXhi48->cgf ze`D@9H}ZQz+Fs&W-@SrF-D~bM>~H4Lh5ZecvLF55K5jnqyIkmZk~W|7`>@}k&F3a` zern6xg|pq@(#5KOSboO?L`Og95r@;OukHH5H`!=^s^6K9r!Bv8D=8!V&a5qLa_Ak! z3ka-IerNR4((hOskce+J<9bMcQtaRCOMGjJ{p>?}C-|Y{c%FxAlJ)ANQxp3|kBF=A zr^J5o2{f>CM`Z1bB+WOj#FMgL{L^M;j?~k;`8{gdolS}_w3zgB;(3mr%KG}=Q>?E| zbouY=>zw?~^tJo*mcEV#qNAVmh$$32(oSEGQ9fWVAw3<8+dhL)So-=d^n~>F_0KGQ z&9LLp7CreB^mXt3WeR;ApVz6rZvT6-zV^Zcj(!vV4lnl+tCH6L*bX}DH|_IdlJs>M z9+mMt|M=9Qubap$X!@}a`bzU*)4z5S&ok#TQqn)1`ULl%y>Zz;B{locee|jwg!F7U zg|w7IdfIu_?c(bHv-;700e`+u7!D>C}YWsnWYD@*x z&uY(Azw59)nrx505-rkJ>`A9D3W*s{Qp2`@3wv!?WRTkx3xO7q&?XrHNnhnwSxaI__Upnbj{PNU^_-Fe?ro|qsvjpo{$MmU$2_Gc)HtCx%~`|<&{ zC+~yO8q5tR!@W&raDL*8=kApwe|+I&tZ+$%#x6v{2`>~tgm|Kxb0&bCsT`-L&gb`58BlVKzT8D094lDq zoqI+)C4?7pI@_cSBjN8d;E=eWckritV&+vDglL{PD$BXvqhaqCwkv)|s!!kNY4BFz zi+ph8h$|ugu~GORrc)=MMg=Y=Ii?}Q)MEpOzyH+FSMXu8=D1FscPB%(ytm&$G%P@b z)N0yOF>e0(((afjZ@KO#GSn})AiWf-z-c`@cwg+YYg;d^00glOenH@zs_mf@{j#OXN4 zp`_>QNJ$U5L2Kwl{CIDtcGKa3kmSe*N#U#yad;QIVmD*o7yHG9mD{|P4+B#Rzs^EG z38*M3O$pvxH57<-&2$`a#Yiee$}55x$sooL2uwhLBCMWGWk@9R7)|Fxll?_{lqhC8 ztKcy4sAR0cd<*Jt6!+X!Qt(ZQ_gs9b=4)~6)er{Qreei(X0jvBjh`x7oEBS%un;1< zsu+cGqmQU7#YVem9)a_w^4ky{_XAL*e${1DP6Kk}J?+kEClJ@S73P{H!;zO=!JpvnX5$ctJ_zs!XiKG{-LCEUBRS=d^Nh$yHgd_}_)N032IL92<&y z&2BJD?ZAh9zbefmDy|ImN@arFP# ze~kalFX%stSNxc6`;g<=hrDh3kgxwnmSFi1!xg&$2GGubyb81q{72!1mM!7qH68em zM}DgRc=Y~M{v)5etU`2vd-op;VYkwMxJb8nyej?2t;n(chv&Es{Kp*_*>>VT77_A6@59~`k{j6tx#d!KT-N2^*WjuYJ_S53& zbG^S}JpC{X?e;I8-VCH?H>hIk)dey2mqS&>xi|*N)DyQ@+lUCy?&##O2}1o#iuKrE zFP=VrFo$ICPdxn)40~vPSNi{rc>2A|gX8dIzr^wM)93fh5<#>7=N>8Ei7*v@f zjNo2k>WlG6TWM!;^#_nP^u-x%rZ!}WrLW8BDUD9?3eER94(}oLBbEQqcgwd=+I>h) zj9KQw!Ij?1I7tnosaNXgx<;c|(k~BU;h%})ch{maT42IS+`TjTtsHOF3rf(*^Byt@ zb^e%ngg>9>Z+7l27u980c;f z{ZZ=I?FV$KUoZae_3O6z|0Df+;n8;bRd`nl{YrRiN2yz~ewlve=jhm~)H-%fJ01Hx z$T}7-Bps`k`t{@>r+&4rOVFhY zKA{qb6HCp|^@EmOq1fT;=$vYq_t)D9^^6xjY1aQ4%Si8b5S$H5Jfv zmACS4V4*iLOW!R99wNgnQ{N2hT=Z|DUE0<=1VOm;}t~u1n z)HzGjI#sVViR$&9Q@wKWbp}oUnAB_iA3Id9LG;la=+}Bnzt%eRi{^ot{FTq5{*CqQ z2z$Mn^=*x}@^NZXm-Yj%~z`)W&1*2@kVVJy2wgX47jzqW2_Z8tzGzyfR;f3pP?5EAta&2InWO%=eX91$;?3%|q*SV?yo$ zAxtRtpVWkigFu(&o^9O{StNsSMiE(}Jd zKsk3LoCTx<+#>T~;?;82y*OR z5A-7eE|3nurGvkRy8&RpW6)QiX%`)d(Ub>R+ovKEKUS%lNw=PL@vga;*b6VcMX+xI zRhn{?WWgmXBnuqp8JYTIpASw)9Yn6da~;@YE6fY_n0y)rq5(|3X~jA!rdMU+XwagJ z(8GLqZUQUK*-`-tabcxNsRMMblzmjAZA)VYQlU4MQDbY{GT4x&os7mB?ya}7G74O% zT>BUfQSOr*7*{Vj@QP_vKS%!3? zBFlfSEO(wN*CGGQ4pH*2-Cz0Ryvivsh6MXpZ6!8$<+jc&6TLx-DRd7vcEvbL=swGw zVdK!$4q^kqD^}~RKS&wg(UY3RZUasRAw0?_P$A;3<+3TM`-fzE1_d41a|h8R_`0kq z&)hne=L~T^=u-0ZaT7nra0Yg_eKyOp1(%NHnL7H!w(DEYX1UHFyWaA>lMG?s^JU4Dm8t9-XU1Bu*IWLb7b9e#EPkIUJ*@XpD^>l+8JRT-5%f9h zJ;1EDTGiK_SfBhtpR5mSeAvE5BY1s?>wC&sUvz40>+TG51gnK{|6bDlsBaVV2ix}) z7b9M*1k0lt)z<~-6X6MeoPWZtobS87eLl&z31yIon{kLgVjd=`Zw&BZ-1sz45%$QT zzQy`~#8A5;d}C)2ZJuuzPb=d&6jbBzp`$dTlNaCU!#h}JTS%QcRA9g@pe*6@O8aq0 zNBkZ+9as}OjOh4k38~RW(y-&y$<>Q7`7C}mhU=f8}T=P=l-|%!HQq5y@$SN z$#?Mrv%NuVr~GvJ&LVM0!?ERi!#A?MMY!&-e6ODU%eD8I#a4Sq!r4lFzPM}q_9pme z^Ls*{VQ~{~d8#-NVpIOP1{0|yzkC}-U;vG*rkNYQQ7kw{`sIcHw*9inWA-O=+wc&5 zpJqI^FwGo!?XbTXM(^kmJvUMlfm z{-R9AJ#A80`TI@pC_k2O|9A9S=dtmIg-5)6741%hg5)s#*OJ`AE$r*Ji({_jtRa-O z<{Hl8xgBnwyn?fiqpUG9i-(C=`o+;|n3ho)^cP=@8_MDvIs%1?;F}jEzwPk~pJ;@M z?M{((1{68M(N)yxP?#RhXv2YLa=!=ci-wzJF*vAg6G14M1Vn$)fk)CsALviiRhAjs z!&Co{y)S`}s!0ByNdg2#UsTZOszeQ%tXJZh2&^+Wfj2PGtODYJ;-ZK*D-&VWKyW6S z=QtW&QM_4CcGX=^#DfryB;biC>Mptl6zE|@BFZ5u`G3FN@6CI25DxwQ-2Hw2`6%;_ zdez<4Rn^s1)!iooe`~g!H#ok_fRlaAKSz@sZNR0~HftQ0_y;Nc(kEf#Z&CPLLd#8z zJB=I^!$=2%a*pgHgLfJVK{lJV6^0Rw24!WvUdkcgNKFJO>0~N@KGlC?n&UV zALUXxESlqqMb^=zkw;X4%Yvu-w3(|0Xfwlym7`a|IR_ifOwD$h5T^B1E1Ma~MtQP| z3`lxBWzE6&2Gpz1=bZ`YQWI?w9wiZQJP4nTvr=oi`HtO2_HxfhY`Czwx8+ zd#T+lH}QEgXJwrjUB#zzpTOLout*{z^JSP#cuZyV>N4F}jrE6O4}9x~ABPc)O*rdX z&Z52+A0cA}5aM7UVJbLmbID9d5ybh&G~vsb+v-6}_4!c6G}G6g!Ee@y1D>N6hIgS^ ze2z!)FC?E^|G*+A+r?fwPH8^elTBieTlY!wfN2NpTd@f*)A<#ZP8S2YjB1A&OVnW3 z7JIrWI}R16(Cx!A(VQXwNK(<{zwQ$X>Lwgm-Gv-9U%Z*;1W14Xx3u?qXpXF5aBrY7 zCKMpam6)Wx9eURtkIgCL`ibS_OzcPX2!Wx1`Gdo_u`dDW{D~=W#)Jd0F;MIYJd`Bf z9XBvG68mFGdD*e@{`gCjm#SaSe?Ur!)UQp*OLgeix%bIeQommEuzY3d*MaJl)UR6} zlCOSA{rXfX`nFU3nx?CENV!r!W#ZqHOC@d@)XjkdeD`_tMWs~_vp6UJq5U>;V?(e| zp*NmpA?b||<0+qKEY~bi6(4^?EG;zK?;Y+P<{b*D{|S^}95qib-=u}l!r&OWxd{jZ z(FvYP;B(<{3`w#AYN!>MGzKDBpz1 zvP|aALM{@PTrcEj_#@z{0E|SMa2GJHDymyF2^)Mx2vFKoy2R|y$O-PyJh$ICAqSc5 zZp6TYIf#)o<(2=L-%b8J65?;|>#`RD#uNP9kSPO^)AA84mjMSEfq5DwphR2%9b5gn`5Pg<|Hkj3CJi)i(;d1iuD$39Jfl35khjnM}1Tbm? zw$ee|S+?!lBDC$a10&T1XsJKyS294Go{Nk1+d zgFPQU94bH$t;vFYw#Kcu;$u{$6)7P61-0S$*joJsF~oj=H6o@S%B*7ac&t0Vw3ye_ zPwff+UG1FZ5(9)|Uv1_sZN!$M@FM?E?N}SEsI7r~AFj=;IHx{DP-SVlQ@*g|e4S`J zlzdj0o9WK~`u z@)YY?S@&hIzqb~#FIVtDFI{`db&bDb(wi2Q2lewuatdYr@PPGuU!0p%zir6h(2VlO zOpgrm=LgR@eCp{SC=-c(5slV7f4{Q24edk8#MO?T;qkE$prM4%gLoB2GEF3(@fXy6_%@F$AJp9$6vkoCLVq+W;kliF=Px-qYU z6KLJ|I5zGunBv>ZABuFDJ6@JIk3Sj8yGy)9ZS0R> z6h(=6JKmmaVPlk`2tDDM?paQ&{J^ceWWOQn`}!7EFTq>0H(#fiQJ)}x^9uO81I+j+c$v(2>w}#! z<4%tkLt<=%J=|?;yf{q4b)s$U@j^VX;~I7cZejX33enzc-iEhp) zEQaLZE`wQIq}LGsbRsP05qjIb+_|tcu3=~RxaUZ#_{;s<6BY=~4vZIfUbVYRx-}xb zZpWpLeJb>n48;rhJkskz{-md4SVR`@aW4WoL{=g6tR!|v%D=)cAD0=+=W~?LpUmZR zj1gy8<&Q~}uk26IE6pHMc525eOS7n7Sb35b7#%u3vfZu;pzXgOlP0R7omk6u}kUH z*)0RuMo5Nu|672ej9hUxKfpFex#FO^u(WTDgQEY@^!U7+lOBi2RwUEoXf|}>*G_tD z;av*Zx)N;edW#-|BnauT$DJwku`Pt(7KRYWe2(viy|qr3v=--sbmT zd?mk^@S(4&l4JtM{ZS@39gpU8+vtHn^p*kvG3eQ+h|FnxQhg5izslzSSqc6(8J}BI zWMqDxC&?rCs-ITQoJN(iX;dr^L5mwj8YmLKXE>w8b3JnbI=C^if=KfrH>n>8#AO6$uK%c zOa5(p%+EM%yp2|+1dPn_{>;zRkZ}s?Y%92J*7r2j7iA~%2Go#;3bD#xnl&Q}%gY_C zvJ&$YGv(EPZmY_7e5$H^%Wa7&|A)!nTGSBoH$2P9ZPQ}uEEEQyK-PgNf7Ka4E+R-j z%C6+Hc>q>}Qhmhcvj2GbTeeKfpU>^2$j!1X$wSk%02H6CPL;p&GJp;u=%JKhNtqe= zNKxkMTW!kN^7n~!sxkk~G{FVd<6Eg`2;FpT=>|;S>Jiv5(SG}4yA7FyS~nf6tgHM{ z9m^s1;nd*mXWUX9DA;}EK5zLjH#YkA**{MU?}1A_@(;=ZBC%L)U6HSadgGxlQV@u| zqDS8Q!?&EE#a3R?1wAWMIw15x2i#&idknSxB zUvBK&e>vk}NM1pvb)&0*F-~U4A?2?xSG4GThvkpIG?Jx<=H=+dusrT!vX9k<@u#Bx zvc7X{1uM5(Ce)Qv0~gaEDig#qe@5QE&g)iwkm$FI43*h zy`zYQ$|w9ob%|Af6d0ynNewl4gU zS7pW(H$1ov&-{@)RVQ`Ru|8#lcNQwyK?B$PE#t3l^eCj&TS6?Qi#Wu1q^V)yB$`iGAb-ay#jRj5g8o36Wa>;_NKkhPGq{4- z^z5_cSD~kz(e8r0fAnDzJultrr01VVixmF61RsdSZ5BO;X8;*Mkb|fQz@JY5l>B*B zXxHeubn~x5PtPv$=gl7^(R06_ob)UvEmG*&3m=Hu&nc4~2|(VFa^5V40hIJS z=%!txXB{$ceueR(kfWvEY!)vA zl=Q5byleDai6oO>fu5MJ@6vd5&wEMqJmPyNJ~xh4E*L56>S5O={)Ro!a(w>%qLx>Vz=b$DoBg*{%& zg(Fzf*aQ2ey+2_;?v((G8i@o2G9LrRcMmu=9q7jhAQ1^Fx3MS8Zp znMBXCzjM;_GSVVNpAEwYqUj@xo&z(097m8nq#nck51^F4k$>6_JyYfH2hOK88G*q* zZDKZ#Nd#Rrb-+Rp&Ju*+;nt44kOV$2KkCL{={hmz{{^;x-}+p7>fK`gLW>0eqersj@dh7vRE>x?vGr(Gus~eE zYNxb*Fg_G7e+X815dI(0Hv`BK1j&+3XE>`Kz{)>%`UEuJ8O~}*?|&;hnS5YuuxKM* zMEaHF1U6bRK{N-y%3Ti@@aR1KqkK^A_%40N{umqxjxfGPd+12oKV3B^;`s?&k#P{G zR`SGCtx%wEtNl7c0`90md4|B!_ABvj!mcKl9Thu`7$H0Kn_E4 z{gY~^DL9~2zJ4U=#Z8vsTP(pplW+v!K6*J8pRSVmGm$s;k$h&%)3wu=>JhEu_a5Ci zEm!utl(#Z`HTg}3MXJL#e@X_+5`Sm`gP}sP%Q;MvbW)&pXR}jSYEv`l@`ojGy`Dl? zP;Vt}QlZ`_)H71CG>a1m0P6i1bW%^{3+x>I&Rt{EPYvKu9H1c+DlPJPRAtsk(%9-p z62SwKku1TvDJ1ABE<}yvf3w*}%h0MH7NAvQ-Ur)ELBktF9G9sr|Clf@NEOm7P9XqV zo+Vq}x&D|G!%Fv!;Evv|>J#kI*u-?T7hn|Ke=KaboA9 z_r)4qrxM^J0zFTOg8h&yPL?1Hc>Q#3ib6>ppK;ADR7t*_qWhv32 zg?n<@rDISL3s=C$juV3R-xykz1LE!J3VK5CW~oY9F4PdJq)d{0_*fJE<^=Zl?U%I6 z;F6saf6r!x9J`gQ46%y#d1&Q%?|~Jbqzo*_l}U&M$U6j?AvH&{C?NniVKYuEx16lr zp{0w48Qg@GITKI>GPqzy7L;>8pxZHpgHRA-ow;J4I~iD@?aOQ>6^TG}A$U^hBGzAh zx>wzz>OESrv779IJT97>kM(H_@{D(R4ZiB$68jVtB>YC-zWe6|=j)M_Si~$e7F*H= z63e%=@N_()k{-v*lWOrSf}NR9hwF#F_`rBaL zN65N|X8<{lAZy9?u!pJvO4jXvjm^4p!m@+$fnHb-1_OC!s&o0vQqt}z@x#>$M zJ-d?@DfH}x55%Zei=L}+l?t-{HGn)T<-A#(NC43Dr^|MYo=g9`;sZCM?GFBY>I)}5 zUu{VzR`aVMRvuoM{+|pWj}l}g6#?XZWwWB^vp7iHGNpEAJgWQeiVvKGwmax~_6jFG zFC#5d__G=l1ktp>qUXR2AZHR}52-id{{blZbL6GFM$Z$mb;GY9@4FfwSoKO0J-aP; z(sO^(B88rP@PQaN-=gP6T&03!5oETMbHx7%0DA5*X4mMs^1mxSaL>#ndcN|xlb(y_ zr4wuE%OF+(UMP8gG6Tp91i6Ze0P?71RR7ffM0{WdYWBYwA1GsaoyP}W z{_lzpjCm=EUvBx#$uIXcrSr=ZFM=7`=UDu5T?UYw2-08bv1ZW>P|9EQ58L6FRR4pS zA9l%qSA1Y!wB0d2ocyVio_^9IMV}3O0mPc#XwmcV3?Ro5WGw}g^FM%+p8YS{4n0%l zFC{*($2vJq{13(lc1N{4i4XK+LsE#LKaZCFdA1`yux|#aLkabn)I*5>0-E$VdQ=KM zI`{AGslp?O4-~T!D&Zf$38(l838(m8dPu;30++AM`&0foIQ^A}ygKIi-gboVi8{vL zwH$Z_;&fIsrM2fihju*i2D<(U#siMb0CF-x)>Fo$`)(qDRXpH#7oxel77y4*g+?@2 zaHyl|j;8-M(e$pKQ^s}#E8wlgUS%811|RJB%5LyMsHyThrN?rucz~p09Lb+n!ep6j zPjWQC_YXArj@Lnzm+27cl>y`sf_y3!OS8Bbpk#ofE=Xp8osS1>`8n|bI7JZ%IB^F9 z0gur?3@5LQ1H2{U0JkajFhl0>nu<674qd`Hz_oO=CE@_tY;X!IX#f@HzJ@r!0Kyur zV;aOV+@`{1^|9MH(7(Ej=!e=f91~j|7R`Q z0ssHEsF6~oyXOD58<}wK=dqFJy#iKH{(oGVbVz`#{To1LNL>N{KLNlAo6oUzM(6zS z@6~d+?5zUl)w`)c4gK{j$PojPy0h<~{PEr4Xm^Bjq>L}3dN4j`Pw3g#tEFFAVg;%u zb5&IE2Z9a(4jwKJu$z%^7az`M{BfonA!8HR>EGcdwbNaMdR>Y)qdr~1u<2=7qc*gA}@R^c72V_&Ujj}!Womc@u>h}-B|#-3x3 z`w>(!R%1Uw@mFS7S>5}L%$s0PgKI;^m!-u~_GCl{j4i`E)bA$b@0{_M2@B0w2naxO zy`j3!q4hsRgPfQW@QlO+7ZWW<#`&ykE-Q#7X(eapxUm18@ve6m_B-+p#g1Vz#I2eu zms>#Bn2R!HSZ_}(8b<$WuIdZTcz)?dYza823)ilIZyE4BIxXN?mRvXQNbd#MA~X#0 zxfrKg;;6UzPRa+ipX0vRLXRX9@!H?TCnn;5Y~2uFdAkdf?j2R+?~AoG<1ehw^M`Qf z_J;XgJdy$f))nx&AOhdex1;!sp`RpI^Xk@zwS3Zx^eRG^C`KgN#0NCRFDw{159MO6I z{|-4!JJ|oG98@H?8z*L&DKQosIP%UMbjT9Nqsq>yId~;L+vbQ5pG~Jl({!}*NW6$$ z2wGqW^1%RjIs@Rn1iV&i0$LZFpCK;tL*p5l_#rKS3JFoLGd9Q_JZ1J%H!dXQHquAq zP2+C3L3MHPy4t`zv74GPH?j3&?5v)s+}De?I)x9t;2j2g1{+?R7L6(?$)b6U&Rg?{Ub67LMYAzeT8CtOx#4{mg9N*`*ufjfr;kER>z7NBR|_UB(*O zmDQiZ#DaxzR(_@NwbwYRaQul@kDkQ@w&0-&J3wP)7v#`viw#FPih*G0;%r{F*vNr4=4k; z#3m#g#h>MnRtaz-?G@m*A6UP{`%K7RdJxF(fb7Q?CUhGwXitBnOf?wQI0atXYhP!w z*M2|O()B6!T1~=UtH7e|bry$BL6OQdvo}z0uGxJh^3cN7dN)9daNlrsZ+=3|eEd@wPcO-~mwl*{5W8ej>ZCRUDHEaDM`R z_cS|lzPJ$|preIvuo{e#>@lVNa2DSN)3!+H*~1-r)>g84OjOM^=26|m_C_55F83IMd_@u=y}+PE_M(=MRcCUb*~bST1A~yAPPmaB4C$G$okfr35p? zpKbsmt?r0aKFCkvgC@J@M?Oh;lP$G-UcV2Ne(?#}^H7Np+*WZyCcxeV+#*GIzPJe= zu;&NsPBSE3m8kSNUm&E6Z7v_+cGnQWjl9)=3A?aLP)sMXEG$)@i%}HxBo2{@RP2*k*{}DH+ zsIUCu!kp@Xq!(nGmYZ1ctQ)*OrU6IeXyLm7M%$5|gxJ~m$JS4@*R}9TL`ozL!Nx$O z2IDFYs^Ly0{L=!(@j&rrxm2cnzOJ1CwfBQtyWPNeh%9j|5BgWY=$Oo%w z_P|IVDE>j8`$HBUV_p<6wxWgN*ptw@(aS2`EA_cwWa-%>VUdo+L}6r}7McxgzQ|$v zte?zM{pHQt%_DK6s`zKVXBDN%uhkufH?ckOj~XwfKa5%X=eqU2Bibi)i|CtifDdNv z|7OWkk5~RA*|sX-GYT8@D8Q#|8TGeuuIh_Ovq0aP{P9D)k#7D_YfiwZk#;fq)N}y% z2GwJ%4+w74PuWrTa1>O-Bn)L9ZbAQL;z?EfrB=^oGyvT*LbUqs&*ydgR+`WY(O#*$ z22*dZeb~|eii?CF5WfOf`u(X?32w>~K) z;Xar1txquZgbtnNxkHhnwz>`(!%ahpq~1p^5nG=klMdOK*}&B zv|Yt8i|D{tu43&L4RufhuAC*t=;;kD%!w@_Yhw4&+ywLMqQ$pczAK)XpU^>SG;87a zBwxcZ%vqn`Sn3b`loiB?xlSy5fRfuyvK$s#9JmE6d@`PuHpSlIN9Lq)shEd3WW1HO zO+H@p)3*L<(l{*{#XrG);^IdrXlgR6gHgTkjM z>@I&Jh{(UmCf}7WoivHFfIoT5n}b0;GDNbmAL_pNW4(AGwX?sx6>_uLAL;-rzs_=v z_X5TiA5YcmQ7A5QOYKZ<4DRMPTK&cE`R8_I`A4?`$e+zYCz>;bQ3Q6apH_et+M8`G zUm9^uz9~??Ay|f1X)dkqQDEm(BK$EfP$Mn;I4)r4DNWGk&;+XftonRbz0uDlQ2eXa zUsD&ZS~l5TNoQ?rAGBHGL2SM6kbJWmJk2PAWmj`C+6bh~0FO30i-njeVIm`ghE zxDDKK0A3|Hry(Ae%KLPx+>-m0iDG^v8aAOmk!kQxVm(3Po2poUCx%!q-$8-@0e~$3 zw|$iYUx9n_i-m0fu|4}Bl=u6V^2V>?Pe_d9y#$kj2_>HSj)D{UMOZRecNwB}cK0OihcRhEl_)_bb*(ei?ty)<4_kaPGo4rXk|0Kquq8MI*ZJE9V=+s9?j@7(~lzglihaK<21Npf0M5~ zE==L*x`DF>bM!#p8}i4Wny@TxAOqu=Ldg_rw59>LS+iP zQ%tS)IkcBp0veg+Vq~IQ{y}%LPAvmlF}@%A+KrYdJ%myD#?vJExl(sbLur!=m0Jzq z!3nLtz3kzb`kTY?ao~ekPyCb6XIi)!@BERov1W7OM&Dp}K{duTnioT0UTg{!uhxsd z(aYb%>TxW5{oXz4GN);=r)^sFrM|Q53K$gPIYa2VH!4O-ID~{X8IJixZ&6aEg>x<(u_Je@^ z#ld+zN7&!p%~4eLJ?sJWJx1v3*Mq&L{#lODuQ@Prs1HUdXfop^p+aLvqA~W}Sl-F9 z;`eee9|BA)YM+zTVEz{Gtr?POA$Mbucil_7R_a6JBNaVJ8Lw|fk0&X_#CY9e#S=1& z*A>be32QTD$QCAhu`(4}<61dx`>?f5u75Tf!N1837&|BZi7gL$%Ugoiq>bB2WA-cP z7HUU~$D}3)?Ps2+*1|TJ#yq|FO-!x=TE!OUknNt!VUnYwIaFq|mE}vdneNHA1Q7o} z(Hyex0&>atJWUgo!L$$;+tTZ-ato_G*Pj@r)5dEwJoXzjGkv^%UZS20rhuJNJ|zFT zaj1{9ZX~~n3Ob4rFRJxp3N8O8SJE<-OW?OVM7KVIA$kBla|~4G5Pg0f#FYNc1V_c| zrMf(is_^zZlhx(BaJz_D14d}<0F(HHoT`OSmq>=>@wno|D?PS{6o>iZMSMlQf5Y)= zxIR7w^?0J*3i(JHuGM(0GJNbI`#ac`mPa~iuZ!N|CG}jW(2+L@fqWFTl_8i9M0_ZDTB@^i)CK4YU~@kM`6usCfU$>qB7E*Tww zmF^$K5v^S@Vz+Xj4rJG0pizS+=IF3c!$3$~7Cl=H*q5Wb$i0_12JHFhG}DeY;8jPr zg&%SZ*BEEL^*M!dj-vuBs(|q)CDbOY;_(Ne7?L6WXS@As{y*BmJvw}m=EOp(JY*_i zUV}^}bFvY8fxw9o{D<4A&!mT4s?WY~#EIc}h!MPpW4OsNFmcHB*j4C+1yE$CaFypR4BOritkwkrYKgx0cf4hA+pP~+D=XJ}Q6mV%% zn_!+v(;L6iM`{epZ5dSHY8iA0{y&t~TMiodOcM)0R)(E0d( zB>uku|6hpzN8$gA@c$q1|7iSwjmxCCRiE~n|FHT@mh}NW609LP2K+Y`{D*YUMS;lO zg|%p{Ji7zl8=bzs5+9UnSpc`#&}nGlL|*0cYFxnRS%};auSnm!B;xu|PB4qZXj%TR`H)*1D6e$UVNdE(QK zs{Ev{lz#Xn^@Wsgt2$DiA@6>Rq*nVEcgj!Oh~6ZkJ~nXmznwxtPCp*h8z??lhPJNV0*GenI(?o zX9eHblz>+{A|00Ul^MPOI%Q|zi*^#eWGCUvI)kTvBuN6WXQ5zmOcPpeDc2rQu22CT zRUV*7Rj%7?zrOAAV9&@@?gbn?p z@Oc!xo(6BeA0glKypZ9!s2)_|a8`31e)I7=5x)g`q(Kdz!;ADtEfp=Wm1Hchp+UYy z6&t3>D`IV!t}cPNVTQT{?uO^oCDG4PmqgzrFVoPQ?P*vjUladg^$qcVqArQQOMwws4qFd zyd(#hm*fERvcSG%r<+gN>*ghU-MsYJm+W=(DSO?#WUrf-qwGuey7`p7ZeFt2&C6Qz z66OxCoFZuT|G3WWN$NYF*67rAQqRd}RX#(SzL_*0@h`!Aq+}cTt@0PE`AWJyo;n}> z|Mt^}ov=UC?5ENuXYVU}m;EztN9k|P_c0XN^ZA0rd_H|V>6%m^PqM~wmdJrnkI|MC ze}m~y*am*&z%=RQAB{iQ@@Hv{UG=$(%Joo-TZiwyeEo#4bYo$n8s4FBA02>FD@vD? zBB|=bVR|U$J`2uE`Z?)3ea8={S)jOCFaJ!t<0m|-EMKUFsU!l%TsQ=kpHrJzjA*&r zQ-7o$nh(e5qUyB)V^N@ZuI^q~S+_*1qcWo39*bBIDP9Li*uG6}ZDx;C!iy$}=sAqS zXfu~pMu+b24j6BtTG>S9Lk=c`PJ!|ds^h2{W^OD9X#MU*z*hZ&^dr$(INyQf{B0mv zmX1Vz?+>kUvz}qbUr{&ssSyN01yQ*#;!h^%Q4wCI-HziuI=r<%Oh$XOFcxHVAnG>0 zmmX?$qt*wW5{MR_;xGPZtO;-YSY;YtPfJAoF@Le$TK|RN>q^Xt;PAw>^DW(I*BZak z4eVq)Paj?5s*Lt1qoe8^peg=@DcHH2(cd2`~b-%f{EdP7_$ojOa`){m! zq3&bWeH-r+jA+rPwOhlKfGhST0^589VW`4XHuj-?PgNLOVBb?U$6m7UIaJ3UH}C0; z6Ig{0?!qCk2T!mwGtK^hXcInYf4`Xb7u)xACB%l>_wBs*nfDdpCcp81u)l76@1OO~ z+v<;eZnRU7{F~bJxofcPan4=3yGbu@fwl*0`AU&j@=?9J`V(Vw@xuAW!cg1b&}L8Y z_$kX>9)!)lMl~sCt5_&4X^PoH|_CwdAZ=q_T zDY;JQtK{}3%UkW_GW5(3#)e>Tk2Vv#P(z?Vs$^sjhP!NOTx&VFjNEgbGIfGCnC<6uLi(bI)D;98~$7d%Ii1eM4i{VDx^c3?O_R;$e zfPpV1yL&zk47x5q38UE?FSooi=?F9cM;$L)SD*nwgfPdbToz#DNy@h{BXZQ~${>#$v zw-f&#I>TRZn}t6`eTH;~|6t<3EDe8q%OAo2o#C&2$-*DMv6K2Y;xu*9Jq^FB75K9{!#{ndg+J06 z{tJlzuVYi`BlX*(k0#US5KF)1C+W8%75?Dv>U?tX^le)^#40Ear8aGSc4lYzY<;%u z21$nN~@Vy=ZzKu`cdxHzpaM)K^O$>7>23 zzB(@j-!cRE_D#ko_0vtA;j{JA?kV^p#Mc6);%KkbN5^%B&(=q;PfVs?U*fwi8K2ZY zD<1Boy|(_jA_ZT(9{3JV#wYd7U7g{x_04Zm@I63$A3;gj?S-+2?MJ#*)djGaV{)FD zQH3VKX2dew^J2eYF^063`Z9Xsdcc-dPEuSHHXZg!vH`BNtmEcPHw zd-NW*Uidu+ztgWGy_XhA-WD zY&@qhwBG~K(b(&0wfL?QNisNUovZQ1erP`q&oOY$(&yq7)PxDKS+c6h^C{G}9fgyk zk!$ir?+4Igthv||-I%Xfr3Z{%J$gf)_%jM1C8hg9PNYzS*iZM9MzWms@^YQHe>dkA z9i#{6`$#jC{s76}6DpqXsgn>q(dryk=&>{`Ky%FMiF9$ ziNe9IWVFBVF=n4q+4v+j@K=I9XsUxilBtTwRJW42U@A~O5E-2>1_O=1d_i!C-Ki%- zt%&1fsgg$b)<_y%K^hI2V$A$o1 z_^2TcK0X6C@s~~h8o+EZRPdBsCx2n(p;QNCixN0~z{dsRY~nWgxIpo-51Ir7ka`)( zad0(2SKN><-WZJMp#`Av=jV-#9V06x+4(SexlX**%~_n%&$n3od;7&{{44Xjekbm} zGY4~PVoq`oqyMg?{}4V2oLi^PtCRJIE3pO)_b#_HnTB0{^+>kGno{QAH#C=k)13^w zrUQd`J|WUJB`?y4fdarALG#SixwtD1>0%7n)a&KM-y&>MmKloOhGa6iCc}1M^ zCgU>T2{}GYz!0B^`H2(F(o2z2{JA)p6}HC;3SS`sEa7YIlHdU+evTL9xy-6aygya7 zP?hmPd0H7w1hC2&t;*15Dz)b|KGdT<>nJMh;x^kqHEYNtUYu&CZ!DT3n4(8c z6*a?2>}EY0E_5MurW?!sqp?3BI`(sE@xXamSb%MY3Q$6;uP~>Cg`%W9@xe1)aJ3Ez z#pS@kz3-kWY~$O=gM|z`Vq<|q1{wo+&M2ckWdUoPEDg#(9LD%ic$AVPCwZfpC znvV0sKRaqT!NzH-FN`w-v4&}Ap{7U1m5J-ZNEepdg-H9QRa97CWP&de15@F9bq1d& z_DzLfnPs*z6MkK+3Z>NN<<8*C#LQIqy3XK>#LcPj!#ab{6T?&C`*a4ci^EglH@G`# zZ<*M5Q%ZZAJA*G0EvfKRJA=;?_oTvKV!>0T)IuJ<+c#Yv7Lng>N|T5C4oKtRF?Tw+ zt-FPrHdeBH+42CirAr_Wr5lAm9pAoAe6PA365+%rV&tK|i(qDfP2(PEE%- z4{P-k+W!&@r<6YxLle^p!D-SF=O;=u-;+jSR8Lft@4KbFCl86|toN(WOZ}cPFyXQA zx2C;k%uEzm@1IJ0Uj_Xs#vN{!e@ohX#^6NT?PmQiO?y9%@0+amgVNs9dKFdQn$yrD z(%-|37Nf>l?N58pn4=hF;a`1jqW$2n#hj1$@J{jr%}4c2lvwbuB*Bkkys&37Jf8`d z1%HbTZ}yLT-xjsnpZ1=05KY$mLH2vD$Eh${xS$p*yx!BNv@%j}?kqErgX?p0P<^~` z76(;~_xT+33pfGxATv)F|N6S4BT)VYMs+Q`FUEAFwrup_?NNa!K;nH=yuV+c;2Bux zr(-G9J_?S(dVl$+T6j4SYcr2WD17SxZDzQCIgo^#sWm|GcL>Bnz1o)Yc|^N!m^me-!QpHrNm07 zhKls&mafHC;vK;OdgOPr(9<$&Bo{}`Pr}1JJY_<=y9wmxR%nUxe#`O(0x0P<=xfG| zk5=_5T^q+{qS(C({vIrXFz52EEz;BL*P z7T<0w4w9RH(GTJ{Aw7{#6Np}1n454sJ=_;~A&Q(%i;GYQJuT^_7@F^4DB1rQd+Y)?*D@A`1PvLQ*eOq={?VGnT(Y|hG`~HDPDec3`yvyB9rmhWmiv0)ZxC|JTwYpI~-553lDHHW|lOdLPm0w?R$Hj2g_9+4=mP#%xZ4z#Q zfsGp)w~CLxgp4RWbVPs$HGk;Kb}#cov@l0VWY7${ptj}?SA7MBlbcn6cW5)CETE-g zKAz95ubdZ%RMhIx%BI(90Ri^~_x>tBUQxk&byo~qYpeGUj26-zgSVU*cT|nSUSnQu zDz>JtTzIj5d=FVmU;S0H(DLUnZ;K3@RqfX!ph5&xh@knA>UOLI-n?jjq6YI^^_6pD zH?q0hhZ_5mh{dpHuys*mA6XZiG*uEi1@FbIE4n4fY--x1c*W+E*)yMuadl)nGj8$i z@8v@|ixQlouk(>;hUlGNgFu!8xyIDe>m|xPdu5vA8Xd9E$pbzXlH#| z_{OTwWzPCgpDm_7hEwYU`p4Sn0EUKshYqdw0M;Z^MVCbeVQ1o&O5^Ry;&;8qhCb}` z(B^{ReK79y;#Jo%$c}>@&X22TJMTS@jXgC z%&N%tOKh9a)zx^U>U@#CtfS+U3RiG?V~P3Xn}5kCTHV253hbYZjcvHUNaIBF{rmF0 zyZU5q?ctrnJ-zC)aqX@@4ZF(B&x*xpDS!dSBK$)>J6e56KI3jBjU!A%^$L+z_cA_+ zKc6Jw>>o%n@}%TIiAEK`Ohu|F-0n1h0>(VQAp)a!7qmhQY%y&4f#vXL?mq-khe(FC-LnJ?7R@KHa-3U)zk2DIF=PD3d(5+{TP7E}2XndNIykm;No8G= zG#-N8v9VEMNASebrm}g}mj?R2Buh}6C+d-K;bN2*%0({Uf{BoIpRvYaHDZ0XC(qy4 zgM&4=*CK5eG$Q^|V!X8WBG5t$@gC-JQ9La7tu%`ne+O#zY6psFM3-~~_f`wSKf?nu z64cr|`shXY32VwO57tDh7T0d+uHAAb;0HwuABW96FnaN*#Xh4=`$u!%u$(DqcN~w` zZc!eV69_F@h+7mDJkYL@+>F88sm&|5Br(L?pL+X|dhFd4!=_5bYmB9_dFWSr{XF;9 zL+|9j_FL4DKFC}jZlT$szC8U93grZa9ph7$@U!^ZTdyiFx8z9JyNI=L(}Wt*B$kKT zNxeudQRFiUVwfvIWxy#ewbYku!r2);6ZR1v>~>a zRm=@`$6go3*v6==wR!-y$I8*d)1WGFm8FGm#}!$8Iw}MfkDmg5hO?LFz<{HdD~`os zC64|FhU&|`Q`TPDO?^58pZbikJy{WHX`2-pEi1AX716?jam{*Y;e&9+x-?hsZkLOL zQ5K-ppCn0^#V2f^EgpNxM&64up?o%gb$+TG-GN!_-Q`j`mIMby2Q2Of;n>?`ci4W4 z(X`NNe5DdmPs@|sJ}7$Svo5W12^oH1^vcT@$NrAKRr5tX;+8CK?)Z==yF#+KF;7m) zWJhRWq!o8yN-T?yhq!;FRUA8+tbG=0V$;ule+Wpy_ouT=$9@?gy}ultpxyKV-k3Ys zD4y2pn4&H_HZ}`a)N6_INEG6OtwzD2Owc%>beffi@Q`_=U{W$-8_fbF5KJvqiq~jT zFm)~9T2oxURJ%8DlM)~VViPCD1(O!yR1~S>UMH%{q_~`~s$;S;j4Abn3H&dVpx6dN zvKTjtFCW8I>|XqXhHSUMJ%~dN$-t3~8|MJV{Yy8=EgENYLkx^U*h+_6-%V6&rIEP3 zNj&u_N1flO63r8WTm-|7$Nia%}4uCCqy$F;G-Kfde>bw|W#2`8C8HUXV7f?mP z&r+p!nl(NWTMj_!3q_zLLRF^dVe+tbt=*7Mgp67QBGZkmDqJENvf0l+^$pb za#g32M4i@vAI$;_P^}_aXbB4~NGsH%)p5^Y@jF$h$1W7C!3}jyLdehULOU)~h2|v+ zZ8i&~6?I7&7v)yyvd_UNQ0X1@6@KwOEF<&|46rho?t}HniLI|6I8GVBRndb z46nU1Fng;76%Jgm9cuDp)3F6Hp^=-=Dthw+4)c6+6`$;ZC-GZ(dkt^5 zo{!sB>@mcU5MXNe+=4Om%kJ)$J>Lvje`xgQ4~%HV-{&w3k24l41dr*58G;w9CLjlc%Ao>Nf&*8AA}&391l(oW zI&xFMhn)n=6<^G0z&EgAMc-lBu2xU3MA7PE!Wo0`t)4vf#FH<7jn)=V0UQP%&Yv-9 zX~t!V2~nmVwcwG5mn|M_7U98W5poT)OZ;;SnuTlxxexc(;`$pnSId_BfRo18`?7h$ zOt3q483K)vNFfI4oNLY(@13S5qW7*!%rNN>@SYur);y=SjD83EOc`%W(`L$7wD*mv z6VVs-Q_qmydM8Io-I(o>cQyaejhDGAlCQq*R6a32(v7JUmQ>$BHM*iQQk@GM`9ryR z_&VLFs{-IGdIjaKkb1kg19>k{^;Kdf`eWHud2}4~)}uAkA#&3zjdr>Kzo#SNwUh7_ zwDq!nh2nBpS)t7*8Wl6NI}Voi(QMX0T>CL9G}az7^kw7hz*a{03;Iek0Q*TD{OczDUiC#$#letNo)t_8GHFSj6WS z!2^LTlDa0J@jWf|sccf9xUDjp(^Z=}K=;;T5A>QDc&kTV=DXTS1=wwu^HV(Y<20tg z=x?wUYRw?1?1Qz&mrv#Qkti#sMAE~dXhkK+}x<~4@y4(3- z^pa1`^PbN`BfS^GHv;+chB~q)or1Yo^@$h-eTD9R`oyT6hJBgZW@-Q|*?cweW|y z10_g1EVSw7ceiMbXTW!JHiQ!?BhebP`~;|fq!pS36N}!0wy4B&$p=37a-(ua3;{w^ z!Q+Znx%JWWjHyLH2;n3fs&{Z?sW`u$MrebWeFWp^R z;B|=IfGi9a#Q-T) ztheHyN+}o+ReOGj5gB?xY8yjh61Sc!^@1!gBHzUB->zQhE-99z7gmgiUKmHcP&Q2J z1$K7qBvUU~b1LYEvWn70R1t8>!KTH2I-;A>1VxxD6v3>vchkXnLDC+O6EJL$Zy5sh zq`EPCEQy3*03@+hk3LUZAYeqt;VHrcko`+>l``_zPo0d1qIx4Z0+Y^s)CY5-s=X=+Is;r%_ffzdThwX@kONEYV(C%yVxII56EEr=qr;o9-93hBHGK{Zu??r2xU?*Xeo94$Dhfy9`elBaI^24((iD!*@ zs#AKxB3Rk3!@C=5&O%$nw@u)NAHWS_|FgQeHdWl_f-2L(>+wVn&1RqB?n~T-YU(|~ zL6Kp%`J)vbQ&zY-)pavNnrSyk8|E>XFJ?ZRYQD>Z{<NMz3igw{*9td4y-U0Oaj8nH z>oLMl1bWG(l7}k}*^KXf$_y6$=h|HAi%8R$%nB`=h85>d(cc7yXe- z_IefozZ4VybG609B#e`ZFOiMfDJItH7sbSoyH5tqiE`1o8M2D{C-_J zzYp9Ye$Q^CvsF?!_B^>#oeL_ddKMWkg3j9X@7NIej`7EV&@yY&YlgJ@4zco#ZZ7Yp zc}iwN{9JZ>cp@%CHDOQC&#r-}P-wi#^0Kd#mnfT`No2}dfthureGE%hQ85Xsq{f>r zHQfPYX>)1srO3~}wyn&!DL+43pFh$5OY8Ibjkcuxuj%u55vTqy(dUP~Zs~J><$sVq zA4X*kcfnhnh(s>SPZ$}7==1WNj*dNYG3>xGCdNa}WA%1+gQfoeL*s#!{{yMDa(~RkpEhY`T$G<; zLcz=D!Ej|v)$y-);{tc}L~c+_(HB=>_%S^n##GL?Fg1Fvei-cBI(}0xztLvOA3Y+U zCApmdS0&*_;9oUOELzc1VF+$265 z))iCU9}94VsWkjCcoP(sG(Jb6B#<6?h%HA-E*;2$(aQq{(k`%!k&^uo~yL!T4GO2dM?z4B+}Yni9J45RG>HNV3Wgr2U}$&%Vf51aD*mi_8Yz)*J9v zof7r6>b9-2#;QU_rIl3%J7i3Qg)h-Ycs-NqY*K`$J*sxj0K36&2&T)qhR5@05d~m4u4TjV(K|p+P%2zYc0oeCj#s}}6Lqe(e;2VVv>{&BJc(=Ms zL4ijf_SC>nOlP}j(;!`bcX+GA9V;5GcC z<75kp7~UI~7T!Zl@kf~M;=+Sv3lLLOEeOiks9k;exn2DNS$zgjt-7y}awiL{f@*NY z6syt-MNIL0l5NdFvQUp*sK<^ef@WY#Otlg;d%9A^6g`PTaUcSyC<`q?p=GkAW&Eln zte~mOq@kHGgg_uYTA_ zPkr@;^_3T(f9fmF2PAS?05YE-vAnrI~isOKn=4W83xB1{>rg!Vjf z!NbS6YPYz9XM~q9euP!a!*_=-sN<|MEVLu9CWSIRU{LspkV?SlIUmtYM!Yc>@kS(p58SQZyIcHkR)|gu7obz@Fr9Y$S+b=F`+WvEvcaCf zV<*^f1(qNC4hr1{n~?(6PLYVzRK@-Tbzlb(A0n6|(0LTz>X4xO0WNaA2n!yN1b<=* zJREHjo)dfVi3ji(;)z|27@j+8%RGNn!xO7PqwH#YMKDJ-f*hPsjfaVL3eo-nPi**4 zQs7;{MtGiPCw@=(uT2d1;R&N_{rHe>6@oovK0JaCu^w4Ie87CTHy?6PBjh9I!=FyY z!>NQ+0nCSfuL77|-ckWfSA13cOFPub1qb%sFnni6H=C30cyg`{d1lhPL-{TdXdTIS zRs=T2gbsUl$@2%T{EJTX>*`l!17!Zij_cRC`|qrNo$UY5(ytx)JEdQnbfxNko_@Vm z6}qGPb%Si=N@_#_T&gcuJvJ?7s zBH@2|{dx$|?u35*<`lrE>eo;CFjc>{@?oleeSr^C_3J}?=+LkI14_R>(fl8yU#-{* z4>Nq{EN*Sd%~PU*!eeRY6^Pd=+}O$CMhyFSc8om43dSbl$#~9e?E<;Qi{JRn4 z38aCo7X6oIVK)|IUhowrz{$KXeM$%I?CO4eQZP%VvB6%{%Qsg~WbnvX>@Z~-!YWBy z^DU0grhoS}j6EIk7px&Sc!NDWPyRdef{y0eL9w#T?PBfX_oJyyRbdXQqQ~{TLXOXh z`4Cr(!2iN;0^P|rI_-|DQ4~=gB~jjGqBIj@=EtAo7^rs`XXM3ivM*blqhE5ofzt}< zDUH+=V5JCVa}`C1cc~eYToRMWd9Q5nAyoi|Ur%#I%&>)6vJ)AZhv_Y+ZQ{$9IAqG1 z+~8==69>~Ch*mdX9y>iT&%Clw6M=;cHm+<(`r9d(O7YuJ_Z*ZwJ%Uu0NHy29oM5fG zdCnL%ORGDI6OLpDJWQkMlJZo+)(^Mf`sx9t7k7YNRA>RB(n>Uw0hoQFobVi#p({$Wl}=_^|te4XFp z+X)NgalSWCM=3lDj_YRpqq)`r)*g7b-+U20g={&`j*ku*?VF7!W(k0}f)L6NpqJ0n zwLyy&_D$D*$X2mISn)PUZd9v<7@t=;!q}{~Vg~91>Mg)J*$=hQY#2KH#4H{k?tx~9 zYGz=%-W@f!=F>%%lHe8#ThsaxOI*PTh zjlW)&Hp5r8S*sf+i&5QY?=xJL+F03jpK77Q32usqC~u}SMXRGR%#IkO&Fpu$BtOYV zxsVHFtM7@2<;Fo(@#o|(6PFvbxJ@ak70_A0fF96Fige zVlT*M@K87uT( z>*H9XvskT;Mm*lpeW8}k#xAx1s^nyjnv3hvD^)1oI}F<)%M-)FDA;$&+=2#kNx2?} zGfNNCx^->^!vi41vClFvisawdHIa97TOp@XO!@{i5MXR*4a@yvSR0(_ zQH1ZAG&~B|#Kr5exQ5b|*W+-F36Q*=h-+A-xHb|I-WW4j>u@-Ix0AA?07Ls2cKtgQeVZ|n!E2fMpDyHBsT@%dU|7{ zD4C}Of%FcHenWQA;K+?XjuI!GDAlS=VPzjOcRfe&uHM>o~C znb+v1dNx%zZY!+iZ=}B6yscNao6Xw>b?ZVs2&}rPKG(dRuHNREw=>jjzIpqcyp;#j zz@jI`Ri~WJhQL{N;C?|3R2f0ZgEl=7pOw8;?U7~yQrpFl6F5FF$sLwc`8@5WzXG8> zov*g$G;YU$8LxRI{lbz)4D>PenSd>R%L z9DyFjW(UWLpXOjh#f4VK0D1fY)<^Bhe!g0(HUeuVxY{CN?^*Bn!G!>4$7E~?-^&3CR zwV-khDAmf8ugDTmO7v%C&_U!7@iYc)QwKFY&6+rL(A%hAstzJk^AD0Q*${0Tg=@)! zxTX%8&eymGbDIY@;h;oZOV+b>&@2gN>7d#s+-6W5ST+S@VbgCMHrGJXQi7FZ-XQDr)sWaulkg2UaZUvQ0<#8b{GbxXV+S1F~;wun&JZWpM zK;)&u%_uVfSGAPcDGS&!GN0F}Ulz|+T)CLA&@Uvv(k~yCWY91A2ynltT=Y@A0P*#) zrdrYAu?~>z`b399sRc^jk_6_VTnR0}?%akJ;65qP0;eRjKm{x0)B=y;W6n1$`6u;r zV%Q|kmVZ8?{7=C{^bcD{`KMwss`6u36V@UBe|E}+R)-bQw*1riskH1a%KsbNl7Ga9 zw=4f`oyz}*R=syn{#6c&Y0jj{e=tS<6aGPLVP`GQ;zDpvATg0G!j2X^T!(Yl-kiVX zi8Uu-K8te{^8Cf0Rl0F%%{s1G6ajY|r6X7TtqbRM^W&$R_A1TTDiMhO!5!#3s(nJY zh`zaQ(WHH3GX6SoTYt`i6--M`p1O`!34O{I&P=sBdRi-^W3Uk-^7#;S!?YIrceiAL zGnywHi&c-@B{2vW`{!aYHl{*#N|%YlQ3vaT7IOx=H@?ACh3&%T7bn1R%L($^M|TDK z6LJE5gP2R#RZ_!Zm9E5B%Z;uu_9ji6$6=0Klze)7tB2AEbfd(87T=-yuGkQw!Q8fS zZ(y*{l>(i(4gYXhm!!M~9u`*SQv9+q@4X~nicQ$}BK|4B#x%q~rwRg+reJU9+?b1< zI5$36Twe?q2@@YV2bODZ;{UcaJnc#EFz#o>6LY4_r!IgZU&uKogauo5p);Q;%;RrV zE##(p!JeO}83#nJx4KQPVKz5ARLiq{<}eTSOF3lMk8n(v?=GB$5=xhd2OlLRfCE+; zI_B9YI67dlNV7QeD9n7Kr|0{l!+Y?x#~&S-r$ePR0UuSK(y`Pzrj7?R`o&i`4xjV{ zbPi0|2T=_Fl)AF?IzFIA!uSrA_8Js|>;JL$CGb@h*Z&DbiGbXwpuws{4H}9|Qnw(e zFObNMCYlODET~bC(rT5TyeMuEeD7(V&uFx0ZQZC#ON+I%Dxx9=LE=)CVznqPQ4#Mo zF4P66i1~lNXJ+obZ%K$qZGXRi`FzN`%iNhWXU?2C+nfpKm8`->b;1g%?MQHBZ;Ngo zRK>-zX&Y}YCudq2o@x)Dh%`m2{^@4{`%}oCY9qaKl>9;DwV*PyFeI*(9(&m-xWwe4 z6+n`YA1ikK0023AIT0U_3Y&Ek*ne?n`+UG#-86Y`2D2Sr7)naf6ds2RQkNO@z*}Ef z=&4M*Y9K^yvZDV0SY@Tltti)Hh)zUNj)zc~h}`#u_cfrL%r_<@sVXe>^C_vkN2THB z5rcKsqxu+aOMol9H#gC*W1_0TYD2sg<>E8qWDLeFdN8bLAsNk9m$-v4}7e=4F`n$n=SkBO}}JVRKNQ03t-{D-v{{L1_;@|FbJR=-5cfDtA6v zD|uN;(yqxPPR0&p!B!AQvDXPjr&x{AACz9373Ge8Q=f|*`M^jyl7;%%cW;z0DMvx? z8Pd9tk7QZ5&%KLCTzZlniCMoZ4gpW%Kfx)i~D2|)ZLHZRDdJjQ#cpn_vr1Q^CiE) zAFLLLYUl1*J+uZes))%WEJJBV*$nAqri#G0I&1&AHCPuYSQiCgeFAhqHkgdSRK!)s z{}CL-0*dY)0)x045y0J82ufY!Zmxo7UI3m$0gu7m1;80`ciYY>xcd!pM+9y++|Apx zHCUS!tdi(KPX{n(!QD5Wl)zucNx9!vp_rzim=VC(77V)~9U3c=CZ(Z#nv2NFWqx`? zso4S-U^OoxBFMb74)mu$6QqAE;lgw@qX=+`8U;|T0w{(&ALAi&wF4%wC228b`9GLh zF3RG^_jk()xPH6nYw!SS>bv7JGGE&5qR;jr--nO31wlW>*26hH5ZGo#WAY(bpWQUa zXNIP$rg!Y}9O-g^R>7VikZ86<4g?az9^ah8wlK~+xeHx-4_I5 zC&V_SnZdNwZrTZMg*S{=pJF^m8OO{~#>yxL^?EgXi+;87*Bog)M>J8~I{NK;^;UjR zn36Ew$`5WuJvB!h&nXm>k~!vhPNA5T%u&Y>lsK&BxZ^p61#+v7JQk*776Br;h%Yq< zCxh`;F(FU9SSj$q4EQB7Np;OxaQq16v|9X_#p`A4Z%XHMTq+u+g9?Rd=nZnZw54Ja zzBEh4ISaQ2RGpeDQ`KCN6*UB)47>a7PKw<5y%qOcXzQmi^5Ke#PbxAKGAkh+y0+}i3^ zesEi(ZsiBJwdz)Wa9gKtuGw0kZ5g@?}@V zRLlazrPH=GT-GUEP7UC4**`QcJC`^;og%o%gb)L26bTAjqHQtkF0_hI9%;S+1QZPE${k`xfknx5!{@MHs`f;B_WztDPxOohb@* zW(|f#V6nBl39hzLw?6~iP^gW+@k$bB4IFHIK_S1QK6S~2d5*fUB-Yz0)E z$cPaq8xmrkyPp8AMxktR2bx?$HJ)zU<_N2U|roWPr58`aG*yvdeHZtOW{0t96R;t09}Wl=LPNiP0N?EHXn zs?9w>05N3{7MP*T)TA;mC)MNDKq)uMH!Lf2QNv*S=)qHPeGjgyv)s!u=PMWSVfE32 z$C$D!qJ{JD9+O&qcA+>Okl2jdxV+ZdHVW#_=F;Du1vRtyGrNQ^>T zS`pBTMk+aZI+3`ah0_EHEXYEG!r3+S`hh(JbwJWsF(AeoMyUZmrMSbfM_S(Yn__?h z%|eO?YE{L9%(N*=C?%<&`DGV2oXSxUg&!>}P)!%%#|ZeJV|S7B;%>cQaLg%egtHHd zmyQ5I-kVY@c2zrS!+2Ay;lD*dTbBE_tFSy6W^G9w8^H3?XaLJoK;06!XzEX@9QJ-F zl78igUQzY$%1PlnsS9aCL%9}0fPaeP#8i>lD?Wrgz!{VAo82i9&uu<8#8R)qMY9~*dT3IFfme0vIlb6&T--GP#P@aZiXQ7tILkq2`J_v`jp=h8*&N4{#?{uMa;6wHK87@J zwj{uH+TsK*l!wWJ@Dn)#p-7@PMo=Xu6Coq7p&~*@V_JeVN~9Qe^R?+6mskDHt&V(+HVfnw-tw(ZdnU^ z0J>Vhtt!{7XAym#Q#QY3;+KF z|KjgklFO0ty?(NpjzJsKZ20)-QLR)VW{L)(6PIJCr#g2+U`&k3hj$LAHl81O=Y+_Y zp|Dl9uy^Fk+(mi#tlTPJPtH6|lR9Lpek9z>3unLrcQl&i+d3gC3SK+Il686ERJ{8f zI&aAu5Ht?TI2kUPu{&^ut0=(jN!h3Z@rmNcD2sfZ7k`!HmA^Y04EL^_^a>o8TzKG> z0xKlZ(<5!gTo@p6q{_+J)swIvGmLpSu%!f9PL}6zT9w+=K>4r1&gN|be;C(NT)A;W z!{eMoSb&i1*otmqq00+TLY>!QH3lq zoj~$(YsqKMZ+1iY0%8%ONEVni6tldk=1U|-w~+9D*km*jITcG!83j*4&@|#E5N0$L z$niW-<-jH98u`W8BZ&jfNpP9NIlhO=JtHBj|IfJ2A%aAISwRn-W zf5YHd3-iIRX1E99jp?82_Z+|{*_u`7BTp7%O)RGW6yulQ+gh^Bx{6!un#M@(>#MGi z>pL61+`+oK5`B(1BSW$>enxr=|DC2I=P6M%pcGM!OHz^*`!$CUOkZB;7^Dmv1GB2EKThU~0U5)- z#U29sc;}?1!UF5YC(9yFWtZ*rio|>Q@ox>Ak!Xv`OzA|7$8}$@G5Y%=PO-~;m|L$e zfo0UMPiC)AGE5E{AenwiLUA3&$dJtEsD<%3K&utK8AxJhg+2xGKgza;*LuMng&y%T z*y2SI=$yO0$4C$Vqw>asWSLY%9*FoDfM&Vstis}M<|4-3-37okOA4stFjg}2ZP`%> zoHS4;YQu#ZKyU&(d!;wV2%sKuPJz>hfc=z(enb?=1XBy?sirAW&2hdKT z`WD6{S35ZioVWMvW(WF#w;{A2?BNRZ5DKz$0Q4wq(7-vrQ3Yid$&7-JG=uFIZj~T7 zE7D%puo;u8FQ#b3Im-PFkf7jJKrSiZhX$u70VH=5JWGN308U}XS0XPpJBX6NOHV)F znga%GiG#ijGzAaIKuia+;`pnI50m!++HhZM;pvs7&sovK0W7X$Mc1biK&FklJ&4}b_@%}s_%V_}6id`f0XUxrtPw#;S z`SP=yFw^=MA_f*Uii=+0e++PDxkqBORe!ktS+R9^sPHDy2N(}}|zvK(nz` z_2-~~icc?-_1?w#@r*wRj(;C-ZimN7=A;J=I$o6xW)dH#w_fZANEC9XLfd4bbK*(3 zQsnDg)e_eKkKLp2DnM^$aGsU#Wr1n`d4~5mf-zA4dMNy?pQDoH?jf!#Q`4bd$rZ(RCiCB5;$;LwroqIMKfIgK}jN&lfsWL1adkpBI{89(dwARR6Ia~j5FiYXX zY5Y)iWFPNCCAY_yv8~w7T-i-Y_dsf60+uQyMV`=5NM%|`uY;8#t>Ag-;EwgmDOAX= z3AQZQh;e74-#T-k5>*APnj$5yjVGO%hK`koS6BWFYaXd{0yO2`63JwDpA`wDP3-1>UrK{lR zH|b5~2lW6)Jq%C$Xu35)4HGeuwG<_%Qk>Sx9HUq$Nm87I{nqS1_#axTBFk$7r?+u` zM@77~Y+Pmh1(DCWWw0E9?@B2x+fbH|ok91=8bOUtBos7M7iT0i)~6aaRBOc^2Z?yA zl$MG?6yP+4NS;fb^E23k@D<%tlu)Ds$TPCupg_n-F)UqYBjcGR`@DdivK19s*=4ZT zf{APW&Pe%`|)@;|sJX(=yt>UR=lRn{w_Awj4!NI~G+S^=?}ls@7V z-a}-~2*E(JRODpQ_Cwa3r|`5m%bK&aWKAggkriWVJ8s+T^Wx)wG6YkJdOf;qX3$z?_HVrVQFd3p4OZvqgcn- zC{xcIi`SKj%A(SofdFkqD7vA3XBIyXg`zxALMoSSn8_0UpZLzin4v*7A6@l0o@Rw} zD~F#J3RypY3e{oX+B3KR z-|Y1OH0thvKhy_2)_WSt>-EBf$jN$axHt{q`aMs3nSxsJJ~sS!6i@dQ zziF?&KvgOA=o*mjT9{oOC;{g5ZK*=-PtyyRnqBS!SzCO|j}?>cDB!BnkX~3mg2bem z(fp3 z{X4Wj^hZAEBrPSjp)>2lq~6^GKQGPtFt68Etq&(*!BBRkZ)AUX0sHR%Yk$aO@BVk# zAF?1;diIBxVv0{2`@?kHC;n@Hpz(k)+?(Z}95bgi#w7w=0>_TFg^87+pbTF!b;mf#+cc=Bh zv+PU>`2D`p-;3YxI?Sk`3(s!Se!rc{v8x-wnwcc;B4-}_m%-0E_52Ogp1;+e=dT%l zzkvYGvqy>l?@)}d@z@wAt=*c1S<}(l12AT}M+=I|Q7qu^E1+G3MjZB475vJq+Nv+T+(O6si3>M`Ed6mx}N28;v`k-yG$Y6o22VN&db*Nk!ko_?cDA_xEi~_X8Et zuXlGR&u@`Bd%-7?3kz#L94vVOhaWQG;US04t-~ax$1JHJ?YVrbis4%v07?F7jH1f$ zd_gxqRZ*EqUcY?*Q_t^DLSOo>lKS8w^PG3a`}b_R^o>DN%~`Qo z96HZ=cTpzq->QtGYbw|+$@_N%z{u?V6H+wZzeC`7caH#dbmIL(K1s==5&3XN84gEL zk;=f6I0DNdM;67MNZUh^r*q42MvC18T~j*+bwCNcFU}?K3*zJyvZGtbj*tTsr3S)P zi1Uz>oQ1Hde*=HxzM1`vN%=pz8wq$5LNCh`)6qi-1;juULVg6dT6Y3CRw%qDpKvPy z?o?=?Re>kK05-8;Mamc-__fGLnd3DcOp*WlcOw5o9EFGeHIhb=C;)x!NmXe0C5}Z= zstWKMtV<=U7Gc^jZCB35aABb*{v!+v;Eu4O|3o*m;D3Q9_#62uApgIO)kx(3S}p%0 z7#JDhME-Br^8YbP{ttQbe>sHV5mtox<2nz9tLe7XeO8y@bkpGWCJ-W+X%l{P_<$pa(0xAzwGbdMdIa+{55d=~w zK_l5}{WNmrW0J=<7zFq!N>d5gnL&WL5(K#Olx7TcA^fTL;-wcJW@qMph1us-#WhKv;cvutX_mUMY#juOq zkL!bQT~<1laej34OYj(zLh+f%{bL#Dw}JdJwgDJn842`DiSzp$1ng5>r*VGICl&h! zaz8ihG0tx-D!@VvdnN)IUt*|Ow!0DnLb)&ZYq7r^;eO>1`v(H^JpabT_nlf<`kIOF zJJsg37vD#5{%%B9d&c#PkeZq)Y=49n_;PpLBOfy(`uVA(AZ}pN=O!>YA!L*d`i*TGb2TRSfEO#pEfWA4v-k#?mDIXVN8p z42u!i>p5r;>!d$!G?T>tbjEQt73`ZN@s9!+nI--)qyi)Hk3iCGw+v4@k@&xX{C&fA zl)ndDkt%-|q{-g}Y4Udg*0F-l5-^ITC4VFv!yEs+;UWgUr zQJhK3l;=6|l}o=IM2mZ9BP!8y_!NMAtQF$Dx2D=YPTOr)~Yu`%&0sH`OUC^C2 z`)`Uf$=}6Y@4pG!-?{(Bba@xB*v`8=w%Y=Dk#jZDOER|>a%LI>yuTiqtrCI#H``!; zpTg}4DZ6h{WN&qDMMnAi?rzK9Q$&C+5TeAfwARCa8TMD}&L_*Vmz(`J%>VAMw?R8R zvj@r`fA%?*O&3k(C+qPRf~Oo)D*lSH#PM|$ z=u4rG(ND&DHvCHUv}4CwghwH{<{CfS_nAnp$z8Z} zQPK-aQt3B2#MnIa7?iBiWv~PfKMz4IS3ZYZbs0MvjkC3tD2P2uPWDA-(TLZ^TaEsb zRZEC0qBP&R@L618@7Zw9aO|N*Cuo6|&l9J>#;S)7hh*u`d01A-295(xzIC1i&sCj` z-6mk`w!}Vb%f|O;6I!#DOf0lfa46GHeP~s*E9>oPxfkyixdaLJG1CM_aEN?Lin$66u#Ca9GjZ zfwqcaQ);bfUtEd36IfqB+(7!RiR9*BFII-}xoWH9b0O+0T?YHUY7l`sYWz8>f?AMg z3);bBPF|iDthe#w#nX6V#dbt5SiB8)!Q=3(*vInbT1jf^XLd(bEhvhyQ{UgfQtAkf zKxTJsUyURc}ow(se^v7sb_V^J-Y>k?N zdu?CW<0gZ}4RlHKX&8!kJ)btAd!?(b*k6G`NgQ%ePb<1Vo6{9*yk({bhN*R3e-%o= z9vpoTLb|CkDJLJPKqlagJt-eCUvQtw=Lc|J( zhs+J0jxRGbxPb;Y{UH%L)NiYpJR-d@**GV!hm^g%F!xbR#VqI67qlq`8)>ubp^^(}z*YMn zg03GVvvVa%r8<>Da4NOT9OCgn!vM*YxL{3rmEUMy3x;PnlGGLCNHcO_^N1|Z4kGmX zK0?pOYlBeq1`8v}fqk=LtAIrraCbGX{PoIMtWUwWw4bZhA0gy7L5FPi>#j%YMfIL_ zLSG)k_hqGL?5p;j%93qGAHYjI+|P>fpew~6V?58gBDU6w?tvK?WWAo}tye;Jwg5$% zee3m&Yc{;}Z#tt^Cx2PqsIpMCp=vvbq7L)}i@nQC20{P8S1J9YS7j4z7osN%rFlbu z|_^I;p1^!V~cj|BS~r$e!*?Am5FiKq5FL+HY_lgOIk?2h)yu$iGyD}S_lw=9pA(wRNeUy zj+6TJ0LmwgFSDQlUbCCDUEpO#K0W@-_)dQ_gr8Uk`d^kfzZUzZulo}aUk)>xz0E%a`7k59i{>xnzt|wvQ04 zP7TMS{&1-NlRw~q(%*revBkKw6p=$a1j<(?P6}Z>#Au8JOp=<}49pxZTAgvd*ICra zESLiVo`y`xS+AX2f_)tz5OqD9C{LD^mLCiX9s{~an?omeJ%_Fq2+RbT zED!`H$d!C;NDU*^h}Jw(BWriATY@Kg4Bc0gP!I5*?^e<@QP%s(V2VNvpyI0;O82A~QB+ z&DhWDqPMDnWjj6JW!F@>hEnW57z)_rgFS=vEi0Vp53An62O4anA(SmT7-*6l0aO$q zf#b-fN3{6PPvL{(%yeHsHVCLkrS9v}J44Gjd^Ui@_>=A@B8mA6t=%-T!#xWh`?%d1 zbH+zJ*0~7){E2C346MxQiyS>GLi^(Xei+Nv6`_f^JRO(IR)o&L<(W``m#+xb;qol} zKO6ri0T1w|wuV6U=wfTu`YUi)M{6ig-G=h{yCPJ;XGQv1vHmU9zajl=>)#swwuWl? zvm$gsmNVxP_MseSp~k=D5nzc$EAh(N`OPgzgjxoD05R8Z-DLR_g}s4wVz;uj0l z2hhyjE4f$@0IgaoQdY$eCpQihSEA1wrh$NxNYA-W?CFs*r4BxyXj)LyN#jMe@ETXO zv=$B~0m5W^_q{#j=xSuz$U*ibBwx*SF8Ey!Qi`+j9{#@NJTV%_r+KqxnR6VBLv{iQ z>6{~Yg%Y>31bdhSuWJ87u4HNLKMrC}8>tUjw3BMubv1J}Ue!pvLf(}&wrn`Q0?U0$ z)A42QtLB3YkZ#J@#5oxmk?PyJlm=9q*283?rjkdE+hU$)po5X5Y+xz5d%xp!0Myq( zR_P2ecW~?j_*F0zsJ3`$C@4fh`wO5hSQ5Elf0Jp#ERYo5z4W&=9_RlxX*FS*O(_F&LdDYUT zXvz8PQKW?EWbQM#B7Mxy!Dk)@ei+2SCL#(L_?Q^z{Rl9yPEeOhX=-HdPeC>q5u;B0 zzTX!}sHD#)6p4kW6v8S?=1Vc4j#K}7+?ZTJNQkr=w^-&7FKu!mIUh^_a9|2`SXio> zW}HtkUneDHx}v^fXG6+*&%URUlGftg6EH8yrbFZ-h0u-te(=UYhRb7cX%tOC`hi$g zAjI2%J7sCjMcFb4UzAq>+<4?0WwOj@K2}B)&x5q|JZhF)FR1OfVw{_Yz>K1*!sA#u z!&eVQv>>zPO)NxW(c#&YvS78dqSX{G(HUkHRr>UaPl!HE*%sD`$0-{pD)2uLm8reH z1(XgN0FpZ5Qh2d*(6jyr1n9UP@B}pW&67%kYT<7Iaam+VwvOB;LV-yuO2?4D_#Z`s zoECoZ8dhLLLqL;=_Dw2wPCvDNagGm^nz>5-xv9J6{(+VGDPOsPQ+ zrl!3Y0Gk0fqfPl#Fbel;jorkLL_)2DOO}x_JLoA_mvJnAiXIXLL(#$P@Bm(Bv-9U$ zF`moF`-9U+9KEZ7jcC3X_=wrWXD=u33>cv$ z-kp=7*^Eswr zl%|rYwqs!!bq#tL=^<&5nmr;tB#lUK1NuN8hi1DIA+>mX#iKJT%I%ZHj^Z1!J4Br^ zQvKiXIW~`B${`E#RO`Ap)#=T{tm_-3SOr`sYa;E%mho`_E=+EsIJkf*l5H4kI-$$W2fL*&ujm~L8RrRNM z&YWI}N=DCQ9HOHHux<>|#{e&Fh=QCXeUR2H^FPVRN#5Ck1=43&f3{`pCKw`+A%u#| zGi-O{t|ljW3?n9Wc?KMBRe*7+4h*rZbbL0F1BPcYF#Y`S47Ex~WNKcv`wM8l#&2P$ zpj4X(Lde$neh~Lsh?7ox=Ta zg;l*2G4%HW6LM$s?iYfWimZlzW)EBwc^9_u8Yi-BXmEpa-o+lD+s_7@fP`rVKsSB* zlRow}y(Kfb;b=uzsymE*Z}yjN2XEBY$*Jz;=vyjYJ`cQa&VV;%E6AhtPDi1kI9GGmvlC z%>DqwlMq6j&rU|?eE0-G@py7^8c%)$9oHOADT^5IMKZSmdmk)IQ^hhLK173Y7)U3{ zuFkab3c;L@i{y1EFaWRitQmGBiqP(4sME?XY6fb8SB~`z*6-m1hhePM`5XG- z&IVcgBTJD}k1WJ~_wS;(n%ZW_OYU}<>W%|XmR9lS&@6W+H94XfY2e0_xiLdwe{;U~ zBGn^h*%1p7@ZsJjTwTZMzcO42M9!!tcBH#Hd1NSQ3%6EOpI z4OQ`}Je>af$eMc|^|J({u5z`4j>(4243`4+jPIynzm%2Z`+z&Wf}K$?4X~ZSQqmrt z3{<5(yh$mvG#?$6887bZ05R>m1%gyj?3X!*FD4q_a&9|98-S9KM@5uJAnd{cN0;i@ zCHI#=Q(CQCP()4GpNhQ&(xX?#Y*nT&2pBWwj9(J!WJE2LBdfd;C+iZb-5$78RC|AN zvEO3(@W4|u^EN&*O|c7?CRO!ZG9;nkOFauM)rL=)LQV!FasaT0k#I)BF=hWDioC(z z<;EP9hH31B(RdD!&VeYd@akE<(msTTD0W{`BL|}*&jv9iXoJ|DR0A8tbr?zKs(BdB z97RW-3=y<$UP&aFZfdIt9(f~cOti-VO5ZT?3+%VXj9qK24NY$mm=ozeDR!j_gcoRZb5PH@1(L_~%6XS-XinQBq_Hv>TM-`P5A?lnW>&h9F z3llvtxy^i=f+xlvqxjM|JV};crk|)-c_~B&Ip4&iq9jX}7|F3%&T>Zm1|%dqG~&y+ zQoj4JVkjq;2t!eA*Z+Y;R17HfP73!g;C(*9-1lA3%TLgi?$XO2KJ1!a4t}yrdO0@y zUwY|;UUs`DnO+`vNYTsp4*gG~mthOLPcK(|&^5hmd7?{tc>zYZ|MHioJJwrEN9W=E zr|W(G^6syb=_P`|9||n(2Yn-Y(e`m=8PA2NOyhvWD}&oI@>oYDSH+(-5zO}NCuv7w z%J7hhVYcINabxu`!OMtO&KX>UQVdYugkQM!v9cguS@CcuXCF9q7fHPG3g;J#Nd>45 z!OMtO{s`p($85G@OT6+GaOGh^d3w<5Db)PPjH@+>c2c~*f~(cAEivZ5bBD`8V7 zamrOq_Z70Ja~{wJ6Z_=KrjySp`A7t3uBmxtiP3dn%}K$@X!T4qk{Y4B3|(B0x=e(! zlfSe*4`qjDF*=zlw!EFF7P?Ziu1sW{a!-j9k=~ueyB;f+)5V2z(Uj+}NQy_kA9bsES2#c-J8et6>oSX@ zmnq)$V3_T>ijyD^@4AHKc%CGfs#+q+B=`Z#}Ac zjeN7RWlZ=rxpEx^0^*)4R6Lc=iQ-gc3uD9Kz%T8L@y82m^sXpF-<1SbX>9Za88d6 z%i%d175LEWWFW3J95nR%agh?&s>VmeD$^S=sPIJb3~{ELw%Qwppwag6HGWNvVkBB( zEC=avrp)KUwI)yb#SXh?2fAvl=$9B1#+kxQK471J9?UZ=cwS~Oh9yImLK7YSrbjb9 z{>b|M&rATNaJit<=UHG15rPJ85=$V&bY=jLcm+2wB~fdAV51@bMNL*KgSwL?wiVIX;?dV zh_X2DfiKLIc+|||T|sLc1)5`Eu%Ah`pBOFCpz4e+g<~K-gt@}i9d7g+X-x3Q{^iUF z*DOheX6m68Zi3<3-EY;3-rbYKpu+qE?65WfuJE$_q`|uuNY60Ly48L7v0jnVaq^-w zzDVJuA91JIe`h#h#5ZsvA*gRk&kat_R3WGniIdloa1!kbCu$iTE5v@g!y(+$;I{u!Mg}lXB)v*_gIJxo^ zE(mfNQ+ZD`@0Pt-u=CsejR|xSrl2$Iv3BZ)J4a?ttWj>K@SkIJfa6*7r zbtN`e7NZ~|)jP1RM3?*>SmoA|_mFSsUC(RRk6W-z#WPdc?JSH$kUg6vs121S#qf`j ziF7gC$g>dZ^ntSiMsi>VyPzQBV>cC`9E$ydWy3D0g-=WDWIDVS*ye&}KyVKFIh#}@ z=l)oiKFyDiZz~Tn@+&hGYDuR%p+Pw`un6I5HY4FkO zy#(MMga)MSfV8sXSXS#Q!I;}sB^$i@M*i8a4|YbP&wVwCleY;g3hW>`OqpLz72*-l zLvabFjgOOeL8U&n5K#53a4TO}kvLtk27B}S9FVC04djKplN=n(aqhoHm6O2KyfEI1 zYrlOMZ{E!9AI5v|7@`FRM^JqrjQ99IGmQ6&EO(|derLky1%lCDfmiNuj8d9Oc;D1= z!YE>s`8X|3@+YYys0v?AT2G4r+>aJ?ESd8zO%Hty&t%B!GUuyH`1_W#d@nH*_|U3~ z?<%LD~Uk5q?u;tUG34MKf^ zB@vrC5;H8r7t1&`0$-@G)DBq>dtn*TmffOY83d#j;R5WAxPIKxF6FU3*;W4-@7m-j>~nRoMW1@vfAe(%P*&Y8@3_R=WpY*YJZ}xGN_Z^pnq&LlXiK72S9)&V?3 zIvbqXh}h)HroHwV0Zr>K=n!L4d9X5LBdX$n=QRkdKx9WvDMz|a+-5SiCWRF}W*`c5 z8BR1IJ=|(1+C&8Mfgl@DAu-57=25WC^828qj3!3s;$ELH0SZ9d-NVm)E~sJ+mM3HP z!gxP@Lys)?I8X}fA@J`5{;DCLIw#MEjm7l99TudeANaInU4Jazke)7ZKDq`R=TP1% zuUgY>9PhWBF9rlj>N%gJ%EnhUJ+cygDH{h5C>*F|r&h+F*OB0)8d8fmJ$a<&pd`CB z5m(aUoYqU5syUN#oeyv#F+#yB6ERi`bM<@p5j(Y=z(yqcJNKX^GI0n7GwbM4ldb6W z5T_HPCPLSl%c6-BF>a$mv@#aiiE2mdD^)w=jMe9@$UrfopsC9EhcKcPvziU%BeQd1 z&w@~;>>ribgj_Z3ZR_gc)bECTSRGqi9bacfUq^`(n+`|Vo<92>OpHS-p5a-*R$^2w z+_zPeHdPJz(vGdFjQ`!bZY>JLTB@wdckIYz`Ou_x2f*ZB{PM)6zFuuOXcd9T2rP3R zgiK7io+Z#B7{^BC;qZ%1Vmf7q3ScL@Y(Ll^W{9}Ua?S?Mhro9w3Jomj_=fu={!5UE8K;Y&M_S2<*3Rs8G9VSl%-K82=K z-Fb8oi7i}6ALw;;{CyfjW}{+j;TR|ts(TDmVUMbs&PGIL9V0R&Kxr?plK493_*)6M z`hbNQ1e_~D!1`IxR#gM!(OuEl#(|tPruKxU@G%}t&YrjXb(JN42F%qsB`q7D%IX2Y zVp06HdqTxv^9_KNs}O%VfOb<(4?Dg>=+MsI;QSG5#_abN;B9r{oIIY3lM6df0miq? zy0JB~1(n<SWL75KIXd3C*$1s38{5>+4 z($G0$~IKcSMoC| z9*HrKBBpz|cWe%|8K?X4jXMU#6rYmB&PU-Ez#PsY%Qc91N`4vm>|%aK72K>F=s4-o zD?N#v-ZWCl(jVgw&M%M+G10%_hGgVHWi2Ujj=LrB%>aJ$DE^2%lNeR!vt!_L46H_Q z`zdxj7S(Vw{PpZD+H}u^oUZN8hP5yR=3{5eT&zcT3d*9yJ!~^$NnYy=-1d+c92;P` zcT3Azq5)I(kfCypxx&|j!NL6Ptk~JAwzFcPOWn@u?_~WKsKBJ2JZCVrAXFwEUx^-x z)bfrq3LW4A=k5<}&CjP~Eg5qT0B3h)xHi7&oQ9|!b6*wzlxRAD80ZBwIg6v%HU=Fv z=RC-xGIrs9dOCx({hhlspSeJ(sy{qesj36}_2fQdVz(+@$0)=7Aawr zVug`0M7&6nE_M<2ZA6lc(#0JgCrKCOi8DmJpcL-Q7th?yrVabqx_l7_`}5_C2q>dV z`N9jqPm(a!-pEF~DPf#sB#bvRN*IesxVo-%3FAtx2i=u0ssYw^lrR`vDH28&w%`jN z?uL8;gsH>dQY4H&-JrVJfJ%)})`^5MFr$Pa@!e)gsqLP8F;VQ<+a_N$a0&Yll`rO8 z@o$hX>Sayj_+@n^UpO3SGfoS*jCV`E_$wA9@3TwzSy%E!Pfi-s$cZeyb@}2g*uT7Q zcx=FSmoIMT!nrm1!l4;1vwZOe0xnIujBSuF{soyblzef{k*V^>d&4drS z^H<(Lz8Hq~m3;9c_VA_17xAZYLO^L%d}*|$3LrCbUc0n)|85;VM+O94%{d(2=$_p zANjBbW)xaH2icK#*H;1)pCIMgnx8>yy<(mnub4+`L(3zNLh^bvgr*>fu7L&a_YjvN zn~s}#e0kHf?8-?i;6~rajPNZ%}JVO3|MDyYKE_APc( zbt?^Y=v|B}0ZnrI#?fZt^yb8vO|exo`ts5JqFtWeY$sHyY}h;G3ThPWZ8X?z2Bb9D zy^CL_9zpcIow!NW`L*OBDQ$S1ID(nwYLtOO8Y8ak1RNJgqJwz-grGVh__Py(ZD)?S zPOm^bc&rY^;x}=BBl^|Qcxq!HY~G4N7~!Be46% zn^<;w*%@VLKC?{eHQfY*@!xJ3O&k3YJ#rianb1j0;TX*M15rE@O!CAz)w(pfrV z$1HC~l>{aBD)yzReudNTV$7yvd8f>KALGa=PLbVv1kHPY!BB7lH^X+>zPkM--`dyQ zEVR3==TCPGgq|=4hIKiVF7nO^kuO7Gt88KK$d~F6x^k<0y`6w@U1u?XcUq@{m;qn^ zPjg8yFvxl#d@2`Wbf*C) z5of%FdtfHW`P4uO_lG8C12CRdQA+m@s4L>=Lgi?{r-7UiFT+^=al87%Ouq?1$d>qx0i1orbJzJf8l}!_=!ntYsMd6P${o(6!7TpBy#{6oHJd@NLLcrrKw<1}NK-Nh1IsEM z4hx2-jlW3;+%XnZ@EJ7i7Fk#Qj9)exwKNQwLM3r7bq?zS%;eMTJ_SA5iFz2iuYfua z_os95Y(FIhVewN^2>Bx=g*#H?(VS;jh`Gx1Gj2v_W&HG9ksuwNJEGLNBZBqAQN}t& z4@tZs>HO;V(Y3D6vwIcT<=Gh5H@LDodYJNmR}G)qT)8eSUQuG%4oJFTfD^ z%s;>ST|D9W)hDrtR9cpDjvY4|_!M^!(XP2e60_XGk@J`tPYm^#rM6FYvi_8#e(=i} z^XPEhi9aVy#Jv{QJmRy9^Q->>xf+!#eDYg`i|WeKSIv2L)i$r62NB7pMR=#>{OT9q zhMXtN3>Lq;I=)799nxW=MG7b*aSv)pIltQDf#iI0fQxngWuOJw;*4=nj`-a{4XVFs z=Ue|yD47F+_%u2A?tkzkwyORdAYPq&SEdfWn}z3)qy7&0I~xP(QuXp!a4Pbzw#6jjS#2c{C)MYj7eh6LdicGDcS z{xC*?+ovaGel9q3kJ=<3ajYA$ADnuL-f}(-W_}!Whld4>_|gIeWLK^b@n(Fv=yczbp{ z4}9!CJZV*SZ#!-_6!$>|AVD4Ev?6`fh**Mr635Q;(LKU+FKL8TV9ZEevX018!9#aR z2V}?;&f?2tuwu(NAYQGH0~kHBs+cN7w~<#!?;2mrP#r}C!J_-|_I30X*bF6>Yqu zra;V#zNQtY+6Q<=(dJcA{SIi!UgkWG^!ov5u^;?j+g^2Adq_VW-?#+43+sp-a<4r* zCkY_>E!T5@I7s3_3xp1tU7H<;51{X>qBKIa#QpmKaO;dHb>-P!bWWTO1uui~TZjE!TY zrP0K!kaHMnMY#zEFiizx;wu$cHK4Noddnm>yO*qrwQvrVa#Du-l&p$u%C>HLGV&z$ z1p&qZx1qKt?ba3|8OQ+z;^}d>V{GtI5kFGIXQ~jvi;s#)t03va`s&6QD^F^!-w}PN znXt?m_>%yQZXZ*!1B)DYdON%16%lVfv!-qWP{?T`OC=sRkNw@z>`MkTsbV7>`;=9>x8IvScPMzM8tp8n03U(CkV(FOXE z8tB&1#d6;?tJL|BZrb>{A-lEb`s=C;s4`81)6j!4Q~NSOx3T^6{Pw3^-<|f=cp)o3 zP>e}EEhGb0e{+t~@9e~kkaOVpPRA>Cd@et08^>qaoXqo+vy(J)H{P4^+XIc5@k<;5FeYCIcq@*P)fuDSLz>=?(zDE1o)SvbcQ{xgO>w_SVRL8~bPdZor zWD6F?!1xM3N4q#;UYdq$Rhou^5k3HGntVhTR<)ZJ z7gBq59-QDe%jK)MlVo-%rzy5q3HI0^ z29P?nv%~w@7)_*|dIUlz|5@suRao*U>@3MDK+RB3F#0{p|s)uU$ zHr?s@Ef1wgC8-rhtPQ)(A-VoX= zyM8ZcKfFV3mh)Lj{4MpR^M}Nij`k;iBYVt`*e2~$PSsZOLCUsvGkJ)E&9fp47G zZ7J#8)7~Si{o^Uk&TzblypZF9ZUHSbFcH*J^iXDjo!AlcACg`#!AZP-ui$FZ+}yD) zFj;VkDr8E4Xggl9Ctr zRlI#9gaHcg3U&EUFj|y6!_(yFEM59`PsCQzDbn*oCowKR^3Fp$JsTgF)CAj~gy=cd zKs>xhZZZIA@AFdM{}+GX3V)Q26%8_o(W64p5gDWN;SqGTEze!XpsN=h;mJXY6oHuE zp9DGpq38;58L*JSB*W&Cq?0bO@)#bUfdbr!&z z?js^_MdWSOjc?rR{2NrSL-=(rLzW4O10olQOc3n(mLpZq-&?19?)A5`@g2(g41wHl zKJ%~!W`*-Cs5jM7a<|!qBz+jk5QS6_6|A(84I>M72p4k+v$-gSsBhFJ=^Mq=H%jX% z>D5ip{zp6UD2d?_t|*eJj&bJTwgs#MJV@_%!7Uxz_+z)<=3Fol&i=@k2pnt;AL#sP z6c-03_EO3!$^UlX!^!0f*jn5lV{LG*$x!Sf7W?676q9BA?;}|gR$k}!_pl6n;9*1L zng3jToH-$gk6%kKlJW5e%o%4>Sr8wWW+-+Qi^!IGj37hW|$=_#|pI_DjUN0r!fllk}VNWql2hknSwm^f4 ziwihIBQIpTPaICEy#XW+bkbnrF)k0{?b_a3NQSzV_5>dY#Ymddwwlu-0_XJF5W<8W zBoi9b*w`pm*Pn)N!CqkW>P6oC)e{$TX6DIDBZP2(`5IpeOOUdh+W~R=9Ovd*pOE)= zRv$<5OD5#C*zWBdiWlxAPU?p;6j{n5mvgqur0yX_IH{k8-^5I6-T!H{qN%~F|y*=bd0PjL3j7Y3wHuAV*EAEtr?2`mPOCzycf(k$FMeH=KeMxGm0cNexL~| zEI?-znupHVM|xF^PK9@ZBnuqmO<0x!f41`++VbCOW?kUqWB@CVU`+rp?F*c>0#ovs zuP?^?`Q$|cc8Le}#M%Y)v<;B;1BR*1?Iq3}_Guvr?Z9X{T{JKZlOzuH5iaMKz z$^43Dct4HrPvpcDu>XpZ3hZCJ?t%TEGrxKOTF$Qo1PO0W0zVSdlAEYZM3+ zlC#_Y+|0;}Ql#XngcVJI#RJ-l|L&Y3Agb73@xdXLfhd015sy7~cKmP{J`uqReF`pD zSgP}~!auYVyV;46Akc%rYL?=p!@O#2)@(W+Iy)kMX0KZSm?B>nSaZq?Ei3i}A74?x zRGyP@i8`#TdSv`LfyTr>RQn6+1goMn(UWv~IMCPMOU25M8f}HqLD|S20xf8^k8$~r3GlS%1xJg6uo-Veyz@Qxh z5(UrJbqI1tCqN=;xPFRnl5zb^GOh z8y>HSktQIpjda}g_!Rg6C`houy8cH@x~86RePI4}R>v-C0L$a+I%=k(ko%f43;Pdb zh#}^Nt0_Y6eHhnJV%oP!?1bn?Cyek+*TTonYe?qryo^5#JI3t#6~i$wOZR)33-MFV z2`JgVj+L#%Rcd962cxoI9El<-44U(|GQOegROLz;Lz|RM!~7FO5<=LASHq{#G$Mvu zZm@SI6f#*5T7>^1{1b}1;2ZF1DF=p!W9*JWnuy7Txjb&WWDWA%v=33^P(k;34n8^@ z`?He13ZIQ)EH6}B!z^88aip*qw)w)RZNzfGTMt{xCr%>*%ot~ziqtOv*v!+HjNO^>1Y z_&6GL@$o~zplT{dB{`}RXmCztwO*^(EB43HW=?d3eoX8~5qsy?Has$4eSx)LL}6C= zB6u(buWYBM-6Y$f-&Yjzps+A!+DP;()}q{Z@bwx7)CbwLWJnM{`Sb&Ikfe5;&&i$)$B>f!k)wCVxSAm5|Rj~uB zXyeRI+P$Fg-R8>&5DkcYjdUoiM7kY+*@+^aO7MP!K=%QU(^0ceuV}_5mCop&**6oa z574MCAgUo;OhzUgP-ZH=om&qU{Qk8w{4VnG3shrLC*!vYjknJc{G!EH=POjF@#`VS zDFAZD;Dz`AS?ZMxrJiJ|+c`05^nc$un-ONgkDOicP5Zj`&;7aCDLofa@)OiL z2O%g-@H&)GC1KRa2zPT3^}0ouX!x=qE|dlW&LtBYEBnO4JYuteBFwU8XTm{(zqf+l z1nComYZQ{lGT^T-dfk4PPyY&c0STae5QK+wgUb~Cm1BKEJsLeEp+5Nn@I>w?;}8Z| zspC+Gs+{MBVjRB5`r@thpPrbZ;885ND|jY7sK3Go?Kc~|!y_9vzEa{J`jdnpD9n2C z2Ut1+@dt0kS2*0_IRS0DudSzj&(=JtTk zwcjXl_~nftn9)T@YNF)T5uK3Zg|7f}8UUl{c$$ce4B50@+c{O%6K6Wp}AGOb*+j8kOs2#&7 zL!qTND|RnhbI-va52XmhNI@yZ{4&TTe}iSUZ~M;1PTk3@euv*DBYoB?Lq1wyY=gX} z@mH0&m+LB)A1lgFRp<`#r{fx9Il1t(@xr{e|sPL8|HZXvt0l9zBc1~!xSKR^;Ohj#debcHS4g}a0X(VbV|qH zhsNiWR6tNY2!DL8aV(;3Qn|(n-vcwa=|FIeRSeVx_s-8ys*t7L0;|wc@8OuU% zlfU>LK|}cCOcnXH^Y?b}J>;oQ;lHyi2>&kKhkweR9{gR^e_T@if9k&cxnolO-I7n7 zZI(}dIq=)aC!3+qAWmvq<&(Y8cu+o>I5?fcr{;rpA3!^vd~#%lQX^Sv3rSzeC#N7^ zA}RcYRz=|#qJqrwNt+V+s5rn*Wb8wwzL0X@`x?3XcCso=pXsr(G?{wut^~bi=dt|- zf3I~WpDgv|lZ6@Nlh?7^rTuo40ptDLu$hdeia(>Idpa2qg%A<8KSA56>}2!qK;!Xv z;g+DR7Pfc9u|ni@Uq7W}jsx&s3ETH&sA3VTm`;ZBQZwX{UxEdDOeIBM3kWCoY2oCf zaJksi2E&{NDBrS{tarwtih#9IqKxz1m21@qucl9g_BF<1V#sMQnoJfpCbww_FYJD7;rg{0y#AspRGUsG=FOFrz-DrVs^unNLcen!&AVA+f@K;vB&l zb$MY3vKh~^km}#S*+YoWy_c~_vd))LA~g0dY60bmSeul7h&HzB1S3aXv;9@?hD9I5 z3V?i~w1SpG7|FGphN9R+f(REphR%T9SdEBp$zYx9j}CG??Iiv9EIpU& zNQ|{qGp15$n+9QY2CNyBKNH5jWks(NSUo#gT!1f&x1hJiP8OF=yWhuDQ}U8Ce~^s- z&B4Z#WJDFU>B)#c6_Mg{0vd3nIAeFiY(EL_oDW7ojCc=!Waa)9gz<$;n1$=$P<%u5N?AQ8s*YxqJwF~SL zG@M(UoQg_lKg&!dLxWU;^tYL*xM@ zJ>1$UJ0y3`g1=zF3&}P>4`1#Tqz9{ii}j-x_X|T6ndoCR=>tL={54^Q`<++V1`{h6X$Ruq zRC-0gN{UG#AH5?KQVc#ayHg4&2~r3nz*G51|3W#o)Af0WcuaPXj~`7b(|~MBRGS_TQp)eqa4MzT47JP2 z#tXMyQHm4IP-+@Wok)HHGI?oFkjXEb+dm613tWCn`gp$WJD`uE?$O86o&TNmF=pU) zp^vZn8v3~LNTH7eel&g50NJFE)sF?~;~F%aN*@=Y7U%8!0DVl(P^yNd$Ztp=51{n6 z(??k+{NoRAdh~JSx8WZ!Bfyc-83W1d9)Zm5lZimi=>`J{0mIuOcLeyyVS5OD+}J7q zs88k}Q!?|9*L#CLXr^~AJY4AGT>NPICeY4sq`Qsa`FHNs|^zpA-UkbWM9}MO`m)=A z?ZQ76sqv<0L$R>T<@^FwoPC&z{^l>C=aem!Dz&{Ezl*(hNcJK|- z$8?m=Kp*i9uIp1rbF=mE26uJffjX7XUz4c6egpcce(gJ;pZxC8Pg$>jC;hy%>vo}^ z%aJNb(a)~MLO*@*qv>bmtBQVV9}3b>@7>brXTuJljI#idC!egvO)8bGWU2Y!E2N*p zP#^>SPrYWV>ypJ!W7Y;f;H zNp0^Y9X8|E#fG|6{plOf-}8U}4(QMB9{nxN`FGOa7;H9$o$}kt$6xg{^mpUIO8&u* zroS2>TjZZV2kGw`G@MFV7oisCZ7V>3lQWd6VX2|uTcp1SP&xzsWs;9qQh!3!jp$Ee z{9di~Cs;Z!7fZevV~Qod4ClkF@`$8!HTw)vqTYs(1YL{!V6BD8#u!nekk+uJb2+G+ zgp!MX2gML&OZ%CYgKDTq*;J&UL!DPxM@0%&7^D@_R+t9DSf%74ImUV(?s1xGDFDBM zm)643r!{KeJ!cUuF+X+OgCV*|T*LnTgkBK0)`>p#vt)VbjLh=Th#sI9o@{0OSco_( zf=d_sqqRG!1LjJXl{ulI(9DP9kEW;QzbSfZTo9zEqXAedJsqD7O1WWY(9?1)FZIt* zs(_`|?4syt5=v*Hr^h_Ue2_RnPxjsTy*ORUc3`u%AUA9;yKoxK> zTQP38q1`{lzPGkxONTpJDkYkLppDK-xR@&wJ8HVx=+Tu^v<2iBWig!Jh8s;^#hCZx zS4H;+>FYHBm`Y#kz6NEM;Dx(X)7OI;O0}@mW#CifS3OZ66MfC!Hv007g1>s+qcS9n z?S#r|gH(14ie;uUWfe?fSc<;BptX40-_d&5O~c}* z&5F8=S?}Mn9`4p_yR3%?p)aZHVLtpwnbyPNLXJQFX!>fv%qPE^@w*^>eIJ0O($|ql z9_Y;8F|Z!yWGI!#QqT2P^fd;hGtiftVLkk&^yEDK9nn)a4UzNy`5!<}%W}30J-xmu zot~bC&odJ}we5@XUV}fHo{BNgW&QhYke*%xV5#)9ZX>=d!3$5mdN4z&7M8jUe2V<4 zCkkvkJ!yMoW_kUV6&^h)7b60S(&Vge$m=v(b}g`X({MQ+9S_RMib0*%J%L^MJ-@ZQ zKD~#~Qz8gQ(mE)KYJ9osOssX3t5WS=)s_jrcsBKNanhnVv#>W4wpskEW+)%yZIH<6S{| zIvRkb($n#u;maF3vVH#4KSQYkmRi$O(bFW9&OlGFS3TlWld^}-3p{Xd4cx#iN_*%x zrMJ~fzax6A-nfDe$~ z^5+KW?O6bpN^h^M$Cvx!g|{BwlcCfCmO2-Fi~Q@euQJkGx;@mlpUwrTfgXPuq`36* z0sH9%C>gMy*5THj5d0eSD)!g>jy7%5=kA)M3=~C@fkNk)Eehn($w9D@#1fhOIFe(w zbeVJ7fM9;i&hpnvf;R*Xbkr1eJ9}+M|3J+OUN#>PtHGvd#kn@lf4Ac&OF5J;2xc6^J$7OE z|8QTNbT9S!MNnT6{uiK5Dfd~hFsec3v!o{&Kjdyr8bM|Z_L8#Vq5!Ko^4_+{50WEc zyiGDzyyZD6l07+}<`I)OIJTR{JnBa>r9{r)BH9`dFveUh3?9?Th*Pq=qQX+vLja0Z z&8l4=j&hYQR$8iE=6uhzq;OQylGMHC)cZxOG*ATN%`Ipu$8*@i!J5bf&eVh1Hc}5x zSe9Bo^&aCP=PFF^XY${hcofo2jYlVD1k>=p{pZt8y9TJ9y8KV^-3b zr_QI;`}Fw{oL@LPbxfY0*%QemQ_H8`C(W-MI}Yv|pr>xkFYYJC=1$AGD;I3VY&$jN zhxP1u=KqqM-f?8+NE{DVj47Qs9^tK68WP8Ym5wgJFWBm6EIs&*tbomYGh z>Q+<0SncdLocAVTXUL>w_R_WZZf*XumCpEp`5t z?Eelx$W7q6{9zcHZRS8j1=N8_U?^|rj z*&srsewf}n4gy5thxqzsHhE~x)Q)!mqRf|JqjRTYFHp@_iO^RRE?6S+0=^B^rY=Z! z0 z=#Q$BoyMRm|4r!VX&v=zlj`!?;)U1092``Wp@UxkaJr5b6OuY;=!4_kc9=ijykE(C zk00TPxD5O#b-s=%SyMUe4R5}3gY%Fr^}}SMBJY7eQ+H>&0?gEG6rc51ufMP%nT!NU z^L4d7%)xwh?Dz+EcDqwwv_lrs#@wPO>l-s$E3-d_dMoGCCC^Vlq~_-vGr=!pex9f2 z=NI+hE5V7m3xUGK%r0xh#C&7ci_PG`n2#PzWoPPqe8NXCA5-9Z<3JxSOvX26K7q-2 z2m#aoWb08?(-iPrlc+|b<13LZE%IRzPd4Yiq?euj_s22Sl1|}cNg)F^kBj%6_Cql! z!CiNBUSdDFNmc{hi^&iAOPJLs&WIb6(lx4lVS#+%AC|B>^6Ln{W8OM zV)x(+OS_*qx80BtK3U(G&%DaOH%7sS9miPhfFhg?HhniE!`j0}CdsZ(xsFmv#cNgP_1$skJQI|o zAN3D$27y3%0_8FSU@iQIH}UG12){H%R_vH1#XMNrA2FAL#QB26+kjPcN`pF=pt}E$ zy*Gi6s=EHbClDkm`l7`e>q696iBL^i)I_LeWFl{LqN#$?pIXy`6zft76Tt-tCL?)$ zjHdlbrHhKz1*^7L(IO%wED7L-xS{wHv@Y*CA`ul3k^H~kbKf>IS#W9p{r&!bKAL&U zednHY?m6e4d+xpG9*ltr^exB_ohOPo0}SEEX7I!0iCmt3lg|;HEdo+62C1rttp7Y` z+Np|DNQsWV+~riuTkwoWfm_R`k8^U1C>&}Ry9AI%^z%NmCXE$F2-B=5hB|$>@s!4_Ts#pAg)RCS(@d1>HXW5mnn)s0e=HumV1M5CM zM+1otM@mxry7juK^uy@4*8komI88Y5Fth!k?Jd>-kNr&%oGHij4tF?l4IHn+*76EC z$E|qxz{wYOz-O-Y^1g2Cb#gzWgA!QV>*M9_$t}jot&x)p9HE39%lke8nBpsGNBg$d zkI2tSy)S>?c8SBU{W(sm2;95h1HSk10sc8+(=B@q$N|;P31J@uzU3udjTm&&6VvD* zXlHUr3G{9v52<3N_~)!X`#Hlfzo29mDsP*7o?gEF>M}jq|0KQq6wp}*0Z2BVh2LF#^}7Z3X}+;D_c7E zzmbEvsUQ3LHf!Lo{XUnwtzd!V5S35a`OCiln0c(^Q|i?RhE)<2!7bUsArnqp*3MX^ zm#_Z#UhURp+HYG1uF;mPlM|APmoEZqU`epAzGM1yZ4s)j!ySLLsuw88Sy}#?sGsJ^ zx>*)8&#=%pW0cbp6HB(nsic{etin1&$mfyK;=cvT1W983Ju8tP3iI%UMs3WDx{(AB!IkDuAJ5u|JJ>l~bl&(CbA9A5o=hS}4 zzg6WM;MB#8S3z3-$M@F0%7mSD;1QC8t-E@wLmJP<=!u>yLOs&M-D6qw3~?S3K4!J$ z?1GQe_6HAykVTDWqVQZ{E;q-2AgNf&--mp?pX%q1fA}!0Eg3SH8TR1U?&^(!I&3J~ zXmjmbdfQ6W1EGfKbdkK#!%SWDMDO(-xjnTX&p-B{y6xBBc}RcgStmQ(r)W&*(F;{1 zF;@Qm#C=mG9AL!CQ#6_q%PmqR<OclSuo z*JwXTs@sHUKQ!X;!A9&0zbv!;hQXvzz5Z40xA==5>Fu`t$WK@~Y(YiC$-Y#z4;6VX zWpgUVXo~3g4`SxYRpvM}>|2wL_4;Er>k%TWTdZo>0@8}^nT`I>iI7*fHM#gyOoP}3 z4&N|_V~TX7ISRILPwo5kuCGTg{Ph8F@nIU5O*&dHUW?R)-;$Jq#xko3x=&r(7sFgH z551aK@s8EW@>k^ikG}fQ2^MmH=d??Yw{#)9pC9!>pU3`@J{#V1B1yg1jaRH6@Gbk# z-8u0CwI#^lx7BF1zv$aXo!`_*XHnko%!%(~pSYLv9HXtqfP07U<^8CFF5jbmW0mU` zmG7QB5-u=0=u+|2M!bR!GK%iOcs}a)bHOgCFjl4SxE91zqzj;E`csgociN^SF@+6J}jpuY_5Usa&;&?Q}MieBP zj2|9iCyc>I=l$?Xrys^lXrLedLgDuk`{6EZ490E+wjsSQjg9iJ}wLMy!tIw2^=1WZ~*gdU3FD&7QLGuplzh{vtmy zjCo?^t&_2SyF7}qkD##(XsnHyBk*P!+z9ZfGaus1G~n&M0<56;HR+(4BG%X5dQhLx zxTY-m^WLxP;r-uxc>mi@-@{8(4%1H{C9h6CT`zu0FMk2v%xesY^PB+ZoP?ZbbquTH zIKApM`g{>Ub6(a#5D=yKc4NLgSh|s-x*$hUD)K3Ei6Dsz21(~lyH~#bNsjg6dyKVXBgbHb_JH_7rQ1q*NW1mmCrn}^ zSlC<%EnYPbBf!~9Yaq<|t+_=Zi|1SGMsKJprc9+>-9K*m`VR`_@L5O}2B?xeWQ}~f zK^AcF)53uNB&yu*`p@Vh;D3EVm#__MdO8?dg6~qe9XgyG(p#9bQJ^ISS|z6Ok;agH z0(yb5!Ct_{N6_#I80BG+LBLmQzpIh6u#5KF_gmjo`<3Dp=^oqfgRS2{`=Ob2^Hc=q zpd(u+7wg3@li7Otv(S@|^qiN`2q)_~uf)*DJso7^(ydg5`*-j1{X5}5g~5k+JmBHz z!lD740@VR@vIi70qJWMBQ0n1>*ZX5Hu_xwUQpM0}Yw|wUO(K_2;idag;s*pVQ4;F- z0|*gg2%_Z8c!lE+z4uTt4d``&!95>Az>%8~nt-v{aj|4g0oE}%YB)j;qt6=?S1M*D>TwwY#wLVS%r2Y0Xt9R(hDOO_Ll<&CUzDsbP z;>!9LW{4|YXT9yQ918Kcs%3RtQ^S4?r`oLVUx$MRm*p7MJ5rCkwW4N_Oz;Ep12zlq@#b@yKZ^@c(%(A%M)&sIp{om@ zz_G(YYp-ufw-$qZYyUs{d)6X;ze^vD^>7$#&^Oz)@2@!|I`n1O=Q9)~o!Dm)b@-1E z3|A-^RVi5RNmfDqnvQm@<%0agy6Nwz1cC>D*wK-C74nokv%}DLq~6Kkujn^|YzRFq ziw{IhP~>j)l;hkgej;+0yw?^Ppsx^DWTskc2X8(6=Z96u*Nv3mMb68Ru~cYWZ}_YczY$YjuR=8ojA zI*f@sQZKm#IQA?UPoXhGemkTLhSRAhf03gP9p8TSsVbym9ZJz)>fmZC(#Sp&xv)`e z#!m4tj@B7%;n>QHx4`;fJx|0O4ZJr@e>}C?3-*q;S|i6nD4%kX!LRh6;`fOEBXJ7` zC}iBX4O$R3UaCEcO^-@YJG6#11t#E>AHy-uA~#fxLzY2^jwt<3gaIc{K+@V%Nz~-N z=8qtz(+-4p0P?KUXv9d{6pOtv#$sjhQ(-+Y_I%6Q$`L>swOT_Q%*Z6iz61}w@o1#+ zgw*P#N3l2g%?|Wy!f&VFP@RY(4Tl7OQu`f;F7Q7st1`#&py%;)dM1FL&-C&&+RO(j zzRAI-I5eFNL6~-2w0yu#faN zfmX$77M;;~4jwjPb8l`@W8c&>uD>Xpj25p@Rc#h^1V>TT*-1E7s4jK0;>V2b4nG`y zfud0Kcx=^nE54cIE%$(E)zH)!m>PQ_n#mOz^0Tw=hjjD(l#K5;IrdrNujtf05wn7G8`BD9A{jyjx-Cm| z8%(H0GrBy_!Lh$zC=nZqc!hQJ-kEH#JNbWYBs z{Ao}idI9%M^qfFRNZ|^T!yk)$fl!G*BJp@1TBh!-V8 zKbbO&ZLLuGjkrW&sGw%f0p~$<<|BqqbP9ZQ#6Gz47##m`2t{HCqS>qq-iLCu_2yuZ z2}3b0>WEwK36^y&h(rr8VLtGg<>Q0h=;^G`CBu~*sr$m$;``qDGe zm`crC38%}B0z%XoXR_Meb4;B!B0g&=vYRlvqpCuF<3*TYfbufc0-nGt_+T`oH;U+u z@p)D8dx!|@=6Lh~)mAiT3*$QGg!WkRJ5fyZOm|Ll=&-gT`JrxLwLpDy0km731T7(^ z6`}6QAmvGRapL)uZ_yHmW6QJn4?3oz*;SXnq$NJ4CHDGkG8hvpNW;n4qRylV)ewNu zf%6@leo?!bT}ib3>DqBpOX^|Qp5mLlvywvwpOYMXyx#i}Z#|QLN5Nw3yQ}1)}zU_303dD?4V0}(02-!OzYn}&otnYzoH?O7?(hIY4 z-Ufn;L@3*={=bBK;yH*fdm731?nECDsG~Ae@kp5#bQ#v$=+n?@wFAIrG=6gUK(FMbs}JW$u|)r&_)#?^ z1D{@au{#ezjK9ye*~th#^a`sKQqKcEp2Kf=-@2<^`6qn-xaWU}J#~xUwe(~Bhj;$Ut-#HwW~qv;Oq$ce?J6*P{*H21BdB*qwxRuNeC;n|ugE+U2V-?r-6^ zUzoAXz7HQLRG$1Wy?YXS(^>svyQzP4uztvkJDz-oc0!FO{b^o*Sc#c=<8!!Ol^CQC z3R`*(FkOl1U&FS3N2>{G!Z0JlN@a{Vn-~Pf$Y11%o+4Xf6)T)Yh{grEDo7`FpBWKtP~irmOQh+i=s;}Bam6}s9aw2m-^xI z>tg`J<`k&F7uaUH>~R<{3G#s%FMBrp80jZs#k7gg0j#gaa5||var6!Y#eGla9*=Rwq-$d3 z?@k(t)32h%pVF3U4O}fN_VhjL$C&bj8er;s@L9=Wg8@+@_7__f@**I5S?0L{^Odk? zXX0~RR(Dzb@J(us-~Y(_Q}CXlu`QTvOg-fHZ>c*u9}sTLEwZnJm@_VbWqG_$&Gh|c zdC%@v3G>ePcR5!jxMF)`_Ic}vRk8ABOq4Y1 zIS41Sb7--#o!dd-Av-7>kT2cDw`W@Rizq^QgSeHhcJ!=$8{_L(odo$y0={$|@uSUa zcO*Kd9fV@*P!K-gDwK1&0GNFm06%~Qc+Qw*NoRSIj^FFB6}=M43O8b!k&DYp~t=gM3m6k;Vv)F$Z=DG%sRV zI{6-bz~|-!K`*gw3d(PB^63KxP}Y0*a^Oe=%Lk?CbLMCLu|A^auq=~*NZV38QKQTR z{6`oY*g(or@m6~yCSP1RU$Raw#$tJN9`_^qw)7U-ZOv;2sf&MLl?`y*yQc%aN%~Fn zj57aD3elr};dY#GXiwISXW@E9(aFOaXfrRMT{4!(ivQ`(h54bE;$?cyYnaj1W*#ec z>Z3_Gt?nZ*rHYmb%T3?43MilGgw-k%^h)kD3_DwxL8O)kA!P_8RfM9+Tto?5CO;82 zr$Nl8KXPWWf6iIS-0#xc_R&;Y}2qx_0Sk8Om`5ngr z_uoH*@i5O!<{qtJwoW`6pH~?lMvLEw=4?wn?%Ngnr(lueHz#97nt0gnS(`XwKvH~< z5;pZ&n2OWQVHgpt#QMVmCxWRp903sCinbs4E84W1=|IyX-rw4?9v<l$eXz?l==c=Pw{d=6qmUfRE@@e-- zoaWv8`NG-ynzM#tw~ubj9G@ggfqr)ebLV@x1k(+yJQJb3|s;v*mn2Ew`ExwMEH zsbbcJD5eGnGV>@U6dcZ%5-z%QgeUCp3%su1AE@4oybx75%8{aW`*XOy0fP9wiB7}Y zzb*HH{oHE)jQh9gu7N)?pT=F+>GvfW_hs%q;Rp6}D*K1^-n3nS=iXO4_n6=^D~dda zmCN1D3V+Fty06K2KQ`ljeBl27Hhq|N#2Hd;eb?R^3u&{lQG0|M_qB~EU^kB~g{>nB zLv169c-J<9yRH#h8d2i>mN~x_&adwLRy)6=`P(+4hCi(%#^V1=@&7pd|8x959{*p4 z|9^r1FAufOoWo)s>qW|3{O13sfbOfn=R>zzaiqyjjXsk}HDv3a= z%3`?9k0JIug;$ud7+EwSX7n%WsLqF2`_d@(@N2;<$bjeacS6_r>%d>oA6Zl#fjcr9 zC_;PVIFJ-5Mqp6$fM+8zKLYJCz}EvT0R6%5(?0E}F39@oo3|&_e;*^I|1l3km;R=1 zm|j5W#O75fee5sl$~~g6zS+txNeB4Vu~F z;eA==d)l)Y@|M6Dj!ZL#$dpTU?WnH6C!HBXrLP(E>FMy{ufW~Q$37?cJ>b_F=^ct} z-!th=@jc9N=kMW7@jWa_#(Q_%?z(Ueyb4twT~bDQ=ly7Tk9~i}`FTJOrs{m6IRU=~ z_??2^LfvetgW1F7K;5isl*=>HlFN{Op|7b)-U7uY_WAe(P@CqeO8{<~r!E1zX}-E7 z_=W0{;G5+#3%m<|mAoeWwdxzfU#~6+f0Mc-d`n#te!IE^{H7i1vILhQ8NHs5W^2k* zmle3oSC=|23)E#b&?=P6Gkg_w=p87}iBE|tOnl1JCGn|Hm&AvChr`ZlbxC|it4rcj zqb`Zhcy&p9Ca6o|GeunzpE|h=;N$9RzS7rD{5?D>1o8Cp20Fhb&TpCXTjBib&TqBz zJKFiJael`;zZ0C_De8A-9e?ppZ=2buu6WtxU(WF_=lYlP{LA_NdsTP zx^u}^cP?xEOSZc6l&$Vuveli-I_I)=W+ShH2G(u=lJ5OIgxrI+P3)RHtBx4rmUd;~ z2!9>M6YX<4_jla-o4R-p+X}lC7*GEH{>^CVZ}!YT%<^wC^Xa(lQ?jT0cgO4Kiq!a> z?NjKD_p|$xy@KM_q+>I2Ti+>vaW^GrwQmpb5v9gV*UOU*cjvpu?4`G?E|)FiIW1g1 zjG6dVJ{IATsIjtiLn&67zo^&SIit?g9{Y|zKTw5L=Gu}}Rq?WD%g$a=EZn)d1uBAo zQv7n6Dt6{m&0@X2CD#~)twFi5^3}ClqV>(W*nY@e6vnD(i`AeJx$N`iWb}UPQ>wienheLDfPC0 z|5cH&KbPb}LjU#RXMckkz``-k28dFuXt z_kOOrztg>+qwat2-Z!cH-?;aU>fUhg>(u=W_kIfReaTcF7eg(#$3pfkZ>T^e?yLWZ z`v(7>rqPc3_cYb^SpS|~w>{Fi=VXV4!&@2Xz0iL4FKL^l>2Dzy70Va--#78Tzkko6 zzn$yf&*%Lo|D*7$Y-o-e&&R{M@mX}?Gf%63vQDBM+LasKzDaL+A4@!Qf2-#-b4DSt zE^I~~&c}jbBd7LNz4$r3rK1-%;Tx;uJf4d9i8I~|RfIzIpXC6ieh5Oon*gf0KUX@m zd$bL-#I^qge~Ooh$IbL&A zDB7~QS7q@#k>a=XG3%@gvE3lj`^r$nxH6!u>9O$O{1cR&)%p7VU#KKjj6n2C0#5zI zYdX0gKbE#*9hG&%2F$i%4bjlk;UJ%xH|ZG6nzWElhhZ{))7`PqlERBHXSMI6*h`;i zM(mOst3svt!E`dnXp8r;-Z-;Y$SS@wpcDe%BK)JegI{;23;%%y-N2tl_^an;!rw&r z%UJEOzpI!K7 zckq8g`1jwD$)AOUf7h$s@Mn|9pF!Qh->n0FGz>&JQuXMwo>Ul1I&gu^S5rltPHvHCKLH@ggU$MZ2pPJtd{!7jP z{Jpc_=d}TTukPSac+7=wb_f3_gn$2^GWjF+?XH*8`E#Ue-wM*~+aLu$zOULhYCX`r ztHXL1Mnl<6-=3Y@9X#KjZTOq;!-IDr;r%Whp4hKm-NN(q)69UkFX5e$4o~dW-(Tv6 zUf*5~&4BmBQo!2=BM_ih?9

gXi0))L+x-y`1pwO@}A;=)msa`S$3K8SoAzyz|rH ziTzpjVmI{q_UEh&cuy?>yuH%l&7(d|><->s&z^n$P&&QW5#D1k5&`~+{W_{Uc)tC5 zAOqeY!W)+kPwdsY7rLR>w^tWtz}xU7;C(wCp4g`wx`XH2r+qWvnS|F0qY|K3?9unT zgXi0$Cmu}a-%!H4Is+c<&s*!dq1U%RmuJ9BEe5>rro$6^^V{y=`S#|}40wMeyq94l z6utBZ*Q!x(#F zqT9zxmWVon(r2_W9%pe;OVnu7&Cn7I?*J6X?p24&bBk&(HhPDb%J3FLZ|3dJV+_ll zf)8ZefwVbT;PR}s4k;#3{{sp+)-RaQ6YUgpZyh4d7ffA9T+}iJkO(eHnN(Kp*y>J24G0x33hGQ7BJWrDG^V8AkpK6Ia05i3e zEtWZ6z6iWDoOrP+=AsKX4^TTi>QTVh9OL z&$kxd01?JGo4e=jL2DtVxWQ~mr+JX0J^{>sS|3kQv zpx3E?le%;2U#ISz`d9Fd)IWtaJ}z+lrq_RJcKrxvfpoT*#7Ws`Tz6r_i}IMiIf?BY z)_ZjXKAg>rMLg1axkc7bQIigtW83vP)!CtRUH~4~aX9b*%DNMr$$&x`_>O>aWZ=mk zdOMvI5dhKt2aNy6q8sKD%BMwp(hjs~Pj+x&HA6eb%hpEvCT+d>!P2dNi-mBGI9v8Z zu~G{YI~`gT%tQ0|nI# zeSruedP0txzbvp$cm@=guZ$!2@O1@BP7Z_ft0%9^xBfhxU;-<+s6+OC{DMmhH(I^q za*OqsVL_IV9}p6|0U||yNc&E9F##4xNRH&LMDOK{ceRBfb}ctR2;mrRxf;2dCkA zJ(m%0yzpZC2&q}f{gzyAv7Q(jBv;Wl)1`0PoJ{)2|4m)4_oGlSzDS_}*J9?F0_zV? z?ZU>T6>%g`bsJ?S%z||To?{U=oA$lG<%W>Q=yG2F@ewQ(OxEO3D3<;@2OHS1g-0zK zY=iycD#FVPdXzQW3Gk9#QMs9XY&yYCsO}z)k8=M?P-A3PK|I z>r;XZ^Z8NQ99T=pS!`yBgI1&A93kjzvJH)EykuRy#^c3?zX~rdU{yE&-RH$4Mg}hq zd`|H~nT!S3Q@mj^I_Ztk{#+be2VF$GM5+Sa$N?mRu#Y_0V*S0GbcHX#wz%;5;ZafJ z3qAQb`|9vW2qDgu)y+Q@(NBn(cNZn^bIt8ro&;W zK%6SvaW2wAzs}y$S&0)Vs=-{>_^5$>1Lx;yiBZrE_#xV3ks`e@!UJj}MHO5K04`5q zh@a_tk%roxuMj85O|o99QmmKM@5V{8yP+tpfRbZ>f-9$d>AJelDzye~=&>VIL#pz4 zqc!z3tq<(6tam)s%3anAu`X(M+H?!-wU}zGF_*NEVz1S{X{>RE+k{yWE3XObfK8R= z#@#`U`Dk|sDyH86*IB|zIO_>?lag;|yA=C^xa6W_x;0risLYeIevn<6vxE@q#JwqH zh`QP4iF*43BI=m2*<#%d6A(*|huUwkR^3QZNAg~5@&JBq*0E1h)F&0HZ7SB3pV8I@ zdy|Y^S7;yWXsKs-VG7Qo$oWz7V2icpB()m)hFb{b@+d4Hj)b1*CLie+vt^#{OiW)Cqj{=d}64 z-mG<*RyeJWG1+yL1nYR=M5zNH^Ev;}|6*m?GwGRtmx21&Z>>hr4D4TC8NmJ;1z8c0 z4$qjJuVjN@OSci)b=lAwgU|*Ap`pLc=ub27Q!tOshB+h%^OYe!e^qx1JBfoic#a3w zLYG;s1K8B-T`JqGd)zOa?l-OcK0ySH8sBE3^DN zKX54S4F78DJ3)r^?_q7c}e0CP> z)|MdsF6?gTCs-HPy|dw69fWsCSMbC>rsL10M1HCWJQS{m--B)23R@*ykkgM`XR{oU1k0{r=dj_nf1(>fHBzv)*%vWv#_T zmcV~+Ru+DIUoqTy-!0hsKh}Q_`IGtX2D}q_p?y}*tO;)U?WRXB-Vv5PvXk-^ZuvI9ywiSCf1&&S zzKr+gX$#?MVMljIJkK1S$MXXEGTa`aSBmwRoRb}3B0$y=*NowL$hGR_JHSW>PH4!P zp4GGR(T{qP(JRLaBSIxJy?LdZ>#xh}z&=*<)P;O6HJ3KmPs79gD#}(OzdBto?C$sK zAF1j;0Sh!so70M<<9VtocQEk8DHpfvZ5)D!q?Xh@$fvFF6|7Qq@GfPH!tFHhfb&v3 zNFx2o-`OhuKk+NY$yEN}4v7UM)~Rk@LQux%uoBF8Z4AxZqx}D5p1+Q`IVET3P=w!rf`Dh~^`KZfPXZXW~75ov{fTlx| ziNE8>(t-QjYNd&8>rE~1k&44P^ptU!1Vjr##y~DAYG6CS#~q8UT5h1@R8S?1hgw-b zMI{{des&e8TjY>(1r0e!>F-nbV?=!UD2LSbOb>dRAU(3@_jBvTDxrvWp+|}i?TjL4 zypm4ORX|QU!oFHbZn5KU!SHZI(?AWUNfIi6rF%aU$(v2lXODgf)PR=`?hb8 zzMHO0qwgG4Li$GFvDadYeyHgC*>N6y_bpQNor6ai^o`PucXZ?8^)chpO|j&i9B;>r zD>2HdMZoRjm@##SKI4PAc#7QeqYAXgDj6-qVJS_$DjA6g8I=p{Yk;VA@g05AIqdnrDl{tb@i~{Xg`D{K zzK^qQHmdme?uEEoL~C{S{b=>QwU(t_IOio4P>HiY7KHO-!g2p~1~1QnR~LlW zI|y%F5Z>NFc#PL!Z4xcE}Xw&Vef6y;mj9!MF+Bto?K z_2~1vFokjtS%~lnG9X0~;7kO;$jD@pvpjX4qvvwemE`-=typqmP7FB=(}PCtcH4+2*j0Errs2ZW#0Ay!Cl^0Lwvc* z`v~jzxj4u9=eULI;hbXfhATdDewsSoY8On$Gv{&X7lVyx-xG*Iq4kG+U_e~>?0Cs* zilBOjbpxK$kQYkh>&Ph|OAfAQvm;2C2&I0g{0L*CwEqg5`=7Vy$;0Zcg}f$DaWDcR zU3u_MV&$L4FT(NI;~7k2o4O79p7k2?jU<|lW$^`BtPb-@;X<-qTA+MK?No5T%vc*> zzS>9M&@3*C7FnBGNPS-fesrWB@_2Wh&%5dOlW*T+gwMLTcTR{Xre-@*a{WP|TKF=N zR}if=MQo8aYZ-n$a3}lVw3{z;nqshk+{XcFpUcNkiTP|7T~dDD;9s_?Ci3(VQByf? z3xU&C*$;^2j@zxn-xL^__9Rj@&;dEwdL%BHp~FN5Q_0ey8&eCkL<+v4wP+IB)2u~; z5oB`f9Yc1|YEd0TNefGHD9Tnbxm&Co{tVFIZ?zl&8!I6ZSi#B3TH}rI61EPvXM>FuE>f{_^hQ%lFfB@GE${Ur8YR{M zpr)InN)&1eE7^zSxwOF^`Jx0XPR4^O1tLZCKKSOJ4S5(4V6 zLL|kQTA?L|1S-zA?wu$VS9DUbfbc86$f;OVUMhYP+ALksrDYHY>_syw;r#ZN>jFrP zQ5mzwZ6YsEJnfUg5Eb~~Xss%6eWRW{AA52U^F8q}z9Ra`O@t?}zQb4PQD9fVw1%l5 zASh(xIZXn&y~;WS)0Os*aqA{T(;9$uNF_z9M*dOlCb696)B^iMOhWiDSEK46%wrtE z{e?9u1RL^g-1;zESy)o<66Um@Bk4tjeLt%8;myo|caekR6;>a@s|w&4uMEJ#jPcYG zn<>^v(J!f8k>7!+sDlqCcA#%Kuq^d!7PykPkMOn)JKZGbZ+>c_XYK4#eCFRx;+>M`kzX0TF)RBw4ycYxCP^>~y@`4Fc9hHFsiKdbomQm#7T6pkC> zMs5fv#BFVxk2x%rN%73&Vef!VA-(r#4Ci{&jvt*qZpjEnD|@!I8ckY>XwO+U`pUba=}>PKxp zu9NwEYZ6nGA?B}AJz5P5=-Kp^q+(=0<*^DGjrWe4d%s6Ub+GyQ5 z0DcSo)9?k#!9YSggAQtVWTo*m+>DRmM6SZUZak?=OHciqZahkd5fcp&L-oBzax@+o zv$=jF!!vE1eE}X?*PuD7%v#J>*3M_HOtdC^)rB0l0>hZ5w_ErA8K~8NP;EVfhS+dr zKHb#;dUEPq`klycKDWwfFKwpNy67Z)2BWiKSdmqC$nK8%9VZ%<^R%1MYeQe3BraCt z>%ef#sChAyCBY4BsF-~OzKbUNW9jvq?RxRkoVXegt$!CwW^gv+qXY3>80sA{tL7~^ zUaEL6I%ajmNS5IZk1jBhC4{}}4{&%9vraVYraXz5QY2>1CXD$0vEoft$=n;m<_JA( zgu->x3q$c!s<41L5e16j`p`mZ$sDgGek5$eR1tcam&HWZpfBKHKj^kMRyM+GuM@AmQA@z}fx*0V z{e|vi*lK_Li^H$LnkQ|CC%I|t26Y#DNpmc94j z-LTX1?BgIhO26uMo}k^d1-I_JTQc_A! z7as{hnin?DiABu|3ZghM6pPhy$~0!Cu@(a|L)nfQ(tI%_Td|C_7;r%joF=Wzc{5^E z&4V=9zx3-5n|sw?IWH%?7`zCDjb016qA4}oTKroaeDhd>Y?eI5kZQe)&BWoysnD|Z z&Z7MOi0{)G1}%u>MOcYay`hTv@52N?ECl z);YHZl$B4QtSo|-C5+CM^+ZwD2vAhYdJ3;xWi9ZPbw4WWet0Q1QZ#Jqz{g z>!*y)onEsMij7`#JfI_%1Lc^CKImcgt>`w#3IP(=F-FbH>NBU~wN<$tB94eHMzJ5v zC$OYmO`m)Kdd{%0UpK1i;G5SOwX^EqJu_PWPJ8|CJfpVJteSav zSB=3cpI3sN5b1z$lgKJ&{(95zgqo#$)uj`97o8CP`_%gAWy<%|=DH_IB7{3ql#i+q zIQRm8;k?9*ohoa!M336Cd!dEsh2Rb#cC@he%8VY!=@)nqYjSEc%{@*hr?EFSq2FA| zzQVyTip8b5esi8)|JJUsIr89( zBFQPeBF5Ba;{fygeB(#E?A!4Fgnb z@17}A*Z>i1g9xGt!$-DZc-k1!Zo=#qTDi7SH^o_Wg;J!s^2i+#^C(w;LxFElfJJLGE| z_E&08YrkmLq&W9 z+oOVQ|0qeLc7&lARD^(XP}HFy-@eFGj5ApCV+r!wUO>3&`ydujAwlF&yjJ#@!7^Vz zKFl)So$JTfw%4<9-qXL#UgL~aR~!DF_B#B$EAG?L1VM5C&$QP+Z3)=xAv6Co>@~yn zPjL`nURL1ArC}ZO=+cgk{qoS87~uko`7N>PHDJkgObv@br_1xH{}y|{3JGKXt@i%d zWxj;}2ig0IXI%yOKVk2~OTH0%|62Y3CVQV1ub=S&ogtNrQbP9Eu0q%11c4V9)#0Ut zsta{Doue16u0sG(1@7;L;#xTuWd`mis1SKZ;C{8qzU%s;3F(1*tWXLB?hnSmGPekU z`~6kmes9O0_2T!&#_(blx<^2}h>=MH!R-R05|Mm$U13z#@Yz!2W$M~h`X48;$qj)yRusNkL>M6D`J{0y9-+E{Kiq2 zRy5ZBys>H=UN%<3sqT$AcNAI9B6fbu=LLn4N6a8a@Uh!Ks12qi4{Q3yN++0qeeLaGB=f zi9b8=Im>&q1KLekP>KpM<8UI1`Cu=}0DCL_E`a6Y0Bh5Gm?ur(i4XR78DJkFe&$r& zMrccuzlPCOTWu92$n~J_+a0~^B}f2DzKyNlhVNMlL2;u!-QD``+b7_Ng5Mw zO9MMi2c~8ANAM9zqRBcCIp2xO?J{^gd?-5;@Nm>ra8%AJ#Y4j>xt1j_bxJ0khfm;P z>Ry?l*lxYCgD^K*C!wcLr8v)-ddHuq_#=q%=Q{cWkbieE!K!Hv<0f8iv_9&KBXZm+ zW4kXu_U_0&|BfS8AJo{iyAE(95W?u$KJJ4f)4!5^eDnN0vyb=RhzkB=?c<@KV$ba3 z^IItU-|^s=um|6~eY}idzj^z3Ai;hG`}o?M_%72vo`{ismVKPhl9~4Lk9g?W$0h7wVcNdk z`)k<8%doecK%VdQFR_nR?tZyvWTV`S57=8`C^uS9{zgS~vBiwBVt53vi02dtrZp7J zLuoA1`(!DOFks#YOfy?Ef6M7+EYnL608Uu^6hD}89*-I4i@EwpYxtxOrYp@8u-a2O5B>m#~KYqb6L`yRDlIP=)Xo;tQm_du%;_&<|5ZzY&Ir6v4ZgZC5(Y#p$009 z7Jm?J*@cXDZ)*)FU_CRo_M_zDq9(#>Ymi^ABD2m$dziy(5aN%GX~$_8NWz8lG_;!_ zDp>PVrRksO_16_3=84m|fQ9<K+r`TJYt@|LrR+T{p3)a<|^HyB9JIYBNvU3z)go7jMN0O|N_=WaHeJ)-^G%(Sl)#T zZAm58z~@dLWHfgat=xeVW5zXww^bg}i>b@bgKs>k#Kpmi0B6aISidp{i^XcON)5BA zIad#$6P~Ao0|VW%I7Kjr`5di$p>shIk=M<$adynpSD%Q_3JSG`w|j9~|1H_C>3vwd zhRd^YfQEJHE`Fju#Y}_t*cIHFc~Mby1s0zc!q1M4!Ro<{fFN@RE40M>kaPD7Jvq&) zXuP6m3Ri<*kjC$D3kO@42x^JnNMR+c!R2dO;vu}@{5)!lK*P;hqR`%gR(B?y{F8m8 zpnklT7)E$AR?t!eym#T3IlRpN9y~H{9@`aF)wq_)!H#08miPq<+IQiPH@_xqh5|O= zAz6(vUV|_c=R`qHp;g0_6i&pk%{X=%)}h2dmi8z%jNgcth~uEX56JGYfb2Ffv`X4b zoJEtmk<%8LQ2@$9HhoEl4#bUX<1Q{PEFB9;JuI{vEqs%Xz#HN~WFb)GBT!rKG2>#? zX3v%?D^%QnI&Q!NXet%XQ4ec1sd70ukRR2^M|H&696sJNqUTj(y+p2Vo;8|g8=Ryy zjX`>mxk0P6><5@G&r|iSSjS@>4u{@;9!qcB_5RKgSlZ1z|lXWvBtv#T zTrI=}hsg2o1-~kHzPg=X74WNL@x&W3rS9=B*K!b8IU0QtOjCY{$tKtdm4sHp+DlJZy8?$x%4R2eKQ#*wR zlvvN%L?poperJUa_5d`F!nA6Fwr+MTFQ;aUo|hMB@Te)?s^@+ zGwsb8a7=v<#CMU#B&X}GpRLEW_L$s6OnFs_l)4G}8WD4R1@sa}rc5cT8nYQ^Zfi+C zj}_YyqcuF^?fJODv~E(Sb!BxHL3`_f;zgQT+qK<#U`Z|(a_lP9+lJ@kBcPgOQLTaS zFukN`y^Zu^y)*h=b|tdl9U`grF|5EuUIW(AI*XvBY@>Wwdl1X>Fv8t#;gu-dn1DMB zPT$5EV*Chmj>iIVB}%zV%Akn<5d+kSv3t|w}JBzGblVP z17n9D*sbAEIZtiS2q4`xR~jqlpmb9@iBG_kSqrZ0Bby@B+QRV2u<_!kC-(u%WrajN z56xLDStgiZqJZ60#*sNrBB=;ER-}%FRkj{pz!qLy#J2AR|1XZUeCjT@;?bOi7JKT5 zRf)XHrQ7`eGO=M1Z9}{tgaEBR7&q9wL}x{9nv3s^>;4__lS-S**4B=X4P77=Xbp%G zLRe-MHRJ30y|D;>#ds_|h!~RR*+~L{{dO=8aCgGkL>Mm6>MQV(86wUCwe~M+tp@Bl zobgboY;F8@wVutbXVOh@_m`mYurZJrMvQm0hJTI-) z6daMf;vwvhX+9%)#rU-m!_xk?a;O?-XgB{5?{lnjHB8Wwk|-LR8PMq1rZ;prFl+)$ zm40@3et0n}UikBIx}@cEF0@F<+`t9H)F`OQ2e7yUgB;1S;l z9e){RY@l`S->IuDu3zTL&A#Ct99*h`r2U-)c4p_kUZ=ybR~~Hwkvs00xAS~$Ya=P7DW>CGm`O%Ywel%$mPHH*|wYSvCe?N_`Vl2*jUCO+Zj7Myr@nz!?Kg5Xk-w=;jf=>Dy zibotRLyb=25f|(T#3R-P;t@y6aMk^ylX%30JJ?czc*NOGJYsbq9NbCH!c-d_?-b zR2x5Xp&c_T9(F@E}<#3O$DKWMJ>c*G^-gv2A>soA4=#FAC`;7i9N8u?ZC z@rXy6?x6E{#I;xqq2dt}E?4o09msVA2gGW&_beV!_8KTh`6iSP#v=;xJTo4FvyHLB z5+~_2G*{vp<2DS^V={Ovs6EPh=3R7&aHr+aKKl5D5U_#V1MHl;V-4NjWeG+;)cVXS zta3+=!tlsg^3wU|VGaB|s;t_37ER7yD|b&a76GRTo3uEHS6k2;lU&lD5f8D>zh)Op zT36kR%CXN3lP`MmB5X0M|7UyD_#f;1Yh`y5q7)eYR?g!rM)Tu~u8oBjRY+BDaJ$(7 z|NH_wSWcT(g*CX&=C?WUXWcDMX#o2ufaYx3sm1*<*u(~~0u-xNN}CCB>04hQz=-kl z94!23V@?gMr%o`q!sfUWgARmWnj+?pq0%_#o)2dsM#P6$M9p%tyN_#Cuzdd@%=V0~ zds23e#HS;qLasQQ0HVgLYSlFs>?^i?W<4w?l~2X!J;CUKA&DV?XI&#J&wc(5<%#Q!wbM<$<4O4VIDz+Lg4k*`&e%NmMje4@S)w*mY)I$YS zHe$_=WxT>s@q5<8H?mQ;Sg*c|J>l+3h}0?+No`7f=7iCL>wPImjciYIY9X>tAl6ek z5D7L^1f_N^7Q8z_2kfnAiWrr1AV&S2OdF$Cp?S=U^T8|zb*!Sh*+>%S!4dNJ96_+j z+9pWQTrUcBMUiH`{tx>8@3c2G$4^11h2JumU{=mW0*rKqA{J+iTBs#%M<64Esla&d zB77<-jQZhAoPeS=OvjKDW54))80%sfm^ujKv=PSjm@mVKiUazp@?BT|;7l|3bF-xU z<@npEpKLT{Qv%%4b%`mrA(Y<=+t;UI;JudUWy?ixHoLM zmAIej1D1_zI%`biNnAM~@#b>AQ84xLWx6(EtpdA!;)|q$nUIh{MQ{mUH73Mi zIF<8AVzhomPPF_*t^OJ5>!;3js>O% zwP_7pihgEt$UV3R869UOM*>k|Fs2uf(9PWCX1|h!tTEottel7BPg(=)eW<)eYj_C1 z(fSW_hMSQ`Lq@Nv^6e9s3R7l&0;qD8INm`ogQ9ncnR~pCLlJmKs$%Al> z41|QW8yJt0_yE$RCN{u72up$u(M6+|{epN55fbaN4AQPfx$$aNd9_3fBx)d6_Hk%EXpsFBe*FS!CnkC` zT3u8R6U{4AHc~sPV{_4#s@6ULmyR{#_&C`N~NM+)zaK0=TXh5SaC?OuS8o#>doGg8K(%`m{B4i|H zzTJayRU5H+18vmq+&XPU?D)2czwRlyw@54!w|T&cZ|ADF`OdA=Mg`98e0l4fnyVV) zY!V=fI@~<$zW9FN$7r#=-1lC-y!Ipnyshv4*|(5)0Y+dyeEI9~Z`IF|M$5G?hia() z2~kp#!+rMLy@a*f6llgjDWOJnzCD~}n|ymja-C@5#dx(4#X|NdTq%yCagXo|FC;M6 zssm$cuIi{@m*_w^DUvoLtVXLuQ#{x3$r^0c;(0kPw8T)nN&N{(Hvl9tRQ7n% zFO_XP4aG+z8u_po{tEy7h3dV=_x6jVMdkB>uvZh1&0r?;|2g*}qV_M8<)M|TM8%Fp zQFhm{ce-ou1YYV7o~e1;W~Rt7mUM`9p@ic^Wup+M87*1pgpkB6W_v znZrkpy_xG=Q89D5^@26?RGd-o)1*WT1M<7p>G0^xN4R*`xd3D%!sBo@O-jv&V8 zzVeI&D@1)F7-$n1iEUyee$t(hcotmojYJf8j*&P9mz^64@;G25g7%^AAth|hC_?){ zmMZ)3?j4!-0hwz&XAbh2!V&+!@Eu5&b1UbM3XB979q0i2C~k9zuUf4fU6{#HcQi$)7ItcNxA=`nw2M zUq^qx_h9Gx`{)B-Qh&bhE*kg#L0MJqnq`p3-M5UrVkc ztqXkn7~F#VT>dU7%HuDBA4-kTabc|KNH-^FD|ACmi|WR#qPYMOfgH@kueIyH!EjEO zKn0McheiFyX<5gKSc?O1C4t&&07r;7v2$5L>+Ik2jcV z)AgYjA=`$kZ&Db`Mb6-UpAgtkzfUgbyYP<8z(Y~-87s)LfFe5MTn9v=xprylDSXE% zqG`uXW(gnQYdD<3A-*;z z<#@hPF4CPW&nd+*tnu484rT@CfO88S&S?!H&^Qc-4D28%D?F_1>ZlJfy$w=#d-H9T zm>{mi>}29wZok-ofp*AgXpA#fLO`*efNKMF#^P1~F<5ViMaBW_)=KsP_8A8 zVGl&_s7SgZcObF^6?%QE`UdZz#)^2G=P3B??bUaWi)Va{Q&Z_W*%cvpb;>8nF6HW- znj;6M&T!{ls2cT8wL71ecGwJfJN>NxT&70tKZ$CNr1J%RksuhC3(Uob5i~62jgt$a zQReCSt{~y`1?HW~qkv=0nvy{AM}Nf8iQD2JsfiGbHmKnoxdOuQF>yN&KT)G^*tj6i zelrUTc;#JVfw(#b2C(o{5R)AN%)7dVD#F=Q*XRyVtGbpRVXj;^)d?cNKyFo!fPS?? zTyD2FozIsZVIzw?(m`HYom+WqHc`XKDtYYt$nx0tk*SZU$n}xMbvB#W zukl-XY$_kw<7GbqtUAALdD$!l!OL%L?unNZ6fdU)c==~05lh}8)Mym!sBGB*${_aWB8j}gumDy`Fc+n)hT&y49N2Vz({l#OO?6)dC{$i z!Y;W9e_-l#!)6LT58*EL&fw}1g`G}b#Q=Fue{b1M)?Y(f&fPEVfgxuVnmrk+d(WtR%MSEg%n14 z0gOg7vDY#NF$U4feaYENw1qy)+e2vjp#J58W&qd-xrJb`lCh;}^KvS{lr zEU70SfkgWqc$G=*pCfs+iKC;tdP%sd0Tz_%thg zRt4~xgL#=WKHW<`ky##}e00B>;!ThVhX;%1QW`q#;N~m576$MN0WXUAm+oc@yXa=$ z|D-4GG$}0R1hBZ4O`P6Zor?xTY4pULRs*B5nhZXs*h=kKU$kF(8F6{l(c@O84$B2F9+VBrZN&{d6zCA4*`Y1iT6M-)58Y&2A}LrEcevRM56o-HI28+x6;pzVmjIx?SkpT5>B<3W|EV zJJrsY@S522lq$FJ=s=1)C5X@t<-gODWX}Z*U^5Rtt~)gs%lFgut0uFN-FDUC#)9I3 zLcLV(K~ugV*(hQMJNobO57a#K37IyTVj2gIom6oc+yucWn&tO z+QB8bZl{6UyY^u=i@t3oFI+YpbZ7W zJ~DWBA^grOaJ*Y!ES;g>7tyl z1EW0r9?2v0q16Zm9Y~ydNq`EQoLHQQBhYd^im^fe^gB2%4Mnr?RPI8k-RK zR7=G0VMg71T?xc-wEi5HU~#fzkdc8d+uaIQ8fx-C5@vu_!*4{uA>6IaZL!d zM{4nm2i4S9WcuVmJq-*+lm#gaRP5A-cnwjhK#h2!m;J;E8owP^M zzYR2?3N9TkUTLF}}hlqBZtEZN!M))TA-+tX5^B;q=Zumdqz5mbf-`yW4>o@XfDItl< zX;wxuW~|m{w5&yoW=zC92LnT6>`ujqZ1QV5(_?jS3Rsq8lMx2?}O{nI87EeDvL18 z*d}~42ir=pa~`8UJ-Jv`Q!FVYD7+>}zK$gqNKxzQGZ1!2j<_P4ykG*vm_!uFCk2OqWRaIn@d8-tp&FTw~Y-&Gx5`6F%K7&poC>`fO8Gay_BH;3-Dzs zo)2=%v?b`;aIrvkQ!!!d=a{+D%7RLQ;zfcGd zv<75D58=S;OE9P$2x_a$pTh{Mc>)1PeM~gVOgzS?8~pjHyWsQDT+RiK3ju^HH_}J2 zpY4kg?E3h=Jm#oGyWs$SAeV{bP@c7rm3sXYE9dG9TsD`kTSBru=AKq6dK5oNmmd69 zG#|qe@}+sM#r1hk1G%4Yyk+^?4jP_RR(9?55*r!fb2!2rK1%YRveRgt*z*NeEF62-e3 zQ+r@^C+*SFV|(;RiL&LaHU>C*!@#R{CVb&18Sv30F87)sHk3Q3D(ez2WT+$eIrZFSV1F^Jr5}%aCPA>GL} z7A|>mou}O(d1T}yuLdSsM<7RQ7zKRMp-sd+=lhjY;jOqz)1#lFX!rFUh7x7VwFI{O z11J=DaBmED#3fdM-TBW><>Gc2hVJ3VPy+B9R&XZ8!L{=t?z-M&C(fmJOy81Fq2sej zysbU}z#|sNce$g3DHyth~0>J(AmF6+OU=#y|l*$i^htkiV35U8r|+^ zMv;6-@*4KZ?VL`)&P__c`{qvM7lET z<*YR7a#GT^oaJoj{dv+_=nGrHZ(Eln}@PoG^HihDiR}(N%Pta-6Q(u zzd^O^qcLD*f9&yhG2s=6Fx^UcO1SL%#1IC`-S5{sGgz;EBESaPYYWuOSpYqm<(x78 z-XKHjRr;~emuA&K(lgJ(2RNOkq&)X8T4MW7-<0sAp^i8v5nL`Tgi&@yDIiC>frENi z=Gd3wTgSg~znJ99#da{vmy2HkGvMzI^?$;0YN-ESTDd`h>B}1`osZZ2r^NPkzV^;b zb_D1Sa4~F7uYuQi(`9Jl2nSzu-*VXKF9}cT2qQQoR_(qEZ1v#3koEj>5wvd-6gBCK$E?t@w)1o_D_B=^y>XSb|tNFoB?wGCs*8`_5W**?)jJH>y5 zgIJ>@1MDk3VcmVS-=6lbGQ>xz-#apg;x_Dh$gD&EbBca;YOY9RgkXQi!fFc&Yj-U$ zXOKBuOSA&CopP{FHwOOzi(D*au*!WpC1ogIE^`-s-IubvL$TeiMN|5g8D~v7@>AnC z)9Q@c>`C|rG}ipQ+9-=9G*GmwH6`<;TEYYgK^m(Z6jqRIc1sz&th3;&>UXKXg4hZ z!YifQToJLRgnf8z6|lMtQr2ab*X@rWqX3865Z)ebwMM>E z5VCJ%-(YqM_qTi%kT9iR2W7~e-GojuSj=889bf>YZeLBq9;`*T|67DLSjt#t?-q>+ zKJ4t%vg}fo#1(nhoA z^Kzp#`+*!k-`=r7TF1)d+=8MHN$c5#p!Hllv0gl~PhivS%dSe02d|c5hs#~*B-_^u z(=(~NDYGeb`}S;nr)4&5wS5(&*xR3?*0*D#;NKWOEyAWBFMrNIPT8~g>9dFc?Q#5c z5eMInrRh3;It$GH-xfbz+)4cOL{Piu@zcNc7_Zxl@j5?#dIUfC((%)dL!li|ekXo< zw*>I^EPgujWbybA8csaLhC~!$6HUoC6+gY@1fUiG>V_tyl3-|JVm{qzKYqFa!I@6t zr@!;!r?b97{Pfu#+v7;K$JdLWJ}K<)EPi@3XzV$DI-8}sjh~kJ@zYn~>O1k%$r#wH zsA|Js{ilD%Wng^KN&Iv$3xB!z=@JRIEH0W0QR#eMU;(^LSFRC1{S>#9>pabmpZ*N^ zVlc8N@zbkNl>JH9^%bMUe@6WDt*;k9O<*A3$#`cE;-?nd|0~5$Z=vRAkFi8-zC!$T zN{{i=BQQ{4{PYa`x_-hFelQ>qKaEIYOR@twp^_pj|aemVikNw!VvG=93}IAx^h zLDTZNMoR9}j1*!|-NaAF&Ly`L;e1ktc&>RKm77P_s3hE$4|$hfD=FE zek~P0eI8dBG@1F(U3>IYD}PU5Mbq12a)r@kkCvKq5zg+U&Lk#$ztec?p@7*#W3eT*7(g$Fe4=-(`_qp)0j5>Fj0 zV-k0iewE+)7}j}F4aQS3p*xrpIIB1UaH6S;aqUD?+fm$!rZ!>N08L{w^^Yi^LT+*- z7M~)TYN4!MhgVJNUE%{=3xDj99CCCOqdb0sic$7Lao2t>^zCOLVjm2qz9=C(C1B~n z)c4XNjNJxPmuE!7>|q>pcZF!;mkQCbfvS57rj`ZDrUz4p$Q!qbdJd)@EM$4X)SL0S zy(=vO)oCzwL0UCk22(FbG1#@4kSC}09>W0852nuJoeHMjhD+6(nogi?u1KV7`%X`* zvCClU3xfNe1yer;?O9f&hhXZ-0>9f}>hYc8e~N=xBdB7)fgPtCAg~@r;vZK??Xel+ zqjYlpHy%v=7#x>i>f;QizTsea0nON?O zVCs39<#qdQ$Y@r??;7?Eor|Xg4FeLU^eZnz?!M7rYK|DMw8&j2aoC}vH)*BHNCtwb zb)PanTzW7yhNF(sNYw34gQ-KZ!A9{Yi)Ghlv8?l8>NN-&b{#VQci@ysNM^FS!?++n^`9F|-E`Rh!`{2VM^#<>;}a4g2zW+C4L(ZLR1-lB zikgU)8A#*|PBaP@T3=WZsoEN00`UO^XHqzK98JA?wYRplZ`*3sUW@o536BJ*dJ#oI zR3d6UhX~>eB2@T&ziaRFm?S*3_ukLF|G)WsV9wdEv-etSuf5jVYp>0IZ?H}D*Bfh= zF?9l}E`zBS7zJO#N|JRP<;}o!b?$)y`F*V%H^G z{7|{v;4ThM;|KA`gBlO<7l)LzKQzMUSHPFDn4Xq3;_sRIcb3TDex;bzVp>BH0{t?$ zQeU=-FrkMv{=+oY{?j}Q!<14aOvG=Q{qhO4p}O%Bu)Ld@IKzW%VzH?tdZ}*<_q?t(+&9N`< z3-JF5QQC>u&`})AhxXKag7moB1)cnI)T`*gzSgvM(t*959@ciH^*oPwZH-&E6?JNt zcE(-d!WoAFhJBz*cr$*p!5qsh;;Jvuw*Pz))PU5x><<7xNdZ0w!Y(;GjxGQr@yuif zX#=L{;VS2cH?SWFT91gMFVM3cz}pu(2u8Fr(Ka)6HeK8!bRfpgFOV5iA^X%Bf_Tv+qI0T!B|euP zhl#K(o_Vkx#gZGxCU-;(dHwmpBM&KQy5_xWU~AbxJ_& zSqlds+Rbg)oq&r3@`kO)nFR6HHX3r^OJFRhEX3 zyZTiG3gY}sHB+P_=#@h^W%{bCw}BAanyC}Twr`6imxk+~GeLmZtOLoB(27Z4g+}T$ zq(V#|33CXYXb=YxF-jZjXBCDLeMej#hc`LQStBktjnq|Q*CIy%u@u9X@4id4EouyN zhLF#+*u65j zqkoa?JiwTTpp;1ag9gv{0ROJwIYn57JMIJUksiU*C#%>6K6u{3S6%R=fOG=8XZV0c z52c=w$G<`w=bF+`c+qzVU(#X!GvHv}Q*8zUtHiyTseR z%F%U7`Cn(z(g4~;Tv`g@#iym?K|q?8p5!zU!XQN%^AM;Sjd5sjmOa(D>m?8d44LeU zUw^*uTJ(Dq1X`!UxzyDC^~+7?cT|ijaDKO3NcUH)oPR<41g4MJY%ft5huv^SZ$U7X z)!SY@kiGFMxrR<@BxoESj1JJydAQiuxbC4F+PL`>=|%IKfY4YMYU|Q3xod z3Fsb8Kw{`?>qP=`o({HC!}b-TkMYtoW8pDuZlw!+!OVeX<_h%Ah(6sSE~F1qvVGLPOCdkw?k^D zn0NA^WA+d6amUQEHwJkXqHk*2N;QIn%7505_KkaRp2tW{$Ha}6UsjZ7q^9DL3x~B% zts1yq%d<}+u64ikckiOjH;~992mgCwyBlRDsESDq<)@k{Pe$47`Gz>rr zr+*!b$a)lA6qXTE#3MVu069J-P7^Y3Mo2htupm1>F{@Y+pri?w{^a||uO_1j?sJh} z$yom;Jj;0l`XuLNW^|MA*uMhcnb9kp`@|F)5xNV|%L_1eD!zcrRdJ$2Sl0HnV#kUb zl@JDxbuOTx)H}}yx|RCQaYPg1t5p^XOsnGM>x@*I^S{dEBWG_sb3;*$H4ryQerW&d zTo4vSl}S|fYA;pxz@yP!W*&g|G;YmmVS7%cOzL`oR+IHMBq)By_1|c~g#j7^&KxOA zlqC*C=7C1T7^F0;uDu2*QjwpdBJW77)J9o)-L)^#SJP9XMo>;aEJZlZD>9J)FK;b; zADM!t#1PGU7*JaHW^z})`VxenEzV|C&4Sk?4n%Ek#H6>J|6aQ{0q4RO(Jt55Y2@7= zy^>D^@Wr;(y5y!iqq56;?dG#x^1;M;y1&1mD&^HX4(j@pMp8}4c~X=tcbz@c8)+Sh zk-W^*RRcr02uTE%YGHC#3mmK((@`>}i$R45U|4Kqz6q*<9N_e!-NWn4X~VQFu;0w#(1>)g3AGg^C2A`VgI_v z9CgZlFTHvWlhnij3))Tg~R)!TZRWLMl(Wtxp9p5DD1 z`X3Y}YgNmR!PJn-wxbcPHcdf)&ookBU>sN^E`VgpeNQ!ax$Xq?rSD+*6MgAeu7hd0 zvG}&4GU!X!np$7#!<-?siv;zhDHTwd&NPw?tdy-01Vdaui|@MH07h2_HV8t|b4}Kn z43}k*D|%m|mK!;16_hB9)cL69N)-*?VjCU@eaF{+u7OM^oa|_nka4QPe@I~yBhz}% zVlg*~TnxY$L+?2nW9X{))B(!Sd)#4O1ZJ5%Oe4jW$nVG#_=-E!EJ31oR3B?^7?l11(VR;kjkedoIXP>q|h=RW=m}KCb~?d(nG3r8n7n&lKuCp4M|g=*ww6 zXAsBeNj=C73e|l4XfsNd)`Rs_Ee?kRJ4#NNQb9$hP>8u?anyM7`SO>HUo4L1EOj-V zyTI6{H14}xJ(qKTJQvq(r@02#^Em~{g(ivzcAlLA&bVYYs^U`{O zR!Y82C@j@lJ4_l$=#MK90#w99%CiMY4 z{tA!La>On(QX|lg2#2$En&<=LsSn(M6!;Pu4ZHCH)od4iK;k-k{NyvD1lmPe*j8R+JaI` zUPSsH_)Ay)WEk34gzQx4_WecT17v3FCm)~;xm{2_ahxl%zn49qpOR()Z@=9QY?pj& zfAkZ79;01_hdt>hJanr1J67|yQz`0s_5LFI32B*B9C9i|ws$AV6tDJeoFL>gXx+&C0MtW0WdcQ5uTTGud{0kV7tGRnN;R5S zr11=MDHFT=7qiG!Mx3+U%xopbQI0KrpwkrWQGr38vk3j5OrKTG*HT#I6JWCY_f6+d zN=<>nA^g_4RTiB-{^wkE0LqfnTV+yf`7s{5iR~MtF)iT#7>u3*{^vK#`Ijyln(16E z2!!zIHRGx%@9ldyn}H8v(h{B)a*Ci3`m}Ml+DcSf)XC_nr%QZ0s7pM~9=N*1XET7OJ#^hj=hPyFk=Ah&ny?@h&VtRqE0u0>+Ui!PI_5A~U{LAm()bdM827L$O zsAw?V_HPKcE2%mFB0)VSYyXDvE4t}1K;W0zzxgJm`JTX&y?+yMx$F&mxZqLp%bwu# z8_)ptm~-$eddzuy(POBS>_v}}fPDMdzd27d871N-58U_u&2El;-CkU#WHoVD@cVuJ=21*kEd!LHjL4(j8lB{K)P4?krggckqLM(qV$_etXg{dX z1oa^0wT>MfcO7>1Akz-*+=cP%haPk?x0qT(9Buo?H%Gm#UD$E|^(A-HJ`bMc``GDuKzaxd8wK~^K96bt=yR;SAuQ>8)aSDI zcgB3Zze7{&c{$Dl5I#6RTs@A_QbmsgFlFAjvZ`VnkBI6A`inz<62)l@wstGqiFa!0 zajVcd1=3d!`cp5o7|@^gxxcfRu@YPr>Rucv=e=@oK)#|1^-a9P!2I?8&VT3r&Xp8d zUHm(}0D9TqIWOeQ%+h*#*x$+5RVRTaH0wGTNv?PYc{{5G8h?7;-#H9aL%r#J3f5j< zvi5hrPvytW(t6W;Z-3`}S2c3^HJF&EH?^`=*~{?t6>PS^&KumJ>0y7zZ$fQU?PVv$ z+uzCRfazSU6enwM`#boI=^QVh%F_Ip4xNI*G(;!!)jpl`S2b!h?hnk@=dd%g=lz}U z0pbcK_y{*~zT*B)cAuQv&{x^t0r{NReSb$rdad-D*DPRv=kAZOoIw5Cfs1-Q4bR=* zS%i|FPL{R5^FCqX>SRA}$GWfvDZYO7)%SNE-^>1v9Kzr&bMS4P50aN#XiaPw%+a22 z^y*uV45-)>WepyjS%UIXw-PSPZ1hR32`;TJ=I4 zBp8?imCd%eR zcs~lCj2a8Dk;Jw_`0rG#&U9P2tO$N<2tX;8P-zvkHpL_#Me1U7-UBr1gMrjIB==<;c7KAJu&hH%krj|c6$Bd=;SsPt6|lB^u+PRL z&A+Loa+;j5=>oTjfZO`n-=Jr(f}0rN!}h$bgqyBVF@HD!#g`zY{;bKU8cv7=QNx=e z7{7a&+0 z1sdu*5UC>MOx5aJBg#awN+ktQ9?^+sV&Z(EQr$oFthS%Raq2KkkQmlTgr&t9YO0T6 zdv1p>#5ZGRx$aurHHEJhX|mdLNyM%X-s$>2)o8dIBVqO=v@_LnT#sHBy*zqF^h!9e zM>L)CYGY*|myQ_?V4s{x1fyfmDdPWAL*Nd<=q^Pw7U?lK?;<@6;UUJc`R%`+**f8L2xVj=TJ%p$;!T zyOMa2$`6Nn!`*ov7b9t6toC=F_)L`K$z1gxj^K)E+pJ1UDpY~cVCK!!>s2*wMPQ}4roph z@T{P|=dO2&0a4IxoSUC-av8*n?1Zku3)`*#JZI4QC2Qtrd6&4*VZpptDLI)UZXy!ua;!=!S)fl`X^ zP|=70MOvx(9N*CEhl`glFhX6Q{tZ%p8;a@rp184|_9_*vuM#Ui1w+TiY|-T?8)+kl zY+8ryU)m66qY!3Qe|uhwPKQK>IjJaXu#lNrjf@n$X-Qd-_N=v#0w5}4T~DocF$H1f zRxV_MDZKnpUakz6V;8uUq6wFD%DFN-D0PXb^;1c42VY2mu%~r6kyY$^w5@4(9LG*k zYxQ2&;a5<#>}J)(ld@Z!Az-UO=TKhKow1x&jS3(N z3KgJNEcMVC5W5xAi7zkXMbm5Lpv}?bdqT0;1sxL4OamjWkr>L#D0pbx+Q#!yod_xI z&K>2|jae5I#_k}Lw6Gdnv?m9k=PugZQm&>QBtpAKrc^PPgP}q3{TRHfAvh)MsW-Zx zV^We%)O9M1Q0>xR_AONa2C3pt}oYi zx{6NJox2wWE7BtO8+XkIk8&OqMg=gqq;n3M6`Dx&)4Z&|9#MpN6!pjX9PM+2g>^A_wExjx;!OaE|4t1#f8!j?hPS4S7#mgko#x!5 zJ_Y;wcj+Il#;F$ipVH{O%J#XhEkYT@F*nb-i28Py9H$fwXu!m+srIPWij&}z`U9XB zMdynx#aIBN#S=*B5rEwipG8l*x5(Rrx)pu5r^N4b+m+oENdqF3H-PEL>DIbfE>(p5BNcwfYAqu8$}`{wH09yFqI+h(vYjBtZ^U6j+oZ;Ow+0J@g&z zP~elC>AWwq0J@gfn(IyQXLLq-=p)#4R4k7yvLzaq^-vbcTij&-i`C8o^s+H{URExA z!Vw9am*sU&*uwVC%MusjTokh~1b8BgXi#On$Wx-t%(Om)1W`tvuFJ_}`}-U=bT$7# zEN_FtEn4^x4l7<(RLD=_d~8vXeKK#+2CoRCG3@N<)5?4hPgMKlO*_%n6JpBZ42F>b zrc20;^4v;nK*Oo{O2)o&fsfW zUrgoP%r_pi{m}k*SY}A2y>Q{2n(`e#^5@lGv)x1fC+Mf-t^KE;&F*pcJOXU%Wr`^Ut0C9|n=(pYkvJ|LFPu!?gd{*XREwYQop&{~-xvpM&}O z{Qv)r^Z&BL)ARZNSGX+hhqu`O!t?*|3%fGxx?;(8HZ2`{hydWghPGb=y#rRk>=PZQ zAg1g-{K6RelX7?ueqlKOk57Mn{$Cy2OHoS!e0x6c^MCOC{}&)D7$R`^7U%zuQg%`A z{6F5)U;BrBeg6OJ^Z&H1`L1U;GbDB@Wl#Jn{$bx$21HavQP_TV43zvus-#`>_=^Z%EOV zXaVirh!X&7sJGl%hzqa|c5Lf<_&?ks@!L#nu%Xl-9M!2qbiS_koa6@+Y2iW%{h5GC zPc;oc36t&4rF}g4UlVU&4V-Pe#TzKVPH1+#fr4)F1`5z83=LL0?StieuQ5JbT5p0+ z>f*h|_-wTRGoz5gzE`Qpji$=E8|P;zrmU2yZ(`FJ zquBR3{O9rUFMq!0e11voeg6lxv0)qDLwvTgxJeF(1kd-B%6=LUR){zS1M&T?=XzUOHC?lV5yU1)YM=X;8g-BmyP zC&RP70r--M)MExh^P7*Ko&puPeo=>mYScbl*YiCRwxGaTynS^=`Ns1yS+Utt*c#ef zex>X*VQN1^s+8USV^9VBtB(GS4Pn_@a;db$_j`Df(?SS=6lBcf+0kG;wsoAQ>z&4| z`i=C5Q0H=fkKqE1j88BTWrVK04azzEPPce$VfjQvo!@yH?RzXZ=tHU9U3xgZ6R}6Z zDi*A?$9QZ*&;f3xB48`_Z(#qQgzoD7X}UXk`=Nn!-Zd-f-nkuq%0@jBPCI@X)&N^s zDjeHNG~@59)*xH^F`VqRpLI@WV0vb@I))ob_MnYj+Pa^^yhniOpVEog4=&lKHukDJ zPBWu4+n@_e#C~fT*4s;!GmSq#J^Bj7W4j+DlofxWr+93Pzknl#jO5uv`~?#O(D4_} z#iNeDfQ#OEh~7vI(rJGDg+54;_zO3(j5OON{sQBK`CH|;2$}Z~kBxpeezBACJc9jd z@z@{<6v#1so>d;1E|s8Ul2)M!YR5_7wMkVGq8IEnnqN zAoZNnh$cTCn~HZJ@z_+ngL=$NFW$jn^gwo%F?)bg#!f{)xuaEwcOCEGe3{PZhvHMa z@T%y%YrF%-F5x&BhfT#hs76Xp@eZzF8(IF7K0S4b#}eETc zS0V%0|Bpc)WS^3y-9}bnPh644bmn%`UBqpq#@$bc6ds01o^K6@E~2v1!;5fmARn?x z*qMs2NfZ826hCCb9WoGxJn)+^gS9^fp1XIzbiS*+7{uWM{ufUH<2@CtQtkJkd-2ka z?%kqR)}+R~=RQ4&Icj2j-J`Ny40xka^vcu6T)=Qt2*-w3Hy)eP0T~sshkiJJLH~$! z@jnIO+zb~BzAFN_p8g-iOBPT~N5#?8p&MRZq;#`ymGz*THDi?C7J1g$odfA6kh5I@D z?(q=LT(D0~Yp?MTX5iCb$3p;H0e|@t`(6L5<01TIV?f1ffVChP58*Jpr@!9s`tRNE z`Z^xM9^+l(_`X)nzK(|wh>Z=M&Wm^L>q`>r;7i9txczaZQ*An_2c2p^<00Jn3#<$O z?eP#Qt=8xzG3y1yLolru##LBL^o>u^ZP9`pdaR4Y2c2>fR9)kyNYH1PT6^WV7YcDx>Ts5245(Z@$SMA}VP~PA`m)-7(PaOkUfM33_Kzz+gvPqY z4|c;oWYqmf5+B08k%4lPb&(LR2$R5X#yv~$UWz*>T86EL6%)6;H0(rc0s9iyuc)_s zhO3X<>y3T|+Y4^DldMF4#K}YNzkxLN6{^-~JgUWy@Z;(50qF8n9Lh3MW003xU)wL1 zzPW5f-VqVwo@H{UCGD1}6-EQ?pRshTctqa8$ZL(Hw$%Gg1xH)3{>k>4V$RD->Z>7@?cnYnd%Hlj;bYq}6zMn#L zUfeM%U;8_CE5or7l{i0pA4*oFO9gNZu>C9Ve;C3DzJ?Yg(Eh0GU_2b4@J{f2fIR07 zDS%$M`yXkvr|faJa__?VDGCJ7ll{!gzn=L(q;sycQIEj@YXr-&7;56^s`8slYY%tF z2Lg3Y^1gpgj+;KHIoZA|+1wk0mvQo3kh0%SVuQ|6>ie#JQqhpZodva@qP8FAhn-_Uf?>AMSAgg-yk)T&CUuGaOaiN&eGm@h4h_=v@H(v zwKy_A!80c?V`0^Z)0|~2Knk~5i=AcoD3FB7j5k=1=f{gmr9#DgoV?JrOsN&MS}S-` zx=gAwP(rC{qZmV{jfzcp^|PvWt>N0j_P@sI@(uR$LmJ)wCa*YV)VBL#)8P?PwXu(G zWNq(cLb~|YocnRIgBVTs3@*1TK%cmo@S+dnEUu+uZE%s`<-#=|cC)i%r+Pqd5PWod_ z$a_Qmwmx;ilzsR2a1(cnr^lir1OLUejtI2H9-C>&_tN$Fyi(oXq3OtE2oEKhZX-lhr!9gbzZ0 zyWrRA^uDdeW0>ii5sPAXq6o*Ca?J7_z|sygGe*agY(QWgU_58U@VT`gf3ig1E$6l2 zaPO53=|9t2g)R&H%9l?cJYx9miGw?i_16m|)P})=@uB6@KGv;$vP5vrCd{Mla(acOJH0SOJ0gy9JHp}0dUTj(~JHt#Vt&Rb=l`(ADcgjHykys6m^*!}J~ za|{Bv-kkdde~hYSbdrJ>)eS}AczSYCK^#wo09Nr5MA!mE&Gbk!eWsbd(KgdpNaN)l zX#ZvVO?-U6+6Ump`HJTs)p$(nlkD+eYD0)M(^C;{$C-JCS@MEez6Rji6weer)N!De z1CyI`;usw727TYYLO!1e$C4l9L5i$>+iY7#ayKn>bPprS1~B`B0o?$Qs{2zrO~5(% zK|#!Vh0yK)4xx+VmH_Yu0tm1;8q#nJ;e?65w7@CB2faoGOc&VBK%L0;SF!!Iru8yW zCxZtPy~C!-53stPXf~{n%%$n`l}cl_Q2eU}mn*solc=xg6)mtzEIqIS<=WbRD=^V_Iw3ajf^35wnxA%;U8* zj?b0FA?`*n3KLAX9<%^)@8cOSnr%x6#g1i||NDT?2XF5aK8O|C9X>dcFNth_5A*=2 zfF6XXf!oAsCQxsdldd;)^mq5;nHWtEe^_W@gZ%=!15TO%JKbFS&VEqCzQ)&o-{ULq z3I9bpG5YP8^`czW3c4C6Q}*R5fZvXnZ<^Mxqtr|;$%&VDOg|BWcpz?l%!m;AY7pqZ zwbs>hT>NO%1amyv4R`C!+$Qc_@Ny|S2#9s3F7#jX`n}$S9(GUClNNn`G}cGnVdW~h|qoE^M<$fIo`eU zr*UR_u$dkWmb-ZR@n*>?ELH!CyG893+T}zt%#yWcOJ}c3muUX0?&7`F?PNE3Nmh$K z=7<`5dS3{Ck6}dlOPUsPnTz}s%bcG-Gv9RbU3 zs_(i2DlH6&1D!LFA^u(I-d2`CsPhllQ$GERVFTcj20@-n&6b(xne>oi<-a0 zWCe|J1>O1Pms;VPR&b=>#zhkfi$YI4@4r>AcVKp)C(II$o;*7G`^+E2NL%X(}s)IBWgVOiGk21=}t8dXcpG!`pR z7i1zVS6%2#_4SWGar??12iAKm_5cpz0%BR2XvfOe&ioDlH8zu14`4m1Ar&uyJk=U2 zUk8Z;@LFeT!C)!6{v`wh)s?|nT@e6w>(9mPkKoJgf?OMJwVLH`n%3(8(i(frSNZs_ z?k60~l8?=n9leB%`Zu_scQOJhh2xP!qFqg2$sf3)o z^2rYh>G6-v#o_-(yF~%2OH~}6*HsHyRr6mFRb?sAOTc>5`hp^28*jwj4S546Bih#j z1)1SVkry{eYZ++`Rto?m%!w!hSb)vD{g-a|1GvQNMVA5S{s`)Hf2`Lq?gBG+gpkg3 zaMi{3Hzf|sp}6TCQ5kDbBEE(-L);e(nS!ApV*sEEKvwjl1AjF$(+dQ{hfv0TdL2fU z&h2PX{C0E!@FymYjz=}JOskT}5`=dATEW@GbTAw|~83KiBFB%5> zF>IUt%a1r;c4EFPH8WFXzPw(cVt)MylmaeIY$W~~h3QTc{n15j6+dHVLmfX?^SHvA zTF~)|-#<++Lhkm3ip+x~j(o_FJ2_o!#3~~Ug4sgPUv>U7a?l>;KO*n_^Yb5Dqu;@mm*xRn|43QEe5!Wm}<+J3-8f-)sK=pIPwh#=p;0l-mRU7FGw* z4UE8L0<`KBEb%x=L2~8ptwzg)aKq|3@6kuvuP-a9n_ zjyC{jMDR`VFD&f}#9f3$CHDeO;_LZ2($?qu^vHFab$5WR03 zW<}ImgO4ba3RWc;nJ8LgS$8dFif<&bW+~^r`0)CK5># z=0Vs6KYfwnwrdnrguod^gW&yulS@#0s{h1Z>QC%a-<3yTf(Y<)Oztx&qojXGTaq#F z&p<+~WKFF6g}5=s!A^DJS|UxOswy*iKqx+95@}9C5ElW=G-(P#TE)*DAgKkS14xNs zxfcmJ1H=r6UBiC(Kf5Bvn+@QhSq4Vo7}T3O*0^Y^vIG>)*6zGeHKnx=nfqXTFv4f!l5XqWBv~&6UK@< zGvAW|oQ?o7X!t-h?aD9JuArI57Ca0HYs@s54I&2Ho6%y0wNc%Ahc6sML@UtTjfQnk zd`ncYH*#JCy>W2-(pn=V?2%L(;J_^#dw#>ozi7ZU@Mjb8L3tI*Bi;O5TTsSZo*w z`WwsHV3vFW^lgooyb~+mK4XrV8DBIQ!6WU9-{ZLRu&dczfY~iVgwa3^nU;Z>Dr|6_ z{S29{~z#&K1I< zsb69yihZ=rz6b(>6OoKaD1EqfgmaF()T4(SQ{vw4Z9W9=7qodM2`qgHwAD=o&h@C} z%a^^Se^wvoASAbql7%sDoef#^X|-DH2AM5XpJ+~;=x;3=cOky29I6{^Pk9GjYZiKJ zvzr7AzU|yIAsowc5d1lfR4Tz;!lr&Lh&f+!18H z2?N05f;fC-Zm0wDmtNmIjrEd%lwqyW+6dX zD5!>gJ%2x(3St6s+9vz<6~qx#bGxA91rI-x5AM9lo)*Y|#LFigbo{*OED9{E8g?Sq ztQKvG-Q~Vxe)fFpd3_#_I}P`=J}(Di-8O(FKnq)&=ZP+Oq?o{A64JV$KxMJ>Z>XXA zbXzL8T4l-GS@OXvt$Y5y8T>xiJIzmF5_ai_#6#BsZyOscJtVYBtP?|`HdWN}Wiuz5 zmSbb}gtyt|+7tFhSU!F*FGVM#xk%^>sDN~7e+qbYJPY|A^5S2c;spOi94hUdL(UTX zx9sZWRDmd5(8;gBPy0w*3=qCL^H5fyZ_F4lx~2Kfk*L!2eKr_@e_wEY`~;P8Aq(zO-|310>a&9@m<;Hr6Uxj(; zSH#&Ies}rpKF%OD;9ElBl**mk0=Qs<$R8Jl3D(-F`m>FtY~F(c_`;F`VDMYkOYA8C za!%L!7(7sl2B?CGC7CkgPD~t_=MvByJ~M}$I&6h9s&28L7riUjALT=$Q>dYOqm-el z@%oKp|J;M$uoV(_-38tZX?35#LyOq|5fu?&qgzvX>&!tEV~N6*K;cWnR@fzMOianY zy9Lm^Wv&lRMgIV&>~HUsbx#&OS^NT9+*o7I5`hl;x!)$481v{k7@%)#J(af@^Clp* zs{GSwN5nFD{{{_W=0TO|+2B;WXP+zfM=+?k^|lfoe0uQt=&;pX$gsnW$RQwvGCBP& z_QtA`Pve>4!d&fdt93t?eD@z%tO_s441Eau8fV7Jml?@_0sD=YZ<&5gmGxn)WP7Y- zcduA-caD+zF*B?kJYoI2rI3P4zBoUVI3sj^=C4SRaXxe-%DrNcQ9@3(mGD*rmXtI2R{ z{Z9S#_#Dn}&{G%r2^0#t690$S?EZ@}(!KjX@QwhFK!qQq4-wC|@|Kz4r_1Sh7B6p( z8Dp9`HQ_tqw4#dY&QNwD@Mp5_SljuHZWQD>Yz4LG>r(S6x2}`Dd%jx>tMnp4MaVt$us_mh_nX3hafK zO7O}HxPI03w_hW>V*jA=qz}0i{Z!72sL}O%y~&%b&$O6VhkcCgHuYB#Cu#p7%cUet zZ<@XHBY~IK-bCG>^>wJf-L7!kRDDwIqF>sM<~6VACulnkH(w#!LbF0(dQZl4V9*8gIhktxnkES8G%SJ*9h3FXxIH1Hd9D^Gz7P7lx{kZqjoorb2}h>_#;3NSnVTat>Kt7 z(5%(!=T@`FQs5WOi(A9;z5N@{!TcUh2))M`;^LJCb`~8^2Ty1cwGWxrB?~8;>AY_i z%U~;)W5*av|rnAH|5Sr<=NVzHd{pqZ&%D1^MyE?oi|kpnWXN_&?qgp{1PCT6_`x=&y7M66_4 z$?Mk36*)Vr%KvU%{>03Sk zZns~!F3-2ck>-d?TuTzYL~sf0(gwf)ie)tY)QFEm9Jfyv0<)^Gq^V+x$&-uf#E2wF z#^tkuhh85KcBaV$NFIk7Z452Su0R_@FgWP&G%W)#c8 z(WJ9{{4*n#n^y$Sr7Eimto4N$Y-`g`H_I!E@}-9HBb*+?(uEs_%;YkV#lZ?Lh{DJH z4vw=qkCh-&yoL2djWdR&u49l-FMKz1u=KT|ELTA+{-4t@QL@Rd0Z;dk{whI<1xd4w~2NZOmHd@H~{gWU5d?S9uN-bp?*1VYRid!ad;tF&0OA0g@=h z^`Jld`lQHjsBh`|$NKeWAPL-nK1XZ=#{>2x5X$`oAVzfv79W9Iil#4$r-v44O57ZSqZ3vud;VWFCAJ!=p+be9 z#Y!YssDYFSCu@j2@Il`lFZtZwt|>5avzZ=3;u{3_>=^?|b5V61i*^&m50QpJ#77Lr z1`O85&x|DfVAQS)=PB3^O}?c9fN1(m`#?~MP!R+ud^v2Ebi(*E;|hE#`qv!i6QPZe zIbz84AypWRrW0;>uo!_G6< zaB&w){4$>=I8`QH9ky3O)(g=bx6~j8(PoLH`Bo_g23pMykt|!*op<7!jf{uNY4f@ zd%^w&+e*&@$6ab~#G(RE3(nj=Oa}H0y;U>sMjl0$`3prMYipKruDQiOLQ}sZZ^ltL z>nPfAv$0@xOM5}f#&F+N^PjJnk266?*AWjns!I8mZr%BPKIxt#VLvlm|kS z&Apg`x*K=l(5cw!WgbYqyL3I(1L3;*i>j77ahWG~?`XP4e-lHZ3)$JH*lpdjjk$2; z@;jI3^pXjO{sqRe{iS3J8s<>8XAdUVSuHfo^1Cs1ww%?I)i?&MM(_tIDCM4Jv92Rs zfs$|UO19()*9Lv}OkX#KaguNAPvclcW|^S`j2^Qh^t$Y8KV5~{m%bj0&a8={#9{ID zHPwqA0>0>lbHQWcRXY}WWvWuCs?^|C0`oag>FzitiD@MwcQ%1KQ*T2wQSFbzt<=Za z^l05e$FKE1+bEQx0=Eg*O#38FV}pGnIhM8IQs>WRFps77I^LQGdKmA@_@2jm1#Uox z7jgIT-s@J{pYhf~Z|pYSak>R>yceSs$Gc-wa7@|bT{I?`$MG^>g!Z;RrMF{rSh0wa z-jK^R{FCrObv}c_N@QRS%eQU5a1r6;O=o>h+OvP}!uNu`eebcoe6Jz+y}ID{j@sMz z-a4=A_|$qXkp(`}V}&SoZ5VW8q<(>Eh~>^mwQ{Mowlwt$<%MkPZTl4c?wnV2Ez=#o1Rr{n_h|1roJq3A4>2G2jZo| zSX65NNG0I8tZ4vC)FQ!H1ecoY!xh%{3Tu}=ZU^Vk7FcU9YwE`$7khPzoBA^$Ds`|( zUmA`Yi(aV!ZSr1ZYxE>8i2hl?u-l1kN27^$7++E{c1OL z8?X$Kqv*|19Dz|_a3;qs0YLornriSx`-Dqyi*e*wjs^vnwni?)0Mxc z*_XQ|N}IwgaTZDt*$3lA=GO@-LFU&$mN*Ov+4JiY?gQxgbqI@mj7SSeK%L^ILz(b4 z5-?eV^J@_*?PGrZ3AM84S2?=d!~E*QZ_JW!>|uW0_IcL)I(WhV*7^11iTjvetrva8 z`L%V{|J?lg0_zvIOwE9i3u_Mb+Bso(Quc+!E7zsY=duPna{X{p%v()EfXSHEYCm=Y z-qV+anKl$@)~F);0iD*+Y>g^58eYJPk{(rL!tq40yitlxjw%ebjVc}rj)1V74ez2< z+o(xo5N)H1PQeeGBwzG#RzM| z326W7yO>4%8t+ArZnuq!JO)A1Oy3eQX>lq29ZJB~LM^(`v__fs4^amzBNL5=tI$gf zq?*Df>QqaefBe?3Q-C@X?X%T)RGrPLPO1J*snl8S*1@2-UZPr=ef2lhDD|3IW?zg1 zEJYkSmrM*Q-~N`QVcGlvmd)MR*v+?(;uePW7FMjuYTZ0iH6-&|*3G_B3G@1LZ{7Sm zf*8SSWR0F`|LHU$5qO8OAWw{UM#E!-5Dr0St*J_%Wp}u)%0M11VqH2@jlzNmLP*~N zVgMx1{Emi-HL3vUXXw?VWtn|TmRTt?4V5`Q)VD@Wat>5_gkT7or9hfcbAF5rfBk|i zkCpi1&-$R^F&{oYBxF@jZi@)bVA&->ae30qYj8j-yqT>51myoO%|9df z0Oya(KWmQV^vUL*m+7=1{~Wtl{)vE4d*`2h*(5&e^Uv*tDuv>P;-By76v`}$f4h_xR^2sIzze`D~z$;-5Rl%Xjv|Kj*u3Feu7H!atAKBhWHY znSBTnH2Zz1B8-Vxa9{;2mCH(U=>6X<0(){y~t-?Paefa+n|2*U*&g-7|=i1*B zTKmgCSC({b$K{`memncYKX32WPNC+Xrq@na{`qIvFZQ2*KK4J#KX1Q~gw;V59gctJ9tDtV#H{gZ*^V2i$4kVd%k_>6ns_GNbkSE_Z~~_`Fqy{zc(@Xy`8URHJSarMZ>c)slSegTPn64u{bCx z`Y^~cVjTK+ELU(ofny24R15QsBH6z~oWf=lgw3lY?Q)zCwnoQm&okRbYdL0g0av=# z(U6%($CZdPy11EAXxr#g2 z*64zc$HiVE$papFXb3m9di=CW!Cd#ilXoBwVXv}+7US9?kWT=qdJ|1!a1agV zLOAn?!NU){&|q+;7b=W(3@0cUdJvTeuMpw&@*pe~3**!EEE4?fao$GSF66Fs@hsVAMFY)It^X06R;n1_Q>w2ky?nG zxp8%Jn=`#H=EUOwQH_;UcFDU)$i1ZYNuwB`mLKUzxDN?p1eP?31PpFsZ`F= zLY2yCS*ji%#A8G~a^h91N6xfK>XB2cMm;v;v0goL@~l#dd90%lFMZEI0=sl^+}aOZ9J={*CBgQ~y@$---HnlK!2le{1w_o%$6L z(2w)^i$4IQQ9beTe*f`7|M4OJ@iG5#q5s(IKQ8wlSNV@?{l|9y(e@v=`j4IdV+fGr zA9_X-(2rsNktCo~3;joufKDy-A4vi_HR3;#1axY(|40(hsgwLil7LRF@gGS7I<;Ou zLcrk@g>9!2hjWACC*z6926=&~2U@^+G9chQ;|Vy?gQO8~3`#R4 zqMQ7aK(YyAm+trNf#m9@K5p{$f#iv>4(rn61Id$MCD+O429l>@e_1D=5J;|p8C)kD zf#f=DBJ1SN0p8#n>VYZP*LBWYfgH{er~*1?X&{GFrYXnG`C}l5GYIOIuG1LEd5}4| zZqAHA4(AtiKwamuKn|xHc0qK`s6Y;9AMANLr#O()%$y_LoPz>6oSRKYxjCQq_hG=P z+H|y=^LikMGq>p&H>Wv})6Sf4xH-QIEr!&Dq+|he0884svr|4dfIv$8d9= z4djr$zyPVS@T))$xeaz_b__oj4o(x zw5#8`P4X*?+$ZXh6L^PutdgQD-R6TN<9ibkZ@pzPa zM;Z$j#ZB&=!?`NDfm+LNRqu; zJr?5e2K7jytW}Ss!kg72$!?ZQp3IK zky!tUdaTFe&-kbi->Br9S5FJdH`U3n<(sy8qMm;cUx<4C;gP84A09&hrG6yp^&?TQ zABlSXSm-|z^*WWP*N;TKevJ5!M7>TW>h&X0uOBD*k3_vrCF=DfQLi8C^^GAmL-31s-Ju)dXXrgs@IvGV$1LgPS%vrDkBL@rcq=i8M|^q+1Q|j1wVgY_%hwSJHp=namkXzu{Uh}xkS`h5j7C*tCIr1`*j+oo<w{3f4xe71A6!(7s!|Ys1)TDEMXwllI(D zJv6}n`eOnDRO6A4QhPe;iih^FZP+w<4>vlQB|N?AT$Kd?(%V)Q{6PHZM`C_hYwR;n z+v14{{|pLz1psH~r)VhvzvzT2t1bHNfJ+<+ri=kiaPlrc4mX0Z{r>lO2hTE`Qyt8x zI@r%bSR8Jc#M4D`PNcBoNcX%<$kzPFhi5lZw0?$tQ$XNKX!4b7&N zn*jdSVvgqoSrppyhs;)qb+o@@g!NeuZI6UUJ9*A!n1nn@L=wPuO%L7(fI*U!SyrL~EP^<|;jOV^)#@`^s8bt~38Gmm(4-TISH#oK!4 z&2=;TbsVAT_szAa;okJr(YNuE2aNa+5f9QHo^na%lqIc$tf)}&&B@-G~jJ| z!7YcI3&9oe_n~)76?UfpgYHp~^*GUTmEXr#z5OyZHcz6vD(+{~NjE>LoI=#m}1FEFTWj?=G|;{D=Irjm-xp!Ocw|$q z?E8$9{T0tf5-(qDq<#)$rLP~zzyK}nd1mIa+;GP@A0CXTv^nRYUI~PIUX0jMEj#j) zZ|BU%Dg49$oag@{aeOS7E`2yra%*QSGjL#)wG~GW88L-N5+cdY+&RaY$qx7nZLWQs z(`O+UgDls#3W&;!lPh!Je{Y10N{MP1D1c3D%-);w&GPMu!|O+$WTfIiwSChmTuzLJ zk$91IVdV+7E08eL2Ur&TT$9bFWXz?v-C*btc<$a8lvK zjw+EGFk8z2s@Vq#3~Ku%+rNNEO<9cYOE{U9dIe*XYtu%+_swYFDL*<3$>u8SM*CJG zUWP!~NX?V)k46HH7rV}K_$@;th|qak(K$?w@QuNDUfN{6q;Xo?2j4$2lz0O8*q9Tw zUd54IoY)zND;e@Dae-YQo?$i9As{+WmTC-*3M{l(AiETxNX-y*;F=cz;siXSnvpt& zi6AA6DdI3niYJeu^QG|37JgNR2Vfdy@DPrsEAt7GqaW&Jq*ClF&c+sRi-vQIhJ&RE z&JSExhK2oP6hZ=$&uRM=WBY_X(hzDJ#K63RbipUhAooyK7udN61*8kNXIJk)8`MA)BBi6 zH5fq%zjgZeHu+5z)$u?t}es#Z522NU5}phJHLM%A1{a||Iw?`ddGUvI={b>dJ6zSN^kHR zJb@KJ`AdBtG_KLoAkV-&BLVXgL>Cu#CGbKy0B8_^zi-@mFnS6c7t z6AnIJW6XaOpD}a6DWfpA;@i*gf3y4^O|$2*rb4K-Jo*f3hcp)NI$J&%syy~T6)sDO z7o|MGyM`r!K2m0moX~Ok4aqdeIR%xKTm#{7?ov)Fck*H0aU99Fb1^Sa%(;tYPjTyW ze%Dl5Z?R=ez+Al6S)=ovh2q8=31RMmTiu8^9};(MlfKsDD}-)trS-mX*RS!SKw->3 z(SyQM0)<7{P2iMOx5w}I#rMbQ?{hI)4*}m9B?+Jrz!~$XP6EOmYTcTj zII3X<7M_ttig8<*KXKbA@YEDfb~D52BUKeYi*8%0X?5bZ^T_c`aNexW{0d+5;S=4~ zf~FX8Pk8w!mmz*dbG%$L(tDaS746J zha5)aAsy-5)R`>F$yfmnU8pCkW~ZE<g|rsmTs0&}yk5Q+K`xwE6P|1gNjV-5k^oA@9O^VoyN)6|7(ROR$sz z;BAQm?25;rvfKqa?ILfQ$#cuJ_Q~-rEvjL(XU~!LhBdp5^^?XFeVSGe?M=|_p)qgiw00T``lOm`;e~bX zze$TXK8K!#qR*07;2ca8hqvaw$lelfi0H7@czg(a1zQrAR+P6V&MdbRNAjRMLPor$ zJ8o~u%?owB!ty9yVLX0%xm~-g!uqJ=RhOO>Tfv>pS1hR?8LHh{KL^k#z+iI}eXD%6 z;$N`@`*Q$m=Rklveb_H&LvBrtqumU~g+nZTH%eT(~Q&||v zmT!O9uS<5LnK|IuXZi&0=S&}PQ=q*c?7h8jbZ;-9-v#3j^n%+aBQ`Vc0u)n3+}K?m zPBkY6aDw9%LzG_xksDu>FU$@udl1TZR~IrmLuxfF4^kAeqJXO>o?-gxk2QeAE&K)&W9`O{%|MPzU)!1K zBpM(?aXw=Jd{1?GpNw|`9^bAW7qeCxsUtyGI2eVP%P&LtNVXfv&i*sb$uKkCngsZO!ixlV@>0Wc$Ps(d64TSU1C_QSphHvlXETZbpDSh!Y4o zwMyGdOrwbvdP&IJ3eKisD};WH1xH2~&H*_gH>c{@wXv7mlP!JXeVZ#&>+9Z5em-*M zPov3qB8hur>V`GsBeUa2E}y)b&E`4NMbM7mK4^KJ?8@E7lk!<-oX+3R?>fip@@Neg zA#dz7rQ!Tb)u5I%Mqyx$AwH|P`GR=Ge9IH=2iPAaF&Ha1YAhI96iY5e_=PVZ5&j87 zj2H_xL*ax?=L@pM%=s`-ZG;gH2{(ZC!;*|jh`obK=j@(8y4XlDz!vVTS<&caKoLrA zoMXPc5l}MwzM5*TdpDLDKHRjX7f2ag2eo4U=#p5bq6jzp!tb+G+&Qg}1GQd5l!-dr z0dfw6@mmW?Cu(|U#Sa{v?|oBsrhzbqA8P^n6zaG;Yx|b?RUXsQ4Gf}UjRnx(+)g4(D5Zc#qO_v3mec$F4SnBSxfs;JAI6aoOnnRn zZCFKd-O)vWCIbC8n$|jr&hx�mMe0X15-Qk0h7XOlj;`g=`$98-Ft#hOu}>~(Am$JlbP+S>m(fIie8+BDBIjK6cKMTUKCCYg3!xE1-$4LBJ|b^9V`s3dF9z>|M>Vq6E^(^ znS?()KCGTXX3k>X*=5`Z+eLC0G=&!MIUe&GRgYoNep!M0-ctusqy^DcV;VAQQRayv zO4;$u!?Ixz&-_NhG4T>L^r+a*gV zXea{}u?5Hk3R9FF3*eF6X*8t>Rg;p4JdkXJ$aA?GwSDyIuRLu(jM|73eUXl1yO+KL3stB(_y zSD8DC9zvGY?O0 zj3f>g@fC{&!+&pIXZC$z*m||@_P8#*EcoY|dwBBisIBA|Fhkf=SsU^5z6jMGtu$RZ z5)L=l5Ux-;CgfZ#3ub7}wE$$|Pz-Q5M3#OEU#aIYChYQXZ8Ep7rXoGHD;;iCd{^kO zP0?Y)ZUj^V|GAd|q9Y7lZ3H~8nxBQmBRN3o=9$3 z@(_M>oBc27M{;Y9!}$TTso!TB8-I;24cjc?nVjdrLZm0yQA{89$+RIM(K+P{+j`|B z4nvS=aBp|6R5v>B$#}u{f%3wRckRKTKYJ6&1|vKUzxJE*vc!gThr|tb71Z`1yM_FG z#tV<(upiWW0 zp?0zjWg*ADaf^~`!Lw?yPF+}NTKOHTe0?7GITT7^s2(i;Fv{C(LqkFIixb(O%=wQ6 z`jRd+b1#za%)njC+iD>Z<#UrS{r`CT7x<{EtB)H`NRUCXCn{*Ps8OSWpe0%~fuM;= z;EW6!MbwsBe2P+^+6(Fo-~|~vGs1Kni&d+=Sg{wiwXL>Vz)K;3B%oFiFV)%#;_Vy< z1hvJ075?Af-e)d}V14?3-*?^nZJD<9X_78%Jg7z8d|GAtAju@F91)g~C z-{YNu+4Wa%5Za{%RdMlh@0E<8@QDs}s3}wL{e|x(U#&ht`4{r(LH=J&SDW?TKl17M zLV?IyBO_cFm;CV$%b?gF?Z3u032y_?-LO?bcS^==&Kv+aiH&Um$EP4#OZQ|pK8OIbiSxW-#Cd@V=a;E7` z?iJvz_|%xf#NHOE4%xNA!YTN+3O?;~BFbtfXa*wYbFPKwSPRc31$YkJ&33Qk-1LQ@ zysb(oH?VZIg|v(op{7;tc(6^GMsWj(u-nq4T~9YU*3C?zZS6vR5WOls`Z(PTv!2zF zumTLQ_xb_Ch`5=F*BCv|!T}2LW}xnRt6!>?a8S$fs^zyqEn0}GZB@4ysxm9OsV|mH zPa2TBZ$Sk0H$5ptPv9^ktx7>g~p8(6W#jFoloy?Ou0D*|1?P zEtAe1hky76uz~G}5-lE^V>N>5zB?^!ErM<5C;E$+JkiaLT{yG;5vI1T8LWb(#u&!2 zOWf>NYm9JX9xzR;rNYU611!H_FPOa2&0M$I<0ct9Do`zvKMFyP**KB4Vaz}vE{jgh zvj{N*%Omn!K`BNd)I$w@fO`Ax^9%LHJcf#oeT$EMijTW~9^^%27*dEm64T|C^((!9 z?H_o-7=D%*ll&&R@ns+$;BnoL>_WsqJAXVhZ9!m?y2MCkgLYmfM2}pipHe;LI*NOB zTEGhi!lUpz^1e+Rlpf?Jds7<7CgK$_a{w>bE~To5t}FUY_W$q-ZcN!jzrp9B>mNNP z{Da!%TPoAtAHEL0-k`;@^mAY=JElJwyyS-l%1e3Qeix_gUv|56f6^}ZxeoV;zQ<6j z_dhm^oGFA`vmUcNjIw?B=o`KI@CfPNwFU4Q%YFuXQw6<>w^sA7t(;!^#T zqM@IvI8IdrXgI(d{1Zypx7hpMSKou^zQmsbQb56vXrO?P8~!}p;KP~BsvGz3_*)+& zZunRB&@0F`bbb1x<+;5<$a8=%0?Llrv5-b%+Y0D-hxcCSIE6~Rb8MCZI({HR$_yGN zJY+_0`rlrCxsP=3tIXYAb>$u#UO>lLwu*LJ#h3I`ijHpT^b`-hh>m?ZrCmhFSzAHJ z8T=`rgY(^y>^*VnorHFaGtH(NFTFPK(^(n)-)%A_tbarqP=FYXCid=})L8pe>7;N* zFC$ud$8x`7+ltEcnh)IjqI+APM#V(lwxUn8|1`bx)`uNWDieO`Wacv;;Vu2(Lr?C!i znD^soy`~)R z&p*yF2uv>HgMruJCh-o&y{~L++aKZWeE?0cQ^lApKpV`eGx?JOD2JWjGxYypd19x^|;0_mS z$P8LCO_hzjNT(#Wt5AivmQh`p$sYq=1QVc@BgCyRJ=3WE*etg8&aS-8qj^ZxC=iCvX3#Cq)o zHI<@*Y9+-x>W|ID>UM{gSygg>dt4%WrDKUWx9#V#^Fn zx##lJq7*-+@;dVaGbcMNx(uU>@j$;n%2F?IGVnM*?oKXO#7=WpMo(wilB_5)nI=iF zMkZJL5Wl&9%lPkYkVOR|Vz9Vx(%xvpU_~eM(CEMKUy88fN$QGz{RA z%HliN!NrV&>1E4&`7VfSD9(PXglg~RkkK#}>5E8L(e_&H zucjaE>X8d#>Osr}yEYW$Kgss$V;$X#-b2@Vb?dQyc9*)eM^5S*<_Y~7;J5K$_ko}b zCv`Hf&0XV+tnUxyWr6SywuAe&!wrmu?o)~$t3E*9#SVzTGfhpvS6>2OsW07d=}uU{ zK(R3kTkYcz1EI(cbqiy$RX-LQgJMbT5+fl>*1yZY1|JLb&u^8=Hlo>{`scf!VDOVH zjhc8aXyU0|uG5Mty2ZPBwPE(LuVOr3_CI2n6_ULV3+!8xy|;MZ4l*^^uQA^;&BZLg zFwF~0v621tQ_5%WCBaJ&*U#=Fut`(0v3(iWu6c{z&NDPqp@d}bYqru?E)pywpVCih zrNdO|2~?V&srI*6{zzN?01H%O@A4~2@ZSBTyqp=d+_adtZ)E#^?#`b2-R1h8-~VMk z^#GtJ{=ZFJtsb8>e!relD8CZ>LQj7EpWcoB5NPFgC@~W3SJxrZ+S_}&(oABmZ%AN$ zQp*OOc~G)Zon9@hJ9(&pCtV5;?++K>{eNfX!U*~RZ#|NFl8)sp@o2!wuCimzgQo~}GRXMO@6^ZmB`_Y-#i{rvp*&+Y#EvH9=s=6Zn-yhteFH0&p2Y_L7CzhD8M zdZhoS{ALVFD(U^smGF^<<=l<8I=x!idVlZTUhnbmZNC(LpaDWI^qc-5F8x_<(CA*v z@OD+SE809;DG3E6zxXg6TU=jAhW~l(N;6^!BFG19$pVkT_dR)hEq$jqzDn9#n{RKv zZEwNclJ@?2Xudt0toAl2E#F>ZckP||$@U~@+8!bo*iOoulZ7CZ9!IQ69rXF|8PRh~ zmFX&1gB82UM%Fh>t1{_zd7rb5mJsm7UiGU}pQ!(~-PCWpMg4o1)c^Y%dQJZYrS)$s z!VlpSg-1s{lBtx;_dEv}YD?NQsAdt~5 z)lTAE>LS!sm?bU5epUF-keB|=5&v&^;ktH?wnzvVUqu;~LN3dBQTIqTbh^%&Ta8^%}9z%8QOblN|V0^YNy{2MjlaR7dN@`W^R4;ZZ!-Viz6idkM}W=-Ph zKHf{Oe6-8|GDWni$^*yEEy+I`T>VD4%Kapt=K6O@JxuKA!*&Ez?!B#z@nAHvm6Zv% zrhHq|;PTjs-WWdlx)GavM$Yjb4Khb6F7lcaIK7oOvpq=WL%cZL(z}K~3V2sRK7jF_ zOtU^uBQfV|8tsXGF4!ae9JlMEJpBl{hJOCQLjmGX(9b05!tG`^sR!TbTWhm)fiWEqtgpzVU zEu{K)_8_2pxY)5U9Hj(Q=l%N)2uPQ$7y@c4^R5Z8+%o=qED| z`Zb2hG% z4Xv#+{lYRy`5~X7{E$7BFH{t)8C-CM#QvP_aC`Ri6|AqiB)a4u>!Uo*^!*Qe_?};{ z+y4}-*X|ln(^aun@gno<^+N~d*H4?QC1Ih`^6O{I^=7GINm=_4QKWy#kO&O3elt~t z>&gDkjk{aF?R~cT{^}>c_gW7H1XIKrS~t{`;9rY?adKh zKr{$j_CDwP%pFLqHy_*`-EY}^0yh}>aBx`j@Uf6kE_KNwH*n0?P zI7U9QvG;Vv57!Ddxbn%|;%0AFGAc7hlg_sK@`v?`^Af<&mr9bj-OJWk+ ztlpJ7%spB~cxw361DL|$F&?S50jib!Q65{CTeQHtZ+nmXz)I!Q{QUA(;h1nu zb(uU^uNk3Td;F&@J2F)3k2}ClwzP>uAP9lZSfD(E9WTi<(rHJ=O0h-Z9j zM>7ZgbOc^fDiSR76nMPIKx&tnxuwcUvK6NA(Xp$!Cv=vbOcQI6p{DbhvuoEh)pb04 zIC(l-8aU$JG73XlOQY76&V(>7)9bXZ#3((MbtM|jujt^i5uGjd$^`Cl>IRFCHu3Qf zhf{#pz{Va|v7lvymSW4fWO2L;kYz5t)aGdix`{*l3pJN4rHA$x`nGrv%*CCX{x_$e zqUSHnA8&IM)gJUZ&4(Yn|N-`UQ#|`>c8=G;zEK-Z~4}aAMca*z1{02h}ipZ+wPdH}mLfesmpu zar`5hN(Uh$lCH!e+Uj61Z4HHx*{T{IRM|P}HC{)C8$OO6D#KpLdu2T&F45KPN~k*h zlio8G9&ob~TGPMi;KyD5CWn73kCTZH!{4Xf4zBe9v4~TBsd0nT<7#4;A*aR$p{|Xf zB4&Hbh}@FAe$q9rUWqwB=#D?x5NemV;uVhQw3u322B%wUVhxgZk5jDWd@W`p$?H!> z!w~(8D7q}P7&i98M+_>_nN8KtFMDYbY0H&{pX|$j6KB}s-Gy;^!6(;aA~16-{M5Mm>TXXu+IEgO|SPBnOz$* zd|;!A4Q{;84BwBuN{-@4z!}%l*ku24)MJsQk)Q4{C_+A3`f?9q<-40bze(JT)(Yw| z24X?nHBLQa$?O_uH$BGH=$&jsf?qp4!H5L=fL)bh(&TFG6EY97B#w#m7<;{IUI)KQ zcGI_sR@}K=0@ok=g?wBB>oSzRTgk#T69-=+&8lvY3!2%Mc)^_H_{e);z(@Fc8;K=# zuwhX~r}e6Zt?~-Ur{c?DUHH?S0a#kFI1|C%P-6Dn_&Ka%(ti-Fw^iQN9E#(5 zuWEe$yJGE;hS#q+7Cg&&_9DJ$T`e&j74Ey%mC;1p`M9Y=ynHzJs zr(63H^nO&E^JsED*%f>OPFbR z@yfFnaL^AEU~xTFXyP+659K;4vGL62eucNRV}Xyz*nJ+l?58AhjDu_1KOPW!LDvsY zYfGOJZrC(quQ2`*xj%k#G-%kypRz(r9r)T5n%1!RZmNn6$V~vpm{>j<5IuQXYwDL5 zs)cCITvC&H=pO^i^jk4M%#{i053U?+=a<4P#j3n9Z&Z|}(ewKf6S;$Eaf_2UqrWe!_kC`*`OuYGQu|*XO3PX^EYEq9^jV zGKN`-!q4t|W|{vgUxRg0X%BH{r~ib~O3s6mK3O&WQR&Fm<@OI&6;wRM^^Lb(GWula2UYx>UpC=NcH zn7rS=}qPUwj!lTJtaYQ!y+wT+NvhBD zuPs(J@NZmYTqj$Z^@6J0zeJKxFt7W0>#sB%X2=so4ukCTfUt2(;5BCCrGmZbMs|XV zyr{sB^7a@`YZ%sKC6j7SnXU7lq#|rGs`NkFWDb!aXe2^ODBA8(FsZoumAA|M`%fiy znZp-Rw@j!gbp_>BdKd~U!sUqE{ecGCxkVDt7Vq6Fda3)CpBnfr~*G2XRk9m=J)gal|6DOU>JeQ&4j$@24b=4zJ>t4&c6Rkp>prBFk^u8TJk<}>qxyU zERov5I#7>m%wX`Z(;;>1kZTnsZ;SW6)0Oei&)b%wYH38iCAJdW%syT}8biexjC^p4 zn>h)}@P7Gsg+ST|*hZ*?WK1jUy|SLXGz63Vy!W%`3jS!BlhoL^D3)VqK-A?e{6ilW zoc#Rj`=e&l*W*;*@%j4H*ZEZGouqph*n*I%H^94u9W!q&D?;uq?@QV}%T)1U*EtM@ zV7hZ2b?j59gGH#7IsjcT_^+5pq93!W3?2jwf3k{5ociPh7V^eko*$>g&QUY=F-$3- z?`+?Psk}M8^~|Z2aU#Z*?b=}0+0>foORX4#TSt!@KKtqLRJ`UfuVpKnTGQi(;6GO3 zR*b8Whr>hZ5pG&nX}eWAuR*zm66tuY6Uh6E{JA3(BZZ_{fV?F9E=SADFu@JZk`vv-Y^8=VK&ga;w zTb}zp4p4ueR)jaTO*1xzhB=N5BRG&0MPTYEZ*rERNR2~OxHMXu*f}E#+>_OR7}Nz~ z3hd0q>!-wgW0h2#e?FX6@?mZMgIW4?iX^Un%6<@t0VY@`Q2@$v>s41~^Zq_Ydps`A{G|7HcjZ!;$;_%rI6VXw-grW`j zj-ibfLuz+*mGw2W;D*4pSywgk@lg|_{pvcT5x`tdr!I}v>E-AE7eR$p&C9)tX2MrN zQU^2ev4JXNh-m-BJ1y}Y<;~6y;VSzMIY|?C(2wf)X?S+V?;4}AD*ul(NWG(2ukTUY zi52xI2C*&B$&G4{v+U|+wta1UM}KGD;jBcBQ=->o-L-Y=;@kVrY_M=<2ds0Jc4Q;- z*ET%i%sa~vf=I3p3eNx`p>c|;i5{DNf}#|h@t3T-EWZ6^I!^7GrN#>pju`%qu23^>*-zyie zBR#b>#VKUZ^3^cg3`5j3Xyw+arcg%qz$VId#16={r zj97cc*;T}YNKb6fJsaTNYB~NLhKyUWqU<~{`m@HC*gaxIjVC(Elc>D$BqzzWYh{gf zvD>WVW4K3w^L=+uoX<&KY>13|A7zg0D(fel5DkslY7Uwf=%X>hIRoVt2Zf<{`v4K} zBe*%q!}Y-~OiuDKVTAa!+95CE-+)`^B;`NScw+RTMuPWwRIwXyo$NEJdk@UoN&dmK z49NHL&}GbWd5~`>#g6~d3L47YU4Y-b`)?G#OA_;+@AYmXP)HtO`5UUDhiv?EY?Lr2<0v@$IzUO%HK=NHl8TR5 zw^@7zgax|AZeT~4q?d8#N2b7)W);yi z5_X&nJ=u7z=T{rJyt6IRk*Nv92FmFrtLkQF(Q)*zDY@D1*Ja!8Y=p_zg2HSY!kTma z!8{TRg$c)9BzkIbl6~z{#7TYxV5BiHN7%HmljQPxrJd`fv}Y%&a8KezD^AH^sd9~5TtfXw*%(c>GP8y4~<92|PJ!&@AQJpE|Bk!*q# zbET8oqDr&vtoTal!$KxQ0BG&_E&{qvcL=y@fY4F-^gByMy#`)9+%+;b>>B(*pn)m; zC0F@tOXyXZhkOJPA7;jod{>Ter>+t0kkw6BK20hAXOsx$5AB;4K42hypL7%T?`TR> z)wBiPWv~iEApVPd1UGF?svlp;HX%K{)=3@(AqqdKLkva2Hp~_RJ@ofBM9uj~Xve5d zMyAy*$*&pstO&c_Ou?z@VXEV**XuU>>qKjb4mo3)Z{zGYC%q03`2I(}=joI6o^u2j z%LxI;a1#dwD;(xGZD;8a?GP(^SH*Xn>m)bR+xU)g#5?4{J6!D;-WHpw$tvHdMVJs? z=c7*Bzrt@LBmW$~%-jq|BP*c;Q063*N7H@1_iMZmf{7H&ik_P&^2EodpW_wG*CGBR z_&gW+B>@AYNtR2ZI$jM{u>fb=-$JdMIVhMnnQw&(i8J0KFhQ4swN!`3Ih;~Wi}lN<&4I^Q49-}sK^*o_ulXJ`zO>{l$+ z!tDQrSINOW>T!}^r9FgeTir>fx_M^^hHT#>uIX3iEFy0EKw7Wt6X=_PI5W^r=tttB z>l)V4`r~KpW77O~T3X;vWzqZ4eeP{H^;b8&-9{DRh)Wc`!b(wp$*l#Rr86k6(H+fj z$$lU>Z3og&we0}=E@l1zJ}kX7$h~inTmD@(_vAuu$OH?UUJco7Ev-^FV{_X)o*&@rywJ8*9c4h+4_pG}^GzjyHQFNKfN?){7Ss7@^^B=4)_ zaFBdwA$fl#E7XW`&o3koQ1a)4>U?T^q?VV=_#vam51)N; zpf+9BQJo$?#I3M;?U}Nl082QrsZUp#wv(|;vdCOk?N4XU*tSkqTT^I@rG?dQ=_$$v zG{?P55`&aFldS$*%7AU44{Y}DJU?;**>ZCq`GQK%Bu6j#+3bJh?TvYpow0Vr>m#3b z{{_msn?8$CYS+j#Ik$AicYHZELYr`AHsF(4?HwlZKQo?O`^9&(DV(-(_pQ$TI={Zk zpz?Vv0rb{X)`z1Q)bng^k>!itlQ06yNP0#NrIj+a=@-)ds_c{<+_z-z_o z1>%{Rb}WU_3{OK_+H(m@2T)Y=LnEci0D^z5X|YxIo4mA%GCGcB2voizL4oso|&+7X?er6Nu*C4>DargdeFJ9WT~ZuX0H zyWTSP1j(${Dt1>M3#+2ovDbT-3v!E<=+7*?pzan6XJ%sk=!uQ9uLo1eU+b*wUiqx` z>-Y{O1N!oQ!VxNCZVmc}9>3DpR1DtpdRPTXc2tfCM&)u2ZgNX*@y7i8F5t%L`_z7fp9Nh{yO{g5Xu{+8EGfp!eOZMaV4EM+WVc=iBqoFT!u< z1v<~vLjIZ{|FgyunPK#g-A(?J3i%HU^50d+{~_P<@?D|-h5UyH`7bZz?<(e({%LJ# zGaM`m`y{+()Q5Rr!R}`l*?r6uorop|WbsibMXzarU_9r-Ypv%{iApq}(1=!L0d%Px6JkUQC)>Pua zMZ#r@aF?r`)GE`SzptT;R?WXF$|aG`gdJk=m=bMCcq7pE=B-4ghAS8FW8g7-*kqXT zu4Tn<2pA*ENADGPkDodP8<#N_(e{0M-!>R6du57sCSkg8??LR zE!sW6woB-$(dT0l{VhUri7ABmRmD9GCwaVT$qw3e2ti@WjxyD>6LJ!~F=84ljw0>y zHn3XSA;NRjaNsl5QaRu9&9r@LGoAXH9kirJGr6DU3x__naM~9Be2>EZSHMQT{Aw-5 zMRQNDK89`iN1@naAN|3U_ZCB>wqp%J$6{{=!_92LE>#p0B=IRqq1F*Z2CX1Y>SJsn z{to`IA62(m8&ZQfmm(1~JNz889rY&LQQxUCIv{Hc;fBhF&=Sg^rNSR}IEy-_r8=ge zS2-y{=^E@#r$#g_L@%Il6SH)m{0~gpdhZqi$SPU4jzdX^k;Jn{uvyo5rGe;{6{gIz zbo>7P*92EU1$V1^d&JPOYBxLR? zwq*T;9+^oO%1vDM1OF$QTfydtKb-=u&NYb5t`a?)<<-ePY^vz7#QIs2xjp1>$UhuS zu7E!od!D%{O-?e#q8A@^hLilI@ROI3B9f7a?3mjd`E6o#-DcUSB=|*}7|KQg8byW& zn&=d6c_9>{)Y+k`f=@4V$Rpqe(I%CTAR$?~aXIxxpcRbB$GC~Bs>-6xCOX*qrAJfP zyHh2x=@m?bO$hS6e6y-Z+HSCQfectIlg^H?5e=@CiyUu9dtfa zv{ce_-MaMFp=;C2m-~ljE6bnlE??_TUCnu~@PusT6X6VUW8q z?G0N~< zw`TH%!u#>m>0kVsh8TR2=K}b;-3vt3nf;TJNzrpVFrkA3$ysn1c4J@YD#OWu@-9}C z5hzsl;x${3lRbxAd#Z@Q{Cw>y8%Fs^8r<7HS$S%Y^6HShH96uW70;&;^VHM&y%KXM zH8rx3H=}K`zOl5X_|<);M3410ZZP(U`M*&^aISd66eo2DFCv87^YkM&md7ouHG8dc zPklnGa&~yw?6-=SUREPpTJloirLD~PtHLP#3wXEkiCN{ZaFQ~}Hcoev-{eiJAK%IQ7vxfKz8^??fd%+`{A?ZEIOln)V{yqIr_ECc>}Sr5e-zW@8E`vH`O}v z(dGjSHz5WljpVBV^q(^Vfw9~gy}60`z6W?J48gL*oYQC2pp(_|57hs`MjbF>ifSOq zjHjul=b-wRzbboHz#_fZu{X41u#yl}HP3||sL%v+mJ|&?+d(v@! z%~&*PEYj<#${U$~TxH^Y8Eg6Sr6&a$-~NXQYOSq=6N9AZNeYajbtL&GF-ZCOSfeCYmwSIYS6uUK80<=KBM~Hq32;j z+?A$bEE^k_%3ViE?L`=j`QrYd{!6tkq?hNOQ>F}tBg2D>+aNmM5x@uLFng$6iNQ*; zGUfe2r02DDWAZ34-FSUl>}VA!DCJrlyk&n^MdpU%0=;Uf$?aRDU)BnN^|%%`)|bcW{@mMNIdeK^EWW{c>3_>% zEZe$Qd8EOcIRRe4dS&AO?3TG$K8J&4#Weu%j?SzfL;P2ln~gFpA-DXU&Pv|OFAL=! zo3;Q)0QOEF#6(8mPpsL^f9f-1ALwyH`DQiN%_Up7%zMAOi6V5L_}#?MysXNYp7`cn zdjlWrwKtrH&`9vwB10@^wX`Q+W4e9*2Hg}X0<``3V!AutOy#%djD`r_jTA>nkVWr1$SxE@5Z*HlVS z!DZU=KgIlSm3Kn`KUT|D>*r7c|5#_yH;+afqyx|V*B!uxr`6C`aPG-ORS*0%>;80^8$zE0&K;a~BP&!IwXX^o#HF*%N3yK9PdaedQ z4Gjqz!nj*` z6^pE64|rx~8|t#Ueh=V!)$vJRpgd&Sc*@UD^bf;`0{3(yhrYE*%oBC zU&+lUxM0amr}UN~MB35OuP3^XiOw-0@=Ygk;XIFP86#;^!X6Hcp3<7RwVonv`1%ZM zC45C2H)Xuu2HU+uO;D4^Eirv&-W(hzWX~%O1X?fh=NQGnsayJAzV1(a+?S>FlOH3Q z-;JPcw=1O|{i7nqG4}p~A!A!xS!E<`a>V4U^S7yTDcIu4PGMFIyzdL}4a&ns>3pL0 zH@A4-rK(Rhz0^ugTf7fmmhpKH{D|8D;VrE!c3{Lw{mO{XYpunH{>G2GUNDPIb*KYo zzPq7B!?Dgh;}lCB8)U+%wN5fj7H5$mlg1h+d7gT18A6X)d!rgL48X929&@)w ztiVZ~Xp5FCDF2rU^iLpV{+M-h-`30p^z9WV*}}}OBedInW<)q!)Ria>3jP~Rjom>z z5KsgsjHrZx4iN*z*DPS5y9~UaV9?b{4tOW*4L0?zokcvYx4s|$GIV2MDiRfV4yU^9 zhD#o!L!zO^BX?4p z3=E~~{bw1TJQw&qrT-iLW)Oyn-~2M$MSgl~4-*nRSvc@OM;!Ld*guRVjaq%uj1ONOm*7tzFG;B=bvqDxUX1S0yB2%YfF!*-ptn9@{6Cm=Qnv}lAFZMnjZX6Gq%UpM zU}`*Q6m%LKb01ca>r^NC1?4(5$hGY`Ela;pYyKnT0syU_=H8T<`>-D`WhrwWE3NhU zIKoenOZq8#!Hqwrllo$PXt@xSZ}Ovk-Jik}c%1xI2;m$#C84&uS$o9~>0#2LY!;_W z7ILOS2RYeaC!cThXDxubv}0QZft&9S(MWdWKfYpVr&76=8BiDZ!c`%6$e< z0>=>P&&_H)lD;U^3`KP{nVPVPvE9Y}#5A2Ys|TuWP4?=y61)1$c*yuf)v={3OG#=R z4`Brk&h)bqhI73X6aNdO3%_mIHf}W`@)aki*q4fMnqv9Jz63kvlH36hN@O73VG$kn zj|?R6OQaIYj0_}LYNQf7>HzG@*DA*Vo!#FnfM$HQwM?~Dc4;?Dh@k=n{w%;S?*WEX z*ETrUUjxDWw;^f-^PPR)$^GzNQSY-O|D@+`2_o5%kLr1oeSSjE8|?GbdVbzMzo_Tc zdd@5}!^WX^?87?>`e!SC>yp6;Z*xW{(?5H;&%wKy2RE#Cl0P!V_D2%|Et2^~lPDu|Ux**CFNGrw zCdo-%U}`dA06M&ra!n&1=3|rQ*YjRjFR~-OFEe`vnyO{snU0nCz{ETMgD?NgK}&1Q z_^tQ8xK>Tu@9xxgGv7yGs_}E$V99*nAUiWJKMySZ_rMc(n7e6hyn!yv4ctb8eU(Ya zWoRKRi{3$IVkoo)*E8E&F>?;CoyI0`e5Jyfr;Qr!Kxs{HY(~iz z*}CaQ&Vq2ywuq7kpuBb<+M$P?Uo{K%g?uewSNZN=) z9J)yLqa_`gi5}gY*o2$R`quOYvx}RFR))O)#b--jXKoAx9b=6%yyiM#Xblx&)-V`S z*v5c}@_d1PXgElKIYUY_s=Sc`0!qRlp3e3+r$&{CXdWo8p%}wp= zmYc-vvXM^m1n8TRAt!aN+M$z^$>VjAAwL|$RPw^0xLql5eZa#(u+rkS;E zm=uONsgP+zn>lg|-C?APrck{8R428WmqNd)1l=p^P!%;E>c-lh7U9Xa)UI}TTERv!b{OqMFIjV%6jRhS)lCi%Q`O(t7sx5S!h z@Krv(N;mz*He*N`hbg0732Rt{{9y*F8KK0f81uEG?5%;G^Vt6f;Q1k2e2uF5rG4(0 z#PdZwFTG9!cHmRAcoVOZVNx@gRtyRUP~-+T!V9q%>8}K+ZT)JT)Xn;un2i864Y}XW z3fQSIZ@EU#i4m~hJdYhrHLXYsV5+hf>s7#3ozzs-UqSs}=Al5{v!4u7TS*O8)1dcP z!14U!m7E>fpzhpcpFcX6=M>M*Qsje1D)9T+FSW{bnVuy%{6G@I9lQ}Np?r!2EGKn2 zDB!ivBqueEN6zk7OprwOC0_8oKNKerwvTkA-z1+-GJLDjyZMJI$AE;GbtJiSSzBM@r2@PEy7XX{Co(2UXLq{Qt} zU-CDLGn!amsg5~^_!mR5l4EGZNwrfG(A;>IRQt?~s)UDJzAg5;e+nP$X2nP6Fzr+Q zkuL0p#U{Nc%X#X0YKRYcRQ(rs5j5>9)tWrds#4FK7N~4PS#9-}v9{{3dj$fMJs&wq|dm7hu}sWjPnf|FW77T)_ehB=(f5yDn(i@auUbk#cOp7`#~+a9knHWV~T)rQyn zt<^?jecOBWH|(lp2UMg};y@Xb?xydk;iWbGs}VwAIy;1)?0_;c&B|4W8FIKoN5r~P zE`ND1OJ^g>I(1D~O1iL;i*BRt<) zyCyB{OpZ(n{#}!9mjg#zM|ooQ5>;p_Cz?Wp9mLF})!1wX8mo_!%2AJ-febR2Y>03R zF_w$1k<9GwHTiu>IlHTazHZfmuXFf$PWhLe)GkrG@SL{;Xa8KooA=M>gp*GH-{w;j zYIiPe_Ls9Q2+S56*QkP*$v{vn6|(l-#7YzsGl1SQ7F$)a*i;f%XF1IcXT0l7=1F$U zZ2cU(4L8C=lj|g9J8hgOTDed2t`{|n7T((jT(MU#n+0jcK4yaTda1iB0{fLB@vtU1 zI=N*$SLku8Mrc=blWtQ@zrR#xJIwhy&Mzo**3R5t3;JWJea-CG_Oh=LsgJ4%GUX)y zMm1(%bG06_gZ7g*QTjDgeZf$GuoL1lqZOD5_^nogcMfZkmR9+Ha25hfV>RmD@Y-hQ z)=r&hNZz4hdQkmrDtQabmoJESCQzhopEFkfwkb{L8q#HA33Pd9^+7VMsV+ash@RxSrzkl zNOW(rK*V_>SN@-}(-+OSDJv)d{zRu!lA*kCv{Z>$vc1^wu~ z&+zHC`TQ<{Xxi|>9$S^-+@G!dML~H(7h-bvEoE-}leq**Ll=ego0Wbzxy^x~LVN&? z-&&0yn*!#1#s(X46hj0jHMlW};jB-bIxKoZk*W_^mj)0Xac<-vWK?PiV;LIj?6p zEcj~;6dAIj^@6gCB_fAXWqG&(%82`n+^DpdGUP4!C{gTZ}-|sDb zH`G;He}?eaI8Ncr*|>qJbk~ z1L8YE(Zjv(QX%mPg8JO_>ge012Fq7m-NX>o7a#Lfcz9VTx{r6J%2Gxbb6-$rjgorFOOx~NaBEgBQ2lLnYeq1E)Frin_vrTjxQ9|&62#4rEL z%u%ACHP)$59p8L=J<7Kfq5Ul7)xPggCX8!~%$xbjgwf9kN2GkU{}lE^ll?RFTXa2h zzrxsIqAwett~?@WEzaww_gn3K#k9wH!B1`PL&K*`FfQ&{nKVC=Zi{>OnyrRi1=fXa zr~`Y?{79xP?#!#8V%NF<=`eQ!z{ZX>Y;1gbz_GrMJv4yvKfssn%nyyL>wkiFM`-&Z zGod4oVGXyLHsber0K}=xm|R0^y#U|BmPLMjP=3Ii&>^S`g~k>^{C{}9<=b`UZDdEG z2Y;)iA7<{Y=1W_h#-yMx?16rFJ1$k~USdz}OcldeI#W;{Q%} zZ(ym0ljP~nP2Z?#%dw(R*YzS54Jrn=D%Z*&u~+>+QX(LmrcbAv3F+)wiu-X{!&&R# zhh}kf659tj^TJBaj@-%41y@?@l)u(|!gKk$z8;|JJC(Q=cMm9*+1vsqhDv4%Js>0GD+?& zA?DWf1Z-cEZuchJ&449&`fbhdAv5Xr+%4`@6|Kx=Ow*MFj#zB!GVW-^@?A3_{hY+N zWqElha>QQ=Y=b=H_TSl-TsLdug!JFc5kWd4rNQsEosxp#ZxS}pV{!Hm zWbS%vZZbijE&c1fWH^5#39{|B>$@o36rB=ZYK8FZ*!t)>W>4-7K18y|?|mxQ!@rW( zkK&IVYV-%<$o>O4@QZ(sad*isVs_)(5Pxj2`4&B-IezV-2>f66F6@AH$<81Tt@_+O z#Dm=y9mt_eZur|7-G?p9a}Q;|{(cOPHn8}9u{*Y<3`jBJ15c{AI^swP+QBJG%iqie zmTvl#T$g>1|2Y7EQhl_`6pB4bFvg{bPG#P)8k1ouDSF|Gq;V`PsZi3aKACH|DdV|h zH)dbSkDNEjA2u;ZIOcA;fRzAwUCvk|oW##f$z-jo)a)&_P3HH8+IoH?*`sf1l$btp zRpan76O3ARDjZ18#l}VXA;=rZZC!*oApY5+?)Z*(XYA_@Stg{}@j{#pPaSwmqM zPaR-j!qi=y<{EhF_)O$u?ulxNKQ_jaN&0D{FFL7XR4h~HR-ih78ee|Qv}=@kbf$cN zh^fmY0gm^3>hs%Xz1f`Trg;-tZYfRbU$OZPHNO|luPTe~PxF2A&D$LVR8}YT3uIkD z@K;MHF6jI8t~s$~y7zP3RZI_WJPgqM875Piv#cK*MTi1+e6Q`-$Nwo`3iy{x_IPDo z)=%grs=fvzaqaUXw82y;SJ_W{4gUYwqRfZHM_kIOE6x(RJ~-A zeuOjcJp@xM&ilaPIIwO)dz7zLfGo=Qac*44=j`}h*@-)|Eg!R8MT;sLeG0pL>*M^P z5FDDlOu#D9bz)1q9nY-V$Y0nALXC!J$Fq|0Jh#_)z8Kr55nP_HQW+Rz zw>d9fz(;d-=2|6Q%y-e3>F05RbK}yXhQYJ}!_1R|L4mngVq5AbO;}=(Y`1 zPiV!-`VwM?J`3e@E{^W`eNFB>9u?X`l~c2+wA+7^6vKBkKKJp$;P|ietM{Ln?7K>` zODwm1gc@pVydN5Gn1{?{_J3qQ+W96vP5%o0W!4(q701T{!MgolY)f{`dc&VW$-F&l zs^)E_A2CTyWo8;z`eV#1{cx7)JH)fiA6nX;v)H8j1A}tTQoTbW+k814z(35paXt{f zf#)=3kV*-6{i~C#VMRzko7X7E@aEjOhPh)F$|HF-3+3Y`Wd!==Y-HaFnUq#a>6qd4 za=V_Y4!iJ9CI<`en$bNLUW-5BcQoI@cjMuj3Zm)g*)zV-c$AauM{A9@SUWmLE3_^+ zseM)3hit%g``pTt$5$f`#CHG!!Cq21NuVs#=^M?c$4M@8Kjf~wZvSIGp&IvR-F8wd zd4ZppOX!33-K_2}k)GH%J+Y%|g44P@Qr^kx?xa_!*38NNBmB}y6+BoxD$xN)-q0OX z;QxfrpkoPb`Z_ScvsrsL@Jw+5F^eqFtEdp>Ipd7DVhg^-qv1WrDi7n6yTRfq z&-WNFqn$_6PZa0}BPD0}XT1TW{$R?nhv}r=LXveBo$T*JLS72-4;8>xtnl~a*-94v zUOeW7iygozq7CSf_C7_x@a1lxzZPlJgj=ck=bTsG=Y1K51htu|?*lKLx`@9L3=OnPqA^K%#@yZt)z$o65ke~fwD zsmIUjvCfpM(qqujEw(#$k&qtV?cjz&w|4v)~_ezp}W|L-;1SL)9$60)`f2n?h^`-gz zhvi~WyykZzLYnj$NgK!j_;VsXmv~DgxkkdArvUwK`mbo-+mf}CXPcsX#~-WR#Zy@< ztkc047%6Rkw8dt!STU}Db7!*FN14Z;^`&7#sK0f8y)0zECLM9 zz$QBCa}VbEl!_T9mw80mujsm$T;TTav~mFeX1{n!-5T$&QXttiXVW2s#P|jtBNNhA zsH%Gn$++x>6$U#}Zo**02V5?%F=YB5+l!>%^W+>iA@x{o2Ng%v zXDfJy)0Ka>a^$KiE~(i+c9S_p9ba-KJ%QCc!;ia!$)SI9!bc=c1#adRgYy#ihL@Ca z?&BJ#Uh)#F@%9t3uM@*F-gpQL;UcI%4?uZXPV9+Q9J$T|^3ncychQ=E1%Fs~Gm{h$ zCjMAuw{YU5bZJSxCjk36M!%DleTd24RPv43{R{IAl_}tUTs0K%7SP*&9xvhV82S2T z2YrE_*j{Xfv*s%=_aCB9LH#nFeqVA1*~`ct0qYxRmus~85M1kVNO*1Rq4;(%@muA} z^vT_=apqfXDDz!ee~j4neLm(1nX3L&2M#3&(BVY`xpzB{k#PEHZBe&ENEHtly$<*OivA`8$*1veTza370IM5eldfW+&T<={e4<-dY_8v}_=tCv`0OqBPSA$0}YDEU1k! z0vv{-{k^X|2(Ao1K8M@*?fTerYc4;IT?u{Ezg`)%-B|2@^ZDFqI)Yr5qp;n8|H6mC z27}A}q#V**YpS85uGGT(W5#YG=$QPEU;y;4gHLYuPg=~hyTv8-eRg?S41%x~#m7mW zZSx7iY@)j$gE?DU>3;aqglx33azggo{pB_CjZ_~5h3ooX5>|u(H#@7plhoNr(n5II z{{s!IWc~RR`bNf$O~!v)x?>GXR00JNgK)TJN_iO(Xr~~hQ_y_6K#oaZ;zfA-#*bv zrA!54AE+cnCFEQ+4pmuEc!_@W8B98 zq^yC-zspHJL4R7)xCu>9bTj)u;?=oPN5T`Vr^#+!6Wv%c1Vf@5pV6m#^xyjkL5=o| ziPv}xrPnrRIH!P_?d5PbdSE3X9K2~n5a9r(U}@xZ{?}FMhJHFQk$h0?eg9W{!CC0= zve@sJQ~{>Yj!RAa(JT1Cd9l_?Cb%R?;D1n^Y{vi0NuH)BXVKHm>1Ub~Z(}~$MGs_X zcD{cJ^|oOdNd1lnj&onFc681@B|4ASyIi~RNLL4b=w(h{w}IobNn%8lEs+rl<=pMf zcUh<8I#~l>)aNA(VNc#{@r!t4^~akv_P*TBjGQSa>r0)~*LmkiNPmW4KM0sZHaE{w zzO(ZA6r&>1jW8)?5qJwbE!Wo4wNuG!#>z=_V}Y7Oo^(feYUNxkur_Y-r_f^_b&>4w zdV{{ya>|>dEH5k0hSTabwJ*j77IhSqK@%mQ{(9-14jXyBV1dwBDvO?dteY)A1%u^frCB_DQ#Y zet&CKd1pc-!8|t(=M&2O2Vs`Q`N)YGT4cOmu=qo&et;$l^pB8i#p^7`Y%(D~%6LWs zyPQH)@@&NGdG=Y%$G?W>B_TasVygoFC6#)g#5+XCJW*^3o|q_#P0SNEKN~O1*!;jZ zpXwkQl$bEHO$NjcvH9%CxnC&gF(ynXg*Ec9!M!=FJ{vhDVY`9k-d)ZTqx3UyKv3Lp!?? zFXi9ShSLwmdFx7e`LuLKz_{7(31WBXayQLoQQuR`=4E^pZ<>Aft%kRF;cWylq^8>s zO*~#|A34u|#w`1I5sxFxW5!gUJ)_>fEmR%ZGn(w%1NP0eZyoln-M+20Zx`6N4fgFK zckT-H+#R~2E78q^?RbbEJ(;}bx^_;w3zt<&OlP+8)0r@w85(;+N~CNx7-0NuX8ATs z%)r`KR2W2T&O$}7x>b9R{zJ1xvUQivfk1?L*ylOYF-TqtKlCR9#=wGJVN=(hs!$nG05`LDaekz;3+b8vraF|lRfOQ521N(zT<&4Jma5%QiJ|zJ5<~HtSsQad$g7As zynI>c%zB_s(_?SR-&MC#14S<6PZ3)U6v>G^2a2SttchX0kCI)~fZQd6X#Y83jR1x>?W+vq8Z`q#>_UCW*=Xv|{wEbCae^%(nNlgcvd4V$h+uAtY#vvwQRk&=@ zBv{0h?1{QOOR^T*Ae4T+-Zk^}&-)%HA#gu5^PJQ33x&wcPit@ISEuUlHNU^DeL(4R z9~b&@xGC&U$-lq({7ClC?P8PVuyZ*#gc`V7PNB8-K-0a;C#xRiMQtP*+N+Ura9H~`i3cqa3EPId0$0IsEnfU61V?GreO zNz`4`GUHu^*EfKEE%8y`=+{JsS|gD=qDL061&Ae-EqPt&uWwbug9g3a6C~5QH zKJ7Kw$98&1x5#l5mF{Z_<}!AjeUwfJ{{k-kQi-r*#QmP*!PeJmHci(S&Rt~h=+N?* zwr4NQ1=Z&4#fV@~2#}@WGJ(H(49^mV=Nn>4+$zoZ22=A@;{hPw+wAX@JONP{ZuzzX zcm0-z=p_LqGn1ASG-Mrr-ktNmcj3$mTnpaS`2GNylc+a3BjINLe35?ADM)u`_{_UntH}fq>{HcOJmfgSlH)KjUEjzZk(~MkM9ITr3tKl?^=C#Dr zeZsIf>o=#tiZ*JfP9#P_vzb&|yni!W>|@<4-kqF>32PN_8_c5b+_G}{>wOshyOxv; zmmRE?-rQet9!2w|Fh1aX4`UR`{z{mQq)xn7iO2nsGR{X$lg-q zRd1HCgkOl4Xlu+h*S33KGMWK^y|aoo7=r0x#p z3?GOwYUJ3&W5!dYPxMJQd$WSRaBzgntWnGQL~A(|QBgyhEc94e392_n{6Bg~Cep@z zk>&IEj{OxIL>mx9Dr#~s2XrJ>3R}g0*0R}Y>BIx7gx5>?#H%g=H0%LLbeD;qXW3FA zzX_J^og3AGk)|@sPc<8x&x>ULqw1TzdqWK{{)|fIck-dgehD6DCCX4%jm@c)4XuQ9?xmp1xdnE|>mevtF|{Iy2J`a=9D{D*Pl8l-o77M&svpY3~4zcpfYJnQ*h~s;BV@ zNQWU?ec>=H{enZ!=5exrpZ(lw(#MiMvVq5fe9JwZJ?vQu zs2Mny$1MOBkj}9+eWuMlla>MT?k50oVga@fO2IRL{qRr#tFtXX!83p*^kV^A!sBFr zfBX49y|`%u*_}M^4xX8yAa9o=%!k`>W=L`pL@*dJ8Tn~zsndjlLv zJ|I=i>6hx(*iz&Rqmw~pZ3qoJya%YnO>7ROUYWzDL=U(N^aEg_CiXU?=Oy0mN4%Qo zsn-oo?5cfUoSSVwCgN3 z&6?n@!sBOb*FP$#kIJo9YAOy*ww+puJeK`Ve>fbcaJGnuy(c+H=q%zk)9iE^txk$> zuRxRKB8n&JTl|{-S4Rh!@nV*M5waTlAsbx(GWaQZ*S(?18z?1sOVZu!h2;hMEJ^3W zDq3VqjQi7KgL7@BKHaSIeY;21QSK}{fN#-Z@g424w~S$!OOiRBDn}ka@%9|=U6ys5 zDHhx#Zrlw_#9=#LGIsh`gOvESC-o0S_g=CK0L`g9ZW1bsZKbdK_=616;(Q7GnWP{6 zn^|s-CDgNv%|4-7zvT=k!gI5&wL|a?;wYvL7_`<_=T5ftk|1Y!cywj0llTYqvD1ZB z;hjSNx!*SXm5R#DJ_^OYmJFE|4njpe_jbJ9-(~Lrj8s&*4evzHV}!g3OnGzk3Ej_0 z{+0ZYJbdM?`5c;VCBwDI4PjZ{7ZdC%y<*zjjotmG&E3&Wu$VNtr*V}to-xf0ozcCT z- zIslx}ne;ow{-j2bCVP=)aD9o+X6WYJT4&nP{koTLtmwaXS~DoCF_(4a=Yudzab4)b zzk)MnCmOjJEtw*T+!q#+Dr$opaZR$3ieqETVn4t)F|nSO~ayd z4WdgoaSf4C4mB1orUt0rPa%-7zpMpnBw!-p=f`NYBK7_R3s8eL69e;KlWq+V_@)7BP98o=qNx!=!P4GaAw!U5F>|JyR9|7%R5=H@_Q!P zJ_FKIs9c1ZvKTkyBhXTp2;Bw8Kf8Vv4N1Xy#I1$=nL!hi?Xx4SWkE04=&-QNXTm*4F5V zAjccIdg@?K@KC=qc7;fz^n6*t@C6??wyy%b?$oiBOhbXJX>sjd|3B8w1wN|k+W#{o z1L5U~3X1QjQ9(dK(Gnq=kwnknMDfk_QE5S{6)VCFaFs`JW|Y(8SZc*`ZEb0_EwvBn zts37;-=hc>+?>Rm;R93mYY1&WG28PBv77-kCaezfyG#LsE;o>qipo&WFs7k=W- zp>XUrBm?;kmp+A|ZO~Jew$<*D$kuU>W~B!dh=@jjLI9Rh>jpV&kNjZmUvec*R8O3q zQf391TJ3hmB^sKg7F_-45L|_()K+{ZT>Y#TIPGHKEx1}i z&*&Do`cvU*DR_#b5fO++2!l*qO1unt4CNpOm2YaB#T|6nbBJ`zfRk^*Vi~@$qyOLN zM>;CxMV3(3I;8vi5?7!Hpb2N%Hblgy=l$Q#f7q5j{4e;Ay^kEs@BBaTAM^bx*q00R zNSlL*(QrF;;Ow}2DTm>+qt-8%TKC%=P^;Y=dKz!EgUo65(lUEcTb`hIFZasO_GMcgg(eoS#ITDn7R!*sp?I2R)UTp6IId z&3*i}@IvT`c!hida$)k~U2hZbd?erW;blE{MGrfb!}4-3#0-NLl+A2f5JfzW)d0=2 z{e08+4cnR+Wu^a04)X*Adijvdgo3h(OAu%| zjsW%giQeb#Hn?c_j5$MDZ(VJZ%?8SmNHrm4Z+^O8rdedY zHdSdi*YBI=*QweA?}4%L+T;gj60(u8lwgMKrpQ}|_faOaUp@WIk=KdQ7=xM0T*t(II$;uV{1SJ%OZ^X{hfqC$h1b5&2qp^iR6`P`q0x8_e_6MNT_F{k;4zSws5+F}vB zdL*tyw!?nG91=R!O(`10JDzmH_e70M$VRG$Cv5iPGSfF)MCi9sd!N}z$qAuK$V?lO z(!-#Dd1scz#bb7Q2WkPJXwL0{-_x$80k-o8*iIEynHMb@y>}&ChK5y{mu~(> z?zu%)=44IpNLJ_|OkKKTo4(PyPk#IaZH|_!Fd&x^j7b@Pg(>|SQYvyfDmM(jg(2vHDB;(RJ^Xlet77Cvc57=FZ1j-Cy@tqrY?Z zvp(AEz*80S^X@8Z&3g~>uW#~WqJMf-X}BE8 zMBcy58Zmj2o;*kNt2K_vSvEalgDyc?rl*mqvltsg`4LR^7`=N45+Z_IS%%Q8%kgX^ zI|?yo1KDYK2aF;yc?Oo}B__#ACl!pgj(CNJiH1!TY!sP@xGY<0^aw0?PN=X83{( zjedrS?@1QaPt!Iq=3HJ918q^NAi*iZfdEy&VE={L59Evy;{r-c4(PH;Tq8z|$0CKa z0jN-xzRD~Mp$)$#wujn#+IYodVw4qfJ$V@eq|ngpm>ng_Q*}zLGvmiV(%?7wHn8z+ zmwV#GabP`?9_~)HfTT7%x_R2VS`>^_&Ulyt*&B6}bs-ulXYevB-P<4}?P<|yzH)bh zzH`B?uy$4VE^vz)am#dK?hoWuf59s|tkL)>R{B$es_%LGpbhYE&B=F|)=WpHuW3k` zx;&a+#ElRgGhSFXh>`WcV zAmXW7JdO(|0_rF7L9i!|D#snw%D==bK7jtwM$FlCS@x#Wm_4pR$r_=AitTmzm^~&f29<%5f7+p5*zeU@s%(r!49b0oeJ2ITlYF4Nc+!qAwus+Pz zYmOU}%X@n5%OPe4^LcW~cG&KDcHyZVipYue(tr9-+gb2>Nm>?LThrS2$D^ZoLp8zwUArgX!|HEEz4oK&qw$ zVN4B2Kd&gdtEQ|N@r#vdpmy+MGh?Vmz0qh~UWozXoMkqvZQdz(3JvCgYEmnz+8lpc z`DYqMaRk?gJI)`2CX8xt#EdGDq6vt(|J%7OLW*qV`C*hhpdXyjUN&+o zRgYlWy|;&V8{AobzIXEd=+|@mfkPX4na;zm#rY3Ad!*h7?>!3x=Asin%ktY{%CK_2y zD(f1$GKHN7+T)PE$_nxrXWQ?`WPDn!Y8( zUp%3g*h}BR&h%I0awDhxjX8i$b*1N86-Q98W7~qwui4obj8T;LUnye? zoq3+Fj^odp|Af)nI)yFXplrc2H2K~9tR#6wsOb$&S> z^8Pa$mPLHSk{vG*diw-nK-5_|X=*(COgR`FT2w{-P7|_J@9`6hFgV7KVv~0cpS79h zsn;*Kh-CZ%Tokh|Z)!{zt4Js(FYgu0{Kq?T607_Bw|88{qUIgVUAysvKh^#XJgA%z z_td}Hn{#|o$U9DjbL3yrQ=NN*7gH%7n#c6p{P~#n9o%2KE7>iQ)D)uBVM^%u^B!aj1U_gXScg$%{|4#1e0d)RDdsUnqxFrH_R-eSkhq#f@`%0z^1uRZZ})mp zrr(Ht#&yk~13j12tYYo>Gg1RPBtN&^;T%DRAs8QlTzo9qxTU#Cgtf%BhJ2duJ`*mc z|Jw-YT6R#qkK;zB{FM%^ADW%q7ZN$SeRFLX>$5%f?poskC;hZK(le@wBF|Dyl(t3mD4mbf95lo?nW}yt-Cvv7!?egF(dcFfyz4ow9j7*()=JOdU>`_ zZ?Z)Yu=iD*Ej&nl4bKs2M^1C+gBe;$_yUuBkoKK%{xh8agV~RaKZF2T;2k0#C_7-8 z0J8k(%w9OA>?mt%xa#Q!f4s*jIQKYSpJ zKRw|t=-unH0H5CZ3VixyD?ZhowxL4{Dt%T0yq&(A`C(pHo1gPuRAf_R8$Sl4nj#5a z!mbi>HAQ3v9mIa0&j6L~C4)hw+k*jX`EZ)Gp56rD-k)nw>8L`{>B|k3$yOI3Z#v;f zqJ>SGI)LyDnGH6@tRX81%Pt!BEO6ctxSgmD37_T&pFqlTT&-}Gld09Db7th!zgeqV zr^(#K(8M+y(zRDVUo~DvvhxvI6_UE7 zszr5pm!G?j%RIXhC#Wz(`Sx-J(ZKRxOoh$LE-E&>Q6auCETeD=FqS;?@4N1m zI3)F^qIPyTk01W2C*X5G!7=xH5+;>;ug2Q39gExHa96V#^X)Fv&eBs)QTR=sUuvKD zyt-mc`SHo0#}KoQyr%s4LRc5ZNI`Y)z^d19mbY4UztfNJy>9Rnua4wD+_W6+m;14xFB zsrPa2GYBfSgSv;s>is58?aoS!qy!^tew_i3)^S@Xp^W@6dAd)31QY9LM?s|ua6wL9 zBHT_3Yz+H*)b3^M7D%dQK{L_tVFXjUU4|o7oIzINynChQ z)0#gorg@v**r1K56Sw(vqp&el2|e=mkLEgN>Z>k|A+!OL!qdi^=~@xic)u`{^-QgM zwvIH%=bvrcj4y`@a>qEssKgH1CHo;w{*pG@G&$qoCZ|5%2upds;bn|Hrff0eBe(gc z(Y_sKR2HzlEwpfh{$h(_J#fFy6tY37@7shLuGI>ELz5u zn$)j3(V?lTAL*RbO<8;TexZJXv;TYEZ4AiZct%xN>Fu;{XP@=!`^?KBjWWpyZWfcI zc8?zF7H)sKK62jjZV-o?X&CCHw{8~Br4MIz4ubLJ?h9MhWXvCym42PdhPvI%xXp(9 zhV9IHlfvGnYT**sn-sD#l8a@BG!JziF%=*XdkEYPMV(E~a&^m`q|BAo=wiANa+c>k zf86nGvLT+Gva0?9qFH8G0m#Jhgu4x{p0H5Si>h9Y3xnx`H)@;`tn?!s;|d_=WCOYw zWQK|HuI#;tXIDr!aG*?8je(m+uHhwm(be2=zMz*vPtAC)ohc9+I^BnXnfZ;*o7GNU zGO6Fwjnw|G)yPeggH$gKnfaR+bm7fW;7#j?Juo;2{2paor~KEjg8&N-7sb)fB%dCKHFZ#*ff$b;BE zhjUNUEXr?`ld09spZ^_My1g4`M$r>(j2py>>y?nn<-8KIZHKoA2OaS7^_7f9VvfhE z%q+*)u+!&)+VNhSQD2lK#`Cw6l@>nA90iw5Brby1gzEFqG!;GRG9lF>R#hl5(N8J? z6iO;KNmjZCg$|u-uP1ZupVC2xQB9$vs35lxJ@_Ii{OVr{s&5$Djzb%S<~TpTIf$Q2 zJOp{JX)%^XUq5nvu-ISNAP9Sn7nw07M$`Lvc0@RL7_o~wsq)Q1B_mBGhTtZi_mi~U zsqeO*#M;0mhM!a#46Cn6vNCe*yQrhOJy~B25(=KTpg-2n9)o@!OqT2lpC%>W%k5yQ zK(E|ONXN~na)YP<0C!FfS=3n_d_CyJGQ+bhDkcuUy=uiI?+lWkFv(Wtw^X=@Z7r1h zoG;Csm43zl!iMoj{ulE&z;C8YvV4&!VEu8yh;9t(xnA`MLgwLM>N$*@hbd>zAZPy| zXJ6%1Uvg53kn?cf@>87NC8_^jkh>_ztsC;D#he@q<4>x68ZtDStoUfeSmcAR+C$bO zpYW4EbM#DJ={aj~-^7`N&q|y=xX(}lozOp2- zhCA&@2^zyQI*^KNG@NcozK^5Da5NhYPdg@9w@gT5hqIN;zKH?O)K42bV4FWPiUyR- zMTs3ja{iabd?LTbr$6o`{m@4uw!EZYa!6`wk9IsnFp>?a`0KhaIJZ}fElnI|_$Rjt zIriAn?P@6IqsTYQlm9eG{qPn#sKMcNxy2o#=gYKAqabL&1b+gZ$z#ARahd_j}RB zIy*n_?>oD_C0CkMjN^PJBkss`qM=b3$JLJf;#iZm zbMZ$$kG;-2;}-3aO}1OpfYtA#;#M!u9{;6gB<|w9oJ)V4kE$J9Ga(<6>cg#>8|P)J z>C5~ze)CL@{AF~N^^W*djy07J(YqQbF83lg1mjvJ-~tw+%Jz4kjRV z4-adnCA*9BEPVgBjS}olYUJ&}c`$X-9CNQuE#!F|gb-Xz1Im`fG4=C~tPOb&-(;v* z=V()nHzl{r(iXg?p-(tP7y^w*#O76r56==PZ_OIGF` zdZ%OQ$b4;fO0h|hVed*sPvha~H}j~LHx??mF{mK7l}-;GS^R7WCM1@6nN9Y6UV~qW zIm>n)^u?)+HY(uGPL*0(W*)H8qgFSSGyfm#5Z|^|jHsKOM|KMPB@W6hLyzypQXgWY zyUqK($=&sdJ?+f^IN?Df`nd!CQ8lBV3?A^=lGK~i-x3R&P=gu&3)e`BDhM!e%?gv7 z8^BPQy}2gGne9PL(sTO&Tm9LZaZvJ_3bW%_nV+C~%{|ATTDJpqDpx`-+r1#j2!w}5 z{qh`en)4Wdzgjn~`D{CTMKf{)nGOSzUI)DL!G123m8K7%LhgA}RZWAzanApsxsT(Z zw(!}c9D(PF21(PwRv{})A)*Y6Ic1F#67-1fxAyl-djb0&F6;t zHwVm6{>@!Wi_m_$!%N&QomEGEk-r~ZD?abw9b?KK-PDdcyMrBLGo5d`v_#loH(e@% z48C3jga1E8zA9*iHA7bpxl33DQ{Xfj9R&o_yTxR%W~?RE&4|+vG$K{vLGGl+SX1L# zF%s^xWBFkR=L(0jd7(H!!vu|ry6v6JK+?QZdK8Iqgxi6!e3A^loTSO5s&lcCUzuB; zRzyavr*kM$okqjo?0qO)2j{M_o5+fM$QjGoRd;5;?OwQ1*=PExS+|`Qj`^m1vSd`W zslE8i*4FaRrxvS+;pBe@g|XYMt~!!Qyz*=|;G zn6gd1gk3FPJTn$%K3+7Y-Vl(i+^WSLzb8Y1rhJh3ku6=a9=cprV1=GpJ*~ktT^SX1 zc&BWa4;u|P_Z}pNE{_I6xG!?g_4myd8koJ3)K{^H_=hA&C|(@EKKyxaA^8<%`-;pW1hDp~ zuc^iQAq^QDk-Sem&{X9tO&r&sH)ZQIVOITsBbTl|TI*bPRBI=G3jU~EX0@{_`U0TE zaIj``|Mk4L<6gln#aB`}q@sIh#3L8`QfxOY-)1aiG2p^&WOgXFwZ*a6(#=@t7Eg$0 z2Oq{9nY`J={l)oXe0oqDZscMDegQ2jHCqc5!Jd0Q)A*IdT#phHbuak}>*wSK6jMdd zni0v0b=F#q&B?xLTF=O5v3Jn`L7jzY(p*2yHEGF5v3HUHVF*ooVRhAgzTofv0`za z=^g@NQx`6oL`LsVJnPBa8A`HTP5CIm9R?x;oNh(CdiG>fep^k~_?It7QKWzT$YR_2ruSD(V&=^VZEe zpEnwY#2{d8FKmK#d0T8s86YHhl@@C*7`7(wcyJLi*JViE-nursp zcj2>qRD{(6r4Gj?8&ff2d%Xpz(!}Ew5ezDg?S2DwN&6E6DuOagqpE81Zn#QvjL*DwUx!1vCt!q(Ey9Qm5`#v1ebzfPOv2XM zuhs7;egJ3uiykbhBeFnQ-h?u+ao{^nAaVQNMxk$RH4;YGAMWol!BT}sr-VDK)U7J9 z?^uud8g9wlS;BdDXt{e;nSICVF|Y|-S<9M*P_fpw;>+ACdlX&SjYX?KtYYQ3b6Yoy zU~JV+--kXGNjUFbesUgclg4=BSD0EfUu4plspS*|T&{sg}k0 zSnHm{mD_23r{g)2XOloW!6Buq=lt()7D;&-AAeU?rhQ>C3yX&rfSa|Try4y>&7yNG#q zH&t!sJA?2Yyu(y)H_`!n`eNmn!)#h zHNWVB$VZLsDe-9A66pqovc|u|!V@$W_gU2iW-*_`_`x^EYxXMapFsJbsm zKbsyFWHDWQ`UvCyf0Yl=E9CFLvFS#k!q}>ar|>ysicL4iwb=9(+M@l8u-cSf%cG z4gw3+se|g-MFjIYuTW1(Ykz+B?e&zY9tj)|s^{;1JwGngBX30F=YUV_+v}-QJy3wQ z^(1~wU(P7h@oSv{f}{C5f0AWfuuu?b(|F=M3hjI^0K`PoFtlW`){u{8K8|H>BB>rz ztP z!`*Hg%Kz4^U;3e`3FgBfYKTc#2&Fo>%&XL&yA9Iq(=FE!kKJplGoF>vU9>}m=uaGu zNj7fOC{f^bI6>r5veZ#EN|*MD@<3s-Sse&eZk@bi&*J6dY@$7U&{tii-4ZZFQpiTF zCpL}8A*HWjbl?v5I*<3Huy>tot8uoUf#b_42J6HPBls=co$%%EK_f{#H^3Pgd!AUf z-lIF-hxveoLo1D5u^t%IE!K{KsLZf!*_p~0HD^%<66^q|FSRA)Cl}-iv5|Ce!1B~o zbey;_fqVr0nN>zUuU3ZPuK9*ZG(B~n@ij*7gDcfzd-?%MOJh!#75;sznDfYrI+{j? z<(&wNFT#%##V*sX@~se>!Zp?tUyi#UO?>;rC#HSBCRM=>!IAWtwl(`c0CBCtNH=;f zqia=ds&%RuF%3sbS@rh4o#AgNM_#g|2s_fwUR=eEnJS!D3g>O->f11U>!BbBOmHlQ z<|TH-4-J`=dsX+$PvU@{HlxuPbLW8^0Y2#7kcrb}KJrBuYnRTQx<{dE(SRZ03nEd} zU{>Y^BA?e5pSy=jeSB;*+j|(znFav3Lb>C}HWNLxpjxl&oF+7^U@u=B?BF@wkEW8e z3WaJ=t*O15%&w_Dqp42~aL514fYk@1ncB^|*H`BuV#w^8_GSV1t@IcMZxohzQ~TXv z=qulZO{w5$ktDQsQR|J$aJeMR#H7kzJr7i87@Dj{qllG{{-JnLOT6 zmk1B=qP%NmJCkepA-O!|+ELSztJvfkaY(Km%JpJvt`d_=i@a@%dz9f?RXk<{GG6 z_ZD(Z-x^{|OK^iAIj@k56XfD5<@&>E?LtNeu47by0Ds8MgpSs$)IzDia{IuNc=lHG z=*c#E^!GW(cpI)X@`uw9kxr1Gt-z)@P*sj3Dq1fSg4_T|eOqNaN44GFZjL}YE05*Y zVE%=Wcr^xx3h#}`w z*T%Ao&1y*SGKha#w9+~<7nV>#-#)7IB7{9AZ=vD*siGgws3-BPlXZv#oU!wni;`M+Z)CWjHT1JJnl<)^dp&N0fbY^rqYepN5Si@WT0O>0A&DJq|}K zZtRX0aZYi7H41;LX5%PcIySEzA>QLv<))=|zy2Awd&c!3p}#LEA)zw~bEwDUrV8fD z&pl6-XUCNtlv~v-@DoZ*0tH%11ofAGS3=M4N*MTE302>fV1HM_uJkWt;tAC%e*sICyH?s$OO$#CE$coGSa#Ml&rgZlbkwPG9Qp znq7;hk=S@fPe&U;0jhKgTZDLHO^g;Vs*DY2iE{4Df$f-u~9|>gWHiJa7rP zi9+{h%HPdeIZo!JwO{^YHpk^f*_Qq}QI6R_%3?$|Gp?shKAP9+nh40u`(%B_1X-d2sG^i~3~Y!Z?Fd){j9cH@{k`Ho4s zj1=*Is040D*bkZ`diVu^`#rdd%Wk>nYcv?VmU<- z46+nR9AQ{?jPt!aKZY#IOh4vaTjDDu(6~teow$BXdy9Q%)Qx|Xk&uRN%J$W z+|-@usqda;Y(TM)iLMhQoq)ib9_0xiJCtax>bq(I$LJ>mGPjbXi?-73 z=#F63?G&?d9}~xInRn^t*3Ci(R46_=aSYGj^&Uj&Z|b;!c&rCP2E4L902FU%v+H!C zX2L`xTqmLj8YUn@v)jGE#VC?%-GCb4!e5BQWgtf`-OAuOqBzRcK>kW^C#E^*8iXO|cjQK=2!j-5y$N2X< zB;7e+tcUtH=lXM74|*`Bd|-B5@=B zbkVj;Lu0$g^K{oJEH2c#@XxH;cfBVW2UcfpO>t_EmAD)8BFlJy)l4*ysT5>`r=OzX zf;+R8=l|;Ce^6eI{m>?ck7?MwHr3EhQqnOiOX!z6mAONyeoiVG7*z7e@|=p9deqMB z=Rv+uZWwVb{1t6wDv6+DLRnc!nHpu_1^Fq-ox+Dd4t3Fchxx;MkjCK^$w2M_UF~%m zn#)(tcq7h3rm>2baqFCusL2ofVtGz)O8S9Em*>{C1b(?Zx1uHR@bcVq1aw8A*GoCM z#U7eH{^XpV2|+F7>x7)wa^?+-mhkdiigd1<>Q+u}BHw;9vzF(6OhEl+9+^-1!bj4} zyk;kGX?hw8X?z!6!CN;d56(cp2=qeUMSl2bUtd3^6n(wP&>lSRjXy0p-_$f0W*55N zMxKn%zt^Smn+=ew<$}POGShaFbx!RJ^bC`Lqg*;Y^f@O0_`aDaw?$#`#sj&%JFR=Zc<7Tx`?X!h;{DAuGc+r0}3uW<_Y zGiu`5+!|+|7AK{d++PWBzb~~!w!PBGGt9sBTEf-hO)Mw$E z3M_$cGS%lRn*S4?n7~}8JcVNu9+RQI81Ijq*HKe=5Sh+ZgD4L!PcpcP33x1fMcdR zfhk3Hlbmg(FD9~OsY6PYx^uq*qVE*2GHxSmm!k2V;(x!S#R24WReQ|o-Sh^C;Q z>ve10Z#O}^ru87(F2${ zh;f9@w$nj6MUBg_EQqVoCbkh*({I2ibbQ00cYDjj#VFh#>Qb0F@YsSbqz_t4|CbJ$Au|w8EMRM-M`DQI7 zE-b7A9*^{${Fw0{R3N99Z(7IIvZ(UU&7^dGh2TSq$fJUda1#cR^_q?=JZ6TyO{z)*EtZO&jGuQu+I}#UEPUTI1~U>p0!7 zV|{)R@Ig8U^KzkCA^y+?x^}S}vQ|SMR-nTPV=)1uZ@#VL5Xc@mt0!IQAiSGViwuk{YeIpOa`Cf&$!1i?!|ir4*UfHO&O${?Bl>gF*l zCFiCJzOCy5Gj{VtEoMSJ9ypBH%xWQx_$k~!nzI0n#x$Zg|oAjzD|P+ zmhZFs7B=T+FK(qSOx!0f&~b>6;nheahLA!p}3L{TsLf#H&^ zv;f7q^L--CxvuM7`ohagb{LgL)Q%B@INiT*5^88JM@rXAP0HR56Mimywu`RCsfwCGuh`9bqRB+J3E$?TJ{KReWUL)`+akRls?P>0(pl4ga7jjz`If*athD{V{iabpf`p4(5gU?iD4a)9N z!s33v;y6?xH_LZv?)s1k-9jjqTEkoBpmk9HlFe{I-mW+m$yQ-SpqcfU{iewE6f-QC zq-LqgZQBdAUufz(E2zDn36&Azu$TLT{NuS80lN{41a?Apt97!UGC;*Uj&9RB#W?m{ zYZpa6cvW+Oo7ByFQd>FzW0p!}jfw33DnF^pu`SIu5wOMq7Y8Gx0=#|`XwCU!cp z)_hQo%?Ymy>5qVM-M%v4c^VErxQAq7F#dmu4@?&iA3d{fZ&~8#y1g9}N7U`@l;|pZ zC2M}Xv;>cmA0j!C%KO{wKfEW;#3rm+$}qQPwlO~P>1W-(vvK=CDXLj-hd1J%I=w!b zGps|Zp;tk5P9ade+#)%KHa+g(Cj!s<1`u6$yo}bNa9=0zHsW^s`HWKBji_cS^pLTI zt^41FuK- z6*lb!MbzLnzd>1`;83uty5n zqP?3YH)32NmeG4_ZGbFID5?~b=p5Mcl$|Y(x3c0DEKH;hgbg2y!JG(cF2jmx3~_;h zx9jD*c$YTCMb}&vz5MEU>>8yw#$KUgPA8PMId!@T!nyu@!{sE-_$GsN^_QacQ)aW| zyi5NF)1AHuxm$2C*Nu{9tsg~nK!nfuSnJmi;VCa`CH)1ly&=LsF~MIG6suJlO8iHv za&NA%+J~9c$CVjcaMYTBFk1)IR5B+Fa{d^WEt;=QEk z-l}?vhrFM?6o8g`tjt%4_s#Us_2%5Y{DEKa9Sy%M>G5lqXUk9J98?l_?<$SCi$&JG zuXa#qP^N)z+ga&viI~e-LxOvEk`(ktJeArW_J)0rm56XWUa@T)__6{L|7Kv=%O>xy z598(WpcHd}Kj{8PTYJeFYFI3^C`fVvNF%PyseL5w)Xb=MYM->yIp_#iR(N3Wv|{tc z^~K}#^#Wy$jHsJ03$NR`u-S;2ya{~4tIsy8*S4x7cm7yH&?(ekGutcCB~0Jk<$9Ln zg2IY%j6tqU@>w_^?69-<4)i8thT>lPq^N+i(UJ45B5&ZIvBHw@W~H~-YN_fZ8HpWg zIa5h-*l@JAw=pak^rDcclkD)d&*`G`-lDn#HKFM<7^qW?dr$NKZ2y42O!qe$sky z7vOA>OO7D9AeZdVRq$51+7k*{2ei$KL7P*}g{pG0Yg{f|c9kobpMmf%x1 z-t)YTGI|8@Mq|TE#qWCaI}tIm=E)^{*d(w=nj`aHZ)}a4U0$#)YB7^~i|)8np7iSe zqX-<- zcXv5;a5Euv)}42SyeCwn?5X@}T5OX8cwr?v=1eZAVAy&k)IQ?(mM>aK3byN{tMd^kU`Pg{DK~w+#0Sx9c0^VW?kJ~-Q@|rw(k1@E5N3oEPhlJ3 zA7E=1=oOdhP$(@&{xH8Y+W9O2vysdF_-YQP_^h_K}CttdSa=6 zMYc~L@1@hVlAl$3vRHkPX>)6yj60{|1i3Ws+zY;r@97LFaU;R$!$}h0P~?@ zma>)66)d6YpVYC`yu~3%()1Pj&JC77#BAj$ZIaitNxo0MsXVuKekD}s!j4$BnS4i0gJE|(avVw!{NmR<9UKRop@GZ zB^NGn8p^YQbM$NgwOL!<=De2rwkW>682WuBOccAYES*=^;>OqcXi1MZ@0Op(GG&ln zu7`0)q>w(u^YG!TgS@Lw*5(iAu)I)z9rYUtE1I8>JH7;yo7)hy!j2uoZuITfLOI== z_4lqWy&o(8TY9!^ZIo;8+%d#$%W{*v#J!?~>nq$)g3wQbpcN7e_hyx#S-*+2{7>b9 z;J=SHq%_eF1ZCrx>HWNeKVY*fxJjC7xvB170WD<%fmqw$atl-yo_v~4#m!s}wT>0|)k!fnxmZ_e$)R_b8bmQ%Ut_hIhUC7Jb8 zZ}H*UgICHi3easB_MN%jfmgu!nI`0e74_wZ`5rz{Upo}2%eWhoX$XLNDqU&?Y5|j&Kde5lS6{v@5#*VrlPCVu0b`<%x3SyDdEY z-K1C;n~*xBZ&C6y95WkaqokU;FGy!@*Y*T zn(;SfdQi8Jgd4h{%2~xnylZ9d<#POzRm6EE%Uh5C*QhVBwOmhfO{rQm=%qS_l#w;0 zcYE*#9-TfV^zISGv#ePSx&%>;`?2&n^eoCfMC(NyrtdA~0=xCV=hUWQoNv-KS!wyTo|Yl(i8r??zQ(O7vsOise41NXHBN(n zH^*HpRuBnj=BRvbZ$K-^X?&QjruM=4r{`?!RLT#eBBp$FbyXScLt+Q|R9aGr}YlU15YO{rb@7^V+H ze-u5o5IspB(EWrZ4`g)&I9z|c6|w~9Y2-d!?14)5U+q1EEmAD|NO=R9V)*G)W#UOc z>B~@%bGVT=79+g!!mJ7*A|44MD~Pc&^UanTd5(bor7CB>RXAw>)?~;9Vzr-}(A$J^ z_fbY9^zsISxry4i^Q@*A_FtIJb4;)*hA*S{Q_qft8)Ln=#ra%kkx3knp+nf_{rE)| z%xo>04!bh2uubXwtme$=9xhC1E?wgq--AzAB|oma zr(G=hAqTG;ilTMInn`ILQU;^ex;isD`jv3Dho;BPX~g};;J#$o^Ppq2hU8#`b9t#q^hbuPZDIoHsabF9ogR6~E5c`<@Pwe_!dt0kr{U90o2E7m7^ zDdsq0)MC9YoKJW0gUR~UJufGy=X}+N`t)gJPJikLhg+Eu*r(TDwjYCqw+w6z0m;?F zumR9=Co5#heQ1J7Lj(MKow;TcC5Ok0wQ}8O^;hfjHJ@JelnOOwsDgj>41(IHB@Qf{ z1F))Hb4fJr_^8rkZb%BZ@qht_HjpKXsAzaoM#j2IXv(}Ee8nAuW}Gk#emMrepgQd+ zsEM$%*Ul5)@66J4xU)?E5T3qn7XEfC^93L1OIIncJ4<%HE?4H<$A!G=s2yJJ4k@u# zf%Z^uwsTHSan}$m&QHan)-_a-@9pnn)fGFeR0yauY26+q*MkZkE5M`zF@P#OOBMzydq$-{s_Zn=+#h+hH^FDFYQq zngLs}Yl0Pqf^+YZQKS|dV4-OU)+8y@ zjq`45f4k&^K8%_S0nm`ZVo@`D={D-Kefx4N{URyVt|1B1!)DHjsCSPa)Vt0^cy!lv zGW?o*WSibr`$&R81(>|UjA@nuiB)Y}i!msz4YfE+b8DsXYY~E1wO&l^lcQ!S4CXKd zf#`351_U(N?V9XB$W-cpcSB23W-#HD=`N3AlmQb1VIlkZ42}gWlr{ElQw^L8>&Fm* z;}5rs%t+iLbA!pJ{miTjk27(bb@6+E;@yj{hJ)6H^&%Zx&(a|Flw?!x9dN2;7M`F; zFbih(l3R1*8E)%*A@xXJfVjhl>|(*wJ$@kl5jOIcp%!uOe5wRD8i)#D?iQbDUI79S zeyoop#~+^OdEM=oJEOMG%l(2v*Jrm{cIbZE*-E;0(CN^pz0{Oz8bBZy&{1Y)|&pA!O^lU$vtnX=pjvt&<-`fQ5 z@q_F+pU~_2vxv-%Caxeya-S9cinPX^pOoY;sdfidyW@*7mWf(xB(1rWi#g4SA2KXK zx(0>{l6kp1n6r1Y*LSasGPz9s89G6DgWB+y-a?f5HQ0c1Lv=mQW&HZ`&wv2Jd1Das z8HS~`;jg`i$QlnDRyL2y&a92NWtp!I^eVy^z2a$PF(~4k{a#^#qAz;23}oSpUi}ZVS9ecGdiARL>O@nk!Eu_( z`c2hZnctWsHTRVHY7YWP-&uE02eLorXa7Fg>C^dEy0hsj{9JA*aS*HARX~50B66G>7Yudf5I(x|h zXOFY4|N7|e9nKEaq|U}(YkTc>4&;v%T(g7wKzdhXAhb9!=k)U=tB*{0NxPFU`yd-|qF3{^=*3pU9E#k0#KO zc{|`w~TMPnh3+cd%rqGeg4dQ4GtoAlrOZ~Hm_A^_ZKOE0*T;w3FxzxtJ);L z&?fn>e)6B&BtPCJxjmKhe)GsTc=r}ncOL{M(d#92^4%ZHbde)Q$T&+ZsJxJ1J%t*` zUUc@DyGH(C%&lkP-R%csZvVmPrm8OEIiQu+_lICf(DlJqib}cA2xn6U00mPkeYS`i zi-bH)a=1f+SJE>aLTpov{EGP2-0(94N%zk+yV|2Fc$<>QkLzdBF9E5(w_&qbZ6wsg zqnp?_A?v|43RZ-wkkqWHaSn(yD(-f)!>{twzE)O5|DZqklFD~3&{y~tr1#eRwY+$G zWwEKhXG-k|b1aLdZuWkm$@!zR%PfFAC!}Hw>=V9I_(z_qU<*>oMjZxpJ)ph!f1UQ}3TdnXp^gPr2Og{Y~afKkBiI{JW{yE6tXBTXt!_brB=T5MJf`=C~Y zYufyV)~k-RkX;!PJG4lDnW{g$)H@WjE?#EdjNoy}VAdX8?9Uq0>Q7uk&QvFn*{;}w zA7Il;*SUWs+ydtxD%FWxZGO6^mF89^`N>TMGQ!2@=rZ_}dzxR{J@0lu%b65dxTEhS z+>D@FZ+|(Yv_dNnRL^xcS!*Xsb%>R-~1cZ660olwjb3b*pULgUF) z|MjWLA2(?joe@tvPa(U$J73b=b(+3@q^k?ur@HZG+_QC$Q!4)|vpr-rPK6d|o)>DK zdF9osL#k=;+&qxVq0!p`znGq1`M$_J}(dE%F>ti6|>8Or^Hq4|922|29Y!{ab zz7)bOVUdTd^kKrNriM2u+Ipp~Uz_`OT&|Oh=`!*mTZfV0cQyXc>Ay9i-NECztZMhUd3Zdpl0#RqSQq=!|}*T*ZcoFGrbmnH4b|RdlnMZu(=) z$&p+@f15(LkG~5Ol&YfKRR&J}?r+c$jGRz~JAaXmm~&1(Wj8e$-T+KB|4rj!VpE9S za+K{{Xw4sdWG<>Y+#~w$DnJvHJIGILdV?y7JKAfD&mFR>IK-WHrHFbQnphnQ2f)@N zqF+v2oO=koKiK}MVEY7~r#_jax6%e;VyF8`!)8-j73fXrTV3?AA(?!P@A-VzUg91nla{@czw_Rj^Zw$i_&oURkv zdLWDrH+eTn*+{@%bb*kDRq$9h#h@&}1$R-iybP1vPjt?EI)XBOa``arrP4f*Faku* z@DLFWCd+RFkup1Jf)>Vzj5et`%xf^`gU$CEKB6b2ac}SxDcpJUd@G~%*B|$JWJht7 z%!7VJMl6}E^aLuHtUGbGdnfO(Zco0chd73t4tu{lg5Cfo$@j0lHWXU7 zFWaS)^WyaP&pj76Ra;(uxw(1izII`wrYgpn*7PkFrpHoRm4w$B_e-yB!6P@XyRa<} zUfB~kcw7Gz0F4)bG+Akw0Uu6&2b`Nj-pRC&HX&@Pu+mo<*mCA!XwH?~&WnofGKg9d zWGV=ej`q;02$KZ>ZYuKL!Q!@>U?2IGP;IPsLY(p>otm+Q8hqp#rTH3DJ|bQ;s@&ea zmR|MAcacBnPs7%X`DClkP%ily1P?be;g23;V<53dE)d3ojB)FgZs$Nh4e57 z50=kUetHJE?t-(Ee|c-P&EpZ)ygrK?=IOeUH9Y@t>wc~4StEpQ!-h6hdu3E08awHb zM`Lv_?*-ExuQPqqCD2?mb?g1a7fi3bow|vby)B%3P4O-KfYQ}1?=_Q-YxUnAlFk)Y zZ@#WCs<6D_w(JKx{tolxZva^F0)S@%h)fE5PqyQ{S_ZEZ(6h!6ChUP442<{EN|!0g z!RZD$Wu>|C)S})lDzp^na*-9xA@cv67vhzv^*bw>=P#l(GLtE z;=S9PPn)1u67NBWOgWJzs2Wa0*<1o$qeNOam{l(XI+#Fxt|!hRGLWc=PX?DsqYE|IivQm!gfPfa%2?41}?RAbeA8Qs018Uke(NY_S2uB)}fTgX;b zzVjjU$}Z5WDl?y=SKfEhtDhSl_1oyx?hiCo2h*#8ZRu6dfL^f>{w=*aanJvEdUd1v zX3p8HiCn&gz7*)zAkqyzlMim6o*8;|s)>hQJ*fCL^bD%&wO6I0S2wlP2SKlTs+C^# z-=3QSS(te!-TFjcF6Hm*V7g?~_aZ$nD}Ae}wT=md?isq)Lbv{^bal0*yrEm%(WUUu zlz4D|Aq{QG*Q|hiv8Y|Wl#dGIY&&!RRunJ6U0XC-cQzUH?o!KGs^GjO02QQTk0Q%Kbx$6PHoKk92g ziv6q1fAd`L`(}rP8;j^nJ80{wlDd0&C9%fKKU<*JcJ^MS$DD!I{PFVnj-}=S*$EEmoaEjm#xrrcxw;Qle8_xgFHWyFAAs>~K6E}^N(tM& zgB!(dvUe|JFDhj35@dha&(1-4d*Y{l?TIT)&GL(^zTB-^?@+d&Pq&yp)iHkN4MOIf z1qSG=)GH6ZO1xwF5=71+Gd7c@;eODXX2o&1ALG50qc5hG}qp3r{_`d3DBHtnHpH7tD{_(+AiFX8Fxl;@6`u&u6 z-_Q3&@Kxe{%vb)gmi6dP>EUf8%JgrI|54(tj&W`;o^%?OVh;8t zSb414QbGJjv2JeqS4pA+srjw=bmpiYy*c{*<&>Mm3sGOxx`{7A{j~alZP!g-?#cIT zfw$&mkmjYggrRGm;GzRHPg)SiB||FDMD9v_icfuTE%|!Pom%R-P<1{^JlSyNU*wbd z*XR2NAFjo?F}gtH-KaOBO8^&_toQ0&N}ZN<|0$mR!)EkpSKHagC3dZKwjiS#SfU~j zzr|}wYs$RCUv+qBw)m3K)lTPHXCsf&*cHpI`*cq>HvNEg29)%HHAvB8&O5c?T?>r!4vy{SBWS+;mSV%y`mToO91z++{6!;ry~q@eqcB=|{*$m5+2RdpE9E%+pV(XCq%USJN(4 z!Uz(od#%-zbhBe_@e1qyD(bHt$t%R&Hjp_~8(tsp^;)dg>s)uK&5qJ3@8S~E%{q!& z&mEcaO6}R!l6}^aXF`t=l^yUsx?ik_SV-A(Bm@U9E#u_tV^!Os3+`pF=0P zAr`W->P~d}UJcpGT*4?*i#4%D$+DbFlFqOB#2sK-JG?3IhVqgkz!Yi)(`GoW)SmMu zzG=@Moq8dp(T9^SnZ^r^Os-BWqOs$6496I;WL9a~5g__FM4R9TyUo=ib2ANmz9HJL zYUyNJu3V~}7h4POek&)xjRAk;tZy#gFanum5N29N4|>E*ida2g(vhK|7b)P-O_TnbixF#j05)Ap7$fPR-J^!uEA5&xrtQ$Qq^J~UHSdKCHjnp|boud%cDgeM%0;$fl0oGk^9%59V#hc$m! zbNQF6Kt2!@wCqY9W{8imt19MuMg*6w9SSQR^!)#SBT{y%*) zOL1!!o&?&LPxoJ)ud~g?5Wl8pRhpEbp2$L#$lX9<-9?pFCaLDWk)8eo`MWL*EB~26 z{`4(s?;H7Bs_(c^e!_D50gb8tV?JziDcA3f8HhKJ-$GoNy?d?J%EXf;cCfPp9=7V&k&^njpJa*IZsURs6W&9~CtxfTxdtLRVaGQ+ z*D{u3A5>@m`&=E&Eaf_qYk^2bZn$r`!!7zDOmprnA=1BrKqr4l#?GZ7cbtq<{!Q-A ze(qmUX`8zo`jo$>%{t+Gu+;Lu#a1ZiO$8TxU)atD&S&(n{`XLSFTN3b_NTNDAZv71 zdV>jbK*41mS&TzHDKhNr@Q%EB(vefoR8%OwCZ(Vu=!7Pvo3TYedvQK2PqKT?p^(pC z`X@UI^3vK`-$Wpv^7;+#IB|d+iuJ&Of$R`y-kZldv@29B%uHat@*j8N2d(fA&IoG_89fBIY%03{?xBNj(435-Gth@lBgM*a zX!rG@V@>c=gQnf@AmB!7`J0N|z+c`JOjN@7`Tm~FS>kDWX}De)85jp3DH9V({7^4O zaH#4qGcm+JCw`H~mDe-nbI7o;j6eO?H$^_lQZ3&oLU;bfC;F)eO_nlm#a+C-6CR!6WY z(m;|9zBbHnQ+q?zQlFOC6>lVW$X(t?J~-0lGsvTV-pHQ_9$AXzegKi^-`o_LOh7p7 zJ%DpWH*&Yq&J~%-Rwnr_D1LK@UJ8oeogyRI%In%B?`xC1*Dw9OHpzc!ldRKM0WpK$ z==D4h9lb7whbcJwnDa8aQ=AK9R)(@(lIfCs2d#%%=hNDX-Q)XlC-g#2ezA2th3DZl zj;lDu>^Ry?8=LWSf%tXuYl*{o=`T$Facc|L3vKPUD8>t?8(vK}Jvc~zH=MW75M9Wd z4GKJjga{9;`#XY@^e?6tEWgAz6E<(yG}ZR{0u9kgc6g_^KuefYcL|KJ#K3b+n67iN z77@#BJ>m#eT)drAUzy~rR{8|$v{Fj|zK++Uj2KehGt2U)`Rl_il6x=+L$R+eDOSF7 zI8LFOWzJ}ZBSy4&BlqoI*QKr)dLM{Zo7_pBcBOs<^sP;hC-@f$Z(J%=tRXAQjjimPF;OaZT`mZ zCi7ATNq?w;L7O9*%u_YIczD0pc`GL%c~n@LeA(x*4c#URVHPAtzb`W&d;N-FZ9aAL#lHf8ldrhU$OH_%17zKs6Fmp*Y-U}U2%-N)0TW)0g z;cO#+tm&7qj-F#&Yggna4rK&!=S$OrasP+Ca{-U4I`@8t1PF-kR8eV*8f|QeSS1zP zL{T%C=pLLXRjjmX<%m-2p)KkRP%99e1l*1ju(hXtt?i+g(_>FTiMe9z(0%*@_vuf5j0-u2$rf4xgDW~psZ0lBU; z572w81#cH;^m9pYbt-mA!IbAjcPNd{fCAj4rtz~i4%K$*s-9Y>E$4)z_w1HTVYD2J ziIx4t-jl6qmAqm1e9LM)U$07yD`C1@iQcBeFWD;@+jcty#_K$;RIIA4Xx?Rgi&K$s zfyP) zw7_NYm4^)COCCQe?<}f!EH6EUNAYJ^M2e*Pp2BfR*7Ub&l-mwnXA&OLv5P5@-E8h= zis&Tb$2`Z36Kkv8zT4K>VUC`5&mkn1bz5!n()2X0VfDb#^|#dmVQ9Er zwK;5LPweNtH~)^E+0B?7a}1zl+PBQRl*g%d7MMTeU+v@CtX(*1Dd%8)AyQ>FDBtr* zn=D;q8Z!0->Af_jA&%RrojIY+F2vqXUjKldn)EwuXm@8ptvS#zy;&|3nHJ#WI=yO1 z?W1BBQxAz#IMi-Q^y1qekKImC42mnE)w=Xqn18a;&O-z?!DK zDt74R$1`^^Tr(MlNXy&WeB_VB^zjTSuI1@8yI##U2dtLAO`OJ0{876!7jNcWkZ}@Z z%IJNmuN5-0I;E>{`Dgcn!uSQdYiAY4Kg*aDSq)}|B(cBPYWM-~NW9fE-j~}w<0ojC zq*Z;sgT^Gk6rIyE*oMH%>eIgj5ZmQ79zXx(dLB)Wf5fafpVowb(6pvUD zjKF=}yyFN@eyf2TXjiCw^7z;I?ksk?FX7WGOz1ymN~`sHvWC%ikL;pMA;H zm#pbY`u|)l**WQVQeuGayGyBT5A!X@M50Y@bN=F=U#*~5qH0ZgB&&VVd19>BNFFOI@NV&j-CEM6e4IDz>} z9n}hU7G!@vuLRL;e46yH;C*xgWqu9L^bcpiMF?l7`>*(xU1s2Ad44qK<$ho&szoZu z3xxHXrX|LS_^@70`93c(blqltPE%Ww-AAfw(N(dNh|^Nh3|>7M@#zYsQnAbE^t+<# z2|2pPdO_E{Il5kIC$^VHQ(@@Z5eFxtMFr8dS7b@p(AB8B2MmFiMx15ZYhDf6pzF6g z(KP~HW5v*nuK8x}I-ZzgYysvpUoKTC1{+yJcf{#7>6``x{=ioo_<3 zuQJ!Z)DO|Kq=z)4Yv6Ox#!_ztFp>NbcpyIlvcrqhXH8}p$kUJ9;-}Sc4=+H5e(H3M z2laOUIN!3*;_W;-CGQNqJ~!_Sg)um|{q4Z*ZGqd{-P>OY-2O@6_Ki#%p1wG6`^ms< zZAfHR*-M(`PE;&o2Sh>UbUN7?f9Tyecez;*@MjFS3}{>yF|J39J~nFiM6FE2w{djP zTnq$J#s_Bbnb=om%@E@dov2INcVPdLTW~46Dk7oM*lGTJ5grTyi{+iW8P7H}YsvgR zDj)J$tD%~CF=QZ+pT264d+su!u|Z^hbKlo+sd*oH9WUfdYUn}0ZNStXCHx#s_FdH6 z!Cvm!^{oY07vTQ^wU@b5SH&sxWB6jH>d;0zKpAmF=x7kutY~9TrmkK=R><+gq(cVi z_`Jbou@kbV&m`*8r%%mAeXMvdGsCN1oUqld%2*B8Q5Qny4n;+G*W49C79XU!T$-5d z^Hd`91#9-ss`pr0(Z#Cy*8R)*62+)&cuH5SCzn{Wm*IS3n+x&%1+!EyoOpz#_(ro7 zk26p#tg`sab}lm8OGoos7O?wDN3^daFD-|H!?ptgK9wuaI-S@9hj%Vh$FMcZ&Yz(aK6tU)APi(q+VuV3R44F9+9K7)%9p+%>%i>tOQ1*YC_tL^gniKm<%qhhU+O@~y zlR_eJV#qTiW1kaXV>feTj^Z_Q)ejhpMr+=9Y!+AcAmQ^1GIQOl-R39^Z?-CASA^>) z!B(z)gN;FA65Fv%f_kRK3YtSIy0a*5Czf_(=F$RnYZ>gb`MuxrwSA?X`g%vUgxB^h z3STs$y9^FNbE?vJXX~77p0=4=G?%Lujn5b-I@{BQ@Sj2w(7~x;D=M1dy$LGDPuXiF7s^2@wntrjlHp&jy57GG#pHq1EGyGx3W@F-pGvCmIm~yyhmQH>F(W$Z*6D}>wt)tc~tXV zsY?jr3>oNpp5gj^Om)@UxQe-5r{mKl@$E-zNnM)kZ{|1f>)&aDTMaB!6WmENPj^$a zVw-05CdEJ-7&wWK-}6_kpu{r%FHz8kns9VNv7xn4Kdg!0k6WwQO>$kRCmUI(;;w`}^MJhz0hKnVywo z7BOSf*`plJ;m(lVX zgb$lvI%uLZ$ukd&M|}F4@ilgdXq&l=dlBbAo`0AoiaDJ|PmCnk6OaT1wUGojyOQ86 zP*@0o_o<*Rbw@X98y=Xe86hpqbd8fp_H+trt>rMY^l2{Vj7h$PZ-}P8Qe5Y>L^u-R zOJU#v+uSgr^FhUK&mzLXh?U(T9P%g+A6A$8Qa5*;&}OOOE6651zOTXxy}}pua6PtY z2ryCMRjCobDIgar?2Tw31;XM`Mv68lcFn$G5ic|=M6`g~Boft3P@>MwAer~OAI&0&mALf_?g=GxVv z2I2?g`6;;QD$?L#jIE2EmWeU~@P{?~UNRiIJ2I*(_gamEb$vGaWl6NWoh-<2+M)e) zEO+h)t2k+==u=CS!_4fhMWq@*SX5R})ahTAo-+aNhr&t3K>so|U$n%FL$yYwydt4R zQBE4KO4Ef2XIC`Sb1bJ&i`DRB@e83<&XOc+A9TqixuW<^VhaYwFCdfL88sL~>({Y# zw#A!2EQ&oE_V9bjKCg&U=FQfV=PvTe*07Aq+;*o=$~98OBB?2+Rc@2cflJkze=)7$ zuG8l=Emxc6YC8eM?c`!17jQ z;^6&+uZdoV9e}SblWw1LKlPQj*`v{(`}Bewta?swU|N; zH578znk@uu9;*?GFPi*JI{qyIm-&&ps^i(Ih80|XiC8~>;hwVvSvFgC2$Mki=FqPo zJT7M~`ayO}V*k`w?bQACe4RY37LR$rE~}(_^?f}Uotp?ix~}?da@C1S!kv{!F_kV* zx&D;PzUqz}71tM-o>{eP04xh}v*SpWWDfo)9|x%|cpMzmEwtV39h)o|i)iB}t3l^5 zm?TT!5nQ=y-O!BgK9dHRHRAmEnS7@nc@)fM&Zb9x6E2%tN8x(5U>oA#Rw^Q~q^J7u z%&Gh_R8uta`y#eExJG_T_=bqH+}DqS5kdb()Kr)mMf6we0K;PtX!vk>4I{1DC(`Kp zeUq$dzoDe!#v}ELiuKXt#A;0`Jfx2F_&*8tqaR2nDq%DHl7_N#c|!jp$)R^BW~%o` zkT}T7Pb2AH-d!`C4b0oZ^&g^D_hL=+Z6Kg8UUHkBvl{vq8B4)6HXl3=o~V2`!ZJ3| zv)Csx%_=kd>sX}h*20~wuG~RRYjcrZimyb=4^%gF#NR-~^gT~`$D3wwuYO+@c0XTu z8G3uZ@erEB&zeP1?GrhXcUrRt);S*-dQmENRdvHQ%yNp>RK8<13NWCEUaB^f{iMe? z*6d2R8O<~UG4+UP#xQsiIkm&cDUR)kgbv8kg8;XKNodXfbY_@je#Xs@8F-BH)jo zv6_CX8lq*lc_OZHndhCblZL7!XrCP4qabs+adcd%pqbD6H8(OvnD}gbWVkvq3j^Oj z43t;7*THT;q^t3?t{s;c^58_PaiF||#NuvYqkh8AmQbaHPELI3Ig3IXgqkCe-kRRd zV;Y^dB;K1-ziP{03MUqSh7Cbt!c#ywY#$`(lXV6Dul5PA zn6R0;ZMGhR4Sbw-z-yo3{|TWw1RG!K3Y3JV9(EENnjOZ5e=1*y@2ExG4Axb|4YIek za%Zf6_Klpsg1qtUUn6hg81dt}N!GlZDxxbATKh}9d1{YduINf3J5kGDDPQckGd>b} zF>?`(@|nqFM60PMt6ngpHRB-5G?~lzl{oma_0VXhEi@fh;5~267zbZOQ%{$bFm!h6 zAv1(_>bbH~entEF?5bnOo zC$b3PUDfP|Ywy#D+-IkraQ9Ra?6AY074%q{7U!bWI*ZSU*0IKxjf^@^ls(SxaQUuU zrD!f~6U2&cXb<1&I%Kf(diHaXDluPy9#-j!4Yn~5@Yu&V6 zq4kK6e&NQ~V_U-&uPe@m$Iv5^{8BLrLyO$ww1(zaft8f5zFqU@OT?R-?bO(lJK{xp z3vQ&W%yF8Ge^yQhWgT)Uaqev0TeNh8wNM7er}S(SJ6Q`fB04GK#uS zGO=VtVXkdRU&Axn891CBE>;be>FGRk8@Y;p^4&Fd zs3iVrFXqI;ihnJ(RcAoe^9Cc|%tJ&sV((e=)+Mro68kYzWMo8=!z9xNV{~Tre0#JB zf+hBKvzo5ra%$KC4bke&cCY=71 zPy*5M+eq?WBY90Lhs;Rqpp_ljp9BWroZtX-GwW^M0O-_`aQ);$lEqDC{c0&1Q}pb? zRB*?|YDiKdnipOaJyIcm4BLE+Rb&g|rJ9Y;4@%#hbQAKFQ8swUm3sol1-Xf<#VK>IRX@6e{j z2e=evGo@6g9i?>=8q84m`aXG|2*y5TbsV#`aXV*hCLekKcjkVqY}_-IeA60KOS+Od zXEmNk_xb(QAg&CORT}ax4B)~5U1)=QRHBp%rMj@eyU>pd{dD0a??MR|N_64R?uBQo z^|F?9v9A3q*R0w1kJ=e6C|}UHe)2HQ!jaBefl1gF@|jT-<%(@5A2Cu=ciPh>y38_I zfB&-WG`!rZYNbXTx2T;s5UO#0VT3G(GKz>RK#ow=)ajLhc31((70fM(B0HLzbddA3 zu1-Gvp~!<+YPmZ3#0&bKuDS)o4LH*HkEws7 zxwgbuO`A%%j=M8@Axn}ySOP#H?ZLnQfvzLAr55y$g%)Q`OKXoSQe~yN_o#99=oLf& zKw_i@zgE%V%rD=Ef!VYXr3#Z0muK?^J##7HaDvqK;_Nz^EO*!`w^dR;vKt`jI6L)- zQ8;i2Oi|~wMUf^mdA$vrU=%=8!%}iz5j^lu`thxrH;X*R7b%jmG^kYc2|ug$OAkrN z)bl+GSBeC;6h9x3rS9X%%@5L2qZ_*gAzG}JNH+;%HZg6M?DVL)hB*mh1 zs*lkz=}$2V8n5BeLbrA}A&QFBi{gDEP{RM^wG$YCvfeqXwW6tLTxeSaKwo2;ROZ;k zej~H3dZi{07W`5iWAjp1v88|eRhAYV19DNI#sm|}SA^$WMs<*C^|sWoOL+1&c*#iG zHCjeaJ?CnA`lq2y@8u+q%#TSnXG(f^@$mp$kqCRe?oQz@=3zHP@VIVXvXeBKF0W?iW6PF7c#DnW{3}f3 zEdz8#``S$z=JA$NU5WZvn8;iD>B>d^6=w1l4mqMI7aLmCS zof7M=59lES{$uO|ko&XKB@d=gfAlARS%{=IGZ7+AFI~?JRrRu4c)p-MJ`YIvTw|&j z6;^?gC+iMMKDOPgsy1FBR&)hIPKhlp3Ro3qQ=oD8#J^)Zi&4P^!*z( z;Lo|&t2S5-Y2$Hike%@6*RZ=*OG`G}Rcovn$8k%2wh4&0&`Tq8vv2>Uny-Qy_||nf znX6op5OG!;E4mJx7>JOlvo=D5g{PabAMqS5=Y*uRkO(tAMqmeVYs4qBe|1_CP!pE| z8Ex})7V8}5@|6^B`e(FA>S_#;H^msEcapQ?{Z_TddHQvIIxl5kHfOW7BY9S4TIEzF zTuYxUD84oRM45)ln(;?HiB8g!Ivl9#6;>^*X+p;u%~6f^3eWAQpXHlkob}4gsBzq! zGc}4GN-ORgnXjY<_8SmREUb1X#5p|gNkah173*1b6XvzCC)a6JJrx&NjeC(OFftkL z!sNqsCq!yoM{W5EBe0m0!|O&f9oMGM&%n*A(FRfYC(Xk$Nm}_tb;(S3wnRwVhGoDK z7@?m?OSaT=p$Ua1HnPucJMki7l~7ktpnEzZp!-^OP;LR*u@s1Oi`{DP2*`-IY zYRlby^ounC^UE%u&zU25uPcBE3K+P=du(r1kG-bH08hD(y{5;^FM@)lcCQ6t84_!d z^J*k;%*7;*=j51<_Djor53V+7W5l zSn3vHJ)abFp*Hf6oFh-RH^8LW0KUaZWu6Vym6ImtyD0A+Gzl+tS+sm<#Mv8x{iiWBomBTz4C zZl+fGe2;s|$pxF}=*Ps68DTX&s|Z-?+J1AZP3zvQDPP4ArK<_ByIyWac^crswUfT+ zdmiFSv#KX}UTEJv_tN(|`H|0e)Rk|~^h6~mo-oxL=Jg-5nwC?Q*Nn`isx~(vGKZfK znd@nsCc-mr>o(Ms)3zOUFIO*Te_zqeMj=!OM3?h45<^Y&pe30LjWF;s5%Jbm`WN=< z`75Clv8osVJXU+V$FnRjBc*Z-ySx*_E{99L?iY%IlZMsp#>y zN~7glU{?6R=q(q~MA&JIA@+YdD|ou1d_Dn6QUbq<@tSi$Z;(8^v)>$#(&cGcMdY4# zNsUx-#R>E_vZXxDGDgjD50%x^mCTP#w9viNvm!2@l5&lQ)s@%vD|4pZ!^a zv8MbTLbT+HL^L`XFT~NFe&;%tajLl=an_b+BC9jeUar2+Hlqfjp0$j)$2{<4e`NI* zjJMUT(OxUaZb5p;VgyuX0sfklzSVd=UDaN7Q*Dj2iI8Q>zBAhQYP()y->KwrklCNu zcZxMbKDM!n6@W2OADV|Ty~eC8Ap(TgUrzF&MNDlx;PmHdu08NEW6ZiLFLkoClQF|OYA6PPdkDO-Ck-Usjh!&gm$m@khlwSQ-_>$fvLkUO&vyC&I!uHn?dyUlD)OHePJadU6FnT+atg zVg$lmLl(Gb=&vXMmc)Gpu+%Ppk9AfxM;&U}T&m+4Qhlspsln&yykYVNR_&~|zRRi= z2Q=@IO6U_mW7x$Heb`DR<4=x;I3zU7J`Op$tZYTAcHHy4Y=BwZ7Ul3u*P20&V%!Z% z_h*U61Y3t)?0THB(^U#98I>w#LpC!Y=wQ!+|yXiz?7dZUC6 zC!DONHq5;mT59G64zt_J%)LwwS8LHcS#l&TKAa^t=$emKTu-*Itx5eub@oA7cfslH zPkF296k6cDwqm{6^G-_kvSx)AT-}}7gotQgSChK6I`fEPU&g1+^q{LKWDLa21?si0 zV*$nET^zo-Q?K@#o2jMDKd#nWLSC0^yr3)LR}&4Pk*6!l!Sb<+)hUKQ7;6%+-cIlGKvED<=KOUGWJz8*GAdL#V#+ zRcvIqE9Etsh!x(18Au9d=4blyr-?0h7U@Ib1JiOg87>hIDsq%%qlw$(e8s9xm6*cm zy?+Q5%>4w#pDE;zr~YcnH#sYe{z(n*mU(BhfoRoehLXZR^Q8?wD(ZhY9;)?!0v_t| zYeo0}VmuU-%HyFtvIX%_g}FxlH^oCuJ=jgFY(YrWt#fqAheTay%{Y%4YG(EkAyF4v z4PU0vVIWbr4wJa@AW(F+>8|k1*Pb|6)rAn6}PAE*MqU4 zQ}-7TeVu%bpiyVPN5JCjksk?-sxDBWVhUx~dg}`U!SJs{FEHIa7JSqRfZDw0X{hi~ z*C104!AIT9#mt5L$>F2M1OQSabi>DE81df)A5|HF5OZ?v>%}6>aXe$_)d?pxm@?0b zB6*zD6Ikvb;Bxr4u6U^dU@eXfFZEl7F$Xy4f|t621T%-?rTSC1{v8uuYCpmh>_KO| z)G3Ur;*Bg2v&ury=|3DVwUE~m_zmEtgwZ-IUg|E2{^#MPt{axaOKrfrH+ZQp6B%*? zkU6{*Ia=$SL1HHWQyR>}0j7q-1Q{LuF=pnWc&YbsY=jZ&gqLcduZF)4!Asq#JBQ+> zj^pyNr54csBjKgqrM3S2JOnSbOgE1WFZBy%kiknW$7cV3!AqS$V*_}p zjzIg+djydWkC)PX6yoV^MT>-9@OY^cUaFNwYS}``y0C5Zj0=JJD}17-&!X|2@KVI+ zgqLcrarh5R0C=fOv@ytPdQNr7Jaj>!jjM8qsW_EM1W&q_!17QGcppc}Qg9A2C1v9y zrsfB}?+cU{P-z`;i!O+%a*7`nF{S)TAB~tgfb=qes32nMSsu&DYps71N4(uFW^hwK zQd8;nzZW<4N1hjM>UVsK^j&dN-#7Jl#Z3)Lhwk#FgTN+(Jlxb=&RabMHwB7Kh~q^N zPNQIbkx_WgM1KT!>Q7|lP~6lMsIK*}0B))hiJZetUB(X&H#I^M@HucmU za76rg4>~n_Ud85{OAxc(| z!5ji!EGrY>l8N2;04OinWBRyX3PZKcYS8*?ZRl;Vx*Xj1PApqn@s5@t|6)wktUostNo8NA)QcI5LjvEN&w}g`@hMq)+1# z9?RpX3b~efnoC`ARA(xdl*3W2fH(|cjriwqII3MRgC~u1OVA#U${mnZ6liP)N41PE zhr>~AlFYb?o8YLDU2s%uxh&*_KvWU1zFqKBKCX%s%t#E)iaQi2R+t$?j5Mi%QAu!k zFe)R)JuKDWvh?Fbp^V@*0ICRR0)j~k6>B%Mi$FEOWfxJUB#`{hBdUJmUC^?eK~z2F zT_9HKBC3+!1ug0I6IkkA?*egF7g6mo*X$w(o2GauE7Jy0R%(O(`6w&X z4)#|!^^!^;+m45_x=_z`MOhItxLntf_nNi($IkIiC@a;+j=TXbVMeboIIBLdYT1|{ zEEE|cI3(i86tncY85unBHu5eJb^oiqlJ(2Ig^1tFtZA!DO!TmE_ZVvjh@*x46b)GR znQz*zBk8L4j0sy)ic0Q&$FABLJ597A`A`u@xN>6964O4)l0xat$y*8XUN~$?!^`3; z{Tr5i&a}m9LY(@xOvU=h=v6=h2y2_01#w~-t0oTQAR}}^4d)1FLabPf-rK&aWy=|q z`q0p`+InOOudHr(Exx`6-mj;AKM+n3+^qhu3HMr-i?w0Od)4k=HO)_Uo_>~ z?exaL%k+v)@G@5i_wnInGkIG8UiM{zy)L{=d?k3<59N1Ksk(l6c$r>%baDyYdt45ew_hv`GL2#Ddg{*L%aCb#@HMN6(()d4+D$8WX&*2iSSHB z$w;`AxsfZKsstSNC%|EUkYur%j$@VzsrLNg(Q?yxuk1_PHfTB5^bVrs%$mm|hTAZR zffE_Y5@+wD@$>=AB|on9#bbib=~?k_06MoyV51+fRwO%LJT0IXurBRNpp$!Dgo*)t zX`*#Pd9_#%iF5&!*Ov)kYE@J(%AveWt-uY2aMNAn|28`9$cy}gt%eS~P8Rtuux9*- zZ@EQ&)#Pdr7Wrj)vKG{Jk^hSqP-Y;DNR;&!`KzcyNN$`L(x7P|6&`>r@%|ngf5f-Y}#hv5X0t|4M=%bW*lrlB=Mvtp()s7r6 z;9^=KuW65Hl*b0RjXM@>z~OLU$BYf|9`>*S{OXJia4`ZOg$-C5zy>UhV5eO}GKpwt z3D^KLL+Kshu;wFS1F#RyF)ldvu=_y7z87aveG$SbD=EZAkw8IIuleT~h-9M^c5T*c^s44el*E}UC!S_furT@JIR>6`gIt`DMd_oU0@-s_UVtPLj2!*ahWsg~sQZ(2>C z;7(1_<#x|1$b3VUJbNLps4agh<|EYH4f?@oPocBKIP zb!nlEzVUq*Z6q0%Io_1WgXP2-{|lBgoDu-bU5I>iIk1}GWa`cf=eP{GApn-sSRVx} zcNZ3#;m#Zbb^*&B!k`{lPS*j;i6xH!mYZ{K4lK7uV7YX(mup*Qn>(Su9}{Px=r#C< zK{NkU^9s*WY!E;*|4?%QBvI4X3224qx>MtbXy$Wii(g3)&5XffE4uH_=1yqlvlT6T zuB@K-!2YKyY<5^QbLKpAqSe!7BWa+J%Wgye7rFfZD{@(!?;@A;T0mf0kjnurkVh_S z7G{2B))LSH2Dz+DMhhq((MKYe51D>BfLo^APMik8t&D(wgdZFba6MqI zoKCYE{%ne1{?~XYi_UuO&H9zW)hEr=gmFwk%0e_#Aqvjp1<`IK7V$GGI<$EvLvN+kNx z__1o%aw&8e{McD#l50MGjC#%bhRLT@ZSZ4HG1JWA94B4_BITr}g7Kpchp$@mDGD0L zktgbH@KxZ+s=<@h8a&zB?yn8{2sD|yuCliKYzk%9f=Ht&@p+)JO=m6p=vlUkzlfof z%RlYn$gV^tAA%#hor{^z@+Xhy@?vIdw-dEGljdheNSG^+e;|LBw#PoJcI9zlFB|bv zeMtVS0fguCc(6+4&#KPn&$0vgvz}mBga@;!Jdi)DpYmsYeyl6nNIw@NZ9h6=Bq9I) z)UAKVga_-KKWl`Z>ykg~eu6ds;drq5##{~J!Ty`%&$_%UhX-4X=WOs`RURG;)V9f= z1$?2VtQtJn+U-JvLGHt$!LDWKGef=cKNJu4zxlJ2KkHBM!IAJ_>rnIld_4pY_GjG; z;=x89J%84}6*Hj!5BamY(bxbUY*(OtABhLkTnEuLUy&H_U}P%e;1n_*Yu3U>N~N01 zpC$N%Lh3x@LVKR}@L=6&yupK2dik?z$|{3+uu;N;)t&@Znx0S{Bg#g2LMwm=yNSxy zcK_DEL(yO(5Hqyy@0LHyh%eQD^!!=7XvraHu%qYC8VQ`@@0mY~70p2YtkU#efYt6u zDx6j7;lO@CBwd0SX>9UmRV#m1v}}ZMV9KAhcKh1a%;Fpdte9Zbp%}2Fyr#|=u+x#k zISkm@{O~Yfqg2#jzy{_pUeui{b!~H%6?8`m| z>@+P`CBDPoqhi1+-3(g4q#cLBfPKu^D}Oiq7qrRazealbvqaUuA%E7?gIdhA9{LjV z7NU+)3N3eF?n7i4k4~>if&Vg}grfM2rW(qc5ejC{(j28%_V8b@oV&EGC8LSLVpRhE z8ifrpjzVtotg(|VCC}oL<~>EmY9z+W;i^={v$*Q|%^vLQ0WK(cmKL!E`_hs}7ueS* zy-NGY{|4As-+B-Bbpd@p2G|$x=nVTR`~;La66|Y~X5y$L!M^&Xui%IY7lF~wYFs2k z%azO@1^YUYXXI*D2a{)wU>^Jec+lGJr+ymQ`YubVhXH+kDu?O{g1(M-^P75bu&#Ko z&(o?S=FP%inJc5SGu{i)LmVFt3F5u@$^Lbujck{Zo$+2barrQKFZ0L)-1|G=z51n> zPYTffCt5zp~ zT8#!*M8zxMnZQ2d(EP} znNvZq*YRLaJAu7Eq;I;A1AAq?3pudYI`2Xb?6u6hkOOJ)8sfey4BBg{*2@hQbdcSa zAlmC5md1D5E6G=R5VRMmI;Z`t#DoYNLFNnX^*w%y2#A~j+H0wMj^5o{?5cyY(}XO9 z7Db%UN6xM#YM%ND+G~SBdsS;yewMlHqP^4>tLbsf8E@_c(O!m9@e76a8swtAjD9~H z}7_+YBEkGHLB-1u-90X9;dtp;*31f3uGoNeP|*vV-J9Mi@J%# zsy`x;*g9sZkY3eFBxd-|LwfO|0MbkSbEQ)!q}LW&c^IS@rSnKHT$!a(&&porB@(-x zmmUMsYed&XV$xm$U+6Pkpj2Vs13+YaniQxQuI7+ln}qa=b2o?T@)C)yM_lHvWnSc$ zuQF;WZ7dz5$8qApd0oIYRQ4A#-(>-B3YM6)_)(Pb0PM18$>k|?Vosy3g z`&V)xuicoorc4gx^|pT{2l86wU&(>In!PJ|kk_xcazv0 z_7~UZ2$^%H$G_(fkBc)V!UCi#^CPCB_uL=o*4pja<>ADK)uf5$mta%PhsSZTp4s&r_u4DnnB@rq4lq4^Zl6JGDV3|pQHmYk1v~^wzwAb~l zxHE_2s!k8v0$;uX>l%;mM8btYtwym>i*0jcsMTfUYc|fcsyh8HbsMM^Wj(0XYw)3@esyQ4)!Odg{3M3zcd(5bo1OVndSy9n6r7GTU$Aac zyNq6{6Tf`L@Q_iH@r?pfsjoqijjoB1vlUhXDG^TH36<$ANGh^mku0R{%yf;@CYQt* zCCImtigr$f{jfP)6GQ{?09_J>%9t<#43&-q$ziBQDZdJ4%tP<16}A(^P}Nhx-;!TN z<%9WEMuK)~JLdcmBE+HjRXCx_ z#ZS4Gpw`md{3=X&-0t*qn6$>c6MjlnxcDiorV&ann8QykMA(>eniivkpHgAIk9F}= z`Zc){wj6sj{FF+9nKPD#lQHnAbbccwrqdZeMfuDqMC;`5{8_idPFC=zOO0L$E))BKkoNTDlHQ6J30?T68v`j{ z<7RH@W$0ju z?2$1S$W*vyrqm?JDP0$GDvTOLyRYs3`BRY{|6m?-wQ&HWiq!6eQKj{rFsfuC%p#i& zMm1|P5l+I>5=K=VlED3zFF0&KGOO zPs}gZR108pe!yje&G`u}37e74Wg4swW*Y1#mtv+k7l4o2CzwJ%5!zKklX%`6u1?sT zuW%i$H4g5+hOb8e<}{L%MkxiCQn9=?y?}6wu_@CgrQnWIlH}!53Mw|E5KADX;OB`! z|MyBMSeZ*HSovR`Qm~7B`P6@nd~p%m$|>_jW@}-2p7s#A|dQFJ3u1b~&cNz(RE*(@_B-PmQ=0|oxoc3xDD!NfbA zQVgO#7v=J#*`cGR7=-n<9ZQNq@rB7TxJGS}>N)klhBZ99TCmAxH^*SLANy@mrZ45I z@^Ox2Yh$TMx4v$~b+^KDBu5}hpKF8ylmR!cbKfrz- zubAq$+di+j&TjV6!?MGSH=vwS7S?qc_3V~T*vUGX?{4NnPrSRZe*HK+tba8TFAq5N z9T+GG=+bOxKqYv9uHW(119UCptM}0L*q)^Gnr6UT)wB|gY&C4B9Uq~Ue}fn`TG=S% zOf#hkrkf+1^%Q223kc4^iu$F$@^x?RJ@ZpMQ2*tqqdc%o?VV;`>;@1r<@)igtYiL7 zF#xcFX1j3>rv@XfQ3NW1C<}0b+TKQQ2g!#z4jdx+(VMQ%`UsxHz zR=Wx9ft1YMjG9|)$3O+d(0Y%+p%u2@c*Pyg!l=C1(+8%jHJKrmX- z83=X~KrqGAT|C#cH+?*p-M*F()Qjo_Cp<^m*G5CjqoJ2bbQnz*Etel^hZftRC8TUy z&R(J8qDivVb1^ZW^YfaO%QjlrgGHoe)_0qu4oOQF7n8LXYpFOIT8b4De`(i(;CYOS zj}l#*Nb~zF;T4OcRW0$0sQne<3>|jpH9Pcb_Ot-LBxyyHJvgVTSb6MK_;@}4?adX< z95Gi-FmUO|n-i_wxMtoHzCLV1qu~+J)Ypoy=h(9AoagP-u#Rx~dQK1NW+#hYns{S0 z)n|J&RfKe1%o%X1r!M(1{D8y`PRMu{1C{*o#dXdrk=5Ixy*SWnP$ZG20a6aH#$UF} zU(tzKly}QIgSV0<+J!ohId*aOoqUh4H&QC>JWnn-cFVdaC~0x8dlaADO-k5w* zZh!mL$-ayA{?!==j(o*l{Z6D;=4vOpWKRSht8-RHNF}`P>QvuF8nUSKB8AC2F9G=0 zFlX$kd*HGgZ_bk(3cRD7OB{X~aSlSA5g?+ji?Rlr*vcVtd(N<(IF{%4sZ1)YIX2l& z_F@xj$eO0}2JK{gX?hL1lN~MxIbo0^5ep{Y7&%phvmtB_C#AmZr;VS?l2fxD zBAr3H;9$3cVNOw2t2=d7J0`Qtv$*)GWS_07f5Iy)QJA*|icERKPTjud#_&zyo5NoX z-?D7MOx9O9>{dQnOKVXyb*{v#rdx?!zK}dzbL>=2nPn$h+gOs|cXRqi#f6f!OY5Bd zSEc%%7h%|)>mQGX($Olu)h@M~lrg6c-PSmh4n|TnXW$nUE$;GS-@mkzb@bV#vx)SkXKa`6n3w7?$0_i-{i>Srf9+(EPfpme?7Q zRMD&6drhQ1A485*y*6blr=-@Rh1_vzTs4`^$@@;WrY%OZRqtM6S&hn%BU%}HRxPon z{g?|;_Pi6>ra>W+UMVk;ot(6kvkB;8HK(?GtB6@XKV&v8Gcg&7s+AK+U`+bsw%9|^ zi=>AxciN$q1k+FP_@ct}L%aW+&l&MiwPZ?$CjJa%7M$-qpPbZOM>Y$=3f_%6Kb{D^ z(-%+-#(LZ^m}X6Tx*D{Aenyj~2su=vh2Nk6(fawtc8J8JL+M0*UvGzX0M-RDs`^^9Ta^0>QwX`+VL9aI(hsQP1cIa}~U>F=oHRm-i0 z2lPb}wy|UK6oe^6f0s+U=RrO$7+k%rLc0Aba{>V*`hIij1>d?a+L8eC(uBH}iz}&JdEKQ!;hVThYSXXGTNu zrFEeXc<@sk?`$<`>m<~BoRjII$w~FmB*aYK{%A%3m0W$ME{&aApQd)>CwWkPi*LyO z!W~~2$nf0-++jQ`n*HYox7g0$`DXB$0Xs5xQGlZ_P1mPC%z#%k3wNFDGe6=)7m1-l z;*9N?FN)n$S5gouHvM`ektUl;6QAV73XVALyI94eReRz)m{~fVfse_i&djYmKq}CO zs0F(y^H-(;YKl~+;Ss1Oi~wW92?37ISY)@qah;v&f1Wbc|C#Y9UjN zPW$S=I(wX*yukcOEGP~W%~V{|q2W78YQCEPpNIKyK3XCzTa{gT=QXLaGf^c-^0M;~ zVbW*TmG6gf-0Pf$kr3tHF0Bh~j8q{JEldh+u9Pu>O`#2yuoYQ`lTx*wiqp}n5$6M` zwGWN9x05Hh>g#?QoIVZW)}_$ToB|YadQ845l2}-5OfoO7Yqq#DRW_%uZSMxx6}_p0 zEbOW`CYP#cBpH2!AwXTd8tY%t9JoKF)yFm(JA_ySd~f8{=Jda^jGq028J9!#!vLw( zfPNs+^p&oDi0DpN{XiD(NI5!ifxR-TITq^h^~2zWUG)QJi%%&!VM5jp?Tb|HG5X=< z!|Ml*mN5FEHJ~4?rj*9m=!hTl&D9a#)3wwUaNUyeljx<<4bR&le8XAX%ISr$^(`a* zJk7vSZLVf`7g{2qV&C<(LL?PE8MWKDugA9>reco&WPX0a$fT-8MlVQp5u4x2OA0bN zgxTf&#n$xk45~k$I6G(&!`649sii6%-wwx1#KY<>`e@Eh>zaSE-DR3re5QHvI*g%W zqc>#tJj@GWi}Xe&Optf3$@2~x+Q&<4&=H55(}6jS&(O+ynPbBA;&yOEF{hWG0(`|s2CP+N|Y#{s9~6cMc8 zz$}$7K~3<#7B0T0f`vMa+o_SrS~xPuTertb|8AGSYv_l9OJz7Qr`xUCO z#4a4ckCu&vx;j8jth7UW^*Kmh{~%cgESZ=lGk52wH$pGB{oLlty0k?k9PRkPmBNXe zI_VyFcyLE}9?V*he*N@<0?>BVqJ^sEMe1z&Wv!j^=d zPCv%;HD=9HU7B&Sp|c?*7L#W4cW_>)(*Q6xr;o>4iSinh%Kp$bsUbrmPS43>>u@59 zweDN0BiRSIP17Nh_<#$upK|?Xzlw7>9{4J!s9hN&qgA^mmq{#Km5hGi=?SYLjh$4{ z94Is8byZdxO;Wj{YBRnE<$ZgA{cP%+p=YWHYPZ{|nnkpkb3*8M`YSlb5oa+p09nU* zUm7$+Mva*9joQ=ybQ%^@Im&d6=kFhpd*GQyN;K06QMlcld3OJ|T^nA6U#9(Y(W(%dr{M%XrcJT zbyBUSyD)n+)I1G5&hHkuB$DpeIq#Ukh+taCajo_%)Wl2MJLI?4e1H+28{#E$T;DgA z0R05ZNI!#Rro3L~Y&CE@4Yi%wh4OIg^;-R-yaZU6HaI<>5;Pboa55v#Y%(LV6B~^q z9E)ARMs|R)2YtcNPQEcS4x3>-IPfj2sfF^cZykNd<-!$K!%!F>?f0t7XD-0W$PGfG682nLVldUMaAq&8ME-E!gNfu-etZE!MQh%(RkUANCKU=1nY}e23Sqq&A^L&T;7$)@Vk2nCh;M&XAf~o8{QcZJ5@E8 z+R2Ye2x5sjA!~YXDOLH34@yKCUvV=WSZ#d8H~2;f0ali?_!n^|U%4Tl{(7Ao` zg$Jt-k`LWX4>f7%3V%v)WwB>XU#7idoHf*il9j>xn@cGO#F2T1}!&)&u^z-8*|HRyhI<2|*2&b=Hxxh4ik^IZ5 z1t{$@kt*ifFZi_>z>5v9?Zh99dG^bYuJ%hlKC-;7YUxMYzpIV?+eXJd`)ZIlTI2kh zVeNbXC*QzT8~TwYF;j=X}{$OrJ%Jy>EYiR2&D^f5q1a@~oDq`wX?PfNneg z$~5)bxyGYs)2(W!atd-e{$2wgrl=7|xFQg!d7iSw0svjzRfG;`yBx?XQO=gwzi%=muale*iAI?CIN5~)mF zo>$Byol=QehUvWLxnFATZ#Ox9RGLczWD6Ci=beo2bCxR1%|BD0C)j8yi}&eADJ2_9 z236)y_@2@iCYZ9sntp?6ER5*NWjlGQ8CNF1tt5toMXJ`sGv)|lGwwtpB2^2m>4Oc& z<(@+h5pP9Qo2PkXd*)tBxC5&ZmSBW$tZ9Sb&+zVrD2z{wQ@#48DM7o4m6whG$`#jr ztkG%Ehj$-6xo9<9g97knBkIX@A>qGd*SMmjqvDDuLkQEnsNcM4U*((Iv=O?-+$o_u zroYpa625c$l;vE*P~tYy9k0AzQ{u*)o04|Lx7F~t(QUGAIt4*-cKz`_QhUex?R`)R z$LJZgd^ZyuT86y_OC_RgbaC3p4{7qfrWBlG>>;(gb9*&ZxZFa2+Q`4W-vDquqhb1HMnK}s=Z{YD4CWaR z4CAe?Rw1VN^^bIuk9yP0nVB=FhXX6j`Rf&{W@f&`fbjDU+C;x+W}f6e4_|>`#TJ~I zd4n&V&i~Lt)JyI{)cd~wZ0|;kIDN~khWns02n0cLBZ&hzsRNmX7;tGFDK{+DXPbs0 z=rjW&_XBT?Mt{x%KQ2A}t!{e8L{S1xGnN!L@6^r0bIY*aw=o|U+Ce{gCg(%v{Nq-` zpgfi1njt;JG@z0$nK~<8vkbl z?^Yk>x%Kl`7#C&Fl3p{Em5Tn^#?JlYd{ud)-s<9OR>Ml)8O?R?4LNB2GWArSn{VFO zGLOolslfO~l3)*{h(p-d(#odMzcGylCC#9(OaBFJuB`*bQQTKEM4S|9HJRK%5X7|K z8zT)Dq45`RZWy{n!SV7KVpXf@&sKlD{Qj_N$8gb~GyVSfMOZDRKP4Ko(u~8rGk&5M z{=Tuuoj0GPjQ|qNykSOlbv1REgj`K8CeC`RJ8j5EM|+5OZ%{luQuT(_G?Sw8G8w|x zO`BF*4Rz21C-b#{eG--P?(uIV)#rqCFP@W%=~tH;a)O@@_MbSf60n*42xFNwl7*Z`U+L{#k(AVGplu#!|DgqtdHXK zm)}$;Dz~VJ8@fy6cHnT1}QGb43uB&kaHL7#${mp1QmzV_yA( z?19=F6Ivl(RHh-TnE)xO5c1uu=_g1c$wzS`t{9E9sQA~uTeW6 z3wok#hk%|8lIMu#=A-c^Z#Q>?{$y7{oh$FWCT^22>)P?=`87sE2A|I?;u7O;HPnl* z0{&<}@WJ2IZYZ{L^m?b{^?(dO-mA5x_pDAeW zpP~DYQ+22NX2}!dWOKS>E%(=S_E*jG2rE-R6CiU#=ljN=V-fD35AhFC`JMd33?*jf z{Ll)z!TdX#A9@eP4A(1YAw^+L>w^V|7gUTF6yj^dC(_ zl~5Ft2%Y_(dPxdD{&mLHEJ#se|gST?m9&X*3!H?Xg9cJj|3y8gw4GyZQ++G!|RrwL#vc{Z;P z?_LZcKgl=ayXb(SX$Ac1tSr9hHFRd6eR6ow7%l;Ge)(oa0RruF5kE)Lv(WDp-*43N zpWCjRXrbG#Yt>ed#imv2M<o;h|A5}}LwU7utqJ_>@|xt9SG#;%iC_N+*FW3@W%2aR)kkyYPo96Mm3n@Dho&2U zP+l^>t4yuH>A*O|4{t^HU+uo~_aS0whH zF_}}OmkJeVSh9R!R7k)GNWk<+&i(BI=gZkI-YWn2ugQMzcwH;}X1UZwHZBuf%v~zV zp6l8ZvfK#W?TCUMOrQ4(z9WD+*7n-`#i8%(ncU*gU#*6xATu^G5$_uOjE3C$!9N>z z_Vpyh%muy|>W&l-@)pJO(Q)O-vB#B};YW!pA2e1G?Q~5yv&`&v^{v(NZEBZhO7Lg% z<0HyXIg#zU>H8sG=KJ~Qm&y*y4+oaFAZHuf(!E%~%#1Y!t6hsmXj3!uvB#$UoFA_6 z{6D(v3r`Tc!*#tdH65*s$;`fgGe^`>bfLr zUoFC{VKJZLLagV5uU-``pAR0&b_m}t&O@wM2x9$w0Al@Ljpu4{@m!laA}t$BBj8kl zlP4{uY;eI`E|9CPd?nEF`Cz;AYTWR^zv!wqh~{;gwiCg@vV-O=nbFvv;?= zd#X7oyw`iZ=Hq&OxJzeUe3%D23(uD9IcDnOjzU5-mrZ@QLl%6?yMtNG==GjGPa}&J zQedCiX7^fd_hM0OMA;s5V#Xe?7Hf7*+0K?trjpCXP{Wi&>y%zA9^k6=r<$_1F=rRl z_i7u11K7r^_(ycd1GMI5WZ^rmeEF)a<+Izo^ zRxP&MS6b7a;4&Ffx~Wv!PJOc@QkAx*|Cp-^E2P=Ye&W@*(AiV+^2-FqGI-?a2@@gQ zKXlYqz8ud`@++a*hV`)lwHJMv*u;vcvnenHgPqxNQQp-X<;EKk?*KZ=yIKK_A< zN2<12spBao|8`Gi7n9r7yQZ=4^V2S5+-Yp=3r0TFTy%X$d?q&w7wwK#tNh-bR{7l? zj%<%=1#{>~?HOLA_QVt$5|D`aS+Geo@o#Nc@{)(YUG6oH$i@+y_oFw1%{yZI(x!d? z8T9%gdvlIXuZi9Nv&L_Er}ju@v5@cV-@UNZO~*ZkJWNqL`Mn1q@@;nV+_S|DcGaE5 zu|WpHRneTd6IRP_od}T*Mt`eqvK8 zn_@n`t^F0f<&$2~?__;+iH~9%ogjL$dGxB!ebH_^ZvyX*#~QeEI`b7X}*?!4AgSJYANdI9p~(x_Vj~~ z9mMjvh>2X!_4L3#X8!1Vrh@wI)FtDfkbk>R;P$WF+ajQU`?SDqMc@JzcMIGy``+^J z+yhL^;W_5(o#BTp8Lx70LHAeX7?C!x7DEK(IFG8hGh)d zkbj6>;wN6I&!F-_pA|KBM8Y5Qg(#d+lDxEcq9%{-u%* zr@ylj{sY6~0JPGc7dutoY93+cBs7Pu#(uoXP9@v;5uP*Zy=XzjDl@Ml&g<#NP#<%u z+ewq|m8B7aKdQ#=d;X z4B-~`%oPxJ8$~PDKckx5(eOr~(M%U;@A_~a`5UM5q>x>r71*%e+ybYw2nCKxgQE0#j3;vypw7I=qaTY@WfU+;25#!jDN@ zRxojxo&15u^)_}>8rdAJ8XA<%Q!@eC{4@nU+59WMdNLyF3^lUZPWofsF?>{FPw3;T zBu(1MC}t;rq<17Q_ayV>Me+XjlFLOnMAGTz6+PQJj*H)gAUQ7nkIsr45qmX=z|5U^ za=uWaoKMj%}(fwcDrodnVkiMydc>v^*|sLf7Y>`R*`1GnyF z90Jnjk^HUfzXfcl-B-p~dEOHnw7X|Me2%G=@NJJ?ImVZG%3@mn*?{>=*+74HX@I3+ zfKMJsuR1*cub>EDlee15noFh1S$Q*0j;%uO+O zeEcaEyB2Pa-9Q*x^X242$0zm=Gow{oa&)I%N2U8=CMglF^=F*{6~Ao!$xmjEWO!6C_XS-Ze^9n*PGeSb8JHXR`$Wb zBew*u|Hc)IY_?>p{c_nLj8`$yHXOHWqRAO%?%1At7^(VtaqM$Bf9znZx^{e9*m|nM zY8<7(>Fs`3cKv8FsfQ!Z*Nel6uslQ=e`a63IZa#&vvHNvG7sMBh*wPAs7>3XDo}pg zZ2G+_+4_@DRfTVdxtkH=72!KGo|y=K55tRC8isu|vQM zZC}sNg8H`)RICF3mRQ=&Da=lvsVohv5*rWHzu1i?(VoU}w6bD%)^W!W&&5u zcqhJ7SrFe4w6yMkf@{>!`gaaE$7Sj$d5q)!%MBjObh4M0H63I%r^+{q?mS4E5zk(F z*o=G5x0@2UbrP=)4E=5STRGV(4oGx#T&MNrUCu$Pp$USRxl((n5>59IoueK^p&X@To6bkX-YaU}ajYD1cY3gtC1@D)UN-$#JWD}W!2wzZwj zI#6Cbtbge*ZVmd@#aVGDz3=&Mc>h}F8(?1yX7irU zE=C6<-5)F4!xPHcR$ykyFUpF|=M!Zmd@`hJk+B>%r*FqN$}XoTZfhk|X=}hBb=!|k ziaBc3yxvz_byb#k|2;=GBCk>4}{hM=RW|^xc_Qk`C@3}mwd=qS(EHS)# z6no`b^B%)fcN?A>E1nWxK1`x&lDo`3#>v?$Us1rBIhRmwfDvEZmt)Y(=R429O@Uil z5(zxDB!8>ZIfC$zV2-ZNq z{<1eu4GGME8TfMkR(4^IduGmre=a4xB(rpvSjg||VNJLRrV$~kL5;Bl(h~?=gq`N> zACHlh=B@Rp*GbNAe4rC6-M=SKJf#K+(Des_TUyHu+`2D+%dk?;$!)U-lEET*!zjCD zPdBnI#QGUK)E+&It0&r3q;S2aMcEj(?OG66zqgaqMYyS->5!^P4#(fJheNgDe6O~V z^!zSiDsqYc?P{LYzdU})d)|`Fqb%k1>^MEkr=F!wJwNV9&r4d#KIs@421aTbxdF?g!Oma8~gW;tUu1cO%|86Kw1aHyN< zc7fFlZaKsIRWP_NeUig%|C#K|+MiM{Kie1q9mD&nL9cksm3@>r5vibNnATxWfsnlT zizVZGRo(p|P{|KtiifK+G9IrTq(=)ApW2}pRdX%mv}Lo@Z|Lo|U-GVGe1FnieP}zy z$)O(xpUL%CReJ3ilzpQs{YTFSs5Xu4E%yvgO#HC7ow7fS^$Uzo)l2t$k~OTNL{;zj zdp23EU=JnV^6aBj(M1~4zDxA`?U3m;&S;zP0Etn2fn#Up&Qp7?w6e2OeyAy^Fd>Cytk3`>0X~U^@>f5n9m1@ z>|`}4_l5mBS|OIlPr>>I_-})m@v@V|28iC8#6QVxn9ZyXZuwjX*+?N3(YMuDetfMJ*KF~TV|n77d8sqYp5HAyd9gCKP2 z!dsJ+6aFeY&CE}(WTZ{~_A~#ys2=n0UbQ#Yg8)-;>tojyg{|3U^yqN;>v;6ZXFh7mCsV!}lii}=u(H@w4nQ-2 zc&~(Ta@YfulKP6HIOzT*6eKsc%Bspxc_mkJ9C9S*E0ge5wj&4U!? z+A;fw-a<PB{IQfjqT`;34Ri3R|4J#njJ0*T0-F}WsM$gQvwWbHrB9lIcRMuJ$ zg!4zWN$Sz)QnDHYvtUMD1Qd{3EOofHLv4}r{i@TAd`u@4LdoJ=*5f)^-y3jYl{p^+ zYC0d0c~B2&Y_p*w@~eD#X0>fJZt-ynXpiQA-|R4!1v}73X*Ok?;x`9TW<%zgmzoa$ zjU+pvWY^y2dV2a;*_Z5do3_f<9h>IncvsEzNEZ5-x~5)gSGPuj&qfAK#AT*+BhQyj z3tEF)R%l1^qOYv$*}jSnE#T^MIJYQb-y8^+zY<>Fk$--2*w->QGMll-X)+LNt&G+J zf=k`$Q?7NG1ZJ&aR0ggD$)?6XOAZZ~Fh3D%a#5{scf=|qgu%{q(-Gn0v_%RubXehq zXAzO)rMl_rq91s)hDG=M5`{lBI#ezDrRqeh6JGUV-q=s4e1LA6A-x zX9*h37ciKtk6PHkC3G%q-&zv3N0oUvMUKGP2RM*NG_}(-s=-VLjxz4p%{MuKk{(%>|5zm>#SP<{*eA|8Ora81zU>qXV0h)@4QSqEu*#QFG=4`Df zOzyS^QnO!WDS-Om?V{iVuK=|fPPE5I_pAs+zbCtPeDhwjX;oe$EwZLpSmUEhfeiX5 zgX!+uYJWcTzC2W_(WO&p`fqCeOjI6}G`wS4WW@qd3D&Ez(QZm1#0Qxkp}0Tan#guj zJsH1-wBee`Ufo&zr;s=B7b;y7_`Pi0;;B(g|IF^=oZbmO;?K6y9vc7UEz_bG*nM<&r)P$p z?m1ds=HG?w9oOIg`P^{baquif@4hfeeAP(T93a9gKWQ$0kj}op%D9`aXoH zq8FfMe^O?C-03ypb<7f@_S$ zpUXfK4hvBALi%~@@yKxX7;zOWG0t!@$@;WSlfqO>ok{9jBL(QiW;B09Qpx{oWKBvf z=8!XGG>iW`8xBzoiX++zUFdVMR(`V!ZkG1ef{K<j|wx1Byfn5%tzhLRU_jIy3L7Km7H&vRI1bVyF60R5b?U^6c@ z)?3SW<<}%nd4?C%KA=b>jYh_e@=fq@Hy0g)ms^V}zqm0yGC4v~DK+KWMpbUJAa+g| zj;Yc)(PK0C*zVmObSyav1sk`A_{IAth75}fDONdseh$aSk@6jamX8n=9Aw{zqokdT z6Uv#Bz@+FmiLYKzjfY>ErD={*a(co?+H-h5LJskhV?c^tv4ZxdaB0K zW@`+~jyP*fv9+A_Mh7@!h*!~daktZkMB;1_2x$Bey;@*?E!G@Do+(LBC;U*>u}SL& zKoun3U=lOytS=q##;th`F;2Cf4_e4NV;pFqGev!Cq~X+eb1*NuMCz-=Ra^QOaLgG& z2VXTRG@5r&md$>rM!_kdfP?APl+df~ibnO$*Io7|K6^->+)8-RadZYB@9CZ&NiL~i z1)0hF#m7YKQg^9$)J+FiV=FS4b@q_XDTUV?BD`K~;Qz2|&3QhvYstEY9Aw6(SH#Cg z18O{SWRVgS1}$}Wxqw4Q^gCYN3Oy@9kjBzk_y=doUuOUEIJ`2u`~8JR;yCG;$LlF^ zwlQDu2ZFhPIs9U#6LnhyUh@|0Yn06uYiEu zY|crfi?1m`V$#;Sjxg=$A?;Yxkxu4}r^q|jDSpU>uT65OlR_gWhaqa(E|&_p<1AFO zsO-GNFo6Z?ii~Etj2+|~>%%GC4(*f;MoDYB(pFaX?2=jCMQRv87nFwniCYb230|b8 zPmi1Qk^5RHid#0=n*Tx^A#TuYC!}z@+-$*8S)}HY``DX*lP@2}7Q?q2%|GKs5s^kh z-07Ki15VIko%WjLXq)fHeUP#628qB*>b7YrMK$+J9(6>-eo%lU!liIUk>t~*OQf@w z%yV@a|4YJ6t3|ny;E>Fu05Dcvy=nhri8RI@4;+jI1n>jPg$wtq)ZNlOrY-VP#8=mg z{w1u^F#IS)q@re(4yHE*7zs7f(nRNVIc^!1uf~>Ts{Dua8v!TdUIgC)WX?X3$PIjz zd(Jj?ENUc}?y2a;XP9ze0w}t-bg484{-~8_#QtOH8ufgrbe(!WR=PnwpH>${aBL=X zo!PI<;dpL&MFtCB_D?hZXilWQFU}}jn&1Rr5BwUjpHgFJb%Z)DE)lLvISjJxC1f%0 zG3^Ti=Aa{#1jcxHo!k&$#}`SIz&c)(SBY}tF{-RaKGR-JxFsJeEuuZDdy*c36I!?% zQ@bzCq^UvNp#*Vy0Hj2tSx_C*QX%67>2B%DEapi~?0DvBJd-=Vg#XN{w+RhVxLo!$ z*=F>{!n4eIR5dLqmo}Bro&kC7d3jZNG}TC4CnH_(P-&3w(u)gNg&F*77YLdfBS~za z%^n{NTRYe-O~gp$E6n~o!{wi2ls&f_U4Q^Ocs@0kKKlkGZkeunrAB5H5MT#q7|pk- zZw(kSY?D!1CkEy0?zhWsBV!S<+$D*#dchOrs~oX;bopyp%|gspn#CdGuGP+&m?;V# zH``VT1UXO88TBrLR)uoLaH5z+IqyxAai(YPT2YI{s@53Mf^qh+qB<&Iv^hi2JOneq zzq){P7*%G*%S|uNT>yO5YZZ}RXm5sCW0Uz6w3*JuG7XS?yu- zi`2)_j5SWqEo4yEXfPitlP5_Df}OlcaECyn)VImDw*XBe(Cd6vN#B{H%k$WIEM-=3 zQN*6Vknf$96Dk$$BgPApm&xgx(oPv-B&vD|ju8a~BQcb)o7uGc_NUN{u7aIEK#*`% zqpB8%oG3!vN(-#CfF&A}J0eMZ&7>kD1%BL}6NIf8PkJXJe72Vyc2m}l^E=w9@SC>{ z_?TLJWkm4;vd&xOx;XqOP+C1jOwLpQPM7_iy**+B8)|EOMwE6EG4#p$GAO>`Pj8N*X;rs7!AiT8B3wsuQFvvR5JWX2=}fF_zWD7I_jc zvE$;siWDKB_kLj!K)8+M5jsJ*m`5akX5$tDLZwf??9mHu9)@y)_({=(jEotbZCN6@ z?QwcEbBGuriiEDo5Hk=m^ch0N?Xv87vX!|#WGC`uI^%ms5^Q9n{LsDeUZW^lA zhiN@0G-lT^Ly(ct#d`J0?09|L-5am>2+6*<{ptgPaWeGsH_&&SmE_QOTy=vi6?71B z$>ru1YRE4h60ygXaYuBl%(Q2*NqibTNk-tRAp!^NvM-S=VvjA$))z@Ol;M7?v@G3Q z&A-GVW%kG*yE=%i@k6y37>cwWQ|c&mHF#XFyNRr}h7tz5jJ{w!S1h%Cahj?PQ_SM% z)v&8%*OcR5Lr}vO|f_3fPt%H#olEy@HagpC#tJRuY$hI(9c?(NR!W8 zw$N#KE7b6Q#t28FAsbIx_QOjUx~9!}Jw-$^s!YVzP5b4|Xja!8l<&jx!WEf>t(~!} z@Pi~WhV3cjs^zP(`iPc#q62!yn9YF9{*(*B$|apT|Hu=-wNepeFaO?*$2*U!Oht_I zFy2Q<-Xe1F5Q6a#g7Hzc;Y&h+>XXVqvaXH<~3)C%Jg8 zSiJHRk;4pAQt_Jd^`k1+;nOAA`wWqH=g471E^hS}w-ufoCgnp2qog0|+O1&)Ve3|A zQo4y7aHCn=tUE9Bqu`Ih&73b*u9_8u-hU8hJq_QF-~3h2Nb<(7qWYc%Je4~!(FV_r>VY!MVLNJ3DcGKcP8GG zo4=>?hmt|mOSJSx{6BP+o1dWY#R0r1sY~P(e5JmT|IbeR%z^r>S}5Px`Fz^-b zNBFk56;s-=?s|yWC6Pgs1L5Ugp_BPhYy^e{i^w9VN=TlAgbA7+)Ldk|qF?kC=zYB% ztzTqn-U)WNVU(h%8J1bb*#msGjG;#?vF3-@RYa#*1GV;ET?vBV75ULB`_5Lf!9$d2?N z27wc6qX!eIFSMNUE`Y*lE~S&Ir_OPN;$LGdDYC}KkMm>y#Q%t&F!OEOs?fErn{O#J zTj1R}1;+l7(?ZvRobmGHYID(^)6^c>y{4%H61R2E7z)eoCpr6pfW!_hIWq2Qb%HcZ z;3czPNc>CL_@5jU?^WacnN%LWv&U7)nIr$136bs-Gy_ghE!QCSg5o&bZE}203F9e= z5UlHjQN$Wf9}+VhsaJj=<6T{W#Qab!P{7&7KA)q0yGu@dnpQS4P0nKwEIE2-h3m6X zyT#2-)~v(H$<+G|w2d;i@};byyjeZDXx=Vd-1<58XY6bxvSDM9I+ZI92vx|29b~zh zqAx{%)SgT~P`b$|FH1k{KMUH!dhH$_sv~zSQ6K(WFz7dRC-uZ;9|9Mp>TD+eA$fB; zBKWRzN0eCH?MkQSZ*rRtGPqV+K2=%{<)K=h*+0I`eB2?8@Vb+k71ayVYFR?$H+4qO z&DIaP%uUx`Q9_qq9W*_*w4v(^XaUIc9$1nsBo2L*$C%AyPG1ak2 z`YA=tJQ(crzbp+b$s0s>g;FHvK;HOyQF zYT=WoM=T|x3l}XMUrBg2<*eE$IB$`Gd@>m*@==*4=9^ZFgUaq!7`ykUt3* z6ZQ%hm469K?tMIS3LnDvMps%Fop}67M zW%fK1J9Z(gC8~!sjHy{D9rxi1hqX~%_HC52Z&39p75~{vIr~PXoEgPKk}WPr(Z709C++Ny1OM!E2)=nu6&n8}9)lPQ}iWq2eKV6*ZD$j>iBcyJno zS|Os7KMT4ViV`q7cAHm&%>V0j0Mfdqg#X3-=LBg=5l{GqYlhTl{1g!UR3sEcvGULX z1>xxlOZ^)Z3Fq3Qv&%xIEGp_JpmMBFUMMu^+~8MEsD#x}jy~%4)Da zRK!k>IaC*mu9lIc0wD|9E|D!?F6l$~DK=88M~VJly>whWXNyHw*)PP>@;QaqE0MRL z3Wio`*=oMp_m)ck<+Mu9tmU*SsGbkuHeKEyE3K3Grj^ocFsUCLY7 z%q}DLQOabww(^2pv&)u03tV|-m$D^!W|x1IiPC15wbljN>{8twu1!@_kN>_v&fG)> zR zY*cz-4q{!B^W8OHmGyrv^Y`!V&Bmxkxr_gRjGcCA^cle}_!CPyCQJJ!O3nElCUMpZ zbRI-(?-zTAL1H6&^dZcPPltY&RAV^aaqUMuK62g*a0I3~Xly-~2B(*0&yO={e4&jhYDe+YcRci2^$}vtzBz_>>JcyG~xCvUA*a%0A za}7R4taQXmwIw$2MTUs8*=hL4DM};p%Ud>pFR9x zb95OD$A;+S8cSt!MEOXSKgZV)`-8n$MlZhqG^3>(EtkVgHCXW#0ZT=Ruq^%@j1rm* zi`P+as^NKAXDUq79B~6B<<(AEZ%$EF*hy($gtBs+j>-j@Tv;U?D#G#)+QZ4hBTzR+ zQQ~@h_VYenp>@RWaro<52})O0Xb z-f~B~wXw$9Wcb01Uq!d$S5Phd=+S0!vCKA#y!aR?dC* z$$orX_7N~sW9>~{^mAWc2t5z7%n8&WQcwPMlZ@sna_E%#xRH^Rr;u{!o@YyV9om{& zwupSz$|v&*1+I41u#4G0W0_CcfD7rleSr-1b#Ll(`Fal{6@4u{<*TLATf^^MNaOW5 ziLg=HO^#)QD$dVSLS`zcq5bJ?+CDiY`*pi1J{(8{;-w`AF);c_6~IDeF_u{t{fvdE-F44_eOgk4jAIrBIL8Ypm}o&5%O~ zdPSLZMG2<}ZL8PO=Amn+#?R>$Te+I6i)pfB_en3+{lGuNQ>(k@r6133y($!+H$ypK ziXJ}nT>xINb|cNp!x!kR8EOlKxom4$#3#z{Q1B7o^jUmOP}z0~1<_Gw%FY^V^>8&Z z=h&m^N0t0HS07rGejXwN?hvB0aD@=-1ql^22XR8~faCiyp5d^O5|Qq+&V<}LTu*wr&o_aEA!JSQp8OWpfB#(^ZJwaRE#Hq{6ZhuCvu zj2?MZ#z@MmY>zT3yHdAH>g;KWa~?y1P}8poc0oPcPo_cfr=;eND8QOB3sf_-{QSuh zahatEd4`xV7=q@LJMxLOOY`unMQqVGcHNOh<2HaA^3uN%`rgAA*f4qep~{It>IQ{H zl`;a%gjSLgR)MNLCr@@T2smT0=+3VIR_goNeDulHoY(WM^)H*Y>j`kD_M7K*wmLMI zQ;qTisk$XT_Z&b?uy&)@Rvna{U>{iFK8xLFK%QW}w(27F<(qtTDI^FGNRx8xERd;k z2#h~;hAj0t*jzQ`9=YHnIiajW98ZHN`#*2)ebX$Ufxgvd|Cc#Jy)kOEh~o}4hE8KL zf(li#Pzto(A!NWHbOFl2v2$dl6q&xa$YokO+Nj;PRJGQc6EKp~s9VF*)Q6=3kQ&6bUpQp_KABQ-go&wi7cPw%s9A5KK2huOQA7T%WpE$^l;S|00uwq+Bke zBUyzh7fMlqwa$sCQX6$U6!=kJYJO4$ zs>6$7N2vo#q(L`s_Oy7!idbv>VxKCgm~;GS?Qvss(iQ)}(! z#F})RW6^Mye);E-$}L9xDftHYCej34t=4ra9WxDC%wZLCUA`h*`MNQGt4iDo6bMAp ze4r9ppIY{2{Gq1E8a*^E|Svqxr&4dF)7kyZD;*P*^dAykj%H!hcTLKSP3$;dk2X6OO@7v znaD?0;t-~yO_8%}W1dKp{MWx|diYaxr&{IAx_hr()%7#NVdNjW=~*4tqgI z6r<%v-cg!=&4?>~0t}%V&+Zx9X_mj^d66CIQ;_Dg(HJ+q(nkIl?H-h}@DnD-RAtI2 z)QiV%N|UN6Hk-z%RmxW>`%@BlrmmLNsw|i_^kq=qPeuz6yKjJhhZSx0E#%gCuEeZt zkL`3`CuMfMyZ4c7O`Q%$#l&)Nh_Rr6B#s#LETY@aX#}K9>uvNK;*vXy|3eeS-uMl< zRz+-$MLFa(7JmQ*u6c*2hb=P8g|nE8)M-_SOP8Ygv56s6!)6G>VC_uph_gK>T5sAA ziucA-GUQ+RhA0lLC_X}|GiKVav!q|hE%bL%=soE_3*RX8_M`Yw z_VAm~Ys@J+YmhL|SlfR=+AcH|6v!m!7L_Rv<6nE$48?Uii<*R%*dD;gYksUZB@1KY z{Dp*sQxEc#59U1_cxLnoJ^P!0t?Y09DZuX9+8Fc4$(w9(M)S1Tt)&7x=gXXFf?&hf7ZXLXMMdfYeY9LJ8t;7SnTGQk#F15;^Oil51Y)GP5b z>AAYQQPr%~QO8KVN*y&wNYiQTKXRWmXtJ4U{HvOY>58#hE=lntOC;I$>mF1m3 zELGq!npHo#7_%b`i;U(42!TNVC5&(xD;DD)Mg8I%`U5fCf;X&j;98COUz1ST<>4P( z@`(39qxmX=+K5QxhT4ee1+pn4qMxezlrarw^z45971YitCZpW0;SyVi$ya-<7zhnj z6o2I##%=o~Z{_DkQZ%7KyUH*C^CO|5#)6#3mIy6njfJgyUYY2O56tf8!UuZ_q}8HE zofF$27oJjb=%Mff{Omo%%Av;+^?XR3tIMIsBa*9WrHqxw=eiUplq{u6e#r4=*>7a* z-(X_gdJkb96TOXr)uu6;NB#!880SX<462=C#}d0wNEdHi%F6Q)G za@XC;Gh_mUE7LY)nxM;)e9k4R00okXF;c0fz=!TpTWJyoGZQZQhb?kCGo? z2%=>7-*HD^NX{taa41Lz7r9cx%>L)fGZd=Wr{$oy^T7%g+4tU2)=KM5EW#5%r%?z^ zPnzSyH6$~-@K!$9eGr0%nm&>{2nO`#$GT=l)8@}`!QW$DsOc;DY!$!=F=E}mLj$#I zZ@4Z`7J?kaK_s%CJdtEZokP@MABPP-nHDHG8TxMeZ?xzaH%p6@G~Qd^ zx&EY@jNYX(oXWOJc5droS-Gj1{Z(tTBpevNn1vn$hFX@8LT&SHin3Ri540)06%muA z-uWIS!VwPmw}Y+Ab5(`Z;!^@AU6x3uMBt~$`JDL_fi5kLo>HR~j7Op5d!eWJ>M3xt z^@*3EJ6`GN*i#UU9?6gm-80E~c#!OxjkMoGMlzPleY=|?sZ!KPd`9m#`gCe0x6P;j zsoKl_u}R<{rT8)UGR>69*?9t|MdAxN_8n1M{svCTtzYzVij2f!)szg&Bo@dXyQJ1# z4zqMwMk4C*_WEK_&DueNyR2PoLX8&g$@q^F_g&dl?!i6uqFo(~RATv8J%n4KS;Bg^ z&B}kWGVyd0Ivu_&`sZAp><>mRPnNdberbLz_Q&*ZG`u0dKzUkdLd6r#x?FMRgBprr zeRBktYNB2Irh0cU(up2MlLNH3D7{+v1{WbHz;`9UGCwu;-K~r%`u^NE=vf}Q8pkeF z-1JgL1>I;CW6AWhS@;sA-D1!P_-k=%`h7(&Fx8&^sVmRq^x*URF}*quB#!ff=nqu) z;D4Hh?~*QO^`k4t2aV=S_#9j~P)?a)6Rh!N_hYrRiH3@Pb8{O3wDH&}4mtvXd@X?x z_?i?#*D6m0Q&b(uXDS#bqKTvQ5G8Novhy!=WGBfiqX!aDRzr$!gWlBSWs&Qkt&tWm8W^ISt*6^vpIf#67r8v9qR)IJJU=uCF5 zC4{BcF8E;H3%UhL(OlhIM{#A&6bhh$Qbj+vjd!)C-;3B&iui`_wA5MPYQT@ZVuccz zTC42>Bwfe^Uzd{_tAUt?K-Ro63s0d5p{MC&$Heh9l~>JRAsEdV!vROLTFnSO8O= zfR{X6k9cjt68F8>eU`~noCk|3{l?VN!zFdK8>=r3;d@5#-BztK@Lr+|s2(EER8c>f zfsfh>dYAt7%eLwn((jx_4z;{x(xtK|ULrjIhO#6+XGTY~FGx9g<)%qm;D^?%f;;#bxqE1F zzT7<&?LXp2M)NNTd+0@9JjCswD_wXFsq1h;iu_*|B&A##!$D3IC!W4h)`}5RjOOVa zP8xS?W|fY(0^*;yW_bA5jl|uBB7Ip`)8l1X{v*3#k0P43?9*Frl=IwGLJcmQz_8f` zHBbu!gjlG-4R_PWLM?=v4rrpmX!cW*Ow~)rity-`Qs1&6a^1+zzlvyMC8UJ9ETK0g zh2tyz%P?HwJ4(&SmymuS_7v5pWL{8XH_Gyd4#9fy1>SqgKOj~`N%x^Q3x3+Reck1wC zeTp*u6QeG}cbTS8+{cC*G z*#KRefj962jKW&vL_gy*@F$Sq!XHrJ%;9Sm-$;9ob=xzFVxF9n0?q5)@|$~-zj41?0IhZ2XHtmN_`$_x%a-hpQB*k}S@ftYTagn5>)5N!UFq?OUIjFpLw|aFx#e^1+_i+ScePhqpDZ;~QX+*Veek z4UzB>l~9`>w>74ClRv<)p2!Unv?p#L*sg=?+8RBD@V3TcO49`vxgiqnQwhBSMYm9^ zf~x{cc=bBcNfW#+-by|xjHL~TI;UesmrE}lnm;fS8%XEjGDsyz4L;PsD13drsN_4_ zK%uG}xerVHMY)iP%8-Ui=Ttrh(dKet)%geS?8gFUC@TrvCtmK9+;EsHMK^{WF z$qwQ?K?2ii14vRcP7#gF%;gG4+=~FUX=Nj`=8VDNO^)W}$vIK?b&l$l6ZJT@XI=Db zOxyqHRPGOi;X7wqyh2@Lq!_9k3;SGa@ju*>716!-T~9=}o{;UIKtY@_a4m;FOfYtu z+2#)tkiU4<)hegl^H|0=Bk_df=AOsn)Z2r+X@AssKvy~(vQaQVRVU5 zBeTY6N9AXT^1cwVLdJ@d^6DbbNx9;1pv1M1Mtdo5l>U>vPLikJ(0J436PJNJJ6t!0 z7ztIErSI*0{-v2@G+cVNwX{->BzN;-XD6PaJEvE4`E%L*#7!&Bcm1;)GzG~pVqnF| z1Qd&&k;iAt4t8u(e1hU$WOeq{{^k2nL156xVzjWeTX_XH@~Mamn9`lb-?Y2< zlRvKrW$m!t6VIE`D<}vrmj}cj-+Qcp1NbL{{*M8zo=^oR!9G-w+ z&Uop9@F!26+yvhI5>cF9nKQmp<4d?$x!F8Kt=7%DDqVB>uZ&L@csXdjb&2l^Z(Y-C z@XT$G|BElnL@2M}v1@+d36~K$>8*VN;2C(CYPz-wW@XlxAfkvUPtq4T77@cOWfbWuMc}y+do&{HCAsRsIdBv3CLf(>P}UtVD$_6 zW+eJcnPBxA_11?s)x%8t+WaTGdE5LYZisE-ST~4%U`D=)&`G3nau|tod*oOCJq5n# zSt1d;hEL;zu_NQXm^mEUi?mLAvyXolf2f_lc=(p{ObmyWAA2sWNBSi?SFQ{DZirbk zj_ZGuJD%D50&4LjEIWx6kRkgxjuKtgl zuchCFez^z`7Qv(I^mMnYkoua@ypaKBJ>H5X@^wQZmAj0%fJC_b>quo9&4IMm9QYOA zI0K1J=hX9xez8*L>_wbiKq=kxjDTC zzM_-f0BL+TZ6BNLeG0DOl^Qy&L3fLLaL;iypx`lwl`8Egb=k)Egm*K!Ox=+?hNmrp zPvz*o*0(*i{_g@_qsr3D1plDD!%?>96FQ4uME|H|5?NjTN$NJOeHng&>NJ0-nZt19 zi?Qv_<=ONYD!8t_cTbF1TLkR_MM4ZrM)bhR8ju9eSYb5(i;+MD+2d!Y+zgW8o|P|9 zmVAX7iS3Bk)!-l8{8-yiXUCpf4gEHwMUD*7d=BGu4$u9UO5zHfo}Wg^c=4)>9uq3x z?XBOMf8(!TJpKE2rWT_v5-GR_P(l=CS5Hp=!)+IuC1oNfCfVM1Qm5WWGVxQLu*P3)525#dcl(K++Xq3di8lncoOhLu?W0T>LPZ$ z#u3M*!z9NO;_OOMXfB}2tO|jQF^LLIi2oFlyD4ot=nv zbVi(Fl2Hz}EVLuhY~Phy^@wx?k3Fb8ihupoU6hHR+C{Sd*C~CUf8$RdEo2+Qu5$Iv z8qxOIBhJ2W#9X~U$`e3g8U-<_eV#l0t|JZ=(CN$O6uZ^86r7RYf+E`#)zjH zDWRVKbs795WzqkO1CH2Rx$TPB^NPadZR3)soGasYm@Ww!QS==A?^kF@*e~;V;Xl=^ z6sNwpT*h`vF^f)GDE56k>y!v?QR-c|7HEMx)Lc97itH^H--Rw-N*fVabm(=2eug?4 zX$={R+c2;&65C+*Ok6AsnT?%~=cyof+qs~=)3)=I8JTV8G-9}Ay4c-zO4RG&yt3^a z&x`Fpf?w0_w`X@*o;|pT7X(0H8_U1%VzM@WLDPVwQe}M?dWM$J(mm-Dvil#IpCB`N zjOJBDTdTAwR=*c0057MmS##wTf#_+twXd<-<5jQRAT4xF&wg_Zt<$DwcM|k6SXU_1 zUGCrxApNMy<44Q=rTBk$-Qo4B04dMEq1;`&A{W8~FkIrqrQu@g&pRbnshzM6Tg zyN$X7j}ozLqlns5TD$1nLueNb%4!!KrP`q(t3jHfAnR&E9$xc5KS2Rl8xQ#lRjxD= z-4ujf2ZW)$(1RCdqo3`+lQb@=w~(OG{5LY_abhAp0Q%)D4L}$Rd;7P7p++g5S*ZL( zjc4>lIrvDn%13K(gz^$==d!^qUZRpg#N(fPCR~*=sp;JR=n&g~Bspj-Yoj+I`HTJD zw6B|<{)6UgCgMMCV%e-`)9=VTqLcIs!gsX!UmCBI$E)^SBeNvy;-7po64#3U73<>9 z>g_V#9Pw79%d4fl+A^a>d~7=N_|fvY9QdCJ{EtFR)CvAC0#i;DUF_5+U{=u2+3jW0 z8ij%WNvjo>${;Pf029Md{A11!nur__C}IKc3q)smhN#i&Jd`v13ijYAFr$#vK-HIS zTpTzsUBC}a8~&oyEuayuOo8hqrC`Ixs;w8&?O0D#Y%uM5kgx9IcF%`8>gsXAMg!In zr6rRLLKfrvfESrq=GRrNhAvEfSda9{#h$8V+sLh2w&=$)%AH!)|KOHsg%{;1OU65O zC*`@uc|w+W^b!(6k*vs-9sV-RMMyKdqm3w_Sty1WZwmfbRk-_n|odl2O5b>itW zmf77od0F@)CZ%`d&>dEHX{ita;<-gu-FRXSLW;QvvF8Py5aK}9Y{k8$>I9hIMoUL= zZ*QyD=XnJozRpYBzm{K)sr$XjQ*BUV+;IU83*FncN9N+Q7pKdhcw3;9>~4Wlsypk} zNQKYh{#jDG+E#6UWCx4g>NiR~s;A?dTc^&QfMzrZ+3C(C8_V+?9`ffRnv-}{+u70H z_o{PMV`SML7$-d~m-eu1+tu6gyut6dyIJoBZ#RQw22OMKt50rZz5Yc_VUUVFh0G7G z%Fh2zR-ZrvF5oA2fWqO0jh0NFBHW80d(J5Pp6NS{Ma_%Fy{`)g=A6JEUi8130+uAUJsY$}AlGP%2bgXC0wj`uqQS`6f4Y-`&dS&c4#L?pQ*=YzqzH zAw zkae@_1nkYc$*i{A`=c~#i$;Gmtdw`71C;0o*AVcqvNIw5&nU2rB`h+6>iSgUg)+R~ zB=&Llhi`E|RQ*+KwNMb~Ac+b3%2JBnsThlO*c_IoCn* zt&=HHuBQr;<&gHBuGX3|^X#abENVW@k1{~0AzU3Zela zF&>dGNaCbn;;veZ9NV-`2~QWQs%ftp9v2v0wG>ZH<&esg&~v(4YejtX*HUAjXyN@n zwCt!V;@hGt4W@sV<^Rx|M07$w4>=AePGcNad~^JPD}8y+P(f`TeB|6m^t!g+(Du*R zGZux>++MJVUg2}UwsK3YF?zd}$=%ID5t&@HlSz-*6$SUK;@+V@%R)+CghcKQW5G{( zsj=GPTQTYRj4&oW-K_oP`$Coba0byA`g`6eQXh4+81Nj9Ci~(6@&I`Hu%_J9|aiK?xcFOD8 z3w>%PZ}9`zDeQ%mrO-lUHG~-%_Ch!1=GPXFsThZ_BJdG?JV!i-(r`teq#pdx)uK~| zLW|rBmQY@EoPHGKihn;t>JqTKsr%Vha=B9M`*Lb0&TUQ{G`{%Im$Iqyu_Y!}%KsR(Taq~amT528 zAk%AYTt=6?bIX8SzsAYsZ?Frwh_9XK;|%?zkd*@Qw{uL2+4>7pm@9q*| zmU_K{SBS7?UgG|n_+|S0E#UbLMA-07^z<#Nbe9M_OG?&6*dQtOAR?^$W=(|QzRM-T zj&T0KK+E|5ujntaNwobdYZU$U5)b)v>94=>s_3u#yzf;nUm{~6^jDH^Mq&w?Z9;#| zQ*S@#ElYp>>Z(J~UssZl@yVsX4vBw%Gnt*OtvV>p;i>2o?W{fnuUUS3C^b1}vnDir zc=UUJ)mY8H9W4!2bX#vijz}^TdzRe~#_I!gHh7j};!o6@B?w$BxK$b>t}DbzvRnix z24)Hidh*~olNpqER5B&?{!bCxRby0lU3#kXc~BOyiC=^=b=7kXlO;Q_oP#XozjD9f z;jd3=C+HX}A&r(zeZ!@aOXI<+5_Q(`5*IKQ$PrAZlYUliYT!l}0R@O%gsUo-Z`zn@ z{a7O{@%@u-(cizt`0Z2!0}4^&*KQ~?xr4`V^Z$PQz8C(sZEqWZq+R3?vpB#C?H_%^V+9BD6sjze{DV+s5N84;8EWyni2f^t8iP(s|3QyS=cMWPnY2UDi+j*_Pvh6( zY@Dt%QeRj2XrXaYteoMg z>vF8oWoc~ymC=776}vq814cDJIC|<5uMVt5ONe! zE4&RANxJTVXgAfu=bWcDAB1n#DgP|uKLPhF>TES+y{SC2$Q5$?AT>?X#&4*7agcpd zY@o`wu@T;S^q%erV@Kmkd|ruZ6_!R%*UY9;wh$!?@eqo3g7P*V6>sCWcz)8e{Y{?7 zadVA$erxNvbCdK$JRz)#J_c7AEoI-;E^Ne6NL%Xmdx*!AO6M-i74hN>S}9Fy0y~_X z&=)oMed4EOEtr_&iP!{e-C<2it-^0_I;6c>g($Lc@8Rpwu`rX| zigQCz#HhXjErij<_!-!P#(m-y#w-3ozN>VEhsi3f>C$=d;r=TC%0?0cmwQ$gMt>ki zO}BI^HZtgjVr|3x49UhMYX&PrW|Na9IR#oMiqsgC8F$E;51bD7T$E^rAeH`UmY%vl z5Y--Q263;^4eV`hy}|)tFI(c?4UCx3)FfI($sx9Z!ip8K1()`KXt=DW5Oh-~_z0exwKNC#eA67%P!gWQganM%hK;F{!QZ|Ov_MQvc#5eTp;~xhymSSt47NiGJ>5}EPgRhsM@)!pJjHMem3%bUVrkB{(6`Yqnj7n146K^y8C??y3dLlSS+7Wl}XgBlOgv z*H;;OIV>==mSR_kUDU2r5lla*XXskxOhiFU@@?tTM-WK>yY`11jX*Y)fk;@{7?8Ea zH?bh(PxQ)IooJMF@rBXFHhuJ};^}5{`>2}|X!Wr5sqOGLX=+L#PV`+EpfD>j`4;t=xFOdGV zxxVF;J+-uVC4kt0>JRPRl>TF<{oM8+_g0zM2;IJdDMNJuu>k&0<5k-@BFKyOCW5zD=hwJYnf){tejsFXW3F9Xj(H%HY#z zNo4ug4WoJ&pTw)0A~MjLKi_nv$DhARsLMFL9RDVLY~m)I-cYl8Dc;&jP`?=nHjD*2=cR#0I#B^fVqv22aRp_EwtLR|=;b+KzZ^IIC->a#3yDz@U7wyli zY#Yw^%C~2&G7Ap7jBq4b*e6`MrU5g2H6HsgnYdStmeb{>e1(*$3z9-&Z|!{x2P;U{jpja*z&hON#d8s3({U1`(voJ&k%8j) z!bpg2qw}_WvB$NW@h$trT~qn<$m4LOBTf5Ob zSL&3e-lcNsZuEB+@aFDw5;-dqNk4upF9-L-QCm07+OwRKhEXI!#Cob}tugm*zy)Q2 zSvk1wY}Q)KK*?_dtqL?Nm!l`a7geQBo&G@R2Qi(uzE)^oNMUV2UlHHrH?7ZbgB0KA zH=6GN&IDj${o`BEEBU2BEBj=LzzfvOR2)ib5;cg70&Qd*xXUTfYA*d@bNDEA%*puX zuG|#1T4x^P!e1EJuC3e@TAep!)@Nbd3flf3g$L$|H@~-+1-`?RY(GynO`PV( zx8`Gpd;TAg#WV|CO2SXMZFXz^viHtBKQA?m80RWRIrU%na-(I2UJBuedtZ*KBV175 zU?q?XifnEH3a7?L3@E0tc~M_`d|aV#`8K>=&#E_*;{x$*`JCo)bGX+`E%kN6ZPOJz)r-qKI!t82_)uk>OVq8ws%}jSQcL7m4c$g_~H=9Rl{&jm4&Q zQPIr9C2a{%X(S$y1U3goOD zOeg`Nh3WbNNs6cw>XHK90CM+7lBI)cWuFZL#_`R*SdGbbW96@LxiKk0{FFBQhcwp$ zdziy7qq*}W)HJ7aYjN*@rvPc}CZZ#ilk1~rRPU)dCBSO#0Ij7J23 zYu+T?jV}*4;+##xIYVSx_4Q^Pn+z_eP+H`Y9$Ywm&vQWZQ)-AH!lsQfcCG)+m@B+x z@{Tev*)-$YRuO1yKnu@cLV;35-@J4x>P^=UddD83qG!% z7BUX(=7-$;Y?fDc;TuxZjD`(`{JhQ2?&Z^r8La{0cJqD44j|wLYHoO~WSVgWU#4x5 zns@MX#rA2&kNHnQHOA|^q{x>f+xrq)HBCMl4WE&1_w&5GK*IO=uueX#Aka?W^)-B5 zMf%s*x>Yp1F7Z^T(x}{9h}tcQSMp!l`?h4Dnb*EO&A5(?^N!>Hm?B~*?ppp|*D4># zGH)acbVU%;=A;trDwswA9m;0)AO^(Xz3gge`TkSFK%u%n^vg((CYgDU+uoX zJq6BqNNnZ2}RtTi)MKCAwys^Y7q--5mB`aPSla{t7Q zAjbyDLtgQ)A!W$>MI}xvslt`JqeCT?-~g3OBLmX8Ur4x3T4-HJ^o1qPPo-?{WgRi@ zzJcRko5MqluFLVIuz8nRqqUCq6j92cKDf=CM4T-MB`v ztJc53ASdgJmQ{dpopzC{3IC$F7Vtkl4V7p^F)$I|hr+|8-l-IUNec{ulb#YH^vogk{md@D>{LcQXhOS~Yo`S(Lx$RExw`F#M~ zQ4ov8cle$JA2NGe%_%!fU)tRJhS@)jOZL=dL;0IznDG*hCmyc>BX^z#JGmw4Uwuq^~3N>=La$s#|I&!2gV6&j!?0zV`oN1r= z{!nJBsck9CG}S{ef{-6?2;NUFT}T%IiV`7KVGWGLbonlnX(yEYh^%pqlD`1wocZ@~ zE=qpPee3s>8}P4?04Vu+{p`D5o{RYM|66>F3i`ZoS9}~6d>jHFF9#og;^E^v6h77r zrfG#ic45%+9U3iXUNu_Quk5oZJq0l~(qb*B+)Bz`SrUKaO5D_IMN!B(d;%gYoCr+n?)O^wja*VyXlp*g>s+!XlHCC-1%&?9Ri~rou zjKtMK-pLk`R$y_rOthlKPauLzN7M-fg?sdNjkN~*mk}~E-P>!7NAuH#@(a%sbHxpj z%Js3go7d{Yf0cYA+^9$>Na&wT?dgDoE+QmYj(rP#tko*zKUGR4WBESOM&kDq-6=0q zuXpmQq?(!gcbeBmOXF3ys(do&v$JhVM#sVY!twUCNJ{&i;Xp>4e->}TJQICC(Tb6F zN(6~0eCXQ0dLE}aP83x#h|BW=N~Kgp727bvR61@i`-N{`4Og|25c;Mk84H$6X!zg} zlx?&Kv4HB~shHs-(b`vJEO=WbM3yzYMK%f}zJ?F>nSDtvyx*DKI&;h+xW2*z%XbvU zcjQC3;`f?cahivbC!8Fy9oCdxY#c3X&tJQ(fc zz1E%^2<>TuYhA-zINm|0AEVN6eCxj2;ZK+9UjLpi_L(P@>t5ea%01Nq#XA>>M{jW& zTg`qu9^Sbg-uX%rz(qqVO_ETCcNX7ABa84eVUI5nh)oP&WNIs;rS(ShW?BsceU32D zAA|hD@o9kr;iR-(O93G283u7`H@@gll7M<*Qz9mi9a>8QP|_hUgn_Xj&Pb@fQ~cc` zUTFGC?VHUjq%Ne3_4Z-i%YZz)@4D~tXxa)ad=;_JHIsO-#ge|5YfpL-f~5-Em)9%f zdNrzZN$5OA@i}z!QKhNhpAG)U$av4l0Ihi~c8)oSbFAD^Dw4TXqts18-#kiZNOW>k zNf;4F*t)!4%v`1F#Gj~@lOBYp5c{KmtqFdv8^U$>7+~yWGyXBcuGK~H56HDARuCyT zT}-(P94HH#AtZec$EovsIJfK=*>9QpbU(Ub``D=#o*2h+uQ$5`z$c1?Y~6Ig~Lro z&y@2FamU}|Q!}x`NGt><;)4efFU;)-RevsyaC%huU_3ne9a_b5krKKY$Q1CWw~ssQ zk~Q5J9py$rjSp<3Ih-HkwkiPt4z?hVMxv4-gCIK8;qsl~@`n{AT|W2-suV~SD0Rf8 zpY)p{lmkMUG+|I#J`j4cOsfM%gA{hv`A^YPSJ0EX4qYtAC!&t{?2^1_7z9!V3T1zjXI+W&EM${i zbsTx{vZaJOsY=PSF_UNbL3th(IZEYg(b3uworrSkC=rybN|yJLCC-&XkdX>mdR>VY z_#7&glw}3U5-f2}S2UdaGFD=kJ1t8Qy;j|alUedo1A-lS(uXr>YsWh0?=s`-MDS8c z;&A1g(VHZiH806X&e~VmK3=z1yV6R<; zj%@~(wUbKDVocu}7P>Ki7j%j9l;rZdbT^gc+CM5n*b%Ix63E%h;9Rp2G z5%HL``^ZUx6j!UJJAY?AOMAed(wsKkoZThToL5AOmXV!(e^Pw#3w)QxyvNh|?=z$^ zjdY9ao;*3+J9brkDXo$fI?4GXy0(+-s-N)1%m~=-;A0p4<(k8ngtD!l%{2qh z8ZGyT3^sY*G0&7x4WtsyY==fW$uvf9gMgbuLyVTc5*NabcX_HX6i?x4uN7%%TL7;f zM)S`kUvgNRY2O5;um*#aKo^o~F1zY-1W2*oj%Z)2{mEJ>HbM1rK~53ZJ$1>^Gg7%J z_O4J^0ji|NP$ejFr@`hGUs+`Pcbp_l7FT}7SI#DP4yESl5oy|H)~pbBJ}2i)4=r~J zs*^Q64p^bPg)lP61kx?!jX>n7E1jM&EJTqy3{Z{)W4IXP4>#=JG;>hbNQ|wCMtMk@zoV% zsV8`eh|Pw8`{QksbZ0K#)=kc_jh5dt(aA9t$q7eZZ?%QQmjo(tCrKB!!kWAx8Vc4IwaF8X z33FT(seH$1{s&3I;%-Jxz=qEXG~k=~#xm(iNm#}|VofWdOFZ9Eie+j)wl4&!-wePX zxbZW7xD!=Tft~YRp{4Iq0Evs8=RnNf`j#g5pvgzg8k-z5DS6kCkI@X!#+3CTWE8a6 zZR~4XWePesIZ;b5YB^jjlOHDhEoVAKtMgYWw>RbXG+Nr!P+U*hVvHcuDaB{UA+(f{ z%(K35c4XUSkDnZ=+-xL%$|rL;TAIcJac*Ejq4>7Dqh4!WS;T+lc*^8j1W>$OTUtEx z$T03*N+=QKn3~cu2_R2$*H;p5W^t>W(}k^J!?+VT-|T_?87N4om>&niKxV-9e|0>o z&U#M-D*^U1=YHl-(?8NLgMJknEpJhBa?C9HwVjX>iFx#oXg0qT2WqUf%Xj%1laRGMWbL!ohhF<&r2UwJWwb@#Y9|5XnOGa`UHQq( zbG-87ZN7L%!K~S2@lxaI!m;)%Nx<^W-8ke;d}2WZQberRBF@Q*d}|Y*ME6AOE5Jl} zg&shcLKYH6i3#m}wWj~eBQ0HVdXWa@Bk5;8^GBPLV{W0Jd!(Pk>6UmHsL#R29B47W7aA4p(}WNX#Y1f_*}7 z#6d4d$%o0tg01d{x}NgkT4TYR?gz6_K1??jta3jD3qnr_7guA9jFe7w{>Eo-ygDIS zqxobg9F1U|;|Z#na0d&f3yJKjMl<)y19?}YRTz3d$6V5x-q#p^s3OL;>7ftgeQ0#W+9;Fr`IwkH;GBljsT$V9B^>`5Xt7+D;) zMitHCAgX$DxT!-huSgJrC1gV67SwBfy}Q=Ww?b^ZnlpeDz>VbDZ? z5(CbO5Q=)I?9EQubLLt41&8Ec6wVsYxXg0as3b2s8=?O?O@fhl5(?9Km+vIJ-uW#F zJ8!EO|4Ur>$}TH=tMf;dAf;iH4fDuk-7Qc)1%T`G&X0(M{(Bg{HObbs>=wu^y@0@F zIa~F2->1bgA=&*}&WKB7dYsYB7Nnp@N~Bcp@m*ZLT?Qb`&35>fGkb6(A?WWs@Z3?y z2z9ZVu^n4)T2}|Sh*NOhQFO{BHuee__4@&^&;eyxV^=+JG!AaK9uZ<~CP8EVdrX7v zpHFzWzfry+rCjDYzYkI(K^#hS;9(E9wcIs9Cb?#~q89Df zrY+3qS7E!hC{q5hsAt^1l@F-wE|lewrCgdJlWFkd@-;Kk%nXUcB1wS)_r+yb7nnl$ zW)}D>X(Ctu)Ruo97RV49-9LbDwbu2p&?>PxJT9k7GtoR&0obN`%`hTCWQ|uCEw2jR zN)8*C8EmMahI(T${z%v2f&5+3ykGty-fG!adc1A2?I`D;3_7bzIoWySb7tIsXWz_5 z^IJYR=SsQunMd&%8Y(E=)j0HQ!J%$fHSTO)0$xzkG~b=7i`-_vNx%1+v3|w1p2ub@+1L%e8tNuJDPP9VCbQ`Pn7bkS52!(IuW+c4>+PeHgvsnF%NH5 zx(l!Cs{DVp5XG@L0+)VANw+8XOKku3y`%@PM#?{kvqu{>uSTpd@K$)Xxt9h;O3T9i zUqY!nf+xh=f2Tl$B?f%RnSOCc--jNAK}oaZDpB~zdb)x2t zss$yul5tKLKIntcAqE8W-9U(v&4Q})3E|oCWcCNn!h^gS2^59%g3VmPB6?Hfl2Zq; zo?i6TTGIl~-@p&H|2}G@3$PEp$vYzAbIkA3ezQria$e|}JmlgLW3(ey3~}+Q&oNPm z11NP|#UGza1A_zdZXbw-Y?5*QmoC8dQi>@|x=B8430cZGGc=iem-qg63#Y}&f| zE<2em5I6;=yZqVIuHUN|Yc4 zz%t(LL^R2;x5_Y%ZbXQ_3bOm!S(D0b^Le$OSLbc%c(RDUt!6)KADA9_ zT3D{4_l*(Io*ZEbnEgc>1So7aK=~jK`kJ}ND%KWu>N(k<$ln;xcO5YjlARUeuu9>! znVxLX)SV|X7fLK*6~FPq@wu+w5YJW8D^|)XevD{K3lUnXk`YU>6bQeVi1{UF2NgdQ zIYZLWsNDb5sEeg?abeUCaNMqb34KoCx1n6^i$N(OexqlwuY99@MR{0g8dz=Er!5e4 z?@^nA_XwMfy!?4J#Xwa?r_M_j*(Q4Mi=qr&!IpepWLG@Lz_}9p`xync6 z`+ahw9`y(Ps5zTR!tuf0-=&l*ogEf~$viIeN-fFu=kN6ut&o!!tp4SKmmYFT(`*VB z7pjbV?zLs$&lYw{Yv=Q=roI6}NUSRnyp7o_J6-DBe{pRu!Myg4nphyi&w=)8SzjS# z>R^;0TS#T%%FyPn6HnMPy@lrT*^;oxBFLCBy^O##e6yX<9OcUuE{8G_+NO?`7j|8p z!~Fc0NW6qR{e)ZbA}rIJ1E(^Ra{l88O+OywGmOX>XHP0b_epk92v zlqmKWDuaX^{l}6%E=NCGk_U^=%M^?Q3pDSif(h+g6;Oi37bXgSDphLS%PIU(}JO-95 z+@dNR`7Ke$EL+F|B)mbPP!r-Su>|`HU9U_GD(`2vx#XnTLS^iLiZo{&2DpX!F^QI0`ABhBkHA(v?mZvHm?ydMi-e6fU zhvtI*Uv`I63Fg_3?t~FE$acAGS0OITla0d+me?VCNJKncg>3v&9w8!>AiL)zq$M~J zm#T}Y z<^tPbg2O9~8nu1z5B+?vesI5txvFc(nM>eKCC+Bf`uNU5zP1~N_A7rrhqDe3oRQ&k zZFQX14e$b+)xn?qw&1654)2i~F_EnTvPm2!EAV$c95sP?@u#J`-ege=DwK8v+q>%- zo7Dl}J;*?iGAK?61<;Q0EQTKPf^<&9`9=Pcqsl01n|`^7Q&jd>g^Y7k5U6m8o!T2g zprqFJZB8yD5RsLoQ;0tU$zNG|IA8J%m1RX9QA6Ju^(?OBWD(-eWPT#@9(#HUzNyy$ zzSeHCaKS1(THyU6qa?j*7Hjf&vpCphw<&TSb77s%R6@P(K=0B%{|&$BoX!OW>;J%{ z0#hmcoe&Wn^`IFTF^DUdbEk0Ev%!%YWpOI|{Rrh$=j+;t&6UOP!!|IWY;{@1T+Sjp zW@6*4#B@|B3+EFUO9Qw2YLFZucH!M0kXa7r} zBk|ckpKs?ZH#1he{#`3C=NIGr)6Wys`DvA>nt!w-GGY(^*rAvy${EG!@J~{oupCTK zm6@y($`rKeu%!D$(lG_CRIc^7ltBSzpaJkTz}>HnCy=<}ip_wVz(HTgskn z_=0%mCv|D*bCR{g=lo7G?kB0#TIqY*8fzCAUYKa*n=FZww05RQhH(~XlH{n6F=Skv z!-R7g^`ml;sUX;K>k$<2I1_ykU3nxR8v`YrHbY{W3O7!RmInrjosaXutQ((H>`LGV z;rrB7wVW}%SR}`oUo?9Ul8clTJz3ljKOu**#BXDj$|WNFI@dpzr}R)h6EPV2stj1s zrF}t-+;X))&&WJyp}jkAWXgWcxdh7YJJP9Tn*>=a@xY9FPfs`DyH^H&q!0CMV2Yo9 zj$TYiw;89)X1r(#gxqXs_I!gdM1Rll7p0MQkTq_vWS-xKZ0}^N@7pTQK0Tw+Id3vh zZQ;+uTXxN#=RZ=#S-Cp)@2$bPGLy55KjwGwUHm|c)XXbbfqe#{+r)r=t8scq?q;7& zzZtBKK@(AH^vRu_Rjh85_9NRp=X4-LD}Cw3my zOi(BZWEYdA)SUBiAcOUpyQkgiFPe>>-ZQfObHIBUdU`c~WDuiDcwt4+*Y*yTkKI+D zbv5c-_rY==C8~euy-4`K;>%Yx+fnDj7P@(j)NZ;&&XL{unlFigkFtZ%F8li0+;*x~ z(BN~Z^t^`PC*5V=&7UD~Mev+HGU&fJosH>fS&>@e3f-|h!(mYT)}<&#jSAgAC>=3! zg=>fJtddY54b$M$b+#;E?KO-=(xh6bNwlpq6i z`!e2pLna@#C(}hmTh!xrO(I)qC0qEf?#6w8ooq3uc|iE@~W8L`0**38dQ3uM??!45YQiri)8 zlj1p<$$!>#?x(sA^ZjW!XzX=4{O3%+fUi7K(_(gJ?=*88k)cFp8gSPOs2{VkI)sijQSIA(W9;%p-tGqc<}RkRJ?}UIWegn=_v6 z$=J19^nM={^BFQ9$7H$}STY^Ugv$;A+Z)WgYUezoO|;QQhTP|HectuDIVC-EkrW~7 zFxFM+;Q0?D6ChSgUt^2KDt>+*6b$_)bPvMKP1M{&!U;egO#Z^QCw`|3% z1;mn^A~Ijur{?-HU|3XMgFH+AN9II2gu)lC5y{X<#9dd5FQJSQ6-(UL@ZA_$N5uF6 zIB_q>js6ZK0$xSY3w4Sa;sMd%a79;pE|B20k2@>IS7hkP@>JPJ6#_a*@PR}A{?Off zF*zi^{2Ej}4lQ=)OPv}e&-V5Dt zDu%1(k2n%jPl+s5l`VGGJiYVjM0@#@&Sz!ulSlaroD}CB)ZPSl`6_EB+muw3GXviu zs8%)@SeAa7M-FPag_TL#1*^D5RcV7-tp1ndQta_KGevgf8pex*SC(fxv%b%oAX=9d zq0E6Cht9T%%wFGF4HZSLTFrcl^M&rriatVrIE(vT@x}cNm6yy@fgdv0|7SuG$w1{J z+(&lkc14O*YUTxD6ZVQJGKWn#1^D|ysH6#GI8yg{_#%W31?wD0ZD<2QkAk2=OoXJY z*7G5M4()U2$`R7^@azF`;)f5y>vZD^f9OZZ*BdGjROfHDnMrue2~a`LC-5=;p`PvX zXL{BPS2M>${cxsFD zdJWO7;wv8$_H(BiO$EEc6B`J*j1b`l^#2UGSUV8wPW&W(!M?jfjtKaz3!d1QpAtR| z>kwuWL|E3MTJ`AyNSmWSSqa4%{j4Tz`Jr{eqF=L03}X&J83MSA$jWj=&DZp~G6wJn zULvobL_~NbrrgrtXBrw{mS?6gDwDS8p{sz)weB3Wkoz)d&Nxj!3+STAw=LH9M?~DkVGB+2e=P-E+MmSfq~RBN9vjC`5k#tPpZgC5_P;x^mCAB zam^>!Wt2`3emU8`0lk+naWMFEP{}rNpzPiHPPA_z>v(g|8(%^yzu&^a9keffB)8kkxg)?`KOGX7WCeA3NVH2M^nazr|vTVB7MOg^w(1ns$Zc8_G=6^FI{3 z9CX)=0nrMZFRa=rZoMjE|EbXF1^NZzY<8i!+cb5HV!rpJDxIA0Nd+w>wZjH5y(ioE zG?(XnSlcHp`o06-IK5iufHAt}xcvru4JT~A(r`6yO2BZYCjrC52c-3Hp5wqUs+=$5 zME;>-35Yf*-`6D)AZk&r7jQ8S#z*=f&{gZms^S%d4BB1J>I9v^V+!bz4Xi-IgP8Hm zu4KKAN*2a zJnw7=#?zE@4o(@8c|u9+7z2#=VDVO2Un*f}g^D#>5&`ool=tfD0Aj*q#}No%SKJ5#<{U!DFB4UR8)1LOY^TwZeGSHY;a7 zP9Gd`x-#)olPbhbwkpMhL00%yrgG%Xvdj~;lq{_lqd#!dv4MzIRiCr%(H7qIl?*m6 z8{%x!St^H}!QvPTH@kUvsgkyyWjAl4a<$I`$NK@+EZ*;j4#_8N*feswL+N#fYpd|3i(X# z>^HJ+2Xi2MoLS+k`o(z$@uL5-jLSvp=IY>32sS2t<|bcoO*g+e6Q1FcSH2RS;gY|~ zpLxlj_|xW`Lq%{ri6hcqzD3&YH+PA;9HK1vhvnLw-qhV@QigO0rYQDAt36YtU2Rk1 z2Aaj(34UnRZUTIv>sTNQKNLQ<%x0NBew&uJK*!_rLFu(3(~4W6M+I7tDoJ(~wg)HL z6AXddMK@rXHn%^n1lg-%z3B|eJz>H72L?JrvRygv#u=_Tn3y{H*|y>ADo>Do7H9G( zR2d0nd_g4~EBP==f2UmKxMcFU;lG_cP}M$u@+iH%&Y}0;H8YH#z}!%Jqhr78JLi|i zuJcQDah-rC@WUi|jKlB7=MR}~U>3-+MMSk zD0%MP6!{%q*weH63S(8q;al$k&pmVVQd}*b)A0d?5Qut8@R7-l&%hV?DLE_D=SBD~ z+N(Z)%xB5hGPiF&TwpW^Uvm6BVFXElNHqbBddt|0ir^Kb0aBIkaDhn%&+fhS7NRdib z*hhf$bN_f*O0}HXw>&3N69V9-+gdyy*3chP=n3%9mNqOqLW4q6+QRd@iG1+P*NI$4 zSxds_(Y4XnDrdS%>jJ;2oY~DE2vyEZ^#|rw&U9ZLsH&Xlxl*f%w41e>JNZH) z>796r4>#tGgU4|x$S$s z<9myfx2Om-d)C8hxFVBIC?}J0f@`Fdi=>p{c7&5UD-vy^A}oF-irDLm3?z!GNIyQ7 zWJpDdoFey}C*aVkMHko(xzY*QV29Lfr%5!lM`!+)5~6> zPA-qCT#Sjf?;ywbUMKH4cHSACdH-1QW+s)q$4K6jPAEQ8qQJ*W6xsHSl$?-DgdP25 zv{jBp(& zqTq`3@YcVh6Zmb$^dcpelkpTRep+Q96gEpG7$WJRu-%mFN4RA42$>i9WbTUP?pg%W zI($jNx~d}!sPv5bnk|b3nC$0F+OjBzA=)4PDEkoC5jn)g3d&QzGv7WR9G&Z=XZ!k* zyJ-a7PXU`lR_y;%z!E-V+i}xGUyYmA|U0nlH>VAE?T6YPEN$t5uQi~l#X;1hn@FjA&Xb z?32IJE$E|@Z{$PO9q{Mz`_);jp=X0#+}ZSnb;0MtUeu;BF_2;kex>FvCEG+v+iOiR zyyjQRIGm9fhd*T%n~-vjkv7;;PMuFw+OAifb26QyTQd>g!-iYl{Ty6uFtP@`kL^oQ!-?07y!dsRN1 zTsan4@3-Dm+eB9JQx9;nFxKLN&^WKzk>AQ9EggfCyI6o=*z5rne0b?21Q#@T*@Vgr zuOmp7Zv@{=@X{AlSolr6Q}4*l^zup%7LWNxz+fxJOzsO5l%)11zI5yNqF3Q42pOl9 z2H$Z--liW!C#UQcDfi0jBU{D8>iO*~22~#hwu0}n2FeP%PEmE+P7n(kyK3QKc7^|i zrx#zRQa_No_RWIfqPBl0Z0QEOwmnt!zP;>_CnRLI9kL%|#q@QxWRx+*faYb#F^SuN z!;izMM)pFO)p9?HULbvMmrXo`r>I=S^)C|27gpb&lA}m4rqcK14|~FGx-0}mco_0} zQhW#B=Ntq@=Mzz|q-HBID~qdyrE=J=Ta|{_6M{XW?=u&`B(~K*VSRa=me()jxRr6A zbxA*AhRkhf&R+ zf$5+G!~4daInslv{{((!law5oBOX)#0>tiB)R%D<@Alb=0h6x^SMr1>w#dN0TWTO8 zxmx9%h*J$*)>EwV!l%S*y_bcgO)zd&5wDYU5R7Y-OUI?GY})iSyya`EQ4LnvZg%7A zJ|(@XH;mO*scLyWb(e)DN`Kv{v0b99E1&wv0oAWmp*E=8QlP@?!>3T#20>Z)#xaSe zjZ%>vg|B_YacIF85`Ch=aFm)6e89c^I|#?xRW;d>f9`b!-N-r85Pk{%-%;&QN*)UT zSP?t=9ahh6_lap~t@dg~c08>(p~WuRukh?Wg=ePdMs(tZW4ZhVQ3##OTU@;!PBAXO#UjbPgNk;1FL z{6n=|-G9EtSfTLiA?dVJUW7@nJQ1(fviNc)%qu<+ym}s;bcI)gl=BIk3a_X%T@YUK zp;PJkDxpk7-BrYpqyw)GZI)bS38c2$9iwJX4aQDdZbW>e(P3ZoMEr1U#lJf`sc{K9|OC(#htPD11=?S#}J zVG|TnhxfBZeF%Hv@%VSB__BMaO1HZiS{j)KZhU|GQ=Rl=)i0jaS>Kmc$9BoemNz8v z$CvD#A(q~>uKe+GS$EnJ)j^x2BTr(dM4`i3%6XKfRDwTV`uvIfah1wI&|>dKNxzc> zf)?AA>mytz@W&~~{x|vK-@=h41PW%Pj-^CyQ^SF33Bwn09mi&|XmNfs!dO~)!*uMgwPCV=3#rb05(vn)F-# z^+~o`ujxq_mu0KC`>2!C#Y{x<~2PXd=qPmo%3PaW$RCQzE-X)g)x8NX0P>|N+KcLGk%2vnNCTf zK$hjTJSFNIS;Vu_IULq~fE#9mb;NG=w2%iYQO`^}=lOOyQ*GA*+w~T%VDY)EQf*e| z@pXcn@111RmO09`6c>B3O;+)0Vxw611PHNbYMzY{jCo?o58qEZeYZNFEuP0oCBMjx z42naLJTc4Bzl%G%bR zlP=O~E|A(YC-HeUpIChe-}y9*xaZLih3S0<-AiQ?W3I?~P(fnN(WfGGe=gNR=as{a zZwp1jrpb>h%wycVe7*1BMlW`$`pin>;$c?+yEYS8>_h{-#TbZ9|8;A;cwy^^rpdwx zgDul{jtmV$$!Dwotb@v|r#p?CfTppEYF5TS{bwBr=kAiGjL%jY5|ZaKn?EcKu3*iz zBC8awG%BOj{0eBX8ILu41qQ8J%FSq1V8{R_!QzQ5W8)Wulp#1{67Qka4CPC=Z1af9 zqPV@;R`V9~EqgX>2X(O{E~n`l!^g=SJ{52?*vs!-9{umdo2(czYW87iPK5fDGQzW4!w-SFiq z_*8fIPK&ikUE;NLNeug1D>Rm zC+-FOQ&N!jq)M5Rl(I4@I9CNHQeKi2wEYE@vRUd`^`%rJ+%GBkcMDbU3rWG}B?bS* z4z5iK-t6vd(5RPG>YpbCN0NeXvx7$_1+Pj9e#{Q;zq+$(ze);z&JNB@N_}HeaH}1> z3q~m3usf52cc|b5NX||QI?y$!ds5IJSvF!zGdG@Nl#S$g?>+suu3@pHu$LEg4f`}H z>?_2c$$37J6qfmF*Ra1Nh27(~L8()$X{7|b3emg1nnxx=A5u98j zPg2-&JL!W-N&6)Qe{x*X!`(Uobo98ezb1wCUnw;j8i#9BQc%BDU4j-S1&vif#oxT! z*~}Y~f+yL*86krX`B4*q9S@Ks5{PjxMKP*TwAU4uS%bvAOPo#`z}nKmZ{4|rEW z{>r4_O-aEIyw?@-ZzhGkxke>TPfB`6Qt$ygII^k}7PFFqd!d7u+^0WF3cKpKu)axQ zRfHvVjNE1vNBEC7b_vpwQl)NEb-gjEG7U*7f6Q_V^ej#aUYis=)(*aCeP>f1ObWgP zu?MMpCk6i|DR_t-+`h6iwKpkvJdzqxHzoy-O$wf32S1Y(oR$>4*bWXR1@})1K6$Ik z{MMx4))-T*L*S>jtKhPv;1805pR|L2kQDq-Qt&UumPV+!ds6W4lY)P32Zvib+wD&Z zp7(`Hy)r3yLQ?SScJNC{!M&1#FAJ;GR#Nc5q~L=pI5B+RKi1jir{q*(=g5vE1r zC1`b0(5Z41I8=OkQhBqJf~)M{qb;3ntxF32oeEA=Cy*2r?HcsMq@Y;WpevGsKHa6N z^Kepmd%x{$<48G09xA>wDcF^i`W6+OsLmHjDPQdx^!ubB`vT$O?4(TZPYV8A7Mr2s zL(QEa2qgu3_Nw3ylY%c#3VvS17op-elY*~K3O)z9WD=9&P6}%38gyz>&`aMOf8THL z%k=QUwJiMMD`X)8x943h5{V{tk06?B!R?%4=`aQ!{4lsY{h{=d!qptEgVUCc>Aw8y zv4+^Hz!$zZT+WZnytwi4Z>xNZW5?`Y@_G+0y8+#x<$m^-T&uL8m~PVxR{1lQ>oN35 z-^ED~5me|ux`x^Z4vx>_iLQ}qle3r>NMs)Pg|c3mRQj}uoq=N$rA`~(>C06yT}zvG zL1*CEok_HWFQ+9G%Wvyn_{~*v^&MvL#}=+NBEYJ8moc(%gRzxQj1OcHGL(=tcE}(? z-sucEi;&mtkbZ=`)EUy9kl*91v%4vA=i@`&hol91>ai9*){I8OUhdmdOzj)gDW(l? zJT7{jZtjy~Gv;CA^n)L8_0&UY)eW`Wu=kdLG}cU)#8w7&cJ`!hvBqfTm`7s;J4nG< zMDrCiR%A5#VvT{Rx{2Q0as-D-k*3|)8R44mj4-q_0&<1#$l4gyM<$|1eeZpGp2J!gtyEBeOMr(ClV8V#*P~q+&#} z`Qm^i`w%P@zo#U-i={johusd*-RJf>HUepwc_jRd^cZdFt#{dTQPpL^bCL)>T2{a- zNQ-avTDNic;jLctwiH{`N~fKHQa>k1m!!DK2_x51IX}5B6OZsS%T!87E}~Kf*miRE zcb0gFsFE*Tg!FRRA7T|E*UXNL|2?PJI)76CHgyOE`>||D6IEM3D2qL`k^9j zVngD8z^9GisK_?<-@?7vJjZ`37u=z6Ivvgm$LSAAMvtpYlUCQ6V#*~y;3WqlB&y*OZmlSEs zfwc9tMJE77Co ztDA9o<$bC0m1+#Gyw5FPZsUiQ_j%;YL;3fm$yb`uukya`^3|PlqC(`@-7MNTwfZz~VS`rlS6U@zvcz_kR$uNS zV1!GBJnn=jz2f@vZW7XskiY}byZeZXb-(%%sp4}7K9fYS|#Z;-wIGY{9NE%=cR%Lg|?B(4yzW@m^6t&O0wbwH1mJ*u@wGXlOWdkp7+Y zf&=3xL>@t%UuBbc0hzI5h%J3jO!Ph`&LxI$-q-4{ zc5#uO%UjeKI3+sRjxXwSJ@7GddG=x=V3@5^*8}G#I4^LV(RPWi)&JB*!$y+NeKBv* zyGCD;)UJpGKt~BjuqA4Gcxu%@6TkXjbd&hqu)x=?g2!9*uK;%C2_7!-wEC+kf4r-# zU<V<@JNh06_OSY@u-kAU$BXs-!)oQ=|G8Y4V)e61>0rA zxuozit@R-3Jj?dixHC$)-A>&EshJ)@P2MSYF!Ilc_R z%u~oOb7?4JErzT%SM?|T%zeVr#;}V<2X;Gn#yoHL4=1IGAN@3eO6r6AOoNBD zxWRC3&Lu#Ov(Vjr=3e76hKE*vAr=*l{*h}4puNhg7v|u!nk(?JRcLI;I>`bb`NR?e z7NS4xuGI{aNRAmP^WEU57?V0eAIOo(X=9XG@mB$k-|FwTda)H%0qM7X$y_@HbFRY` zCnEcy9A@PJ<+U>Z`ExsLd^}03AA}Yh4Q_C8lb5ke&)*mQ8QG_5)dwim$&)*geH_(A z|EimvIr}qQl~FxMu_6BN$h?vY(ar*`9xWfy+LHXYl0U|;Io?vU(rgW2Ol_m^{c_A3 zdwqUav(S?ZwfcbyV9~&3+*9L<_Gi9o)#^`$_-Xa-K^#&G46yTre0&x^2UdC$8Zgej zJhn@eUa{lH5x8}wjh|v)w}eXh8h3IS=}1}r2Yxvq`e{e-6X1CWcvb)^o7=3A1DiK7 zOt|U7ZwobFq{$DeUbEIo&{>P6C9NYBH1 z=tkLr7RzVIm{kYtRr7^bf3a3CIXZAzEpT5AXzYv8f`@?q^(vQ31?+z79O+MAa5-FQ zG*Jtmxr-}hvwiD7rxwy5zV%;LWPI*tGlE*kxt-2h*!mVpwWtIV^v?v#Jz4)}|9a~r0T*vChb^eZC?9fRAyvwp7~MbIX8 z7p(K?B?jZA#?*??MCo%*|D?xE4f5Qz=>TZ zM*;z@oBP`okehNCZaI->a8QbG{SG_p3iFUJBjV$J!|EWF6YPu=1sTDDr_T8Ku0qCB z2<>qjFVlh?e`sQkGSJChOJpaxbs>nQ9A)Xy^Y@lsi+!;8u@;%Uxh+b2P}$IgUc$Oe zznyIspSdBpqq{HvTQSRVo?P<4$sLmk6a3`F(sEZVBn0vyOvf%xs$T93{b<;MCe+yF zyf^2DWVOSF4dXgI6<%2scjV%Cms|;jLrmvD*avjV2ekU$GWcr8LV9HuE zIF}>D?~jmLhR(Q%E4+tDZSSU-F15{5wdLepSdD9$8YMTWs#bqdN$nu=%|D{&e@k_Z zYr0l-21?8Es^&N8`5e*xoHiLy9lV|VqU5zp2XZ{W+w7$P|R)HTEBX?ye)q3d1! z2cXv&*#R;n`Un7XS3yQ%yfS;SlI#e$OKLA`>J+i~Fv5V8#i#vkt*@#>)B&_d*FskO zt`>jj%uO5IDObjFn}i=VpOAwF{LNN>bw@&tG}!d6x1t^FWWsZR7#B#(lxFo9Dpo=D1@jKVjL( zZ@St`z?sFcd!g1e_-?ysG$LY&GA!tDU z_uKhb6Zc`B$9ew1^DNKHJpbT%i-!~5Ve#i4P&x<^N=Xrytk!K~(TAmMhKH*_qJZ_&k?ocPl6Z!jEmZNV4n{dd7 zaoURfRleYM&F|V&k>3WQKd?z5`ufiH)(RlLCcloTA>*xf+(G5|A7@+wqUiV}{l{9> z(FatVE1_$(aTHBLu;Z1yt3q#Ew3DT<;iQ1 zyb9%2A}?KDqvdtIye7(Pvb?6qE76|kw7+&FH7PaTBwf3Ud*JWD?oY=e+O36FBArrn zujd|{?s3Qa?75>sNBN)V{0r5>U0x|Ku2G&{zCd1!xF){HoyZkA6y&|u-<@GOleJrnCiJ?Uj zzmxPv=l>4pUnrVHepp_Akk`xddP`pK$?GF|eW?f+*<9r$8}I!8()kxcCJ`-p{a#*w zk=L8@dRJasm?q!ir@!shbS}Cs&8|VE0kMl26v&5V$uP5a7g1r7IuNHZ2l9x=u_Vi1YMmR~Y zbN+94{$&=G$aCcNTX{V%uX=ek%WI>&WVWp6M7 zF0U2x+8{5PDLZFLvhzC0uXX-K$CQY3Wx|vM)$)2=UeC(wAM$!fUhm6GCPsTwB#Esk zJ$tTjR0Pfy^&R;aswI(w^7@Uu{w%N8{EIw@h;wBklmu1s`n9~Cme=3qB{Zpg zt-NHy>pIyb>*GVOtF97y-8%bPp|=&Gl1eC@PQ-MRTG24)0ycA83&u3lo-$DL6B>x?f{|?E2hvdIQ^4}r(??}oY`fL|5 z(9#RXJ$r-)0fA+fFM3mIbQn{tuf#q%^)9R%>kXp95 z4=hhNPS!OImV^`ZOp*S$^9GLK2WRq7>-|ROZ)uRnN>ct>5A54 z*z`lc`GHSvCzaXQvtNJi_Bwz5hx)6gP@V01(F z71Olpzo;b`OGjA>vmU+`u?M>^?c96042?srx`FhQ!G;Wuy2;U=^^zj^l{zd552@RR_a60Q1FO8?K9|A*|f zo{yGD**zbXDkL(m5h57*C)Ka}&qe%i)!&%#-(+l8F59l$e+ifxne1ers$KS}!m>|= z6iIq1e>puZ`yYYRg4?gqYW~UEU9dzjHo*_uvPKr8o2BzbFwoh%LNB!JIVq~Q<_O^I zMkJ&2@x2Sn)!nZ(f#6yb7!CuL&5KUen&?%niEh=J=vU10k&Vu%7|p1-o@^$9B$Gjs zDIm#AvMDbiX1UJm>e0N$UC-<0iM;-2GOxR*@R|X0S6?YuXY;I@y5~*kNLNqw)h!JRK>-fzWwCEmbdc*+t)EPZW+vz#B5*c8kX!c>*>ov; zF_!zm^W6^xJX{bGXy4ztHEqKSvF%XF=ThDBO%byKD!oP?vPUl&G+OSe;pe-n0w1|7)zF|F`tsN&BcuEAWBd-=NaBeJ{ONqR+6} zTNir2Iaqw)jsKtC+tc^=%~$_7(EH6o3ve~{=b{v zCxHTb?==5;h<+CmhHMu>L@akjdq#!q&neZSJxHB*b1rEV|7^UN<=LI`QfmbC32Ug< zs5}Dln7ELlT#aVPC?qN6h0wne*<{qJ*U3B-Y%Y-;VL7c)>4dWxFd~cicIIRpWmRtL z|3Giqj0o*MCB6c2(H-5Z)SnGVWxvZepj*4v6|P*af_r*0s=bHaDK47CBM?2I?==Rbi?m z1fc^Z+lZ87r}nLnR;bkJ(MRI_WDTHLsRyeM)rZ+pOYjMM%+VJ+;g!I*oE{3_{GkzT zx;4E+fX}=q>0z?{mJckOk^?-fd$2{OXX69jOaX81fjP#e1vplLZjQ-D=}a^{M4!VS zDsAvtQwl`}Xu`K$T}Y}yq#8sjSZF;jo^Nzv9A7BEm9pW)M@iu>m9R0oIvy@vg%Q>f z^yD76G%6}NH_B~5l@v+)_LQhT3yoMTd1y6CsFI*BQj27ILssl1)8(qoAganPa)ClG zue4!AnD8v8J{~7r?7AwvC%m0-HlYP(Ag-NWRy^_W&4lMT=~JEX*W=+fzA97|oK+jZ zUvwyxm9>lPXoelUl^IS^Lo^W1mDPsYoIRo%4Hlm(psDbOMmhBnJnZn9hbzqYqIY+W zN9tn3XA}flFuo&j5qOb=&(78##6Yp_qG$#Mm$LclXsVFg5v50W`>Y#4Ul|3Ip|9Uf zVZIEM+-}a6;H?!z&(dW}7`)%DXME!`e*xZOo1j9e{Hc^GWWUe+X}Ss#Y?rO&wx zqcM85Di;+G7U1PBV{w7>CCgJOJW2k9ds016`wXU^y;LJTAcl9e{E`I!<6hS)JY__7N>*M66Hs^J!B&N#FoJaOz z(%PN7Bon_t-)On&@7gTchz2|t%Jwlkvf9#BMUg<*H`JXwePrcKG!(tfg3S^sfCSnZ zFJez(XoY!U!8&l)`nSeP6dZi)`Eq?uf`0n2%o%>-DK_9+(x?wNgS&I(zhE7iM*mV5 zcRRsYc6*}BdJ6lJH3v$~_kriPz;l1#m!amd=)eh++gb5jDq^c*lQHUAZUbc4Wnii=AWg2!Cbe~$8uo*ICa85(~7 zX(^4(z|_S8XQ8DdWq7BSr9@9=Ty?@*x}8;IpIjjB1>~RWLsO@hD2NZ~g!l&z9{F7X zbm{&Bq?u>9tH%{xP*(?BJ}({TGh?<2mw<>9Xkn?6H9XrIT|&)tb1VaBL5BG0x^7l1 zLgi+(ZUz=(E9rXOoK@$yN+$YEHt%anKyi+gPu9(vLO#O}zc1}n%Dh#4V&@k1U#Yk*DTGbGy& zdT`pvgxAB;b> zdEZhd%pB_AH8b&*PZS)u_^>9$aq^k&e0t4S`tXU5-We#HYm%&HOG}w(sHT`t4aTdv z<~#||Y7U5olUcDqw?dLn^e2h&rdv;`Dilqq)T*Ci$mqd4E8!^bq>ishZ7Rg1joo-F zPzQvUSXufcj-D{6&lq54VZW$mHrWTu9)_b-?TH0m)U6t+latbKJ(q{epZc75Vxs;* z6uhHe8CMnNW^;4Zr+B9{c4U{-ca>Ua%+)8oi}KvPPf-_zW%;cnwocXRuYo$>>+w%o zkM7#cn?P7JHc^+?>Mtv3C>?UK(LZ`#0zO*3;qsIYF;ZLs&TNZj-trkyF|c0!4oNWf z-CwxC;PzDGxi^tpr|9|Xb=L>cPW^1j-Jo&^c&-2)PAX_9D_mn_N2cS)xJB!f{3#^` zEz14eyWy0vL#w`m6X(FVJE4P_T=r{o*H8dH{TZ+!|H)~kg&!DaMeZe|PWp%PpPiei zqIJpfbF_#jU64b+zAmyHI*Pe{Ds`ol3_% z8tI|9%Sy^d$sD!P1Q50A8wpx!19GG8+86QTK0c0|PhV!o*`&J;Me-z$sW4l&Di(CX zeXFdsrEGzeB$l{(iVW6zN`@7*XD{iZetW+3Td$}QS#;+@4%SrPitVxM_TX3I*c9XkzXN7W8OFqL$jD=`*k#m9m>SGNN3u~62ao9hp_(-;TO zQCFY2QLA?e*P`wMvc}3V@P}@2S;HRGCvC%+)xCext=H{QfRfJsPJFS3YV~6n57QVA zn>xqCRvJSur-7|QQe{BI=Vv^{J8dB_T4QGy25`#IvP~rIUR5aE47$ zwm=mhDC6O$)LE;)yr7|^c2+AGG_<5}R;zJlWUTnx@Nmij30)vzD>t}01LF%!dNK3~ zcc+1XnW=sy(73TTLnCIK6S=NSekVzuF8Zg592+tGLz;N5?Z%l@T{3iw zBLA@7WrZ!;gU=G9)C9NMwE79H1?x%+w*^GB;gy7n0QS@B??0JwcEs4Nqm1p^$oYb3 z4>hju1vdtiFht~%cw*;oL&uvzf`;fMW)DmO7A+qYa(AElK2%ahNJV~|FO-=&oK91g z>Fjc3d?aAX^sjDMB}$6 z)M1F~DQ4hdORRph-x{b-B9r<)z5SEkEvKJODlJ^gAc3t1{wV&H2ETNf1Fe2Qrl!H{ z_kaf*uCrH`7QJJZwR+7@wdz5KsI=TFeI6hAO_6(KMNq`OWUO50JS+}tRwct;s^K$H zkyCR|fRBP52#Y_$jr`E7(<_Wvo?2% zDsH`V$qwmZ0VGqhiR?uxCdTya+D!eaHuq;LcB7+_1D3s*!fXHiS6zSRf;i995wSg)vgG;}W!?I{)ZfwtPMCev4@NZcD#0sA7N zUcs2k9xK`*kX5sN-Ut09Q zS2odcA87UK^4D96RboB&5yWgVnTLly2GLwyYIa0Eu=9$%Ca|*t(iiE(XsHp5VC2w2 z6$@+@glYSARnI%AOhH4DielUod|O2|CcX*yQlgJBcx`AzKUO_oLmR9K4}0^Yj;{6V z3K-v2jsu04b~aJvq^v(jC!4f6*GP`k0ItPRaO? zPT7nT7+A-(FAw@AjZKju(Z9@^1&7z-s##ZN&PoZ4DTNxK2oMV_rN5;jaQZ&iis-4( zBQ*iIIKDfp;mQN5R*{Y(ebr*b9S!*1%ZSIek$nRU6eqheBn*PPW_F)7CR9d`<^VTF+PfY(c9S<)aqEv33;W|}0D&zb{e zH1z>@&AQod7JWq?3+#)dD`zcC(W=uRo#y)d{gF>We)@77J;dRwrpS8S`cO4OjWIqB zxsg@mDEMoq6a5*lx!;?AB=Vw)84^%T%LL8f%jZY#C0|aCvJk*K#zlTLWuz6(*W4Jn zmQSZY3)a!crM6idu^lNR{cVukzLQ{-arz(nXubO1!=2*HyfLK^&{kfj?r!1dz>zZsR=wfcOw1$@?$ZFZ5aAC7Fan>UEq!rwb#;6qF z2N0{Xb{QjfouGdxk|z;B-5RL_Nl2Q4k2QR>j2(O@`mI~8r}A}d15ak5J7237L+HM$ zm@G%RYgU_k4LNdEmdn?;qnj_-!U}XbOU`R4l3K#fv2J8(>Cfi?7AsEHq;iJCUS-Oi zy79@QYytR{<~MJv6$wvEjnGfYAbN>zja?9D7GV^J*SgPUVKFR(*~L078A(FKKWE+f$8a)g_5~ zek12!qfZF%tAlhcLu_#~YWFkvMt(1g{C(-Fv`cBl5J+(0G2_%o7(e(5D&eI(_`;rX zzR)fczEI4(6YlOsaApt17p?+ecnw3^=I@p!9GvilFQ~jK1)2nA5Z&7szOd2e3;&3> zqOnD7GuqXnsUI=ir$|1;Xqh@w@q}DtR91K_a9W%nY>;Kq;56!dzsDtYX5F+xcQvza z%D{7$1YgQAPx3kdTe!xXzt3g}SuV+{3BeN6fXF6`+NPpW-{x~I2R8Hl`5#!rYSq1H zx~n1bl8ky-3ZjZh#53AmW2Z8PGDc9efa61DBkcOs2s_B(VT{4a7SI`&$(H`>MTpQ*-BJlbQgrM|IOd2qtG6k$Tu7eqclPiKND@$v8iJ+`z%j_LyQ;!J^ z%saIckXvM4!CkZkdQn;3bf4b}z&`j~$3*E*_<=Ln{cCWQ)*`N=|BE`NM)lMRqS*ZZ zq|+)wgV}E+22lspvJeu4Z=UhF?mFnYNPy#a#ek23vo?1N_#ydPW8M0sRdCmmEVIIbe{|`V4=ua7Ws!(%*W$oEjU9KQ1$DiyJ~(y#?o6{db~Ee zJiZ<@n`&W3^sb?pBfycp{ffSn5EP7N&9L=AT;=52T!?~Eu#8i`xU`em9;EF z>4ufF!)9ZT3c8_z4)cZjpbzIhO?AUam>yuv8FwrkB8LJ>nW`UZ*~gV`Xqft?o;sE& z_W1Tqs&G@B>IkCts_kKuh$iWWZKbXWZUui7Fdgtl{s6q3LGguRG1Z`u5n;B$JUY>2 zG$3a%4{Oyu0iqfY#@!V~UrqZ(g;iqhRGPD=zL49kyIRn<93;3?QhQOUsU$QCdpZI;xF3{}#K2lRw53yC5gJxlY8FN=2i4U0 zaJ5QpqraE92-S^W`G=03kBu4Y^@&9B3;m>{JJVYXP@x}l|;ke^_ff? zN2xJcQr}4&hp9nXQvdzb@j$To`>EprhdRo*f?@1iVU!QbQ5`7$eX-RE?5J+E9!;H@uj9yna zXI#c2?}JjRJeyoaYt2TXT`D}XO_%N9=2hZv+-AFldsx0J}Q zRV1~15AS`DMI$r8^2iTi(hF8fdm?E}Uo6+l%)OBz2j#OPQp-QPCEF2PyTJ=nc?rO1FP$AUpKrDzzCUDgj60@TIhUe6VV5^JG z*)fZWP^mpr6?=z|*?qZ>CC17jMf=n8s-3`ScG2?b$gs!9_aPCD5%*1r>j}1`nro}} zC{snY;gDb~ea8DH_ac2e5%mo=ro)+FI|s*Nx&K;-&+P}7r|dQ0DBP!vKQ|?%V|Ekj zkK>y#!&G?)xmjc2iI6))-YJA0O-&&Hb@W#C&83)6aRb+@AS@JD2&Rd3{78hWIhnk~6*?AWpX5UL8){?NdKJM&k0L(l%?4Pr!oEs<^d z8$9L<=%rOhm*W+H$J}wcc(_@ivEr_H@!K<73GwM z$XUzszc5Y}-plxbTuXCYV!W8tx)|OS`_xJLUCJJ^B6&8v+0+ekmoV@g=nvhRI{@HBfG*XhY$y+9kjZ ze(RahxAK0fsymFdRt5`3IIYi1vfxlBTlsqnSh!-AO1ASm%rFhkW{@7b%ed_hb4B2i zsw3sM-4zJ*tvdYkyKeJgqksY^yqZ7q>$2xg?%6ipRvnsDTHy_}R~;!07?T5=s}A3G zm+|vJ+cFvL;bthqGO4H-VR}Oxf=0TzBK)#A_^w0{V0LKFFd?x5H+Mf!T8tFGQkydg zm@i{QRZ1wxs#tfk1sbvbQ&}QjNw~TJy8+dk#=}?W2ak{ytXLjPZpmS;)SrFg2YUF{ z9`&2&F7Gd9h7V|uh`>*b0-2XA6R))$y6Jg^uShll@%Ea{b_?F8Pt4t_+R}y7|miUlZaArL4Q>bVMO48 zs7j&*}@v*67V;}<{|AFSf_;pjYSO7ogPk^crmf_MPs8) ziTF|_$Tb_88I_;2sM-(4wRj#2<)@H+EbZr_^OjX8&4@ZWyS?Q1swSjJh$Bat;~Lq9pS#*MXko}{8lhC#cVyeIa%Yv(zsuE zrE!xr&bC{^xvyf9iQ=W+c{U}t+cF;*IRUd#JL zCN9FcU5gL5HFz$|ON|+Yh;YTjSycp9b!su8`yo1WGX_PARXvNQ5J`6%=M_yMTRq(v zP&9=c^-N4}iKbYvAxn}>*NO%O){_m>8O?x?p|0l?4XQJuM00WJTCl-gG|2c^bW04@ z8p^c>d^t}4KW;zD>TdOdA02I#yS>&32y;WgZd{p*d}yG1U;5U=B_+&kXtbldQq z{!=|P64AsOv6I!fM^3E4_r_AE-@H%@jAy<&Du{BIKlkYE+LXqm{ct&-1G|p$n_DlE z)0^&e_U{{axW%9)iwSn_rSa%n9d?<_S=h(xlRsM08n;2g1C=vl+2E-I_coFiP&t^y$IE z6eHtS{o)^;E1Zj%R6K$qQJCm&P$G(L5QWLfqA)o{6eef-t(S8vC0^A#QVkhTi-l}N zH;G8{W|<(f|?Y`*)k)~VIZAMx zPI#bqOE--f3`hhs3l$=P zeDW_=i%J9tdCuSxlpQTGrSKeQs3AxNte)J98 znyo%-mW&84bwu|fP?yw?Qm8*vvAHz%K7FRu$dE%YP}i&)gA(X%VLjj8NM9Kr_hk>0 zFI8y^Pa$t4UTsePdT4Charvu;kiQH#yA0!U5MhY);w4VcIlZRJvT;)FTRG*?j&({4 zz?r|LcFv)eO39smc2oo-atAh7xCn(TecSHikMI&G%sCyL7sy3cb#|@l;l+DpRH^~j zN`)5Ex3~JOln4tbWjRuYP@9m@_Qf5fFHsbAEivC>9r=GLYrdZ&v4)@WGC~j`wKs;}^b!TPOUX z`%!zgX{AuQY(-S_qHHt0NNm3~$QQhvF25^zHc|*9b{m;o00;_5X5io%;Vr?tJzCR_+4+ zWgg~>)BumjCiVtyBJ=&tn+rE(kTvbqj~cfos)!sKm9iO8M? z7Xu2WF18W1OnkVL@8fKN1N|xe8~O2Z$O^_f_y`s*PT3*&2nss*cub+GZQ+;-(aNOk6`4EPK>1Y{rUR^?WTf+cS%3w^KmXdx7Qg1z7rJ_>rZ9r`Tqny zR^As&2|Vm;DU&h7j1YBoJwF4*25@)S`1pDp9VZK<0Dz#Qy*^ADqv7;L$@nO7zXKm_ zNE|p05^KdMwCi1g!7Bn0g^N2uE9zIXNFqBnMz9v0OUwqblY5L4C!@O=7g_$f>8w+K zh!00jMyDD%UhCSqnR1~SJ6^EbY(*FuUMq7h%h|wdYJJ&;QiyGHzDX6Otk2JOzK*=c(dS1PK3_OrCfo+yS|rRb+Wobf)7WvM(O*<^18y*0G`AQZvXY4@P7WpQI2?zW>GBzraUTT@C;E z3``&(dZGmzTP?AMnuwRA#g>TF3{3D0P6QPcMXN0oZL1Y!22cTmGXajr(Nv|p zzO5CXD#jZm+yXw(f|CWzt&N=(G_S$Q&wf5R; zudP17zE2`4^93S)1yzCMyW-nFGFMLb+aDM`e*^iRX~=Hx*EQg^{6I*sqh8AiAV{=`%Ivvm0{)x}(xFE=0I56Y_KCl?O2zv{ zR-MU)6r}BY?my!DQTYXZf3#Rv_5Ebadv>GMkumf3nyB@LwX~mfrF|dn`#88+1W8^g z-unccx36kd#|Gok##K>v7FkX23LS;l@(N(Z6(?*DsFbD4nF6{!9kbq*l_95`Aim9Q zxBTAspWeNJJ;!vf*=UaAZo;?_Wl~NZCZ@HN9Ec0sb+zjT4T_g#Dv)3Hw4arR2Ti*_ zB<}jG`-uqtK7Wjq9E)J1>9N9EZh4xp!?GV3ugunRT;?j3Z~1x}rJfj`?C%e?d(Xr> zH%T=g*6lOykpNq?_2Fm6J=gHKdjlT1wvsvkk9#$iuKXLWQeivPq_@9ny;9cwCb=cm zZHGx%56Kw&9=0;9{&3q$S^m)w5s6!L^ydn_;q+0eKie`543V@RtGi*Lk-8DXBs8#4 zJl7YZbk0|Qr{?R(0Dk8TPxorIYdz0(J2cy>m3nVe8ki?EAQg2c9s%(}opeSD#_T6# z3dFjq_hn=H+*WkC%n*<7#;kw(;~z~Yf=UC&hpq1TJqZaA$YweefbSoUBdaii?h18h z^u;Fw;qa=84u3R#;^PcI!94ui>6-vSVE9l^?@F?cKT%RS8X&_-r)6-P^t=Sq{SyYp zP76!GTX9}9zNq4p-H|NrIz0y)Tj93iSc#Qe# zm^+q-aP>-8PifYvE2=R|E%o+v$Zqs}-_ts3z3NUEQ+l25TpP1Y8idqgPorT^FXM$i z5h&f!)W^A7vI*VYJkprGQ!?TQnR-tis1XlhNs}7;Q=K%%knfP${f_2Jd7j$Sbx3wQ84kn>mx%B2{YFn4OLhJhb5c8bkc{Ra zG`aB`ol2XYLjcu0^8 z1XZ$9+{=POGTY*mFUS=&La={;VL7UR`&8x6-msERuk0WAsWRe1>c%Q zN8#Je+Ee5c?5fvM$+tsRBP)O3yIZ3Ku!7EfM_s$4%3am9+n0~H8_#AU&QaHHyY~Z! z_3T~(>IDWoEu+D|AK=+7UeX1g-DAinp51GSQZ4kXp525cT`Qj5v!L1*R$JoM&9FQ! zc*PelgCh`9PUN|`J|X_+Lm&L_vGDZiXlIRp*}zW9%&^@U(0LxV8Uu-mIj`<#$u6UT zAE6Kzmxv-ln9m!;xqI|Ne0mI$-tv=o(8M);2w!DwG+`oa(4(CJFSqjWD?;Gc39lV8fvJT#velW#_aIQnR zaJQ)o_ZiLWW>l*mc;g(;4}78cfz$tC_PI|r)OEz4Yq0h+=iCNnejU!1xV@#i0F&pl zE!(tV>lLB8N-~&(9ugiBABYAvU(B8EuX0&U5*n)ayPwHa^YR(V>nIPMNp^Rl(x`hi zpCB#wCC#VjNGDaOm-H(4|B{A#v4Hv^%esS3mWYu^JO%x>8K?)K$gB%AGLNH zv)6YMNq8wP((mFTz0!A)mbj-B!9|)=w(27NiKnC=xmB(C>)-?xr~elDICupsBnBYer)F2tiFLVK|N!N^i33w zSy_ccLd^OKheXWu6b=qoi?WFm6bCa^+vtSW)N*|u4;`}K8E^;isH#V`jJvoqSy#=` z$!&u}`-d$nQNv^ckTwroE>M1*UAM6J#mY%sgT?Q?L6`%DJ@4UkY!2U5((rkK)UE!) zqnkXP&vzDX6YstSAtNlc_3cS=n!_ITT)?6(eB3c1i+?~Y zedU*fjdhzQpB}ab+>e|5{h_S?SS_xOH7uT?jrbD*3y)f&q||jx*=h%erF)mftk+e{ zM66?F50F5hQ|_~e;x4&Li%d%gtf3(S@yks!4{J-2V`-^l@_>St^6MpY+$3;$hz8rI zY@yoV9`+@*J!Z;ddn<5vl$%TSfBl%M#lkYkCx(qc~!cX_b%rdk) zKXy?{&?MTPUv1`3dM3h1eH{{kDJ|gC_f-t<==r|4rje=u<34`H9Wfqntb1khjWKIe z-Y?ZQe7DpHzLa4*(j16b-K~{)rhc=r?lWW7`?M)GkQz8JOZoe7s^s#L3({n4Oh=vw zpq65{U}u%3_|gzU3D4UEw|ed42$edg{yJ=50Jht6^*0EGf|XH8U@nU>vquBOMyun7*=%nexWus)%*&eK45& zn3LwXL(NBV=VU%cf^03k?y(Dt^{Pw1{xRi2_Y_5T6Ck4+cLXzA*~RZNxsskCsHI11 z5?_{=Xx(zcYjoax%#eBwnkGaIOuNId8;z@R#UnPCw zw?cpIN#&+}TbbkjiI4Umlbd;*H|5#dG}eue@#qsr$YOsUR7*Kim3+TUWN)cyz2gi7 zR~E-z@R>Q@6i+s>WKQm5N~o2)sE`uLg?^?<_g3x=}0wG3#yTdEd)bDKOU>_kPma*93e|q_qkz#9#2rO1g=GSUegC z*d@0P;t>ciO&%85sI|D0NU+OYLBgUHlDBDgu{0|_jhAYhi67*9cdfSf*FQ>oo&$o} zF|^F9k=lsJaxZDLpBxm3Hwp%BD+?I+x4B{zf=&vdbT89y9z1?68Df*G|DGVrVx$DV zp=F6jGY@Oee3}l7Zq+s==t?f)ifpV(fq2g)fmdz{I16rm5*6;YDprSL5MNS35huy& zlssx-?a9}OJ#>I+|7{^@;p83&acAQRdes1DK#tNcC#>p%syxrgIPF564zmAe@tjmdro>3toXY22!=J%ZGqrpnY&??zQ z@-Ul6M2(k6Ui)m#;jQiEim3dyIB|^ z5~%|Kjnq|8M9dn82g1;bk+vCd7>Z2udRR~Hoi*?>-q|2{ zY9XCjEZg>`(ZEUC4yXI?;a;-6I{~vrT;@kZn|nJgOlTRcD+0M+$lHkW_C%}5A$y|n z!Nj>WtuJBcXOf@8?7aY5H~DlynY!OvL(Ai#e1s!VHIWd*mKv5Onwv;5;x>_zg%W4-?< z8(y-{EBn3INE-igTe>aiL3jcjatF;!(gJFyC52%dbaf5j+mOzj>(67as9E=#(fnodG~I@^M$h!f&aaljFKD2Y zu71UAXGaIE=o&oQbfeoz-6e=(6BDfAD-;YmL*^={I$-Onk+_OPY?N!C*uP{KPxg%O zV5`bV-NFEywhuT7{HZt+% zwx9ewQM~#}GhdM%u|9{~4-^kF>DmF;$<;G=jL`T-#ctTs#~2-n;77ymdsQy9YgJtQ+c69uBQ|I52l zjqIFyqv>qMw57B8qeCDW{7`uuxsu&0l`)J}?Pl!~(>jVX z&*FbuymS3MnGw=2aijSfmPBv+npx@&B}rmy?G{dty)ith(ONDOR*pD3khy(PX%zQ% z&w)upRYoeWqoSC6ndp?=-6a5x$9freKLM=C>*aA#S2+iqDHl{cUfCv-#d=L_Yoe>D zEblm8=H4~lJ)Q8iSoKHZ4Qb3OqY^wJ;-%p^4J^u6u*AZWkB;sKo0-VGIk#Wp1u;gg zlhd9=oG}(fgH3yDjMVRF$+$<%?oE47F;ZfuYTA27ix-^LSiH7&d-~=z$^AVhU-cZ6 z(Al)N0b4Jb7?7sDBaGBWEpV$bYpFi;Gn&PL2iSdU$2WL`e!Tk9*FO?SU;pDZVwRB` z@D{K2Dxb1o=I1ZG@ItQ{8>ErjGn-nP_I@w^)uz2y8+t|t|6h?$)@yFPl4E@!tX@!= z_p|a(g>i;ETYPyan47s=21Cpug;ytL<>hybyJexS4f@(Z1W*^P^J zq>P=6gE?+Hn$hA4d9?6AgIz=brY0hU8$+ub7!7WBw%;peRbJSKt}JKETKqf7Dm&8g z=r~8bQfqK@g5({1JXm=5@!%%tk4WaJvg2N3kMP zj4`~>8^bw$bPRO>J#-vq2v7T&^lg;f&RsN)+V;)38xV@8wfxp8hO1z4{F_22=4?*an ze~Ggu;Ek*$6y0XCgIEp{N+XA||AvKH+rk!J4v}gu?AWWgGNMak)gO99DOT(lls1pk zs3J4Q7|CyA&(%;F@kz~G+rE9qw>_kN^-F@ZZ3Rfvaft}IJ3&mZksOYq>FduxdS#&# z*p!|voNQ$(Xpu7kuAs;`BJD&<01Y(l7*Xe$2RA@Tr`J&(fcYXmAH$ z)NYqs<>7R~=BhiYKj++}&+fv(PqS0yJb=)tVlij(?Cv`7^TpWR{`6tloskMsjmPqc ze@p`hPYIO+Iv?PB2hRuh1IW@F)qXPCGAS8PZ(wCqm)utwz1JRIZr^-jvb`I~e{uH* zoC@62H~2h0bk$4Kp_d_nK=qn*yjyo`=k5=JAGPoAD@h-@9|MtB_iD9f99XwKoI1Pr zA71{nG{Ui(ZB)8f3qS8J$`!s#3q$qAQnq8WRckbl>L8MN7u>6t>OYGuyB4 zE)35i=+KBacW+ZfwC^jaUW!y*`@qW7zRnjoWDtrkhPR_0PGY3CA0w5zB5%2e z!HU2>T7-9|mFNCEdRO!rEs1 z4-xh-O)J7~g2(3(cEJ}w*mzkU$b>m0!V2N_5%%oSS%euW*)cf?X`Vhun8L&1&0R$? z#nc4OQd@3>AdwcATm_A&3kkr#8D+C>g^}zaoqolKG_P*$2QADHR*IgrSjEk1U&(r2 zc^?DpJYY#@ctU$;w>YbQd-8IJ4ASIJBuBimzInShH)Iaz%;gdW=P_S^+Af~B zKSOr?yXm=Wb`HWrPR~5NKDAwVRG!*?2D>|e+VcBDN20ee9_PddL`NTDFTk9#GULf1 z7<-Pu!_K(ve~6@BANxpp3q1oQjqu3f)PqFk!8~m3tivP82h_(-jl|$m=4GTNi9T~6 z1FL6sbNG2!FQB!Fy_hg04ePLOO5hk46Voau1~@zkTbS5%P9Slr&KNEv!GF*Y%{8>5 zW#&YdH*$_hGaD(VmryAMr9TB~<|nrZ;U3BS?-~o|uwdjoI?4CPZfr2%e z>1QjOWK8I!x4zMor}O}wcgIUg57F_A*(aq3>3FV?=lN8^GbTd+Y>(a{ zld4?Ecjs5**tnJ><#;ck-f}qfy!>jZxifL0rw5y@*Xl;cgbAW~nY-blJI(ez=czTP znxmkhdx@@9y;9DLzmjaL;W)~iU}Ck$T;1LD>Hmj%c5lel)RB4IL)ry91%AZm zwCk7-&EA^UtLYrnyvjW%H&|s_6wDUuUYGWAkI&_K{{u!RyApt2W1=^@yO_mZ^DmGt zT=;-GMwYtk$fJNEUvN@q3BS>pbIcAQ`>U(;<&sj?5k*pCo~m?N$$@rfBsWq*WZl)s zj*C6A|GqLmwgs~0gy##*30dOsR!k4xAyCNN%dNEh;bfBdGN!+-jaWpDTx`vmGlzwU zDuugu3`zI;O1S;gzU_NUBGs=YKM#eU34un@5F6jzy<_pYyre@tEwtsn?R!e9*H}x9 zM^_q;zFOTLeBND4=t6HU{E0qz==wUepCxh6z$qktZEgxoC#R(Q8fx=)k;4QcbW>hs zD6tZ3PBETSvrbgd^wv+dvdvbac^0{h0}kV!4&S#BTL0mm19(ynAstd zpOwTmYo{zTJ}O>jM6B1VKeAq7wb8YC7qv_^=B(%@rUEV~>6)ms_Nd0bSRki{J=CE3 zxo56=^p(0-6Mfi55!ONCzP`Kn%N`?}XyKU|@fcDN!qv+d;Qr87dZ9pQ?F?6cd>DAb z*oV8q+`F?03{#)lwD)`?b$W?d9 ziYI0F8v4=P20N+A^H!ap*m@L%>%oELBA#mneVVyVazo0w3HR6^L3)pl6H`Or2W zk?%pU2u|N1`6*~97Mz6~1gV^poL92uI6!hLBw}tEmtCFDmf4WiF|r~v1q#KAdW7}) z2+C#s8b(zT8fH~;>G4dKk?suo<*lQ{{`jJ2#qdmzSKRGG}$Be+N8*(_X?fh-tJ51=|KFGP_J5zT0WjlnkwI~RP52{&dC z7MbT1DI*;BLE&_m#vlbuI~?NKrVLxnV9vX$d#iTDFuPl!OZ=d~%m`iWm2rFg@|HTnA1Rh-FNU?8v93B#1pw zV`4IVk@2Urc8BWdj9PeU98;)Z1j}x`t=_}&4;382#a9*9?L~M4OfTDCZxe8k#w@=N zG2HgVQg<3NqL^sZKB48sQt%V4LIoz(7LZC$RyD9XYmYbmb3zAEljp?3nnkF*YVAu8 zAMaXXdQn>oc9nf1%iL#xUpv}pH?_fk1>+;b7

2YXHryMihxWK?%HfA?dQQH4<&x zXbwyA65r|~$*YQzzb?top;rD|m154j2dNbI!^`2Ud0Ib$N)f%)8}lQn6l#qWyX?sD z?v3sruzaARjj7!=v*RZSKow_I*1q~h<9$I@zlh*6BE2RczOurLfZ+AU{VT)i$G?>q zUj%*bPw0p6%w;0I5M`0-w{|mkHXscn!Pkogsz_3iqwWv}LL`UGHeANt_5K`INb-)8`V9vj)fTOw1-~Pxlbz=OgjR{T$g=vI5K*OK8-lqa1Eb`4}OiVb?pr}+FyXQ+$_HxJ4-nUT6ci>vAGv>Ye& zO?QW1^)mOBUd0-8-8)JxG8=q?DHM-cRCo&ZAOI;8E!nYDagILX{*txC!K9_VarRI4 z#-Uz_oT5XA!x^`8+pu4rLZDBCpD0~LQd{q;Q5|l6q&dgD}pP5&3xY*`3Yaj%9i`wG#Z|1y)5oP zaa{2#=VDyyBoyj5E=*URnzu(08WxtnOTyGT^S_6&zzS7Hlkej@yFHkAr@-ICzvs_8 zPnmjl}4C=n%9W1n(CANq)Hv|M63)(E!SS!7OA7?PGmC5HM0jCBL zFUd#r#YW9HQa}C*@+j?{xKyWxXtHe#r?ez0O=h6#W2QZ~oYFa;h7+j+=XiV?v?I$y zzE4BQ7T=B3-!&Caentdh@ z>EUZQ5}e%IWAfPWb2LaaBG!I#ULP)-+&9AFFwbTwuostx4t>C-;dsSM)}`Sz-i*|} zB%Uu04a0nohLHUwYNzTce-8WZ46kV$w`bmNiCFu>x&a-=+Z|RAqiL}dC-;3X{?Ft- z{2av5#!oy;6PsuNL9|boVU!`!?V~9Xfp(Ypz!jhQz@C)chhGC{**d+JbNA$0&r6ui z6Xb4ItrriB5Pl8zQSNbMmiTIE88CHe;7q#F&@8W({&m02IAQVSFmxFocP;kujP!hKWF@WRNfY%AzK}~E&$i_%u)46WHxbi8fOb7k_G3Pgv2?vyM`a!xVy%zCuIA8Q=lPcW7K-d$6pw~ zMO+|dia9l7S?&@nD+7^!5KL^L7Oyg`MI0n;twccNbgtk~0>>yNH-DRX4<5DWr%9tp zy`&BdpU7L-cWQMFUW8tNU;QSC3!TLS@n$LJ^|PRf%&)xsiaB9)`4We~+`0KWe9ZZH z)U&UI*xBXVurYNpc%}3Ks~4AsDI2gymdfn$d?&8R`cBMk1!!-qtS8!dj#xT0PUoXs z9tK2#usTp!J8jMCbwNqRAH!gqQjB1;h+^b}k=(=JDc*Kbl~4>9vQU|W@o^WX&utc> z@@dGYpGLXS;;mS4--z_N=W>i#sEoOh=il}qjo+0CId_Z^?#;>U4{AG}mY;OC4$b6caeH9KoxV9P8v z&he+LP^&-q5o_*3>5BD`Pz0w0|KhYk4z#KiVr#13vJbTnvnZ6d*Bk4t;@X@u=s={X zY~#3fBhuH;#fd{P1Otel-dMMF@~NB%6;u?>G8a5daRRE9LeW4 zkP@)8LvjvT1!+@VAOU^o{305o?0_6wxC7EGg|cN^eP3elqoqIKQLlb{Qh6D`KsSR~}3Sk}FvTQu7JmJKjrVi&P#>E=NslR}G7V#&MZAW)GRN{wl?n zws|pY{6%J)zSFn)9=!=zjlmso1R#sHJ6*o0;I9QJC4e;mQ$PTZfxcn_IK1GW{^uM- zhY?j*O?7s~tkON!+^w+V4s zrIak@(*?pzIgZ`_QoWU_IKDgs8PsCpKNT=#TvybIcm)}v+#Qqu`fQ607en}ir(HfLi)dS_do-S zh11W-zJ~1f9cCwid63`PFy{Ocbo#p*gZy2Mq74n-jHVhjyBc3cDSsxntr6b+X>MO* zTi!5Ky|lqvAL*~-o3{=%RKI>$>k!);U1WQMK>I;!Wl}abUV@gBvbk|T4>F2ukLWmR zr)4nlf`VzuSPjB*>VpRO<8=7!CFXeZR=YG!p za1x7ME=EO`@H?MhOf?M)_{AK{om-({vMq~45!Y=gmonRToafK&=3R-AS$k{IzHG?c zjbVAuA@*fE_-eiC?WS6?FRO0a?wNGv5c{(KuAc0^EH&l!Wo)O_61+S<0g`ucGoMnRc@c3c50o~xhJH=k=OtEp5Qy8YpDZW8zxzAipy=; z;NNEhRRwI|+#IX8m)y)ypAB>kYlLh8#U819NfMvitM|HGz1<4>pd(S}nsnW6r9x(skSe<$2p z*JrE%#7WOv9{3u#)h0a2GwpfGbpd124@UB{oxafc6$2&=>T-`kO{B-gLL;mDdz$X3 zKjc`Cuq^S>6Ofruf_z*HecJc9nC=v)&M*UJ7Q^+$QyV<-=v;=C$~|5Iz;SUS_Ag)LL-o=CcFwFIrzsEvM5NVIS`c@Hlezx^V z)O@6&DK8oHMTTZP4*37eq4_>|PpGI+z|f$d&N z4gW@5ZDTOB*|gVeR%G?YtKsk>E20hNL>8VhDzaRYN@PWkfn*=sf!;JV=$Vh>JWG%% zhz6(|`Q37}bqmngYAN&hyyVxMs>!{jsz7JXdt{IMf~Oo{i~7Hm%r>GTB3IPDq%^a{ zgQS^Ehtvs<=pKA+u8{^U?{iVnC$f#$$GYKMuD*xOby3LuN-oEQh$Q50KZH0oc=!1upR3=x~$1N zx*Qi4Cl+yZSqqLs;iuUV!_!6Z^KU&}o=Vv$eeM&7wP8khyK^yJfE#p?dH1-n09kMY{u(m=%B1$>CSd9FhK zFPNGOh5Sm81ge}H+1Pf^q|N;L-rvrUjSQB%ZZCSS`xrhL|JL66g5bt$+UF)dX58Ua zCFiNUwOD@b-qZ28+ZCR*LbU{+nHBdFJBC=;BA$iK`2j8Y2AW9L$X3{Y9}2K@KlZ=I z;49oJ(opwiSUpN`EpXhkM76+{=XJ`n4v(#U}xDSIeF}f{J=I1lc=MO21HM2hoCj`-b$HeYMK`cRc}A$aDBylcL0Z=+ zXW#U*kqYn$6SM9D9%y3b`rx-3gP#_dm}AyP-_Du0FMoXq(!S8X?EVVKMgHT0qI@H_ z$O%6qtDHhdl(6yW`m7U5xkw#dRWKDTw$Vns?3Re@l(!tf9(s*R9fjOJ4k_OJQ(AI+ zd0LbVOQZd(G305m*=Ko32401cDY@!J0n627lFR-krf1274XwFS@~mb`7Aplu+F)7@ zC5d|QBh&+bwJ;1}tXsifNIh`A{0o6ehq2h$e1JlbwW|4>J>lK2yZ^+0Rur;yGG2r0?&Nvq(g&SNf|>d*;flqvc0A^9YFtyQ;WTMJ3Pe zQg3r3D0YSK?;&=JY1h|?lz1!V9d2ri8=x;Q2&5@X)8aLs^Fz@>#2=-Q=#A7OEmuD< zYb3u^y+Ty(9J-#94qeZcOm_xE8ioe*7FqkELeG||hk(*s4X(uJ6!3g7d=5-JM6Grr z77~dQBuvbS&GqDzzf?tB`MC?Emf8*rm#Xr?NM3~3hf4hsh!K%moHCGYs*^maRj@@; zycYUDKNqH>I-B2?jD|;kcoEd7$hzqOk)^C~$tG_<)O~X+_z_o!_wo)W9{CnY#sk#f z8XC@#dEvnMMDH_pQGeaf4x*d_uJ;~DqX#lPY-VIv+8#rGvMoE2bSRV&yFUZ*g><>s zd(XlTS1zdCI-qvZ`ZDv2$+#f<6-C+0OH_OMDWDy;MAZQ$WC87-ONqQF+Il~1=w}S` z7ss-*mNG{|uj2KIPYW?2qgv3Fd$b0Ruln3bk!adC*SK4eDE@s4dVvCtBmM;n*UMFd zxvM>h>|{T%2J(S1r>66JV4R)3)x_Dce?s_`?Oe)41}?sX>XVaa)yR`mgwo)$WQ_Z^pZ(dvy0p;pCRCu^@)+ z+iIM-Cu1H>PYr1aLeecWojdr{ShvHNHJi3$>1#q!TS7y1jp82)bVCXKLFga}5+x5< zUx$|4LwnZ^Ej7}YK|3CZ_h&(L$M8-3C~{~#90vV+LGbgDcu7Y)SM;JY9Ss0M*qw$l zdLMAV4<&kbR(oi9-OygserBj49n0LHp(h&m$h|S{t+d#(M06CVTUW)i#GgMeL)`pe!Of1)NPsY11sp$>xLLPNmwsek}3Cb_)IN z(I8m%rBxC@>|Z!F#VhUMWvGKEnd3Gp-LU(}gHTcX=B{Q}?u_FyO9@IeP}>__B>|b% zB{&3}r8Lb^91jhDrhnx^CdnBjp`HhT&z@K&kwhhMMhxRP7F+u#WfA*=UhLg_K}iUu zRJ$>Awud**ugAW%cir9@x7B?(?WYN2(2sjfXqooIM7PMG;bk+flGr80;WMLk8zx_9 z-^`G&srzt7hZdQ3UV?}6y3eP5JAOmm=eIAW(v)B+kXS|O6Q@6tY4h#i^iR$S`T?Dc z=Bq&;9rXe+XCFIGZj}KWQrU>S;b7z3wi|lPE zPaK^&&DT@GNBM=;fGQ>=(VvqmN}bkSgwLy30MC zYO`>H-_05#&ttD_iF>p@Q1E(k4zCqLwV-o+am+jB`>c_o(+*@Vugd}+@2x;!a!Xfv;Qc?I~q>+5W6KlOcCo0;Ec3~)r$BvY{gnDD^39x3;E;a~r<+d!rzi3X zGB+tQJ&0TP)3!n^!wO3oRuzBaAgr1l!#s9UOAR^tCEnN)-a9>yL~k}r^gO$-1U&)= zimr%Vs#?zzU?PXKp590g2ddm1dlK-z0XCrPiTUJahgT>u+%e22#qII)d{W%vbbhW# zi(13V6FoDh3Ldzi4>~*@>4Dn`JLIL#S0)G@e&*5PbYdlVaWJ zlpEscL@f9n;am}GPff8Gn=tYqxt5ZX6H)dP#uzU3`S`?27q~k;lK*nHpX~QF!0v~VQrq?v zXG@`v-5>uMUamtEPR@`Zg?&kOC3qc7B^SSP*un8D2Z=x`aaNZIJG+e;DR0ksZx!C# zBl0HkEPu~~;CF2C^)@Z7=K+LKVh#?X@)^lDO)kR9@-q1)bTaX9*8owt^*yrc8Nq`1 zhwM5MW0>**%1=4r6W$|MTlT}`&AT^@4_m>^2LE@H_`ed9dUMRW!6AefVV2>RiJeOV zCrPBq>2jy!8k&iAESEONH{kB)OwH(OfqOAX5mm*za=~dDEFFKMhKvk{xG7n42kMJ{ zbFR^J{p1Dj)|_k-SNky;<T)>_S7m*43DrD(*2oc;M8{lxDauDrxpBcNldz-{ zI_gWmeYNxGIZBqY^Tsz=#8b4d+8}$g#Eag{t@n_#7@Gr z?^*5p|2|EuhQQ)p^wzzAYFb2I_@ZKGI(a^yM0kjxFq3~qsI<~JfadZ~gp^Ph<IP4d(QpwkHp7(+MN=rVI!kmaUuC_p)3?Xy z8cZVFTK`H6M@cf8e?z;@7WnXD;j4q?kI}eOy5olcbuRnZ*Hf3Pnw|Jce!Yzxe?!j=>@A$1Ee_{p3DBBZ6YC3qMqBZi5ziT)f_E-k5mP9LKWeJtq)^ zi(1PvE5%OX&GXugKIh1A-Cn-Sd3b2VXucM1A{9LP>Hf~lJy}%fuaKZ!^6OqQ76g4C zx2{#to z#V%={d#ZHo1Q4F+?_(OKb)~YUa_+0I?R0t&&zM1xJf?lpS1BKVnPBt%ot+~k;TG=g zT_)mB7xgMx@@(?C!3V%2`YdZG>s3GcI15lPj5qQ0^JD1 zX?=)e_{Pjv)czDMk0C3P?PX^5ChpZR?$C2nc&Z1Nh3j6u{Vl@dDoM_MS&F8IW&?`L z+(UWYyt9F;zPYK!xcm2HSlO^F5am3Y^#+UdRkf=#$9ejX7f7%yT=$O|m5ugKF_wKB z5oI;DHrBo0XbgKFL#MOT#P(VJKG$i8(I>IWp1$0)UU#Z7i8f7N7Kn29u_5_s>5TOfQ{Y`zABQR9ZV;_y8J#o7 ztq)5Ow0F&MpBJ?}fnt`IyQ7svPzLS6?~vjo*cR+4SY`o8)o4eNv-j*_epHQbfgj`lo=j zxSora$J_m#z=^tmGMYPpbbQ1<#U9*1QLE%U0dd_f*4)X@#*Vl88>v2^M2r{9?7?4` zEPX1xHWCl+DtUiTRiM;deAf6MPCo1U8?T+vQSvXo1R24zck%#Qw(}$Zc-)qMd@ujF zCI9$#{_(B+U+^D0N}l(hjMUlS!9IJ;J*Nh0*Lh3mDi04< z$@zTbjzTh69lF6?+qPH+(V5I}*@LS{2Cqi*?^)Tn+xY`O-<2GE6yLkpgM08(&vkgj zOC^_e_P_3i%x;9_9y1;e-CCWguh~cfdew2PgL6 zhe_6fcvuEvJlS&ggN~9*cS&zqj>Y)i61F~+Db3A%xmjHi%FXKUlGkXy3x1=s`Ye5& z&TB+s#B?}!Go$<&d>>LMJ2z+ZoSU0H|Hjgov4h$^K~E*s#|goQddGF!ZGYVwL9>P(~g0f^D=^NYJ65huC1yYV}Y z_C(}c$U@ z_Ea7a?=3+%u334`g^WlSi;BnSWI&Qn2Gs+t!*HvfbA zc9mRDmM$zKKx}gGP_0#@;SaQy9Ux1j;V6CE%$sR@vxxUn)22@8gw@{ytl|lV76j3l(SW6*#qQu51h}IfZYvZ8NuaG8WF& z{BbXk>6xD&_TUdKXjOE^!B2ifzf-%&;JWBZ&=I?2BE`kfpAru;d$1*WPQ;jIpM3=>(A{PH)UN9&`3Wf$LC)6kMgApUEOj2+ zBc=NDMdX4g24$*jxRD4Oc@p)uS4*vKlMM(S$v)~;I|WSo|Kg(<@%+krn0k-3){bB0LsOvJADYqzbA#ix2%o|zd8K)?}d zcTXZy*01aA$y7`qC-GCePWtmUl$RVlgO-DK$tXS>&98!0GwHFBpu3tk=c_}z1Qz$9 zZuB#q=s!MsKSnZmkY}gF3c>u@CzGaZJHxkFQv%VaZ%o81Zk$kYL-eU1-f-j1w^WRU zEnHbSF~)4+521^lwjms-fU2%E(?2n+_9YV05kEbx*Ft;V5%j}h`<_Y?%e+0=&e_K~ z$5?9WJy)cQDkqN+**KJd=A(ZaF4~> zDXhbN8TP!m!vFf|9dvJlFl^YOPDX2mvl1~P?CL^}1PWuKbXVlLd>X{30)3HNUAcO0 zu~3g&!D<2KEwh5F*(8JuVfA}8^BQQKwA*C=fJjk-3e&7!Wv1_{l=<1Y*{HtdL!jMe%D`qqHTrw!mnI8Pl9gyeD_nRo;bbM9$g*>}Aej-CLczHqc)Pm$k zyyQO=Bwt>TJf1mA=~)HI=M*HrAS09>d~HGU1qI1L$e?KTApSBKHu3g)1>WCiEp4<` z#R&USnqP5WfZeNL$q}`lZ|y-p@)=Gm%FxP^pdj(+qD0zmmA!?0;q6$J3Th`EU9=$m z?+2!f{?F?ACAoIy)5IBLufm1;$^!k5WhvvnDct!Iwyv+6X&h&gD{$X2jVOmz&lJ1F z8=2L4emoX;57Fzh>!U;3tIEyf?WI)vudww}{08xf*%Yzf>3o@8zfv(g9UV@Oszey? zaGqnHc&RGqG)q}=mS2*E(!K_=NiH6-Ph{^3Uj$j}mdSbv;`G;Rv|JWgj2sChW@pym zAI;&!qgb@%(rJEY?K=Ms&H8|E58;BI@F-C9F(f!gHIC_RT$PByASOnOw|7cCUt%Ar z*nlvBn~l$uZE*Go9d=_IYPWPWydVrb^EJHuOK=O z<4ydvJ*Lfdf^n0$<6zv+mSi-{jYY%DrN-pj!EldNrhP$K zWX@15@x$3a=YlhQt4vESIlF}azt;4F^8LuPVi9e z;mY%G(>vb4S5Lv0(R>U!4#HWfk24U@WpKEmfiv2=g#Q(1ZU@k}M8_>}Oy61-wKl}k z16Du>NbFuK=JT8BC-6t@^DeYP986p;7k`v;`5+90$seEGNcimZ?WID`iPs9qmTRsT z#Grm9-|*LBav`aA<)Dcho=3mC&S7M@xfU9ZF)O%2d_iWe;tzOONwq_3XwXy3;y;R6 z^`-7`G!<{Y#q9IT+_QMi`rmleWTeK?8yQn0b-9f7VaK)1A6G>?<0@!(pQSa~@%eElg;DgD;!eYyRL?;hik7Gpzm@z%lB5kIC7bLz#wlB#hDrZs@+4%K>j;Dp zk{4lA*G9Q3-dJ=?IWZ7}@yjIuVDG5?9n|8m@o;-X^Dchc8V0r-sfz(8`Hx`zoLkD9 zE~GYqC)t?uU)rOo;77>i7RX1Y8uQn>urzAnMASt4V!)Uq%WAByWk?laK3oP$1f>Xz z+}?3)m1lAnJ2;D4f0y1jchw2uU3Tf&^9NKqcXRnMC1s6f+5;+$)I(IwfJpaZb*$LR z&5n9eGFURzm&$K^hRGGY_T^Un}>lB^eKuAlx|D7 z$A?#h2RitT% zyKHShli0cq84AIuVl$;RhNum&)a}8@<=e+>q4o?%gem5W&0@pA2Z@~~-=Wa7Z)7mG$EV|SQYtigM%13p z7x4yqj=Fe*T*MiQW&dBi4}ngs;~zM8zYQC=Zzz*eHQ7>{FLhwSNks)mQxI88HKMbh z&zpD$(hT$NMNpdsrMS|w4y^QRMU@5$pqbHVC+N(s_*qeVhIU555~Cj<^8FFd+Nk|q z>CSFv31?ds{R^xj9xExhIX_7hDzw^CYouUJzm_Skg8Kgp$%lF9k~ z1!V9`kdFJ0%p__d8cm==e&2H@j?hZtdM6|Y^xzloIX?;P?54b| zbRT)iIrP!(MxVTSxMaAz?*fDVyafMi)CfrM7&Z6B*u!Lv@ps=DACn*wWlYRQ8T>j) zD1WTD7t69BW`!ynt=)`sV>O=t`<(N>%1Ftbv@2qE2yQt-7;w72BwDx9nDql*G|;}) zKUVic>L5ubwQ_z*djh zwSnRvnf<$x52za8Px6@vce18nO({>}z;EV2&Mkiy{kV3E z`wvDfHfVfhX}m`x9~D;jLD#A6V=?E=%Y(* z7&(mXev_>87{aOdNDdi`Qgy5XTgsLB#WgTvHCp>KDbc@1F9}o#Z5G@ojsD@3;HwFh zFBuE9HJ-4Yvkf!11~^|7ow-8tN7G2<>gBaj&5ul7k=1uztkfNz|GA!vB+#Soo`I2@hbBsexVmoxX|zLFXq+FyX{m ze<#1Vo%MHG2FLIwsAvej`|Pi!)*=YRUU5QE%eNNgNe({NhwrqaT%!&L-)-8iz+4QU zUpxojDTjh@Kh}+6_$~nl6?RE_o0z#1Cu>kwF6&tojr`7Lr?|w??d4S-Kk=kE0{wLV zkHgb+M0l{Z{fF^We?)k=VeLN(PjYkUi1b|YABLx&s7QyUpM!vj<(Tu3!!hUTf5V*f z_Vq*Y=YC>HIV?QMrJ;jB`hP1g`iV1^4-e;kjz=EtvF}_XvWp;Q_NeFU?Vjs1gRTf! zuT82It+c zDlgJ@Bz}H)H0OH4qej9nq zkFoZwcLog$S?!aC3Bp2mV=p|{zOdBVXSJ>0X01KtLJ$_3V~1);I7Pl%d)v2_oN{Ry zGM@0e=}-%4SxFy}J$0}C@unT>cOCN7B+@Dyq~0L~)EYU#Fy(}z)!{=9B&yv$m&bG-3bFa21zG=YD(wKVg#j$88m(t_-(yX;z8N<7cv`~P zAt&9{LS-#W4t{M@Rn|Fh_Bz>YFJ)G%|Hf2(-Ai4qn{l;cxq=_;@!onz@4Z}Equ0v{ zHQF<3xCGIa*>Y~wwDy|qZ*!qRFP6nORdfu~)7>4zJe#uhqKQR5-rsD0FJxLjVz1@< zRcsD-FEcInIM{qK?UItW*>$zV{CM`h%~kk=d`qDee~@?93XpfuW~Q|B=$Cj)Of{4H zgD13stFp0IB{%nCA5iS@ES#FpHP zT*>cvdPB3()l&&WGba z!}`FIe`qPLM}vm;68lQ2Y))Z-Ud^?5%&v5(sd$3no2|PEY8DfR8NGv7W_WL9^W>BlQK zlm0Z)fm3mkI!3Gn;b$R>K=`>*{yGoBWW41TRbCU;Llly8;<|yJztmK1x!OM>M!&Dq zeIL&Jhd5~`Rg*nbai`ZT)*5-0NT`8m`@5lN`__=2XkAw7)Y24o2o`!LibGn3S-o_) z6&X-5yd!dYMX2_|z;J8S04_3pM?O@cG6tNVuIucq<5>HQfzl=VvyiV`YOv0EoIVJh zKZwmI{H%8CIVlqM{j=P$(3#jrJU6FeO zXV`eG)@Xi;44IzZ^CLXRY+DL9S{HE@M>rHD)J!OOK|F--4HjJ|x|*(Tw^s6TT>R4s z$w7gsHKu)^6tRbdk`5QESVKaI6U~kxrF?^F?0;RF>%OzIXW}QB5PyFt?9|#h_Nrx4 z9ESGqYIrtZLs)AVgqMZ0$zlej>#|&-$@3U#qD^-o_%YMxWRvFRlQPMI@6!vuKO$`6 zl4q*+#R7T#0v>n^$XeXO(0HuN#?ZYE5y*uk&QH(gK*}2h?4>0<8fhd>6>Xz0F;XJ+ zV)hxQ0)52px0xUKoqdME14lLH$@}V7#XXT1EfbivBj7<_xes#d7yVG8RD@Blh`;3@%#-i6~(CT-iRA8MkpEuJwshYQ# zbyfK?J9U(Rfe{ty$n zo6NRtG>-z`nLFhEml66stYivgPm>@AP3*N8pUu*A|r*Cu#RQO_cGY{;P}9 zKVe>pp_Ia!{;esRoP1uTzf`|Ya^&{ubLl9*f*r#4OZuDqQGW3)56tBA7%#l^dnNt3 zgVIr0z4V(U{h@5Sc>sK)B=vSpRrquhs{lU(BwalAWOukgUf@3c=6Yra|9E_!$2~i5 z;yA;H$oY;gG7x&8Am{I>_c)1>tK6tH+uy0+uvw3#xVkQTl}b#j&tLdW9OG<#5v0#U zjLQ3xt*15yo{>u)oU6Dd25cg;?gC*VSaQ<~xm%x(G$>j9+ zOZ2G9mr&7Ul}wcqNBQY}3ex==S4n#2Y_G+B1?hfyfzA1EFC9>C;WgevZyFRvWtXfO zb!y=0_HSU%ZRL;ZojA|+xrlsdxn`?$%N)F!w8^JFBR^_?-sEif158<*ik!bz3Ktj? zADkLx892rV=Vd#d-%1hQ#fJtrp~5M&rD1)(^ug9u|lfY zfiyzd+T={hRWs$XY!&fFit7y_Qe4hTNpTzmrTFri)o2l=S_u_2_ITg_=4TYL!6&~Wo$QSFax{K}RZ=e>?2~4~5M=ZFyQY11iRRfA|K`j=7j2D? z_xiyht%0@cGJRy;+GsP_ncD`=+$$NdOUflAbsydQE-bw~#~~QK<1RTh;L5b{J5H{a=c@bv(D&Lqk?c-|t~P zFKn(pu;hFhueW|j^`}#PjwOdLYn58VmvV?KyONXXW6E&%Trz*gMD)E)i_m#adh8|K zf4Sep?)NB9U?frHrYWoNvt_fmo)@+V!<{dyKUQLrX^$mJ#l|@PM_olp8At|lgeq|x z1yAT)rk$Km2j#iUcrv+==LtOH-cYhl8sWKuh*9!eMP5@DVzMEDsujOWNaKyUc*yfQ zP{S=vXwlvj!2aM{z@A)g76$_3BfNhH%Nnk^$dL z?F*eM)!SiEw94#0KPRigEZ(0vi~xJ*dIZo>khH)&hXIgXLo~wc{T;&G`t|_$-8bG` zRCGW*Vmvupuw?fCPS|*IO&E28#jB7%E7W(op`%G~qiq8-NBip^oc4JoRywY~dpqN& zii2&}dj#ppx?o~+l)a|@(X!Z}Xw{_kDw9|_BEMMw>Tixd{0s8_w#nyG9cI~^w zjS=6{{=?|nu&POGOs<&1A(8pqB5?2s?Tsvzi&;}Q2|n$S6U}7nJRXs)7fa%Gru@=J zsIjGm?-BdfQX_ROE!i!b$%tP`>P&u<+wjL*xQ8cpIb-S5=R&0%L{t0?wR?TTwDyVP z(J%PQfrs<}aXJbBX#hY9&TFzpXTcegEWI!0Vw81^^^k58a4kx7HyKByhqF)f#L6M?>SM0EH`y(VYE&i!DSFNJt9Ku$~{YR+&k*qc{O4 z7dC<*24WhX%HBKt`dB$tZ&*Mobndf6XdmQSvS0NQcJ_2bE3xi>oT*o4jJZKX*ly3A%0|C0jFpvmtRGK`Z3@< z`wY0;)zpjO?}~*$7UOoGk7Kgqd7RLwdn2EG4sDn8TvHXegn=!Qji-R~)h~4kSj(Ic zKXuFEWoitv`mCVzLFu*Y>Xs32swkcXs*w2)S#2C|vh-v3(0!h$&Ut=#(D_V1*YC+C zAjuNS>3+)RS^DtC5hm)069&tAFPM$cj5@;LIvww6m-KmU5eF~gmm5zGzVnJxIj^}2 zeOdlFnR}QOC!GOIXyRw`6SMk#umr_Nyi1)kI24IH0*uphm4W8`@M$97++V+)^#kcB znM{pL%|Pa{oNyC(CCWyqpPc;i4<;Ae3&b7~zAS3()~#sIb|CU^4Capo3`UdHINy0d z4kQEq$r991Gdd~%(=kmfxJ89Bn&V9S+Kn9z!YTrqD`s^%V-GHC7MC4K*?*J>3mUz^ zSk%Q>)MsEY6d1V1NKJ#KSZl%qyC;r?l+O>IQ_`0dF3#k8#BP|6v&I5buO1tXKAhU zGD39|30OO!BXHJPE9*JPWc`q~N(NK0+mm{Q;Jchta+Wq^9HOE5UPJFWKPqepHJif* z(h$DTQGEGj4t6hW$XzO4E#CQTIRlKh6(l=-m9rK@`}l};N=L)}qL5_gko%gTDLH)s zU-VG76pByt2y{B+f7OEjkGVI2kE*=)|1%^&u;_^zF}0{sqb3D4C~6`^GmyX;nP@7Y zv|7t8ideN;m?5~JfyqEl$Fb3?Exp~m_gahH)K*!fV!{@1WpTkBahv0SB2+dl`M*EU zIWw6c*xujo{$Kw;uNRrKJm*=z+wuUFvZDpj?g(OgLIhI;(&Q9RSqq934^hS_n)eP4C&}CA zu2QT6K@U2DCrcL%?k#=fNM(QfFm)vYqDC)afiYSwa|E=rZY?ePZ3r^(TJ)&&w|)6> z)(rD7g3^AO33UJyKY~y*T+uDGa-!bHH)*|1vv`31D4-O^A2clbotLpjC zdC8zHE`(j~KGbI63S7AM9c)!nW6A9rays2U)bCBoWO}Pf2|cYziA0$Y63s`8T!}c@ z{yD3Z$^)y{QRXXsP+~stGDnh65x3L!D5B(+Msf~H2(gJ4VsbWb1F#V9cKjjioXEk# z8m;_~r8>%vKi1IJ%iU}so~iY|zCvHWyAAkJ>cWx!v=R%Tx|Ot+X?6dsZ=4+hs>FDxTf_aarvuKoK&ksZU<|iT_Yyvl z%QB%j@TQPc4^8F5{WjA~_Dl((8{IHB*ds49j$ed!YfJm`e!G?`n=p2T;}Kc#nR=h- zix}Cdfk=~x!}}VueT(1%LiUp|G@XV@bC?e-4X-o>-XIqz*u}=GAbJzaBmJ%lpH+5p zr7DtB^dGsvDO%o=R?BbnG^q#?wt`tW($d|4e1m(f0=u-8q+FiAwNzLfnPNUwkSd;E zB!|f!6LR(i$A^8qKi>>o1dgMB5Z(L(RYJ8>o*1>oCm!twL$_&Yy~Ti-FRR3aNMs!g5aw_)@o0g z^Bpg~p`HL;`nN_*WVgC*MY+N|J^bf>agY0M{eZC_lt?j(a?^QRA%DYCC(j1LI>oktX(2?m#r^cD2a(sSj4&sy zht+aFl`LI*VJPpZ611$T?>xuO3<@&P*IwLmhh)X*alptxwFQb?ucW(IAvgh9zTNtX zZ9_tNOB;8}9Q&c|3@%IkWflE}t`S{AA8SRW2;ficS8!li$~j=cjehe>@ET6fjn%yN zBvP1nntN*Q(QLvGUConTUJA(W{Y>yudikI+}*>QdDfPB0t#c#ZDzt(85(!rP1+D8XFkFcbjHy(wmOe2En>Z& zxSxgNyqg&m2s_4`JnSSVsyLaT5Q^HV4p^&St>4reE6l1|Eidb-R`xzQpp$oBpM(=r z$jN*YIp^zcfVZ6)b}C@Fhz)UEsIOQxFMUla0XP}pBk!nO9oX~p$&6A!q#WiVf=YMB zmWQ2NtBA5=e!C*4F~7t8`Rz(oe5$??g3AHGBP#`Bhk_^tKBDj>mS&RQBOf}c;t4H8 z^kI`UwkZ06!CLIM@#&_ow^pV9lYCzL`&V-ev?c?|p`nnz#J1Gh3G*a}w+&0Z3r3rF z#y}m{{2F1mbENSmfNh$QbdD_Xe@pc%*KtP4dTW%OA*g5S=hPH}xSEF{Kuhc`$OBW6 zE=*M_V(QXGsVWFNlgg1_$~j(likf$R+sF?p+s^t2xVR(ur2dk1w-v#|m+OLw?-kco zr|%dYtbP+aH#>}Q;_Ro`;Rud_=u^{&`SR=ZNWRL` zc68XtVk>DuXJJz8Q6Kl_c^X_{WT}}a{3xaSh*a8%OG}BJOxSd1gn4wd#;v_x{)D3Z z?BnQG=gMLN$?U{*8r~UQ${<=9`VK)vqMnAIiyr#xor>AMuD6lttMP|7Ri0t^$HaETUs2og?N`BLsHDZhq+3 zjFRRH&ahgRbAz8;>NLFx>`WuKI`M4Im{RA~ zV)vW8vf?B8TQ`iN$!f0LH`ruD2ZGg?@#I6~c=rMR055(k_o8uPR$&*lv;q2>nT67g zn8(l!Zy|X2enKsonF}drml}>B0sX?Y6x)aduRb}ebBH}g$G92pQEAaXQuLWysckYX z#ZNXZjaW_VRtvHrstcc4Fn{bVDl=0X|E=mzBt3riq<#qIafW1hTXi^bsv$W{j96;} z+NJ{n97=BnZeFF9fE=t3MU_s8?fCC`paU16DDy8&-d0(f+W2c9;t1aRA-fthZVa9s ze&TVVjO|pV&fcY3MCP{39Nh=IeuHy0(tUlQVc-qU%o4-SrBj%!3O>n@V#o~~tXED8?OGAFsw zmNu?m_R+EHiUMPj1y_ZV1udcEz*Xt6+QSEQIGorMZ$}nI&j=@`!PWPdn#Q^sDO~+! z$+z`QLJ3xmpV)GASStbz)$cbw>Z#R3yD6LS(9)AXmZ+%Rsq*~5g!tjOU~C%#Wa0Y( z#$5{Jn`wShd{fY_84$hoIkaZM8B2(pCSpvf?Vxa3E_SQ(K)d@On|V6oLCk$30$7A# zz^0o$yW=j?rq>7)Nkg1`8l}CuOn95O+0G$$Y)#kpKchn^?;PQY5hFY?V%Ivb#Fr#S zl$znm1J8+@o_CJ$1qzup?h}u)owe=`@)b!v%G6!AWeNdnY%EM4!^2LTb}De8S8S1{ zq^{w_x%6g{6lZzpx)oE9F#6Q0k#vUyS{uahkpRl7!Ny--B%)>2k^NH_%sHYQw&qs= zg&zJKi_BX#J>YOAbDNi?EjND~^D;ry!P$XYCFDF`ublHgqvo7_$+GqZU0H{%zdg=~ zJ$Zin0?G5&u;xadf7jej;1+UO?`$$#Y9nkPegnex?q1Ha$c3?*TTKO$x&LB5;J9`p zb3b72s<^|)mEBIcb~^`;^aQ?Zn)w6Y)OO&7{lsE_09O*2pojnrpM)-*3K{>VJQaxx0!x zV|#Mn1EFFyV;<3<bB+ElbI98)8 z^={6KktR{YNd|78XH4Fw9XTaVF#Ml<$y83}z^>E--7l@UYq~W5ubByWo1s|owM>>K zV4b;J#$D{`F85@0Fj@0A9%h{3Cg8=G@t)nK%|){2S2?e*&3QeIy&bP_&v|`J&TB_F zo~-#^&g(%ruOISW*XF$LpY!?(uWKjdyxzL5yK6JO*S&IHKcDmZcqYgA=XX1HJUM~= z_}FwA^sgatHE?IdwQFD2l8~=Dd7VU{N|wh8r4jUC(62{dCZg5fZ_4L>NPF7yiVMFN+U;+wjuJcHn30}g|NDN?ngnB zs4qsgp1GMV9CY<<7+I-ybK=MRmh;OFu)8Df3O-Ul@b83B=0xv08z3H2tOb~hkNVv` z`FXarv}0%8h(Kv%fa!OvGoY85r_qmF)}Wt?d=uyDR*I(&_w~&L#hTBeCnpMKwPJwR zcYRyhqwnu$B=O2y3z`C}`hxH`2H4?J{VK1~Z)D^@Xe(Qsp=H@u(Ji$tJ0pJ~r3c5W zWc}B8-HwGO;I(}MZHp=gTl?~HK^e`z<=)1^X7#l}8ddp>{>qeAQ7T%!v+lw`X>^O! z^+ixH(ln--gk~UL)3R-6-PAy-m&j0`wPF$I@Ra;&XBBo$dCxly^SuKf=>g-nTD}18 zhM(aPa#4Kb76p*UcB2@rzyJ2rkW1!2^=JidX^7|4@o~Y92hkVIQ?uAs^ke;OnRQQl z)iR9wyb!PUjV{a`90t9E1f7P8zjG?Gm}4D=Sj9vCa3h>2*?4@|*=aar#f!2A$kd~> zO{)s}=A}MY?sgO#xzx1&k<`$~Kpe?H=L>7-vT;@oS8T&_kY8ozN9Uz}bT?m0DEx*& ztQn+oBe))oc|DR)Rce_M*0CWoq1g&fH$HB`!qh|li@L=4W-x{`B-LfkW7HVx*n<%u zfWRCo#`~F(B3a!p!+<>G8d_7=@pWSSy{Z`#VAOCl+j${163*$~4T13BORJHL;(Fn& zh&eI^-&h^V>$hWCP2JWa?Oc%Kas2kZ-dJ~4F(~pDthVCD)lx|*fQL5sC@wl{yH1~W zV_teTyu>&$ccxz4;*HjAU=TU}nDP;ON!cf|$$AQL*uCpvIs9ympb#|a&{9XO_tXP7 z-9|o{8&Jw@jlLJEcr%ooS(MuI?`EFKO%eGPD+NPjD&GoKypg(KJ8#G>5DsiI%g1%0 zuS3M1xX`R%q_8#4b$o>A4SyUo3}>dGRIYRvzqd_rLsE#j0X8AyZ!bb%J^SR~3i7TxjlotI|EK zsfMqH6Q|uw4aO`m+2G_SsrfH5>||r5!l059j_8K$9@f$kX;Ug-FFt4J8P`m@@rTpN z0Uez-^^EJU{XW@f$lQ3ItnL>Uu0lpcY}o#5=1VH2&eUi%;MA`+sT+YA&*?tZ{ygy| z>j8PpG0}dmvYfVYDUU^haFR1IoWEo~!38!LSe0s{Jf&H$C|KXHI+z^AS-Y188YX>= zFD?1i1)Lq53vBD*j&Oh^w*!x17oDFfyvKY;50L2U7qRO?c)*+Y3e6z@3~38H?+!l? z7diIe)`I-u=S4n)*2E8`BwKY-&nq$k9|4YeQy=Y`0Z7l?ioT!nujuaL&^ zrhh%+Xk>1o$jX<#f06IS8E}FHm4{OWxdEde`c=H>KE+d5Vrghm7FwRlK#&12?N1KZ zK+r`tpnwb!=u#M5B{gG4&FgJe(EYYU*4-oM2fF9S1Ai%Xi>Vy}KRX`JpQhW7W(xiR zYbl?piQjh3)>Vl-CoWEwdg6P=_oQW_QMmOU`v;K{Ml*x^Z~_-B4&@#{59KhR0QPM7 zaXwr&`Un-ZYA=-GX9+9{i6}d^de*FcFkr#&CR_nlWcRkn6{#<<%7hjh^f~Q@8%cU~ zRrLC+Iqs|fG(e-!)#8^Q)9;@rDe?%Q8=^q`*#iwpJR_A>?9<<`p#1LH(e}ENWD#J!|L@Arn_ z?w0)S{kd%VZ5HqT)Q?G7WdNWRxqy<}Df#76S7k~~^GX$*d7x6~XG&e^l^SrsQYD#E zA+J>V0ZVQFgWs>AUa9jASnAnKspGv;;RBX>FjMLfuhf+XEY+MT^_iB8{L2R{^_@(q zO@L{^Nstf74lktO^1n-+HIcJ_ z1q}_{iB(9!1=U7|1G`XKr5-~W5p3o-el@#0)X0dUAB){fqw3*%q>vuI2r=c#ldM zK}`4v65_R+*ZnTKrC!8(1L{!rF7)7V^?b!Cvpd z{d#b+9>^<z1NPqwe*+=%Lt}51GIZ7oO|~oVQeMx?d3|Tj z>*2i4*p1Hsq<9QKpH);_t9VPFE`S0?*ci-3${@r^g z5hZdn&&T@DZ}px_J*SNPXJ76=pX5E4D1(nx;_ONO^D*9Y#M!(Es_(O}^PivPJtyl> zUWDUw&YtE!{|3*A47R_HIJm4odPkI}RTM&&7+Gt6J2v&ssB$|;`l3^F>a>Qu&XE&L z0f&Wx#lX&)kj=eOPkZ?L8CKroVEr;P8#=) zwpwe!%j~lk0rg#0XxZ}MWf;gqc-o^lkF`$;UP>>kljZaV=3i33aAH?2aj{!zJZq4<_isrsv zsXDi^nDUvo*<_q+q#k7wm+!Y6c6d?CWD(s)zt-D zS#$2-$z&%V#W~YPjgR@&Kf|mex>vs#oiC?uGX*##VLZ}|XiRh-GxOHSxf%Lt6icWH zk4=qO2d%?(hg7=8y~&KoI7W>pJ@XBv@}pR%F;>QEivv4ri_njLSL_w<-_mJUnCcZw zP-Q22nUSWpA($pw%#7l}$xL)I`G(+8=EN^oV! z8fyXHe-9{VCv$A%YX#aDUE3nHL`n#A~$29n;R*e?tNvduIWN?5joB8oX=OrTTOc=YXkB8y_Xdn+&H zpZ%Ko*n>acDey_rGxsf#ZpJ#%JL}YkA?;3ku~pmdE->%$d{8sV$NZxPyp|2vkzQ$Y zU%Ptkv?Gz5$Mr5~EyjZuE(&U--!~_Z5Er@(;C|7X{eTg7B4wqfZK7}h^PSf0|7MQD z$$L%RSaWgBuQq#JsxeK}wsKU;GS=tW>D0YDfBIXPx_aq^27n$14uGqTvG{l*zL0D) zc(T{SlO{6*LVACAlbv3nf8e#fj$W)-0IhIw2Mg-P}0!O=V`K2luRANM_Zv@*pLg+cttRX0w zy85{+D%RmF209u%G@+zl!a@4i&tHAp7}PcJ6~^=isirsXM>Gnyd3!aH+*eI6fNL<* z-KL@j*m3D$dYj+vWwq4#YcWv^V213GL%_|Q)&p0JNyGpAF#jvK#8yZv0a;wV&X(P3 zaWu_|VSjixwdW?`kK7}i?_*4;SJrRGZ z-k>GHOGJL{h7W6s@shQxms_*nrW2et4hee6n*AX+v3EFENOm@LgUIui!(lIBIh;mF znD|X&zew7A{ERjG`yyF}Ie%u&<{%j6<0a@Kn;Kjqtc8aq7w0h{lf{y)ISL}S7OtUH zl59tp(EFh@=Y2u|fJBjybFLNth4`g66%*mZ??r}$Al!v=q&+s(u|^D-;F^$0QR?&G zk|1mm27FZ+9QeKH3ueJ$BjCUW#dRc6sQP0ocDj!#tmtjBq8c7NF?Btaa`cdrEnotaje_}SO-+7vt%5n! zP1EVTm6-vz3)J@PLf(vzqMK6sYn+)v>GQkgA!gAzOsm&o^lF*acu{>x-ojG;!WK?Xxi2oLQArF;&QPR=RxUkdxiZO;>k|lC zr80&CzbERDeWcIabv)9#6Ay6{{PhF)>ozO4lvmb*NZ$e^mfj4gJ&-szjp@XPTO7M< znH&SRQ*maHK>69!dkzk-(+LI7z-p&^F>Pih%WdQ)TXBW_I5I16iT1S_d^l4#2gQf! zk961nl>3QT1Lx6HtP0O*WR@`nYPX$VzswPrsHlwc?-xQVTNFVR{JvaS`TkKULC z5%&*F-)t=;5sz5B5Dy-2%{fJQzYu#&pAgFZNyy+(k41oJUhLG}iHnLnZVr)kpA*F- zyHr64uM#pO%xM&4W+}UfdP-lz-%dBja3ER_HAju=X{``pitT*U4)k%CYvC$Z`Z`LK ze^Zm}hKTb~O_Q!lK{BIlQwvSi|1q=X0#bssRFj#KXI zGu=mEvzfJ0?FNE=!Z33m8c4fEV>cu(a%A&6z2qVeNtKM^u4Wdpt6xv``}sSwpM!Z2 zzv=T2t$Om(D(&P1-K3X-K@g#y!#;jl*3O&YP8}kXyNj#jX+f&i zxQDaH?_|^K5lt(8+t+Z%OJHHh@QqT{!b19dqqISZP#D1!Jr5%vm#EcJ3UoSi1E0stQ7Y3JIb4LZ{%bufv40CysXZu3!zv5++l=~GYuZ!>W?i}O@~!Tppm{Y zqZfm(sW92mIfsc|5ZCETCbv1uW^LX^!C8AZ@z;v~yikL*7PBl2lIhHI2T)&Dzek6y zIb%c~ygtUS;F|U`z4F%8cB0gE6LmEtt}%>|4QVr#!PR|!I571nsv@5sZdAyp0(0=S z=)n$=*+&-#f;&e`+-+lxLe+0hyCFo%9O|hQBuq?QOFfA@Gc51`{4cu)HC{ol(g5kg z3nGvSwq;gaUa%;m;`f1IfMR{(nj-f}FogjQ?j6~xA3*CjbUwpMJY|tUj5Q%p^|Dg7 zNPX%mK6MUBwiev)(b&yJr)31go4JXBK@j~w?pGDBCc{?Xx;1_Jow;EXsjxKnA`W~x z_yAl)g zk8O~G`ofCpp*42&A7$_&6w42!`)dcFCsYh1cSOe6lLmw;Iy^%tnIQyw4bDsc9@S*v zkLI~UA@9z*TtJGR65DJ&B^)(*@ZA%bGr=hkwhPELAiNLup|5sRYStbBVe|+peyT`c zG4**a260p33sXYnqUXdm=Rb9nN{D*6Ybb$)zz%&iJ{8l)SPKrc4eEcWmT65ZcX+gNfM#z_UR+61yxGm0^G$uyrAFS^at3z1v1HE z5?ZYFW|xUyBHT3~ zm7XP8)Ds>gcd&c+q`yQZY0nG-t<41?qdw@sVuVR$%N@k3aw z%oMnbxTz!6(AjGFyWlK&897H#zeu-eEf^3^UgTlI?c8VQ z%bKfWIGD2@jH^G43)*EIYo{ISeoB!#HDRW3IY)?na6w(a?V;+|rw;@ph90M5ld6KV zKF~0*_BTh?@viCXVqI2?cJV=HgU7zCI9g4^--Q{2cm=9>C}IcRlIo+>1{vJ5L~nY) zrx#fQj4sIoK0U}jmUS^CQAtKQx{Gmw_d#cMu%f-r>684A^9A~!!=CR3B82aP49{Pf zdAF-OrdL}F%FVFSf!O;O^!q6Nfv9pfT3GQJ`gBv@M~_&lhMzKA?`js|x@Y>-_3L!b zHxQ9sp8I^n>Za?_V}XiCzvlDH-_T{}=&<}MUvV3B(_@rT{8RcqS`=JjaB!-|H;k3A z;s)6?&1QeYPDr^-toXm-XC92*SR8OK<4Px^crs0Tqw3AUU4-HMM~@0FF_?Rd-)=Wn zMkj)ij~)|TB7*4d1qBiGJX#!b)>(6=Gm*Z$oP}1)^9dJ@zr0^-^|ZDmkG4Eo5(Qnd z2zQ)E;pd1Jc__D)D^TvZZj^h`eU)c)X-OU(^2kjkm2^vFW*mRS&Iy+v>_&HUfv{rM zZLc9`rL36=vG(Uu!NBI^n2>Xv`-xxPOwe9^MKTW|!lwMiB5?jZRr8JFS$s*}NE%vc zO7Ow&sl-Scse&hD{quxQXJDDqDx&Ac`-kxWo(7;~Uh24YS@gaPs*k zDinFOo)Yyi;-mW=W+|RcQFm4C!QShdZiZnuBr4on2#3}D8i3iWkD@25R*`TY zW)3C3SyWwZcu@9pt-1_VN}@GDx~(E>&iM+1j_rle4&@4VI^g7XDv7l>M_zJI;8Cu> zi*HZb1(EbsSYI+Ep|Q}G#8{NNw;}r(lO{+}G*KIcO4|CT+YqDH*93d=Dw{UOWEAd7 zvji)x%~fLMn2@B$N~wj01Q{Z8EJ#L+Wwl7EXh__M1*rjD8XD9id7$kWWAK&iICF5% zHpW*a11vLe3s#DnFlzSxeT+5{;w45{y?QF)zw7LZ4j3;R|7We)qv)!(HYG;cZp8)z zPvPV*eJy+c{Iqt{`Ax@L3(hnvBQof>Rogm5{2R?@)9a|(@jCcrSu=ylY^mC~*4Ah4 z5ZaKHs0620C9F~vbW>_-l}8tyY(#6hHdApJV@}IvAS*Pf-h5adu6WJUw{L=*FS7%O z8>P1l93{j|G6e{II%=xaOb)tVV^WiCEu3Jjy}40=8F;7Ztj8AG&C6>wVzG`_ZsyL8 z(WwIV=V0LsS;qcuwLGrp$x+PJ3TyUXq3m|TD;%nR3!$6`i9U>R`r~pXD&Y2^JP>tp zJLJ!#6^~Ruq+HvJH}T*v`H?}~ZR%RQO?L&6V}&9#@I`EI;OlE*?|cz+3%K;GwHzZl z{KybTN6kKyYFoc%2fR^8e?#yL!g5Ed4|~7Zn&#GvL6J|8XOWQKE6g{{Fw;*tc>sa7 zH;=YM2Hi5V9B6Vg_PtTs);0#wiJ-|UXaAM4^9@XW=W$WAtevmK+gI%ic6FQi*4H_o ziBL8gLtre3vN5O))*v8BTal7!leIo9jW$_QHG0dQ+J_e~TP-2L{uaNa56x6NQQu8q z_g{z|(BEwlXUVZe)!s^QqAOTY=WNXw{%FbMia3IU6OG2#{Xj%5WBAj-Tcy||qIDVU zi<~ELDi{6DXQ8JbYb_ux(8Wj=?Sa-S4#(_`b-+Ns6G*=$0xxr4kulvJ%-ko1@iz>% zn!bFyGCfA2Q-{d&8H9x`k1es2ca}9XdTe#Z3L(Hlk;wTzbP@fLED#3S6NSe5V8xZl zLdFNh0zBdylg3FoBgpk^b25>TU9-#JvzrI!_WJ3=t@|&;{sIwLOfk;Ob|F z%xD4H*c4>35qjX@xN${UEy+?u7+W=jK)%`CP*a2RVfry4a#ddBK>-CoJ^`EX>v(Mg zR)2kz3bd6rU>S}yDw?qrK;d8A*N$c0`fH4N|*q?rmfqtfa`l&@Ifrwsdh9u-gZ;$FtMmgshnd9Dt z2fbz}h8;Lklr7gBS8C01*J7bZ1!&^fG`dBR}*^s!b(zjQl(_lfT z7&|3uiPW19d-LoQ3%oas+Ad?#H*pIGB^J^f6#1(=-#0*d9U)4?{W)B!+YHHad9OU0 z!26qxtm+$ZOQaF@OK6p=ykdaDevI24!Dl)C$Nyvhp7Mi=WR1doV_Eb>vIF?{c!1OUEq21Jkise2VZE$ z4DOTu!+7(MG?Z;2+&=8Ql+~kpN7jBL^@Bh4;P<7nFF7CQvil7_y9X$l$Zp%>89uxB zy?dDA47-OnrH-IpAm~86USaw|OE7qXKFWCa7`1ASch7F7qT9QN`bE!jynE(Cm9k7; zbmjQGgZuYD3IA399vb;){ym1ny6Ql;6OTD78-hI%LEvR02$=r*jyyj#Pp;_U$fNuk zcyFVnL7Gi%lJ_qpor(EMZyqzzzBi9?Pl){4cj=MhMo>o$9<<@A@w)hKZ|JGnFdIhl zzu~fTEo-A)gy*tj#fbmJS0}TJD9*PQn5}{*`a{QRTg`5wr>_nh2z3^*rwUNX?jB-h z7+}+ot*r=;ve?7*eNqMx$j8F-c?ef;v|7%gxaXpS0{QA(7ah-i!uv4mqVp}-L}q@> zWJ#(zK@&3(21C8x)aAP9{4D1aLkV5Q+6xCgaSm?5O#djSl&RQw>I!LW+d0Pgf$*#Q zTG3XI-}iDoFB}nGC8vPTyAwoxVK^O|d&z}okEs{bJAez%L?c*$cd7q+D2s1Bg|%EI zg9J=pSUZI;4KF^4=@TzL%2Wl&%137~UFe4F7XTlzv5B4=o7LiGx|H#|xde_TUY6r` z15-^MN~gS;&Goyf^8Ic+K=!2Gq`$mQ*6)Vz5AJs(UY{dih?+>c$o0EXg1c^pcf7hW z!&|&nya^>oX8gRezHFckN&uhL<$BB9XQl==cu+^3sslOdR9SP{na3WI0iEz1bv!$x z=YJz-*k$C-&${8*&U0AtwtyP2zy!yoL%@-geHWZ6MEFNolAY*Hc-ENpzL6WG?mhn- z5;*bJ^S>$Zc_jBTq1K9Yn@uA2E5j~eRU8}U$ttR^milw-s6eck884>jML2O?p(?MH zDXkhjKp}yHKaiB+FJ=e5(wcoUbRxDHznbp|scEtn>S!n>bB%<&BkkqoN!swi=d9Ts zDn<%_+%^^*(z3>C9Yh5Z{A5q6e#!Y-^hG-1yU$=-U+xXVxUrt7qEyRBzHh9CXSQYS zg~z65Jt#(ZoBU@sVg@^?{|p$|?ZNs6-3eFku;vUcX9wLQ>hJzv;o7wP3w70CHtB*DcC}O~j*dT4B316*7hQ(neCucD1a( z`9&>xt;#yvRF(E_(!8gY2b+bIpNzadfViRfe9!3N$+BEu^yUlKMA2u~8dp)X&Qssa zS>qXFdaUmot_c9nd_o4)IvV!B`)%%bYzK4T;tls*&@|Ii*SOF4m1H~ffU_KSDCo0_^KAUS^eD;7iLoW;n z`1GO{deKg=e0q`NGoNwQ^GJo~F5gWmszfTD%OMrVAWdW9Mr(joN~kP?(5M0HVurb3 z2va;MMlSP>8Jo9AL}LVa9$lKKs@>U%N&KgvYeV7`GxZpl&5kWxkqTG5gWA%zF7B|C zH|5*$cG4ki)8XeG`+6zyYPBIJX9-W}LnAEeZ{Q?R2okk^ZeA`6I10j(+>CF- z%T4b}!3_5IA-1wqcGO~qlSj&ZmC>uOuSY#?u3AC#ueW0^i?#Qrjutg%UT) zH9JDgB@>YF zUJ|Ov5AccjnEf751d&MV0FJ-SrO(3j2_cY2Vp_{$y$to;!7Pid{~jFUV% zCd}`#y4IZI=%R|;{4Qm)ODwA8*6@mlrXufqQx{2k_p7DpLsx1sd|X0_!?f+k$XPP| zG>lOBO+h@+N(!t66=oj>O|5M`-8i7}GUOcAbb=||gg+;Ri(?<|&J3;J+fJ1+u{Y1? z+icCv*79&(-*;n{)}9WipyTv#L_#f5Tkf zYkXq5X;v*O+zopf#b1?bcSo3J4b3Wd-$3YT-rP$SYx=F0GTyp_p{(Si{r^7x=VR#v z0A$7gRKcS(ov5k*--!Qt1r#)N$w(WlK(2lORecX#%0eYaP)}U6iqM69p2+k6{$k+vl|M}II^#niziIKYv1NenuK zNL>mLIWVb19^X$xuz&o|Q81@&4FQX2LTCQ}8~<}Bh~TLXW^>iO!TN{K%?KIVFaAfC z4hdqp@jt&b&lLaj3-CTEsQGG*0Tc7(_@9UUn)x`ZERfLTDGN3mNeO8}yWGdhnpvdo z;2*iSX|7BEH#7;q1xK{d`vw0z$OQfG1^>vSqi68Xt!DTV=rh4T24ntj1AvY+J7Zsk zz`Fel0YEqMN%t%hM1U^g@| z{XC0{|5STWMgIzY2VCn^d7o(OBu(XJ){ zDCn<;2>?RVVThq@57T>K%6GZTeEY9P|ID4|b#3DRV)ReAdb1V#huPhKW%Li}7;>}_ zxzRtrHd6@wKd4gSV9`H`gDMp~P`QrxS_&{51UAn+IT-c5rqw-(rZf5n&wpD1x!u9I zL|vnQ$ZRPP+!LerQ$b|56r61$6@|qAa!5qpfue9d4Mew=-e@3(iShbO_)eb*v*$fi zT`tv&TC=C9Ql&X+haV83#hJRcRfjgFdvC#w@(xQyk(gGRIBw^1_80F$Sj2a$39CRb zwOZN$F<;)b**uwW1EP11q4{ha(5c+a$0u#Mz>#jqsw+PkHo@$>MW=`a%GKX1t^&Qq zB`TjBixPuiq8a7`KN4ti$C|?Aqh3(LJ_RMbz+1(!a30+To9w_#5LVCCexy?S5pPmL z4g)yGM~MULGakL~|3IHHi?(HEh+UbfyQeTf@=zvt*PYQI{a5im7Mizl~9bA79&xM$6Av}Jd^6Addz^kM>x*hkXp__h~5S?KiVj0W?H=6 zwMT5Ix$?`KhRizqOSp$G&HAy;OApRnzl*efQ`<=L$=ac?TA*R5@nve?dEQ!BXjU*c zo=f{n6T|Wu`l!EoU3Jf)*KIUciC*LoWL@@1=K?(6y3FNaKf4n3)S#wob!?aggTt2W?nhn>NK-aK(Uv)`6Tfu`G}{ zE}hB_{8WZ%#%s+cV;7=>KjvkEyMHp~zPV#w@}e~*!d@SJYAUlv4<&pgei}iHrEH8 z0ptk8*V&lvW2fW<;g+Iz*MUDRPxg3&czJ^i?#BHgV^=|EQ+fSsTTu z&?Dx=4;@&a1wAp$2X9H~zZ;baLOvz!4Af&jq`1OB&m`zE8;(q_uj zYt@pk(CD?EMz6JwO&xkI4(SeU*B;E*YYl_##D@d%>e&P+M=^B`S>x*~dReRGa5k4` z!Xn~uWUDfot>>Fim;q5CX8?<2G+P6G%@#%uDP1bjY+)3M&`H)!o&`Dx=@h+-3AzXa$?Kmcc~9z1|Go%h#GcX}B~e=mp6w@R+-p8YYW= z5By5~R_#C0Z?Ot}jDD*&tKS-!tKS;>0o)|~b(T%@0PE}?NiPpnzRy9* zOC_!}&RK0%t*_0xl(e14^wee%Mb`;=_Ow~&NSjsbYqKh1A4r>J2GZ{>=S<^omDBVd)|;x&`w5I z_bSFH`o`V@1oTy0KhQ%@#U&3ny>FC?>!Hj|IPp#QT)-CoLS{Fqv@@i#CqxQ!+|&8g)2{}3W-k^%m1LSW=uV>E8emLpQ0jk zzo38#pv>m$`zQ2o=cpnC>|EM6#ixA|2UjgmiPVu&GYEi(jO&h`+luS)8H;cxocFz$S7uCi9z zNn9q0pD_pphpTNR^h(iIdr^$`vF7$x8GY3Bn)i{SPjW%(WoHOWeIV3G4o+%lW0D1f zFG^m2npaJZ3g0Jmp=7-wbX8eGS9LH#x55nVd&ZM)P@8`QO{66C{T~aeZYAgng-1qz zKejOAd)Y&Oe+_kn2~3Wypl`nZ9{L5eroKTn-2!xu^gKl|iiU@w$!0REz)DEIYx^dW)zXn;B-w+Jgkx|w z0G#5lhVD6a_d!iW?bbDIb!A4(_(Oa2?&n6IZ?#AuM;RpPUuT0(;g<7ehOC#k8j;Ad zZ93)}_GbIL%bL2$b=s%teN_9k6-5rVx9K?oK=59}B! z?-O>rhk`tCOX;$A(SCz-hI^&($*8e?L~D@nDGCsFzUk%01biCpM7ev$S0ek*c&q-~ zsYq*fq}|9q<$wxqt?NMd3O?;AuVnINY%tLU-e&ng_}ZN=<9~{;=uLi~gRhEEg5M>) zOc(}Vq3zoGe%;_|wP#jSSQ|n^3yTPp>VQqeBjUge@W{UAe2@!2jXwO~WwZGUY&|4| z&rglVUc`wj`n1*_mY*f4o>&pWxG#ME7qD%ufnm>Jb{g~7Z7Wt@njSuY1(-6XpDGV}@S!r}K28z_{KpMKtYj*i-ZKcX=Sv82V1mba;JBn` zi7b|sJ6s!}+g%bzG zf#JkKab!4gP#oIh#9-c9Ks;Qr9T!*EHJEp<`vt!}Fi$a`KIWb2RvR>wP!8r@{gs$^ zm?~RKH_fLyy}J%J#ml8PtAf9H6;O9+ z9P|^BvfVm+WDDuAe{e}TwrP_NyY(Wa!~Qv78^5@cWPK{H} z+;x|-G)gADBJv}f7?{LpTNCgdbg8l3U6J}&%>YxUQf8lR>bP1}k}~^Lb9Whc@V>-A z_E*=FMvSmg-0`o+Nk__gJUq&mPi{-y%4&cx=DaW2Ci2q1GjcaB=@V}z*=Ve$Xe>Rq zIf9n_m=v&M|0oEWlG9Hlh58qE{#jmjdiGs;q`ft%=s6m@YFW^_kCaKh*U(FZ(Jg_G2j!)3`rE#c>*kTaalo|Ss=CnP;hoc%Mt)PZfaz=U^7D+goq zksSQKk&ddLoWP6^f(~#+9mp#=1l5KUgHp?Jao1U&PNzm}Bo^rmY26-)Xrhn<#2@&RM=~tdN zw@xTgzzBT|UuRNGe8zl1B8gV}a?$5`IhgE#j7y zLdkxK7HjR!j{8CRtLy|W%Y})%%f-heQy6!y#H>p0>k0Uz0^3f^s^vDEc&JLHcr;Or zhu%B3d0;fc?WpHa)P&0>ShJ7hvDbeS+9QHw582ufcssQ2lM#vjPY~Xl7#F9<)Z&$^ zX1ow?nQs=C# z)A>5jCW>ce^p(lsacUsxx(-s_6DcEZIWDdXXYQXaXtSM9iT^XgHBKwZ9~ID#bSOD| zxMl`e_?s8Tm#C*RyW}%Fk8e|R?hw_cK>d(409c_~$cUy%uZ*4a^6W{&4eel=L&^UA zIsS?G7{m}poV@kc)mkYUk-Q zkVnggazMixNMVXFSmogl-w&cxZxv z!&^KnHiuT^k6h^;u&_vT=AMy-^M>U20_mTacq#YmYL7Y^?ZnTgP)As>ttzQ2m?#Gp zranGoXT0lItqfmz$K>#M2PIGypF+lc~PP zc@LL3`UVBv$MA$ynK%rD{|e4*xo+xC*k}E3x--+f|33U@A z$~hktYCN)b2_q|)0F&(#t*Clitx}-x?Gd43(a>kgrdJ|__r^vCg zjr{ey*T9b@Pi&JsvHPh95j|Ld`81h2>Y*;B=*;!>WyQET8hUK?Du5Ta@Aj&?=n)4vH0P&D661SLC z8YX{{?VO#eFV9OYXOAYigD$M)-fEGnn?33CMPmC_Z#z-5n8&j&D9aC&MsKnfURJ=; z|CvX2^|EObx$nacclu@4!ix(hbJXTVQo$#Sf6T+FBY1m=-d1zbUr#Cl!{f{dYbL4j zQy?iEa50u^{p)Tvwz|vJv4ydk3-vBCE%iAvMrZHpOv%pP$N85k`m~`GHAnL(wG4HS zH>G*pM*hJ0MqPE~CX{(-xn1!Q64)n9l*VkeOf#K1>MaV`ftA>LreOi=-N|iwEyLoM#=?ENG+kXKT!(wg{hW@EX-cz`E*x2YEBWu-Xn1QB|>4kkHHoRTd8q&m+S zMy!_GMG;|!u;^XZ!V3?nvldP*;Li{GgaR*5=EKRMz)Ptazx;y8Mxi|R%G;0&P$NNops4uVC%GFEgVr$(Lu$-gU)lo z_qO1jNC9gMv$@OfR} zAI`?QirvHN%8I5g9&~+KQ5~}9@bvG+x5}yTdjxhz%BENggNLxSyFA}c!VM{1Yt3z^ zik(adA;=womwHxeExf*LvYk(KGX*aJp~A`Q<9Q@)f`c4Jb@hi#*1qon%1;i)W)=XU zH&YFTFQ*8UfWn9JhICWBGS*VAOY@@rq(?J(OP^ZHs-=EE*oTXiR!gDZ`UG{_wjEJg z^Ob)rA6dF+nFCH1&g{d%7Ny@LcYkMnkyfAEiTsGq_ko|E53S7h=0=f}>edZz26PoQ|z>#SCvF#?fRtmyCpn$XDS+&D}x3ACRe}uF(bc*1~I; zpn|>^C(BM129%`clSYDfHxh`za~k;>cDVhY1F9%pyA1LaXIDmVv_ca)lE*;-~yVo#G&v zD#3(?TBI+Ef}LbD6Qp#G_7=?PDP%(={4TqWqBT%@!Q=V=+R`pa$)tYj&tBL!s$nVf7krF!{ot&rD~M4SXs1W3KC zdQ<;~z~(AN3y*Pvc0M*w$LOha0fx}KTO?FCpV0ZjR2xZ>7(k(Mu>}qJ=9AQ|&89$V z8ZYadP3{stfb^QI;Z}SIvV}Web%+Wuh1SAv^#?h7b%pa!o^b&u2dSsRSy$F?9ZADTX2MB30&ZC9j%UBFC2QGX-~d@T#uzwDyq z&>nZAJPLc%uc#H-b6i<*Fj;&&_~2{| zCBtz@>JZ>F!su6_`YE1%60{XDf`WxwOtqCde6m0k&?{6+k(#=|Ug#`aj*8vYE1MQn zq{(@TyhWEKfV_)iu_2ru(OOZ}V+TZoAp~=RXT(Ja(LD6?8rZ^t2Uqeh4z8Ulyupn~ z77z3GX+6g0IZtH9c(VA1y3k{kgXnk8D39(r%10RGe`((j-1M?Y4|;k9{Fem+6Jsl+ zndzoBMhcDX%O7hej*p&e4?DJs{zcADj4Vtx_KNN8)pP>!|FPZwa7GqJ2cQBflD6s4 z)G?OPBo(pPd6zF|QC42Qyc=F(*A)hG?`@~%o#CQqHS76VTJ%^b&n+1xouj888vjJm z0(3f!=yU{GfT8joqV6b6eOd<^pwJm^Cpgdi^~g!|EVg@}b*DBNcI?(7)J5c4Gg24` zaq&7S3P{!;TT#Ms44M5U6Ihs9a1D!{oH0aNnv{;ky2_ds7m*LG!xwUCE+n>ak`S1>Dk)^`ul!j4VB}BztHy4-hCuf^qFeWG?Un z-FU*em0x;V&VWQbO|A+J04apTQ?8VZo*wHQJ8^;g()ZbG=%3x$K3^l7Bvl6eY1!S( z*Z$!ayiZupwhgIaqsfRo?JUwsBno~)M=BB*7vjd*zTqQh-ANbshwii{3aWTfbW&51 zBRcd5_u_9u+RcV0YY+UttqUcJ6-AK&RrWha$0yQ2%k&NW40=SHYRQ)$?_19=LPlCwFvtkO;tl;wU> z<;oMp%wK$`G`7(q_89HX*jgzsFVfMzR&Qt3RZf9OXztP_}SpmaVzZ zPyl+bbesgL zwr0O)phcTErQ^!x@!U-5tQA_><@=zP+ggs#skJ`xk{3Dvfw^ygUIhQVeeC{ zIp5Rc*vA~|MZV=Q&|^KkJ=C$aKq|P2+GR~-JBMeX4oeI(H(@ zBwqSJi|hh;p>V|K5!YBy-rsQoxuklMT+IRrss{lk_>b+1AmWg?gP{;BiSfsK)&O--O=g*G4&c>yrN+E{z0%lx5DsicmxJ10`VEp+d>GV+ zHy`FcqurX3)5C!sq>)E}G_PiC3st{i#V*kHOZzRf6H9bWpl`oT^DQg>C+^VnAVHHl ze$HKfIjJRVl)ezijGxespmk$`G2iX5ZtR~wIcVL{zhJU;M*;Tf_M%YrD@|`|IOVd3 zGkR^c83})|dLv~Qvs6reH@uKU4aW%oppx2F=@{{ga06uGt$v@@)syNsLss3EaQ;9u{~DaX%PD6{gM(jXivWQ3SuV|F#WJ~oO__Rv9lO3v zNM@)Gx;n$PLS~Iw8tqCAi>LdI)?Bu(2z~7R(?Ljo^>l^x))ToZ>Qy2VmW z(YAYncHWo0v##V}&Df(^U6O@sJsT|hgAgkF z0OybIwkt@IyK>s5@Gw4Q%rZQcS}n@Si$@ac+2jMwM1MuE;oe_Sx@FC&*Lyt3I$TiX zc^j}dHlCZ!XW)d^xaZ>b?Ot4tmu?3%)@gAyNQY3mzuPi}ZX+RmWMGhN+-2B!gRMi$ zLOQA$S3#}gM*)u2b>0Ax)RnF=U?QTDFoBsSty*nfc-Zx26F^04?k||@i<7sVoR{tc z-){(Ta_~NMlA4JbpEe{5j&I-?1!GnV1>S5(Hgq*qKi|}@{2rxJsh3}z9CuXS#mOs< z_52H~*K+h-b=X-O)|tVaMEv~pKIs#|m)-Oe1iCOeyj1D>@N?JUlOKetw@nADRw=P^ zh>nLye?$v)t>;hwtBd8(w+E3?U4+dc+Y!UiLIk!0cYs_53JnMf%&nLy3K-Z*-Va1g zv0^{hf>nQd`z-=1fKS>&1E9`X7^0ZAn}FY^7C$rCB*OJIut^jno_%6KgQwPxMY6EAj?|Yc_*n zECG$BkEkHy6BT@^>Fw-L?A)PrQ%2}vn?$GY~1P|KC}aG4$o&!*K`uU9~+*!gO16e{HC%NHlA} zvIEfv2Vll{T^07nf!5iSBVmH$!=_oBh^rmMS#cl|#6Yc)*vYnbRQGx+$Bz>DsS zCVmwabU#x;CDzz%2I}Vf6QU2@zxlN=69xg830LV^yxw*{>wSP{%CXcfqO&J1G|mzn ze^N7QNO{Ib;@;DM?#{#<+hzok|k&z8Bf_aKVtU;6ji_Zi*&=jteh$!bag zqfdR6i={RENp)UgcHEo|%AGc$r%c$_Wk$uJ>>Ah&mDzzU8LWZz%M9#~=2piiaTfFF z6FJE|aWzu+dy!L&^8&2&!_@q5OC=KR8*CmvxF%9hEIH=`nNrc$Vl{`Gx;5sBrmp9x zOJlyo+<7@|R}^M6BbohL*hv{W3}6&yjuUR&9o^>M2J3*I^%R9QXJ#kri4!^c5ZUWI znb$wZ{tKx&$~o|%-D!9vb?jx#Ol)R5vx2}}uf0khEcG)Oz&nn7OsgMAaO3f^;pK?9Tets*{7lBj0LBoZEoDrpE9au^NdcsUNTw z{_GuB6FD3eC=8^2b-*hfeOaF#62c|IiqAFFrhc`Z9Jpk5N-Z(w$@Xe?;)8JV zwvs?7Il9~Gd3~7`ioL%LX(V;U_4~S3<<(#yU4$A4BTuwFRc^ZU-PKQQE3xyIPC+%L zlHcc$AB_bS3nriABBLc~%KfOIEPAF6UcdWDe-;YLB28$SeM{!)cHRG&U+E)}!v~>s z@Uo1w2qPQL=1SAvAy&(HE|M4S8?qG=Hfas#28R;^pKI^pfZ+wsro4;t zBrjSmKcQ4uo25Bi*zA-bNA*K?GLY~jj(o$-seAvOyl8Fno_^CY0B?;{4p|ed8d??^ zkUk{~uWX|F_pdvzzlB{_!HLz+HEpr0U906f>JBmPT5G}1opnD76nMu}@MuP&|D!SY zTx-Fn)!VJRWG-tU>(1=g%mv1#4qaFeze2*Yi;~3z{&nJUdoF{qTAt;VUA^0iU(Fgy zW-ZLa3Wj*af^atRPAgtOvpg>j*iv%pU$g!7b~~_Ehmp?yfP18+Vzzzuu8r~aA**g# zngm(3`|)2RwUp=VxI^ebE&4EX~yQcz8+li`x8HugPj*r>FSj-6TZIA6OiWF+= z^Cysz=4S@9V6owgCq&NF>5v_G+V`0K+AxZ^^u{;3)c>52Y8$Mq+S2(X`86Bf5CbE`$V>q z$;}*gUw|~i6f?cGw`2WYhXf8jgki%e>&$;(oMnvN4Tcj1W$yhDvT&lYk#~on4rtyp z)0+Dkv@e{PXd^V1!AG`m>%PMu@ZLSH8!m#EK`AmM#cHW%u0%0v1h%3WL%FaP^bIGz z4aL|EQP@Z)FNb0r1 zapRe*np6!{L^~Ql=@yd!0CAF>rhQ=RxQ^^Q5E4&q@Q@d5H`qJC$FHoQBEFfCzI`tU z@UI@4G^a)==e%Y*;NHRw{M`GlXJg%Hk|6;eioj163IG3ch`YorX|0(Njl;#^S#o?9 ziwauWBj>0$1mJ z2+9gozyYsD{ch0K)cY(r9&DbmuQIyPc8;T*!r#SJ?Om@0#|4RFPZqpH-}BHPma<1# zY43V-EKaMZ+ksE)C-nlz!j-F&{v?KOFyS4^fg93a!~5La#FiHZIa;mbW8A1#hn($z z*K+=EXmD19t%uiyI<}OeOZ^n5l@l+K^5Ma>;22N>$Uoh-SLrpxbCq7ENdr& zQO#Ah^9q%}sy-OJ4PM$~M8Waim z`IbTmgHjXFIWMrW&UxKgAL>Y#D#1f`aWnnf6GYd^Ur^Z#9JE2Sap;b&O9 z!K~|!FkT!DflnK%pT!A;HGEF%r2_&kO7`y;w3c4juc6}E(7G*JY-wCKxF~6XfT!(> z7aAB6WV|ZuywF-0P3jC+<5QeLR>^%P6X#wtwaHPOn&VGxaZ&4~UD3_il5N>?ID@O4 zzeM|&V{k1@kv|DBE)F~i)b~Fg|A7Yo732Mt#v3n!XsE}lMs-!X`P}$&ht`#NWhB*7 zopOuy;61h;sguE9*_})A4z=bu^grZm3OOC23bdW=rJ>kvOayH_-nkqt=Ufdmi4}yS zVSgCLV%hOAMuA;+$A=gN00NC)L0rtUl(zWOcSyxqa3rYAN6$C8c3^j?dN;mv!y=@o zh5q{Iu(g_8KUgZ7J`Ptrb7As|lUVc>0MbT-h|uFCQ5n^1o1Bcj*m>M!Ak-84v^PZE#a66w^5z|1NmR$0+HG7cn1jhxd-`o>!de)Y}~fl2QV}kOnN_D z@gcwu2`j6rYdtY~57GBtG(|UjR=-SI6bxR@^0e}~!+J4kb&xUSBop<(;%u=ovtTe< zX9rgQKh(VocvRK7@IN6Df<<>)QL(ieYt)RW4T?$xHG?xcLnnw;l&WoQW2v>;gOUhs z1wv;=vd?yqT4_10RBG!v^rFR9Qb2-9lnmev@P>D^US<<7NEHGi`F`)a_MRKjbH4BY zfBqL9p3L5Bt-UVqdhhF9Yx#tL{^!xJj7sTex8YmcxCTjxLK>}fmlA3(%1gnjXy`YXw5}0H?f#X!0v@am4wmmXzDR$tcAV;!u)nLZifu}JLT3sQ3 z*HAnV(pyF~z}sqTrr^>Bdt2CkGh%-jvG;}SC#_UcQmdMS8gVoBJQ|v=4M7{?uRG5) z6tQ!L9a~63$eC3^q6Ft3$!w$~N|cD* z023o2s`*AQ(MH)BX&Rji&*-1i{GC|SERNAon+F#kihlMv+}x}-;h&pvw7Y@o^7;n* zx5_Be$W2hUEF;IS9Z(%+CFDd7bBK$K$~4gOSO@K3%{@|UXZOcP2|`kkh}PB<0E-Ji zvPzVw4gq^;wZeUk!d-6=)C(f9S?pV7wsX~7tks-QRL#-`D|vHKspVQ~q+iOFU3%SLUS=L`sbJ8XBWpvGPRF<9Sr{4(B+y^9m zWI;c^YO+l~#B_E4PPsKg@X}nB_jU58k|o=4)>M<#+iA7q-Fr2={Z1W*mApdo2h;SL zlf6%$@v|BvYqoqE^Ria?St4`YlLa=Xcv*M(SqDlMy9oNx7p|18tk>1xRMh>fctY8X zf@d#!48DqaoqWoCq%HwVGWte0`knKdqc-=_-C47>nz78y1mws5LrtysTdlvVYwGyr zlDtlSfVTzZ7*6Tc|kJ#Iba* z_D>qa-U#)RT9K@FfphG%3(oio^vP5wH#9eMisT!ZIO)~e>rp$i;bYoyFmPUt>^8ZV zN$L>z$oAbkYib#c?D47NH}3qQW{m#+rKUmB=RP76gNo_tgI<;BB5MQ~+1~2*e)j_^ z^yFz~yjYB^J3N1=jQn%k`wz5IlP56nN_qMkPwuyAI;Za_K76bwAzL3ke8BSWr`y6#S@VbbM@6-Slapwv#lrqQPok%TB3USr^AQM(n=0npqM?8x$sM z?1HE>Pk`9SrbBxhKt$ccaD2o#oD+LKnbAq?DDexNxp)*wdqj* zxLG%SNtRzkCCsnDw~h{l@%kay|HupU%?e?&3cJE zVdwMVz&aqNhTLF<+Q#(~ZHKf><%8+BpuS5eQv>=c*RS+agm8GuaEaOY^ym{)JLkNm zeNC>Pd1&kIIn7nc_08kFs(b%jP%o8IVcQ`$zNaVR=lU<3BNOhIm~ew1m^#F~xYsKN zpeGx6g)WV86Z>S;!iOF~iw#|JG2n`3zx|D}z(c}Jm?;dG?5n&Zk{^=WQbVkEJjpw2 znfAk0N{n%{`!1fIwT$yX3Sh-?Gc{c2{ZD0bf4)dgs#xpeb1d<087EK8_z}a51k#f> zw(c1^<0szkQfp0TB0GeQ0L1csLH}RU-GIf(MY)TzceA}PMjQ(<%Fg6P&F#d|%Y}@C z%(+Zl8*KHrU2-sT-Yvr}C==^@o7dV+x66#j?d=6K?$HCoVQR3BLv4eVPViTEMj29= z1MM=APKF5s;);dFMcGMd)>~xDoYT0dW$@HYWZ5a`sk4^I?J}?Ug|jDmrL5FTLZz9= zl9K|u)-~>=XnOLJ<{{%wVX>{nVO+*5x#K=@NYd?C@QG;I1~!u%+#mkM3*sGRKF$8h>$9xb%HB$|)e>gU{>r)Uw4%KjHtz*aGV`g zG5vB;HUCZ;tFA`Zlf#n!orIVZVWcgctdB+Q)OPwn3O?~vpLwkEM^BOng6#5-1#GE} zEcZC&nbb@C3MAPGGV{mkIA?lrcppuvOiH}UhS4wH!eepq|Bm6mURA*GXGU@i|9T!v z7=GNjM>^#3cU{4IwK4c14p|tKL^maS=woO#1L#*yPfSQDr*Dz1SsuszzBX+*?h(?m za$JiiM@P&m(b3U#hYSyiaO#(k2$sr&+etCau%l++oufXzT%8#t4o6eEcjE%#n6mWv zYW6EA$?Wy&U9EZ}<%UwOcd3WhF;C5#cQS(s`vcbri3C4vl~Yq?fijw2xD}9u?T$x? z2LbZ@rJ6t{^?)~J%uj$v`4{6PkGb7hw0M~`uVma_zK+MJy>Jr68q+hx?K-Dy#qZyZ z1w!e|wzlrMe8v%Qp-duMjjyq(BGvFY>}IE8ZNq``o0iX_>E+^Z=tJH3v_Olnas>zdrt%-mCL?x{NWB+HbhvLka(Q@kezx72^Mm$%WC zekSSAZ59iIU~O{xnE;?EEdau*9~>=DFObKk5G%&RyH{-75`%y?$BD;|JE1WX(iLlE z8PMCpEOsrt@%8OQVmAlFPOL8OES|>qqgbOr1XPOoAu++}(FEj;-otSh^_d&rl8{L| zy+{GgCgjb+1ohQw`@<2ef6&J{;o$46LCw2^_w&;P@W@t6QP-J|b3(*LkGtHOcU(2N z#AFzlLD|f-52^hp=zxgkvGPb&q8oSY4)#+H4LU?SnL zU|QVKBrExC!0n`E_MHxyHj45$*u|LxXQ$TBu8%ftT2n2(z&KElWTPB~YIlpOF~srBH0UYCwsv6z{4C+pWv9+hRn#;(f*96!k-7LR~<3C1|j@PObrU^Kk#iS zs27kHcGA*aFhlwqVQ2hE>Fn_Zoy{&~5xE#QF3t7i9+?H&Qy9v+v7`9m5r6jGzE31q z8G*qHdKh(X7!h?YswN=103n{E)=m`?*)JE%5ePmg;{0lKtFuh!WGR)r8>6Y71%1=e&?K1lbdz0NUoPKw@2PEDq zlN@{bN%}rGmoHH1bcaa3ti0RHYePX)hOKvC{%RdpBQJNgtoE+M|_P-#awY(AIGX*==c=8Yg;E zVt0QtTwuAPXVZFd(K^FMrPkYNtt(n#wcUF-v_7dev8NyF&O>B&uXep&;S4`3wb@>% z?Nz~rrJy2geM-`pq)GCVmnC+$)u_ciu{C$kIvDiqP&M1&_`nn zJdSvfeDBc2L*VDwGwv0_Q#>h>Nr|)+so}|!TW;nhl7!liqCDDW6{?YsvYAMmvJM>L z^!Y8Uf#t#=vca9;aH1o?Gar5n;{sr98!*uunDs#M2R!`o^|c*Bk69X2;4d-JQ-3 zYLvh1%nwj`iG*i;Z*8dc96IsuU!+s@iv_yueVDN-y2(gB_AfZvs0w~zdJ@SSd<31{ z5YWdKabs?7`C6HSh-PrcOsBg@b=Y|2;R6;iKCxoEiyu_{Vdge1RR}(i)ceM z^S60RbS&YGDf$H8n!suno^cHD>ZiPmf?dq_o>nS!TcDY` zD*#7Abtl{o3+w3RiaMqb1yzu%O;ZY$L^&eey&D&zk9)rMc1CHGey z(e3-U`rl_#Q@nzHP3zz)?zuF98{puCTi1JFdYH}1c{)BpJ4NzgR(rSoY$D60!$WU+ zZSL+2+|pJ(aQ0aUi5|G-&gk5IhHGAl03|dBuDM=WP4Gjxd@si=e3ahGScw)f{|_j1_|Qe{%N$^xk`E>AFTQPkf_mr>(fGK*8F!#K};*rrDs;h=Jp#P z+(mjUA2*yEf4r;@%}AJ;jKY6#|(4Ek?G;L6FecoROw45ZM514=kjiP1Ino!$0XfLg*gs( z7N}QjI^edp)hM*udXEbP=5`2w5hR&CKJ4gAD3PgdZ0gU!rv=g>TpT3P?_!C!x5tBf za~2u!8U&gspbE+1NEz76;A&oIwbx2b#zWTQs^9-p9gHbzI`NNDaP?68v-xyH>8X`}Lt;(dTy{e7a)I=miz(_+w3T}QAh2z@kSkWrL5Yr;Jh8|UN4-H)5**?KuQUQ(4pm8IgFAU@;1YCOUM$&E+`ET04ZzRTGy6aLBci7Xz|97rknf za8X@c)AdhZ?YWG|OJSd_9RdPQ7%~tbf@SV8fk62}8A58an0lLY%RJBbDEhMW#98Vr#RDuxIg zz>qhN77USrlw!y~Fy(#OZ!qNjA--;+L=zpU165nj*rLvI0bSlCEimlF(*G;p7vPIp z`oAH~NePHXrbfuePFj#d(56ru_!AgpW?r~Tw5h}35+b5wKV14)tQy573A1ou8U~pb zPNRMpff)7RQ&lFVfTg}n>Ljvw2TPQZx!M1acvmDcR{Pt`@)w)F=T zyY^P{uG4A!1MaKue`aGF#0L3q8$0VNCgT; z_&z;BF3&FUoS?MrxyFi{g9CU@OwWQe&a&bL;>4z=Zl{d`<{_SZNVh;H@p*#vG&mT1aF^vKvqmKGO;B`tpP2-iAtoG3? z@B5KGqbcVf?O+%9IIg7$VdY-ms6!+VF{sKP1es93f67a=NgX%2-oCxPw6{n5z4a(F zB43$B4GzYJYyrOLrx9xnB);oke|A)Tw-*i<3%gzIZmT^l)WllM2oh}#!L-^{LF{^c zP}ghaWt|5q7aEGfyzoeh4rTc{eH{<@qq<%@K+~)JbfM9%*9UjKHe~3=p@_#LwIy9z z>DpRu^qN3h>dPis)FnGrEicKtKM(%M`d*^bb2f3$y*;^74!3p!_QEKoknIifllFcL zFB9hVr;`oyl9T&aQN&-DkQ42MdAUQ~|Bt~Y2MZ5Gg|HX}2l_vU#VeO9EbI?))nGj= z1;~h;U;mRgnw& zoK;03*{$B$-@o&`<_b}ibg|D$PC7>FHeO%HtYrzYm9gbx5i3_dJtw~oTCmE6L*IBz zMQAz0>tapB3Jh{DW>yDa`Hyj!bAEvimhUE&M=VlMr0#xGJp7)uCR{?WInaX790n09 zU=YpkmXh3GfT=KuoaCC0xGORd+03730yiEzFcaW-x_-k1v}r4O?OWov$ISi?4~1R$ z;GNPgNJyM?!99bbJ_z%S%1Uk=XxfHVxfRTkQ5r;Iy2tF;Z&IE?anI=}Lx6m4m?t6z zi-yT|Z*LC%3N-z7CA03F3mbZ1?{Zpv$wLUI++DJjajz8Ya2C!a*;5qQg4fzVg;hCE z8d-N>DHQqO&U%u<#(j&NG+qd}86)z=hUb7wt-^pYw8hrJ@w~vSmuORJ4&c5PEMQqJ zUcb(|bv1gaqa09_@%wmF?7oKwQwhNdi+G79?v+a5@9GZeDXSCrDk<(y$ldjPVncP# zf!{W1SVlEs8K-+%7N`ecNkBrlyZm!6AW_x-vI^;-FEgG;W(=hM%PE$Ldsj#Y1Zy0n zF_v<_KxcEthm|}Hn+TaN#sGqL_K8RkdCu94_iQW0rI+!XsJt^0_H;WSB1J^U`UPr(F|r|_qj{meR;|{kJWa-e4ieg`ny;+q z-S+eC!cZ3r=Lhj{Tkx)QG?NG4WKaE&7wAZ8gN_!;Z_gm;NYxy-k=(ptX808J6L7x( zn{zsbQCiHw;|CXTr8@jZMO=xE0iWiDa$67qh6I%^*TP0oW2?2#xN3%DnEI%s@wQgt zgd+u?^6}Dt#vSJ@A0a&PrBw#NERjn;XaCZvZil!=R*<&%OCJpz0+ zG$XOKLZdVKSP1i3{t-KOJ(~?KZ>_b^koMT*EbD_-1qI(8t1poi#i7kefNYw|L}Ft%kW|Nbll~_B!rpmu1Ix@=1iK zXEtyNLnB*no4;Vqe+Ee+ckju*q~?}6)1BoKF_+j!1k7Uk#e#hKLJ>oWo=RJ@oG;jH zLnrWugP~&BTDQy);p_}=P3$?KdGze1bN9#=pym^a|FmxV1yv;W3~s(Iv1iEC1JHK+H_^brZrd<(w}-%849&2#qOsMJ^bG%O(O{P z9c?k&^pw!2GV@{%@7C&P>KXRjroynWk!ffNc|8sNb>{L4Tb)#%x7~df)jiRnp>bC7 zeqOw$=(~L8jj=>q8^%yQ7lEg&@gw5RQ`Wp5_(L73`kebiZAv-{IP0~kPZ{RTn@j8W zD)wr0KmJI1eT`O?`Y}piPLaJBXK%5{_|$a^_q?~^4q}p~Csm3m>W(N)xc_|ZDnkzH&<%v^KH=sCq z3>9*}rpiPro4~LUs#`v&SwJeI!m4ZS?;nv19aYTTBMg}vns7|}q*O&`;GTX zNT(ZxHPIou6U6eE-pg0-e%ko!E^P|oyUNBudvT?DfEjcF98t~cUt7+?+H zerhSA+xwuixdyEf6Dvek5LVhoXRPy8pJmHET7p0Uw7-S{u*nt7zltZBml%=uix}EE z3a~ppRTx_9F>ezK6i>4WA;ukJKa*7GZ zUiRcUq@qQF-SM=xjsoKG*6an}HX(~1skAK9o7Qy{?xBFYaB6NvBKy!Poth2m3h`!Q zoH8A8ujJw-iIQd?ZneAXIRTn5d4ZP?G~wa^O_Bi`VDbPaAj(9R2(&%}RPq^Gnf!bv zsE}*|2exWkx0Hka8Up@(TJb ze&kMmbAIGjc`=@2F9z`Svh-**0`M7&RcNQgyNq91WL@HQPK78-4LBNmYf$}G*y7Dj`%o$^d0fV zkeZKO`+pfyUt~egy$=s_j=DmidJ(m7Pspd*>gy4_MR+O4C&kl01}}HJ$8QmG5)6c# zzRv_lNMHoR0fGNKoK2QNiC-QRcqJeCIIb&!w2+)VXJE^p5C~nSBTh z4TM%c%O*nih-YO08om&>yGzzjy-0e`D)3e?>%oFIVd_OrI2J26k%n@7%m6El@6h_L zE&HjR{bO-11G^TB6>u!SD zwqP&i!24@tSP>yQ=r{W$u5F3*$^HnrZ?zvzT1HbCMZbFoiF!mD#1+yDGd=iMzg9B% zbHS)0Xlw={iV~&~T3VFwNTIcMaiN3nbY6=38gB}?TOo&{?`;*)*9h#a)GxuF+*oIp zqLPScyW0I2YWJ|lM;WwdA6@)lTr1T9_b9>>cH;bzG{FFBf+2@KO!J_%8?6YF~VzWY`ZfVA1?N`--z`M0?fkEg$0u%WY{g?%(7G zvfh`TgwM?wcD?~uiJEN;xB<+ITC71&pNGZ!7*U|viokZSN4^oQFZ?tgu;^q@Rlit@E2^C?&B?-w z6;h$8#=6ibX!_SZwvf3FqkSKKz8&SBh;fEB(P-}zKcpyNo)fY_2Imz+(GneFcYKAa z3uhZnyh0u=@eCN+GeQ+;2#sB2PgfCXaf-$7J6}U?oq` zFZRdei^Q}2KXyeF8p^&$;^F5pza7o-{8v7DqYt0{Qf|swP8VSN!LYvr^hH25tE1o{ zYt(-QAi0udr3g~ET>P`g04KU;HvSEBMn|fL1b58VTm>^OFKUJj749i0G=)>(_R3AeR85OH9zq7)5O4-tuX*>gA=NkX_(#GS~Ljm_z z=%}c}KY*d|jmLLpaIcfuL0ZaloK^)WNqgH#5aswzmJUQ& zz6Do-yub7hRh9{)u#$fgZla!IcQ)A^df~0}7(tr7O20LWIN0NhgsG;MtS=~;O;ep$ zz^LD{?W|yLnR^$-@s5Ce9v>>;Ojh#y^pdy-an3Xb*Kxog=e@aClZbxANdd2V+jfRr zYR>C18*@@Ec-`E0Az^ZU3RBMG4p!>hP^G(gfP%M` z6l{T8xy=Oyy@tPE+;A0M1GkZ4-YBvcn0zaB@^*4glM3ogm$E1MS?_>s?g+^`nXKNs z^#CE^AP4#-E&^NJoiBUo^#{jCJ;$w=Ny<05L ze6ttt-|QEqS+gE@D}_xf97&~&>#1JD$ke)rksV^b&sqJc)l~0;MU8*JGNhV(RZV^< zW@PVzLI02uFHD(YbREdS*H>$&yDKYhRBfugLR6=eN-c~RDx z1z9s!6=lT>vYsW2tzVo!prap6>P{uGPOo8nISD9%&q&i-t1nG~vyI$vWq{q#yXz%sR&^XS+@ zUqM8|Da}#6?le7~CE#(HK+EM(Jf#SP{@$bW=M!@JFzeecSIcl%{6o@|9^Hd?tGnf& z+|J4p9clc^sustAaS_WEiO#x$`(J-rHR|1!7=lQ=ec8qLaSt)W;>6h&bKX9$#y= zh0d3=Dz#(Ze0Tv)>=EASQLR${XPzH*v{ih5)Lpz6o*#7^@Bh2!NBwZf|4rvdeRumO z{r~TtA60dpSqu5>^P{$nH>LicJ3q?5gMx!)^=#j{?_2|RW+F>u!ZVS_Vo=Ks3{{f)`wD+|JAY*$ueZCXo_$W>2x&e>$uo*>CT&>{AF4 zNfP*U2BvQ;)45E(X>{0p&;M(#V$)@Wfc$J(sI`w1@5?Am1ckJs0h-VA5g(^kVt_<5 zU0#Djqs~w#b`@@h1`0}7d6t^PVqE=*`6M2lA)tu=SErT0& z_DpK72+4tDiTBDmgg#{5m9cI+j?Rzb)E_JLFDz@T{k&nEDVq8!j){zo~XEeu=ApUJ23Q_NU?whAU$ zrjc`EmNf3Wz%sC*j-^3J75swj2@7WITL5m+Pye&YV1ftXV{#B;Y*t z6be}}B?w((F5T6pWIi8mMckby+740fU%Rty8o-*ACi|$8sb7 z;|czVmk54d#~*K~3vw7*4bpOo6&M;`=i&xopbY_qu-CW;=;sT&@S+FkKPXpF+Q>Ef zq6g;Z-wSm}Gb^P9uUYAaAq?q@PZ*;*Uv*#JV*2hr4;&W2H58CJt})47i{+7n59cbz zB<>HqE{oOct&rP~%SHajxazW2^J83*%8iwyti-kS%G(`Ok`0#P-@mBLO58ye=3HAX z5|UGC#{&_KPG#Mjz*)gWJH1RWZ_7J-F{(Ai(Ub&-fZow5Oz3+2K8i0PtN_%l!3tLJ zM;Kd`!c51ff%yOqzQq3q5Z~umsJ_DJh})7MImj*5CUi9FT{jDW;_37>(&HS~heP@? zA6@i()VLh9B~b!Xq=H0uZT7c!qVw31Hufxp(+!U~kwrufX#9)a)wTO*d&8*i;D$u^ zxm|k(C%P-tH!%De6LRr7=g~YZ7vCSPzj5#P5`NiNujkd+vs&e(vqaw@ATv|iwDs^O zYyPuDcZbr$13SCVwNk&Q`x3Ou-6(S8;mmsOMCbUkCnD)@oD1U*(`)C9kQGJEd#{q7 zNH@whNYN-K_{8ne&$VpfM96SicY4CPL?=ZznL=D0@`boPxh+)I8A|k>JNx8NI!c9| zQKzLfY(=}!BD?wq$C}pZJqVeKXGI{WZdJc&&inLeL1-oGYk>Ae!c^<%KU=eE`5{cT zkvosqO!*)#VNRsTml6A=u>EM<-rRdB@+9U=tc-E-xYMBnPY-`w%E(1@)vw2axxthl zRUqpPjzq^cwpq8H4J@qW=lIJZmkT6-+W{$<7tRXhl*$nyXJS<-9UsE361>>tFXm%n*NH zN~UWW`JlE6ZhHv~tAMQ+$Zq%CJ~_X*s5}Q+O(`S=hR0$URj~R& z{gPm{{~#ozv8iWGHN`YWrP~8PmZ5YuTiYSv))~PjlqB6o`3=Yk$sD$KxDar^+~m8H zpf1Vp>N~nC`^EIIL5bJS4R)o+E81=Ja5Ftl<0qo!pg(Ax{o`-;q6g`B1EDF?(x%Jb zliQjPLN$bDB5lNiR%~M0^^6qeb|z!t9=cYuAzZo4j$iM^GwAi(YLi2&Rgdw?b|^ad zcxN{*$d(NfWYZ(v1i4n?0p-1UBRju2z%5HybZ5&CcwV_tGP<&LSX3!QEiHYRzxGGO#GD7 zxJ34${RK-rF>6tUHUEBj+BPVY8pBt@a_q@>It!%=tn7Hx4trrW&+JO53-!&iObVxItRhE6>Jx18nXKN;Am6VQ^ z?Q2XEfY9|8w^&*HfXaIIGwUP}*m78+8=VK=slDSM)G4eRA%R zdU_98kgs2qL_k`>p{~b<>OZ=9Sfu{_*&sK)nH^+Hi2x>hwnTvT)rIWAEo+?)88gS4 zuI1pOGR~&!UCn(pPNX%O7!zoDJZx_ZNrN8%9O)Ram2T1(=^UVvjsPm@qgOo#6-bpu z+NrHJti4c7SmfXeekit%pX{=Cq%)(7R98of6Jw{fst^M!q_{QzcR(@Gce<5)2C7Qz zVY7T4W~y@oM=FK(hU>Ws?lR5~N$jh)QWJS#k+Amw-dYaL1a$c%k;TyMDs$>6+wxX; zY+7L0QY9NEq0rtkulu3;U0fgqk-{VGI(^$jh=<|C)mT;C;i1T@Gs61kG;`M!r?vw2*4g}})E~tV~^oPWEhww4>T6)|= zW&QQLXYC5D_&(=$FR=T%`i~Cn%G&F?_6*|Cqvz}mU3-q+)sHvM9xVDd7-M&vr2Ydr z7IX5VIgci~>f-5%752gEC}7(WI-z(~5-N2FBU$e(7e*-jl+arfHyxD*o=v=6ZEK#D z8YPDpMncLj>kH|S(eozuP|MXb~t(z9~ysOMA? zBUuk$WWw+oS*bIP+@2($hiprp3LY&O+hHqL_{VHhd;j94+tIqA)i7Ez4y8#q|aVHrf_O;eBf*Phu2} z_3!{qwr&wD3)}Y!?ZdaK_-W)02YazJ%&|4>rE?aG>Dc>aqYn|rogBk3J!=-nP-Fdj zHw9&xAzZ(wWh-kb+8<}RKtZlJ-uA&K{k_ln_{>ymTaLB`iNSHCt)1t|bwJg!1I4hm zLPcu`oksJ#M*3v99J0MU*459IFMWeC@5MBJonFDs1qlUVwlJ`${jARL9@u-#itO}H z%Q`{~-m*`e4uvG16A2zSBmkDozaORwxmR1s|KiC^w#f2j!rYsb8lV8Jc}I5S{Upkb zXOBqx20z??<2wT{v8!uTwKnw3=xPNp&T_#7fzJl~%I@EpJhg|QfwpNPbxLWG-G+%cJi+&z|^IV0=t8qMd(ABz*JV@%gqh^VG=ZuFg$3vHc;9r@5 z(S19i%kkB2llDY38Q^&t5~9%Jn@_E=rdQTT>%f!U6WJI4N`>`Xtkf4-5Ra67E3KpGpKN%999gO7)tGenw39iNB%j;%xD#yk~2FPe^W z5pK&^lc%pmqB%Kwno20~IP&c-X6g=N(15pz#Langw8_6P&(ajPu6jPC?lScg-MER% zgmrxC)ybZDx-OZ8xVg><%a#X$iXfw&&R3mpH)4O3t(RuMtj#tA*z+0-J{L>$54Y~( z_+-JXR0ya?ZEi4~iR7HNH=d66arNj2vHG1ieaSr()TY0g!A4}hS3$BhuU`b<;6z`2 z^IK@i_F(tDJ{eX6c9QUbu@S703&8?s@{&yC&Zz*}=&d|(g=ot#@hI?}(YjO*hA`yI zk!c}+Abo(XYLxp`#U)X8(VNvBqv=)~7sm-T2{#bU(b2`J%;Xnce@#9ffFyWMmK=zVSYNH?hs<0e8tI14aWS-_lrxYi}bs^ z&MG6ZpF-L57@Y83v@7LW_C4~$=H%W(JUo2}b`d~HV+(RcsQ%^IXBf@yXi;vzPkg6p zbrm%&&xR6mRKzoZUcL9l?^ermrXKr+xc!=^%6W>Nr(KHM$D|hi}1NR?nmI$w>Ng6nIg_8q4er zT#~R@ddWqj2ra>5Y%2TNkTbg7X2%WJ3Dozsyc65?l+z)?EF9c)VY*^bh&#Eh_Rq)2 zk0dwGtO>R5nbUj}k1cWw>ttxnrSZ+-`b{@g%8Aepbc7(4c?I|e|L`K(>q1V&Eg_p_ zk}T4&=SJ*P9e!ha*j)Dmga3u_dk!tcI~&Bn?_A~Ktug|ZW0GTdE##7Okq7(p+W8n* zdao9I+vByn)KQ`J_;R>>q38vKLR9%T5gDJW_*m@@8H)QZ&E>{Z!i~@VPh4ZytNYE2 z*W(5b+c=HEisfpx1?ZvEvP9J1E8#c9@hox*3|2w)JUtuJH61N$Og9f6WGlmPPh~%o z`cWP2R5tu;)EC2hvtxL6zu6}R%=WQ!r44&iXr(wcqPw1t9z*o+1}>b%wSlkX9V~X< zL-*s_*jAS$$TyGcQR6LM~l z*1NV|Mk1t5HA0)kSj;H}=JlL{8yjs+it<~~)J;m9{sS+birNJHCQQy@Uz)y&W zHqz!+AxHPq*M9hWF#2l}m7{KoHR#Zx%-~VLKuohEIulz5;UOrGEMgl)WKqkIOk@dp z7(T++(!&q0SC@^I?vQQGoQ6|Ha(RdRjoIs>i-OM)8a+tD81JNjBX%sexkiD-QdTSv zCoPU97fbAB^>HbwaQy{1^!9BRXAU}Mni9g}CnRoO*GI;iWK2kh*KO}TRQX=qVV_Qx z!`H#N_2k81KrP$cOZiOmk#i&H3qun0$va&V*Dt~z{_!xp7FBKp)ymK#vlehKLR(dR zbET|EuwM|RGiSe~WNy$q#pUMBh^U}AF2>Lf(odQbO%&hs3UE=@{e|ASS}1NmYA~7C zz%B%p8c*IL2!<&an2o5vc(`@jnYvzaUZr$G<6St3X8w(u$OYWG0z%X-Auz0e=(>c= zeD-&yYi*&2m_y+Q(ekfV#?v>jK;h~Fg_D{|-&lhDppl!1Cpg18(UN>m_0>Fw{sCpH zpZZdLgYvWDrDjh9d2oiT_^8j)H#kRDJk%%Y8=NI8{^_H5fp{Q5G%N?nv;HFy?S_BX&-D{2(q2 zv~D{GAREynj8*}+g!nY= z0bYGk7I!#r_dR@;=UT}hiVXm7)O+G%yIzPLgZpn2zFP4Lw|tN1&@zA`9^sbD^i4dy zc!OJF`UX(M6WlUZ-vEkufm=@2H-I7@;FhC#@u|($> z&j}n0u3LF7T@7;=58*?Y*b^DQm$W`4XL?-$e#&wk%pn%UmfMj%DZ$$4jP{c{RVnY4sPU~lXfvvQlde2%mq5kIW z+owP1{+bj-AoWEZFs`Ll4O4IF%`AmwPo-t|R_YzlvoZWGQ8krgxyL|Wh4Nlbttir~ zE~LPJ>xSt5ZLUUNd2Qx9<2poR#*-|(v{<$l=*qgV;J(0qdE>qQzLoqt;ACINZY(OH zX(Ke5Q8wa6sD5?x{_u0(_ws|H>rRa8<{T8T(AEA=^3q)xUE z`J$TAl@VEycnfTaKDEtizr9FYyQdKnS|r2L<0OTPm}On1Pbkr5IoXJ%rp+RbdGxawV)c(@-HZij#5}u1R+_#%Ccyucm(Q7!0Gp&IY4GYoyhJ z_IuHMO%vP)ID3go>#n!UbL%=|qKS;G>u5Y;ynaVZuPfY+;PB5Fvo(J(WmHf=rY1_! zNQmtHDi3t|GVZjr8Rd{Abi{_>HafvZShXxuTB-3OTD-Vt19cV$=2LgKQHGE7Kxf?cr#T1VWrv?Y`%%iIHCRtSwyaFZKA zGdG^J`sJdZSjivL9t-^y30-a}^Hdx6Y;=58v0$Mt4fJwAzq;AFGnT#-Emd?&1AL(f+4$ny z-Bz+!TE>^ye}AQ!Y49ufq$`(MBLGGy21o4KdqbHr(JHO^ckmZiuFMZ^KPiy@Nm(X* zOQnz)@mgC*NkkTvu^=fcwpMbResCVw0cvR?$i^QhF@K3Z^wV-(#4?HO^P6 zj@;>fL+H)0I~g^d5za@7FpvgG399ECE5tn&;K+&wyXDDrzo`ZFl0JHY5afSDL-YV? z6-9|Crk@UTB|N|Q{Or;~(}%eiJeQAZ@^#3{b#NCW8xwvcQ)(eB!#!URFjL&_qy{dD z^cp_Qm1DzHQ%{}8ESN6W^Tgh1jC$OzC-D+WoKt76CrjKbV`fRn9S-v>t-4_fLjv_= zNUp_BN$&N=EtmbTW4sXdrWfec@A%VTwW9);#AUUbVnyp)P(5IAujiXw`Rw7Dy?Vd6 zdix|w(tY{a!u(x5rTHI_zh7@lCm=ve19t4~YH$BfC(!bg1!S#s>}me|w-uiX3Ob`u zW!+bxS@p)cQ~7Y<@U$Nf)Y=`L?v?U|tjPcff9e}eS#S0UVsis@(d_JaL3Ak}#@Tgq3Pdx;28`DzF$n4ThLVEfPvv<2???^TMa6{mNj=_~ZfTq>? zjMd~$Ce2rkQr}_Vx=x?EjHgv1%GNBCNJ0&GQ2dJ#440^c#_^?Yntn(u(KXz? zI|sOO9oG6-diDsj|0-yl6S%nUDyTv1ujZDp|bbJ<|4 zzmg-o!z{=PyKuf9(4|y`kNE* zpsa5`C+eI&=uV!SUSw6uJ_&X{{1v$*n>_;8aovMl!|mzAna+*0dUYniGC^Pkk1Xb_ z5hd#(q0y*)Nlj(;S6omp_J@7Iz0v^3A_#l%ljTp{IhIn8MnIMDaonlrS_6- z=`$Om_UamuC{Du^>RC;lR(s1Z!lTO?+8(G`B3~wNlMy%sZa+}dNX4txiajm)^3`J?FTGvjk`r+3h=0H!ck6SyGCpugpMZ>+h=PW$^!}kDU_@L2>xoq^YP*P{kJmJ0f5xT) zRJV3B8Dx^kE;(>MUx?MVQ7>jkXh~B7R4d%v{5(JLbh2h6$hC6o z850AI=>>vlbKy`HD&pJKviWFe2ELe>Rf%`46I5MCffcQ1aB223!qs|lhm<(Td2c$t+(;_)jUPtYro*{KJTjxxlW@*q${S#IicJ9<+>50PIKm&=&Pu4s} zb@DH+bC^Z>mhwD9_2 zt1yH5nL}YcBZ>7Md53n=xmAD0<51t1TAvIUqtJu&11xP}4~tVW`=_=gzTq6i8rfTh6=E5dk1I$Ead}-@a*$}b9r7` z)f}Qb(yn+8e?~Was?4u)23h6I463G#zs4ymr1|+}30EsX?L1<@3ceuA41;9m{R*6x zN^iJ{p2H<(<8i2Y|CWCXF7Cg+YNdXH3A8gZtU8iz8Psx>1nXRpm_?YzWY9pm0$&cm z-5Q&E^C24BN$yv-Lyxokas12=fC6(V|%qp}TB zs4Z=^v(YbO7KnXeWhKVYkNwt`ceZrJ*sNzivX~dCs%SdVr?u*qU zhz;JxtqZs3yDCR)V~IC6#?s$HTyKlmuSm~^8va7O6b#5RX1?DqD{&5MeI@;Y?X_&A zKN0(1bSVmLOUu#nJC#=A!AB`DJDO`Zc`8-NJpzJ5sv7$2$;{%m+j6rAN$3RX`v2Ea z)I()!!L~$?m9On^&?>(eHUVKZ0b#X~7;TxpQLs|8C20b#TPt-CmGJFjG_AhKcPG9r|UX{hpO92fPvc z)keFAfG{pW0Wti#h&{+tITVQPzd=R!wzQvS-EslLE6ipSR)Mdl{R+0P%%n)$ zh}?Q_`tmaOj6Ty|PFaFWWv%a&1xCFn{*`-lt|2+P7`Gp&S-@`?9F;DIod?V>?@M_{ zlv*J!Sr&1cyq|i7+aczcP=~J`!DO(w{Wlb)GWQ7*RFM}O0^ZqnYfUR(WPiPKFLs@I z5uTbeIg_2Rga<`~bz6a!uitiWAtk5I{YmKgf2Fj+l3eE%q-;ivN(2;%; zg67eab&OS%0}=4?roU(**|zlwL-rH{5!h@%-doo)!t>*^ExAwDcw&id6@&ydzYop{ zx#8;hfZ_NoKvvqlD&s-DnLGUtstvV{8!~3j*R0f^c=1FR5tDMuY5j&dr+IbZqxd#r zk898_8G?7)^ejDoBNwLYrmgBnQaO}8(~&M26f*)y zPNI`Fhp@fJy@1+4uA~gpM+U);0xVE(k>btCf+hvJ5MUAHai6BL&$ioJhPg>j;_&c9 zb(T?`?9OM_cdnhp&4$5lcU%t78J#GlVdpQNR%+BnRTy(>B1@O(i$+h34P6T_HEJb_ zDGC@}6VyeQ%-)1O9Y^ij$h+vBo&ZMns+zk>)K?Fs(PCL)ddi0fQJ}G|cYQq;PU-2f zv9#<>e}zcPn@+=s&%sa6Zbt_NU%6qzsGu`Y2VP)B8HJ>Zz3IPorEBmBImF$GR0kjx%5{;Jf=3^IO0Y z6SA=G9ouN!-`li|eqUJbOYo8+H~HLrIrxC;UC{Ady5~!Z{Dzb)jTCQ4QGAj8DCIcz zeR#r4wH~k^<~u+=QIzG9?I;g2E)UxU1Q!kX-S2QM7l#G*CiqS?H!Imd4+NE@>4-?X z)1{84_kDsvyU2b4@{UT7FSnHThs!>8KgUN4&KAg9^N*wa$%A+t0QH}zd~W{97j`56 z+&4k@u>GV@$>CtXr}emxl4JP!XHe}82~W}RN!N{_<9qZ|IB!Rz2p7fY%mYaVDEAzk zu4rA4W1R;oH;5@H!hscgj{O9|2b%`YnAH7NXi+YD#3hkxe|q12#)75<_pzBS7;wIw9+TTHq$Bh z0y^S%-0vs@tf1fSNb;=Ik41&>u)_bkRLv-(r2AW>*#E%(TLP0GXe{o>M8g!0;g@J- zR=YvRf^FFDu?!`&>t(;U1-wW)eG{wg*h?73g7wHPEI1T=v6&9b@h1~+ytDZx$;}~w zu@)S2V#4%m)G{&tu-s`0&1>Chh#mN8B^xMc!SFBlcM<@U$t)4|2{eF-vRols{`o&= zN_1{U7BE;t<2EHQNX;<%9$-_z~YmgsZv_Hp>yxfpb5jy zZ(uD3$D`ANH{*Le>!yw?<-s#>n!gEir*?6RP7~&SI(*7A)!^{|CHhm@i*8Wr5fkVc zu`_?4p8}M_$${yo7Qmh(C1i_|K&^LkN#*Nid6oD6&Rd`vT&W8jE$iKJH03W#4CoYi zaflYp`vuTqbiCSKu?cqrYV2*oBpjfc5@IgPm{IoFDa0po?NAC{`@JGx)vYg@ooCaMJ#I%pcmr|lQ_5RHO z>Ox77%H5@?oSN4AE0Zuz!{fs!!|B-%PPj<5G)|4*QXO;rjO# z7$e1qUp(I12QiY5(8-F{>sX)L!Z@%9)fLaKYjiCZESAogfK-atj1fN2z@41p!+(+- zkwww|tyb_SA6UT~U$cVOZnA>k?XrTG-){xK{yQr;;pbLx{M;zk^D8W4b6OnlSjKB18#O zN2Os?Bm1n(A?|i+lB5viV5hc_C`);ysl**mM3QceLl4Fv)uTzBd7U&SZmsuFxib1j z;eMxlX$4WZ)#6rt!%CifK8-qQQ};y#v)eDDStvLr8WnbbJ%iF2VdsUy9Y-UjTxXd)#oakSRHS5nRqrFjMU)eU%$g&K(U?_@@;>-x=L?!pIMi|=J)5_wo z$zfv$J>r_dtN6+G-L0|zId=q4tAzG=d9zd zM5%=#xD8D40wKiYA*2|1=(H1rr_*#oV6PO)y;EuY(aj9#4F+{_Ls8kI9V z6%`3rzL9pCnuqcCik6E_Ib6fX@qV#&OP0oZ2fe%{v>Ij*n3@{y*eYU z1UR2S@bTx-!udZJ#!xu_F_}px#H8=t8J<~I-LgTx;%FElzpL4hm^_0f@c7C!e8@4s zGAN%oezq)hWm_V!_|l4FIp_dWw(NIX&5 z`#8UXF!pkpA0S|^{Fhp7uLNRMupc|1Sed?Q}FDpT&Jtl*Km zO~~5dKU}cC=9!sQEQ6Z}6sN;LXN=P!jEQZZ@sL>BAe6kzKhLY$DuXOwIc@_Y)_mpF z<;`BI|LU+EXkH=vjs!nUeO8+UCPkfJi0S2wufXZdR*>;<`ff?jjIWgX6KDVNrW3fY z@^jn!4Vaw<~ohzAJv&S`-l4Vuz<374{qHvy?JQso-3>rJ8$~u>U3)K zk7?WeHmODZDEIpz9yYJ*^tpA;APn{lp&bNBz}FaI=lka+TYO?n+y;jHs`qHppFm zsO3$N;vrd<@(HW^a*;aAwUnI_2`Pe?!dJ`e8JQDhr|jowKG)$DL`8v3QHsg#2549w zTVlkmN(bc-k<`t{6?O4Y81<)hQCsCgTpFi~I3%USmw0Fmh1$81wF-|Sz% zTHLHkO;d003e(T4!SwtG4;smplY5joj=lDbMdY6mRxhHa_M{$?0dtTIKZc}bJb>L3 z@3QwSU+oVYiu*yeBc(!)cfjw++sRgP7EeSt^HLYmowio$LCxF;T<_VUJd{4#Dx_o21E-r3NL@$D?`3R`XQ-7Y0Iu}gC%Q=(Ney9LUp)%cH zgFY8Y$s2kke`EIJi4J+-KJIhqgTb3diT_ZgjnjG}_KvRX5U^Bq#elUiRmX3&{x$NA z&G*fxGOYgQlT2Og7j3`~9YR6Y1-p57dvBY@YNOWtvq(T7G-wY#YP7fLX7!g~V{9V_ zYA>+5t2G`)q>L|eM1n9I*}c0Yc2}R{V>_e{=FLjJ%s1!tRMd-nmsyh~+vExvlTxpN zK{Va6lry8fst5_4M#;IawKA{S(H9t&K?=d4lEF5JW%03%OReMt{Dg+WI1)n6H{j3+ z)5yD4>f(b6$gdiFBQD*L8o^li9$Q3e+o8^ZNzIwOENWLJRCJV~GhKo!t_Th3BM2#z zCCuAxrh@__aD?{r=y4E&zEHGN#^7RVE@5W8oh)UoJ5tMJgsKhxy+j+-nG>{9b?lHnHbNR`NGrh8OPn0Y1taZLY4RQLr-_^-(%n zM|w~AAh~#uh2NY`I(S@h$348Z=09316}?9+TNh6rOnQ_wzh@AZ?uk(ov!CP|EdYet z*&Vh&D8}1PN>r!hua1wSC1iZqL+eNa(K!Q=ZRvtfGcJ$SzZ$aze;C6BZ8hhx{ZM$z zs7|=&U7hR>Bf4qy89F{D;9IAIxGWjO#zxSs%Nz3Jh}kS82px!mMQbO1QBeP?mHgW&fx!9nMLOaQ)BPbFG|%iY z9JF#Pb%0`FhY$m1p~`Ttp6BQgroPtRR_jVPVAz8d5LuzIQozZBhOB% zx$q>SSDIf>ZN*`m zJWIOGluFhjCP<22hZGU+-BVG9Hgur9uJ@TD`pt_G2^Mr8V4*B=kIc|+Q>@HWdW_JK zP8Lx6EtD>*EuRn947gLt&oQXKk&@E~Y5`Slrmq`aG}F;f(@lUm7OMm~R=JOE|J0^+ zAieNL54A8jIC2O)%{91wQc;7y=XF5T3fq{-rcL*98Wj89I4&?Q#WE4&5^CsSoQ?Gv z_MOJ;kGPT@pb7)pd|q4pY>}WL+0`vfQT!r#-m>MwJWYzd8&gMr2K;%?l^u0@K7%I+ zQ{N?_wL|%cm7L5AC?Ud+X7r5PIT7nZw(1Sx5<9DXW2pY=mhW7c9(Jz3{!RC+ubl&EvR4r__#?F+Roh$G6jZ8Zi)%Zd5EABeJ1IP5~> zLgH00NU#|C*!h5pC;Ew;NiVw4{Fl+W^YuJ?+Wz&pyI5(m+P8}*MV&#}Tg++j+^DGuP`A;xzw7HoqC2H1V7o(r8&R5#H2gy zRo|q$ndb6cyAEx$uxo`-Up_#6xhY)xiZ_K{%~y8dXHNZD&PZk@IF;9k-%%$yu|(zGxTclaEzTLGy_mxR8=B z{bq!zvRT7xsrf)pT|+DLY!Box=EpNE^r7&WO4C(d-EuO_>w}^MSH?IIBK5i?%gv?> zXA0gK#vaupTszcOt2T{MkreRSmolNm49p1R;{+x zs;vT|LJ}YWROBJ=qKJw!EFy|RKq3F{xihnm5bWdEAD<7|nLGD+?z!ijd(OG%78(bQ zRmMTtM#Sc_D~vYxon)~ADKs{ub_k@8g}hgle_~w^#2q|SF;v9rCx#9)@XOb*uUN-p zrqq+_Q~5r#FNxL&nIuOi_^3^4imcB#p&s(RCV~T?7tRB>Ce|stT`k%fv#2c0aGxVL zxCHY8YAt40D~%5>DMMR6bUk!0A>_FsVus(X;>>FHExNV;YnP^f^X+3)?!;&a5AdM+YX#i>-OW3 zdRkH&Y|LhZBFgP-(Rn3Xi8R$8nyWeZ6BeqrsXm$m#PkMw>{cB6geBuv6nl=BqplZ4 z>k{e|HZ!f(%L>-Z9fii1#tP$0giM0r7E#+lwO;B9jg_e#_JplOPwGq<3&$M4L*h%w z>Tp%M1VgU#XmhXdSgYjdv$4m~)3Va+FPD3)xqQjeul55%NA6bdmJ@uge)WBJdRg4? zdDwK@aB00;jnh=lZuRF?kV1zHx!TktcdP9)yVYUTV2}NPj594WzM<#h18YoBMlsQ$ z%CKVFBIEf=8z-B-8QTSuqwY3iCMsBoz>YBg1mNKzi|a(GK4X2mE}$CAwZ1jk*0=C- zBofSyMYLc&_(P$aSxSJ1S!)^Ifo_{kZ5pg6ot6XE5_c*_WnZAI z$V}P&t)#oEaC$QjG!(g(B zM@Uwwvq)l#QPdxG%_~EI%OlmA=QszB=YkGmvbdb4u~eiiq5V)SOriK7sPNv_4iG;J zHO;DQ)a+mbH9dAm60+^c%PrYKG8gDdBJ|x^Bv6p|8x;pawKrBk&USxd`Epc?)}JL? z`nWe-JJ0ICg{QXO8668=t1$zgwVDIyVQP5L=H79*vSOt+^GZ+$uUX2s3B?bn|P6+9=%lw392#cQ@DtuT7U=J z6^T;8KM<@to}Hlz;h#F$`AGK$E4t~gIA4o@#U4uVN%s*&rfK>X-M2xDZzVBcYzwM; zTO_7^=q&gUW-ecY7O&)4N{A{#i}jbc#AWC#FGFW}DFq#mgrGs9Xe(1hYfq~|-VtP< z`>4QWXvLWGq0tKjV(6B`h{jB5{7<|qJ!PDlUA2d`!j@lKW6?1pCO8s=yE&Wx9em3A zvvM|7C;StxEM}01$}j&Dx<}|g+c=@>kB^G5Wh{N#x%r*ZRhOs(y$iD z3N-C&(CwMP=>nFR4R87)B$UX%eFL?p*hN@ywcXG2*|2obef3)GYgnR8 zkJ_fcN67X}haNa$hQ5Xn$mGiw)L&6h9(f4n3gSt-IDP!Xc^bX-DDza!a*XZYDuk4U z^!L9DTppEL8{4tK8$1~v4dP0=7W)Jy>w@3FyUC}Q9o7e_dC+1_d~7AsJ~twJmzSQ_ z->PaHi*kEw7O$?#+I6QAOppO;bD>ua*0F60^l81GlC7^MNRx#16!qTjHr0FP6~CrG zSsQ{JX`6w`j>kGdf($$KHNL2WqolKKOEwN&iGZA$vbzSg!XZ{~md?B<)v*{dgw@v8 zYVk|)ULcZ@*nNZ;hR3nTt2W-}uB(g{=f0l^MI<1YL=?t|qm;8tgeroyb$&u<#%Evy z79x8Wzb;{oyyZ~qYGb?K*yK09(>Xm4EQLF)gMx^fRyD`IOBt=Ij88+xVuDGgPPh4* zR5i4=;C#-@wzHdU@<{1flt$Yd`B0znt*`q7$@dU$IZai_P#pjkj#2}mlPN~9Nn)Y#Nj|7rJT9C9O_YJ?4s+{##Z*vvwn{i zl3;W;5BFHBRUnx!9F>6aT1}=wvs1HjC$(#0v8r#j0FFWug*%W786W$NB`)(%pkb1| zm^%D$yBL3jiN$Z=iKQbOS-6(~X^jJ7_^}P;40z9Q^a}q$`2G~OsdkkA41C8t_P>I! zp(3Fzx26bIf6os46*w-aB`~qxqmXTz$+ zHvAxaiLJDa6ooEhWVRepX|>pY@iF)>0$x#A>C;2WyFB)Cbu9CoLB}daGZ!lROspL_ zGwZjwB6s~d`ZJKwbznfv{oY0>aPneLy5ob9b77k8F036t1WO_&WZSZg-b#xdhH4<{ zb#&}sm;u@F6Q+-X9mt$0&?(*#j`B`1QsLkz0R+o?2OP4F@GCkf)kF9Db zz(4NT?$f`gV??s261#JsuFvY6gmcR3+#Ftygy*KZO!qcz+q``1rY#lLJVE(3mWQJY zvKrYx$Vne-ECL|A9m7_A*!Ko#GxNY7V-=ep9Ja*U)|NJ{I4VbB*0cIB8^lw{Z1-lf zT#nrC+55ar)p*1me4h>_{o=$e607xJvJL(BwU^;8qPhIs(Om94QXoE2M$m>pjHg3V zHsRKfHp?13Vq^Dv#Ot*Fs1K3o(wOoZ*qk*PdtrA+P6k-*8gM6I%(Wg}BQ=QlL~N)> zx6p3iX4*wUw;mJ`Ki5|&QGi=BJ|h>P28lM~MREoY=rM$H*?TV`RocZXp$#W#a~lG_ zZEy-Ugno9e{-hs&NNv_c-j%FYa{&}hP6=j6O%dO6#7+2m>aVWM<1#Jd!~fOXXt8TJ z)s&-IP6P2pI*mi3bZ{c#vVnNP=OMQ)iv2GdIYewt}ykP zJDwlnKb5BD@fHQM&>a+ zqy??a|5>dDlM~s6Dlaz2k&mUZkP!euu^8ow*k)>#ghO?VL_Aww z)U}}>>d9dl^>|n$#T~Y!coO)gB$@rLq^Ne3=99BTHLji=!S8d(N5+;V!?sn7vXwb@ z73=&pHWe=J#DAYl$fvbQ$ZcoXJgTy`(+{D_&L&@xOl{^>%1`A8sw^(W*>bjZ7n&VC zIk=;38JN+cj0M`TrhjA{SRoiGw+K3$X%WZ3tIB52xDl2 zz+R#(YDduB0HpS)t4p0!$kEgptI^KiCDyFf%9;f~IH33es*eq+oxHSy-3vmlmtp8~ zIhta4*1NLwkK_X5*Gg}cx=(Sd2hhN!C-VbD{45$apVzi&n4z@j)?%fB5xX6-`bq@B ztio4)4<;x!wN*BrN8^+KjMbtiYXDRdE1zw($mYVkgc%*J_WuCXPlw#M0d=n;Jgx|h zl&eJODO^d4XqKjl;_0X4av>cvl#keSXs}HfYxtOfIrCp*;g4o>4HH5qI5?loT z|RBDNEJK#K7TDfrvg!^Xu&a3?m>iou?G59N4AHOT{{M692AOCtqt7} zOb*Qu%52Z68V&FZsrVw73b(zd9&3mxB-@WEcQfs5FI11LtC?f=wGF zJTv1LG1zQLhO)Ldj&tr&Sk0{4RFO2ERT(RtiFcfKt>Ge-rhN~b&YQPmaE&&z{a)g9 z%-Qp6@pq7x+mIAa;-Z<@ZFE?A%CRS#KbcppK=U=o*1W`yeOn~%FFaU~&q{Gr>O-?r zx*07Vgk?At``^SInnzsMG5lCtS5Qp+UU!`>niz${q81TkwB29%8B5b1>v{%byLA$h zN<2HQ_Mp1*?39baaqhHI$nDbfgB(z?M;JD&&$rr%cc&Az*hD75nkgTSMjehK@eF6_ zw3c`<9ZL@#EgqMTum(gWd8F#lJac(kS?C%CtrqOV7e_5L~J5qnEM< zl;48r@|-z!7Hzfhsd*rF2ycZP2ZmZD3LV8srcD(7XQ2JgnQcP*bPTv{Aj01eCPWH# zK&`ZvNTC~#DuwEuWtfbyl@xk13oPgs$j_KR+duW40O4yJ#U|+4E^PisoN89d0i<*CR z`Y6q3F63}Xk!8J0@3IYj3&hGd-soqk-B6aKxf5cSmNXNzlO-%(ubOS+44tcT2(V>7 zqd|59Sq2*G5!^NYwRzwyAkuuA>Np$Mf31#xW*vRkwwmd;LWZ+oQVJXU&o}-aPK<3J z(hpaP%w!ukiSQJkfQYOtRn?1aIOA)lTF9D5_pWx|jlv0RZpzs>Jwfn@-8tTkX*-*} zKfb{3wC&%Rmh>E*i^>vy&S@1+E`tb)ZA0}2+lg+p*OQ$Kts8}9{>LfC4YCP2Qkl7} zZ3W=4ul_IkP}z1L)+_$(V2PR(pkcfH5&NPsP}q{^xT9L{*U>LS9n5klNytcHd3L<> zj02ohfuL}S08SZ_H+lfI|7YwnYTUzBn|ZPBlW#{#E7Si^i1k}gxi&z5yfkKyC1f06 z9Q2)`SQm(7l`VfMscVtQZ%Tp`q)EJJuPJ2_=A@cna-jC~VB#KSG!CK{N*46QA0&KH z)@DsELJubV_<8v8^N6r}aW@Y^1EqB0x3W%xhm3DiPlS?# zf0)L3$831m)cdr#R`0;xh_w`N!>-*`Ft4NH^grIfqu5~ct|%}rhdV{`%T?9yV5znN z;`0^#IYRRLy+GcEuyfX4PTE_yFC_V&6e6aHzU(vGo%CwdmaY`YjOGdvhs+zfexj8W zD2`}tpx@}WMTqiE(yybiZyR{Fip=rZ6s^$hg~nHCg}!31m8}(8f23Au@_;sU6AL${ zA(RPV;M}rd{rU~*Pew2FKa%-q{rfk?%gI-?F`YX(!jRqYb<5do$_C!*gDk(`mvEu< ziBxOiQj%`UNxGJ#?DD^+KCEiLq43dmXVz3j2{VJeCS`AM+gQ0;EQTO>KSe+%+;wIE6+TjP=0B9iTFxEO@u5pTYy{Y~-W{u}IC9Y7QP!Y!>3pYMJM*G+W@mGR{3F@!hIjl+27t~` z)3&x=PfTiK>vf8(ewn1~b04;OiX+GZ5Eq+!ru&CXIE4=mh;8c>lvrhUeLo_v1D!yk zUBIY|HWz5Ir-8pe`c8{AmJc-OYBQFvb3x=veep*{p=Hx|$GbKI<}+jyk^!@7(~R47 zxG+|UTC7}V{N}kf`ka@$P$&H$-YoT(k$9ovzm8x2*v@0Vw+~yUTyHq@Q<=oQLN!v*@n1V$k1#6x=%`&BjMy^|jOYtIB|NzTL)va@ z7wwWRYNHEGR8|eY$~J4;P1B|hb@8cvidJ;qwd1`WE%x_UX(??eeH;lEnN>EM z$~PB)iOh-+Ku9%ZKf(6Ro_|=9lmqy6-T!BpgJG^%ZiRP_3(>O%u31qiS#s zGkaMduB@Nis2r%5M(@(%w^M{=CzXm~-ErFrUr*g)G@?K16aH6h5DJ)|b3N7ro7+N! zbFo6(n)Oy(G=4ga^9UAwp;Yp(qi;qN8$MRCE78K52W~s0SoCm22~gDh<9lvj2!%nK zb^l-a;Bj@n-)k4ns0zc!Lxg1bYMp#$KCD{#)QXWLtqgNp;n2?6q**1ZmAZeQivCWh zDCSJpP5?R zmU9`F`KMdc)jLcJU657sff}nTgH+*tkYg*;xEFx2F5GH^=1lrUu?e%S6e+kCIMPz? zX4*Xbj#~{q5&_9+(ba#&uWDpvEiNIkRkwYzmep^RDxx&Li{>Ub`G_0qC@+Du#6)V#Bn(5;HaUCT14qoARp~SP& z$J7Ag)d}AU5+}A$o13rP#0hDo&0XeOt;I#?mH=q8@_CT^k!l9zg~v$HX!JEimc646 zbC0tW&G#{?%5raT`}0z@vCD5PZB1Hf#*&czj9HCUIclA3Q~vaN=2+5Px(@zba!}+QauQbr4FKVu#ljRJcqF zhGoxbKz(<)(jwlnxsv!|3g@xDqBS+3bz+)78v}O8_wA%h{g`9IU15jpUZjm;zQXx}?yBM*MM_6d!jRaDWhXnRLSep?#3rLDnkV<&C#$`O4`l)XGNE&Z$ne z1$=RN(;jS)c}~U!1vA*q3X_SUa`|zPnvF%vbnW6tmyr}o46;#fncufki$|y=jdGEb zExeWUh({4$bMIgWhp_LGmcb(}x(tM1^n~2XMn(eO?-X1N_`VF+3D`H0O~8JYoP`V* z(W=}zEHE|WM9&T-@5Cgq|IYI`$)?Ti&nJhdnK$s&Az*SpPSCgEjt_8-V>PDQ>17+$ zQSzmD0Gww-zZCHj*+LDQ9=Q{NHayzs!XH^jzH?tA(26PmdaOV5OtbdJ)2wfDh-afs zJGFQ(kpS#%U|Lj`1<{jq2eR5o1yl#k4fQhpNgIWjYMDa6v7Yr|D1U835elmXK88Zn zTCY0rv6HdN_}W2+nzw|UAzzlTQiL~=@8V?F3mgfGg=YJ)RvRhtW932u0_z2hmBeEN z%I&pTg-Q~YkUv`dJ7zDvzHXJNL;`fr)XC8Y)}I!e$7H!;@}0eN7nOt&k}JBFwoCms zdnH>J(o4m$)vm))_Oyz=^9%bj=!_Ssqw~piAC3G!2hapHaO6^sf>asnvjES*mB{II z)}}LGiW`Wfj;7jjterpgk?vFQs^(@)?s~GFCbZ}$4BRFm5-%}J$JUBnr=DxAW0NbL zjIBZA9XVM;^n;M^S#?Gv`jk}Zghg<*7aZv^pI22V{+n7EoN-9pfL@nBNGb1f3pFiP zGNG&<*DEM3O{jQEcak+Hx>4JJz2y2V!6G}Zk^^7~Rdk#}uv~~*uSw&r?E_2MCSQ~s z?3&lVN2<+q);V4k<^m5T+2?k;)>e)DX?(hyV3kS(0K(I)6KGRp&kL8hVv82{Nm{El zXO*gqcA*b&jZstb4zt3PST|A?lkLp3?Q5dKBz^rNRoRDEf`&wrE+K$LDwBbWQA1_> z2tskbwOq0Sua8)m-$SIWlU3v2T7TeISmH$5b{V<#HF|->Yjvy%iFNj(5NW%yenJQh zC0`)KAr>i8W&Bnax$&CtM3|097W7ucjS-2*`);F*y_FhaJbsSQcyr?QLhmn|O~8U_xa(B=C`+y6*(leBO_|N zg4&`6cOD#h*p>g{pZ=N7wVsvtNhF7~s2fD$`amz+JR%ur*He#f+oP8)2_+rz8+piF ze2t)i+Ko5|NjYh3zDR1LlBCWNP(oq~qqZMbFnVZ|7XO4qsH4rd6hvuIK`)`8XKfJE z()VQGn4l8ifQR*GWro7lPA$zGeTuoH-j;`&9KK`kIGB$b=0l5*z@I=l2fK&J(A{zt zFHM|;>RNgOfXLFWO{^1O73^b9n}cHEu; zJK}4)ZEJ~qN*8u5;9u3blj+ov-UPgx(Q-Q``b=FHi$7%67)JjkyKeKhtt-{yFJ(HG zuEsuN{X6;cz1qc*MIc4I&gJzs(4FpvM}dT*%v3>rFxEGqL{r1eQJx)>)uUYH+25Xl z#MCe+vbPjV56wt@j^fYN*=5v!^M9W`)>drn=|!!;najVl_&&BsM=X&~CGkiz@s*PN zA2xVtGov7R8%D2`J%u^y^;R0l#)a(dQzEJqw^Y_%IIw;L@369er)`i(x%*Ew~GZ>7MHDXeLSm}v+2DEw6U&yHn-Td5R5h^{#RQh z+fgjB|E8r=oB3m;y*4JkjK;)lqXIcP=X~*)+k8}yxf<$e%WU%*RQd>yxw-E7=^fQB~JVc`I5Cz57|aI7ZR^=J$x=C;`)`2*uxL5dxvAXa{O;t3)(ct`=$SJaIR-Ynh zmp1C?0It4vaXLPreM=d4%mF9lX7*fpiI<-0IgXL0KH_W6DP6*{NF z{FnPLLj&d?<21ikr+K955uKZc_Cpjzjq*{4K<$Xm+T2UKGz}{%roQO*uWCnkA=4Fd zM&YaIZn?l@@&&xN3neckAnd{D7yY6w9VeeAacj7?f-5P+Z$~b!pg>VN!=#T}ItSU1MLiqTScT zC#2G*VWlc>zWlAJ_z@OGm2Wg7xTs5rBWuy^&C!nz)sF65dr=p^u^MfI-&mHs_tnap z7Vb`|qH#jh1&u}rPxR=J8)Y&pwYiPhM6~!RsD`4u{d&`|3i9Sv)*SwccHd#1B!wR| zgDOt%)P9J|_tH2fA_={E5xGOgoyGj;M(`ztXnn4})_;xvTK~`d*DapS{V+7KFFEG& zRigc%Tf82&AvtNgF}i@kS*J26r(f(k)^Kylx*Ja)3HU1WYA)(hD8EvexhbmR%tQP+ zXrlEpeV1~| zE}d^F`DXqZ(w%^UR|^-bOzGvk*BmU-;wRCSF>!j?;bXQG^o);W$P# zO1gegXX^`*jqP2rdfC8=NAm@u)??*GY0vVdTgoN z8mh*u=FdfOx%8cBwws)EQWH8GXj5M@P7r+2<}Oc;xx_&ih{l)pQk?XOae~!J)kc4i zf(Z}Bn{ji$Q2x{k3#$RJ%>%9iQveEFLE>8?BW$(ra*x1UrdC1wJ2tL@SLk!NgQPt@ zkFIFfYDRFNY00TizFX}1vf$k`Y=A5m6)eia9oC&+(q&k7I*Kwk9SVS=S}xcnhXl~J zbBHNN;TNg&#YufIQ;u{Y6L#*&Iq7+YZu)gO=`3g`|Am=!;8}(2F#aH@XsE_b>x!-AY~_vBq7s_K1cRKZnd^_ zg*q{*N9p>a%iAd;x=b)d&G|~vrzE<4Saen%+$K@bpwRDKNllI(pf2%&J+-XjqcN<) z+Q0|rmb}ZH8|daxH%9Gv-IOXlF;mPqy0L>yJdjq7(V=^J|vznFEf8wWIM6P4bC& zg#Ji{&Zd**g2%pVQP4pskX|Wf3tQ!yO`QX!^*amodb4=Oc4?I^wX}3xb&iMx(dG`5 zPgDIO^bUwa@3aShMyu9D{6uC(@hy z@ur-pCw?QtNDOmsa2i$+?muC+$~A(4UyaBC-X;E4N2d6`>G-5vr0cyex>EP7ND1?> z@dIv113+WQ>z$>8$U?_N?m-qhCNeqoSL$=6M{aeCJdXpXtisfj5?q?;m;!5PeUDVO ze09BBXQxO&$yev=7}#syIG8Q9mG#a@ZC|kj^yDB{);mAz(=UO3mTY!L=2PlVnK?l~ zIy73OBU~;(xVrE!^~AN6dfDQARe7E;NB$S*>-AU>k^_D#2+=08Ik;)oX}LJ}goATm zm=n%(an6?RGW^Qi0_D*YRTZh3s#E?eM0S(A8^4pK^EO>8Maioh;gTZmG3}wnybAXa zLPSrW@W|YT^u+(j+`{krsLQo<-!HN+*E;r?EL=K|@(wQbma6Ot(T!iI%eA<}u~=_f z3hdBgolFbC=-?8r_0n0PMS8R%FLH*?<>5t(rqG_=7lszezUuM zd@yJsj8}G0b*Ew6I((3qfL3u6^3kVu8tKkm*CT5t? zJY2_L&WXn=c`vVn4u@pcBEzkjd~o>th_?Oskc+jy-`fEEV{vH23(kSwj z7=3$#=rX}svzwux#!^;Nk5Jh+pidV$0u-HA(gXg#6?P>kN8fa#bBX!%Mf9mRizkVZrHj-`o3l1)(|H6_H`h@I$we~W;>C~`q+D(gDv+nR=Z zO5lEV|1{k1%z}GL;J%1Bfg#VWg?fBT_`Fae0?oM9p&0`AFU+4|*ahz4ljVle*mUUv z2D3EGw?t6hD0oAf+J?7~5uF>w%J#H@ zO}a3k?$N`=;cK~R-oG&KtdV))ODk(}H=;G+XcG^s_ z8ON80huaqjN1OAejSBnp#GLN$@s6N6@aCT7&|OWb68m7bVdsP~>)qpI#X5pS}q5=lMc@yX^66uk<4n2Hj6} zvY+qbIh2S>;c7!K^hYZTJPNEbM&==0nNW)vxM~kPL#{AE;}cIwccXU!+tUDwO}G$F zYb6++4dX(y!#QfqkQxP1uKCd=l;(#mqjZEe;T!*m)4k9x!|8TWv(4$Yt2XxNiECuG zZYk*=N{lZlmZh4}Z$+mvU-wDZ;@1Px#4$CW(#xOn$fONJ2xZbPV$$BVhLbki?Q-k^ zyUUg^WsxUbW{74Hg|R(NmY-*H`Q_jKv%K$9Pg}gN@RfrE0jzL^%s&=qc;78b{!Hr{ z7iN7DRaF-6dph&U<$XdH()6I1MW0O%*tryks}(&MEA(I}>~JvuE1(Aa4B&r1{`cX3 zDgR6OU(Ej={O`{HuKX|Je`o#|^1s0N53PSNB3o?vN9$kK!!}tDEQ&)Rew$@c>{)cB zh@R116}qw4ypq`cN_<=zDTbeeE!_oMiUnIrLp=2ZWh(6biJq(xbXVIyb&+Mzh|7vF z&)Du%vLyV5xcPh`jTM^Hej`)^h8!|JT98*PL~V!OR3n7rDZnZ=)ciq}}MvrJmH=8F|m%FRT5?ouzu>CA+TaEE=M1mmM}k zdnqJ`9NlJ$h~^zW(`~1*fFQoD+H~}nD(L-^LKaZ@zN@db{`SZ3l)gJXKmPe+zX|~! zW=G8v=&mLy`FP1FAg&u9`He%m@lDWpe_>t?lGhrl(wkx>8~G~#%sq3YZz`>n>8;!! z$}?}dQ*Lq=Vq#wMGd{D!8k>kYHA-^G*xqaJ7bu#R1q?ggoU-e13!a5!lWNqqwq;b2 zVd{y;OC+?Lo_L|8lHX9`nG#+7zECns(q}A@9&@hw!?&2C7W2AaebSPMe0t>mpSd(a52Tbk(Jc;BA9aB7}U~!zgI;l>R|a^k6pu+6m^0 zNYZ^V(o5vy)WUQh*l*`^MTK_X(?ATZX#1eCS~m^^>n-+}eos}bE>Pyk4{}oZau5b< zSyA{z;cJZnt%Ze%Gi`FfacndryXF3sy=nR!-JT!*lJRMCj}_NCLBm=EqdHG)dH7Xo zitO0CI6XKXSiipg;W9mO>BLkAq01<@=nNjKl;fK)uKA(g_5<1%nh2e8Z z=uAS9ozOYlgWeS>*i{D-4(OcfBCqH`vh0AkYS*{mPNt-|A%i9SE6Ii7SYJ8;|hcOY1 z=M0l%5mHM00O;xb8nT5T?Rx~L$qacNU$QM^Ohe|Fu}iR%Q|YWvrJx1dc&s2%Rx7nH zgJV9$A|bV(n`%5zQYpnqlO-?zI3G?lV-yRlI;b+#Of*~KE*MIT1z;!#f)MP|aL4ib zk9+j$M-fqs#bh^Lt&Tf3JbSIR2pkyrX;uo3>%`9kHt0x|DYFn+BSsdKcjdvq=)!Z%K zkQb2CwKp_-!>2-gen5_Jx3sjN6dgzl67&hiCKRTYLPB9?OEXZIIqLU?lBd+~t0m8? z-#1HM<`;@1aL$@<2*)GRcx0AUPo1-aiCfhLM8(y~*YczUyPA#SQbo5b)%y!2H}Z?` zQ@ntKcZuU&i0H;6B@-n_()s0GN+7xhq#h`z4M%eh=Rbg&WR$9o3NnuT!x%ryz${d@ zQ}?Wk0-BcrOQg4KXstDfG#UI2I_4MkNy6JY@B|Cu&-0pk8%Ke+-{cK>Xbdjg#@qfkd&X0j_>DEy zc4F_WAPRS$!)9o+9P#-63Q0)?X>bbwEOwhz*@9G&S+a4^dlh=NFS-t$02Fn`cw^-+ZRI&hhuK*%jP@{Vq4U>D&%FJYs z?t!u`p0)J{+m)@=$8FJ*Jx(RZ)mI0T1r66ikmG{ZT&&pMe+@q3x(AwFkhIf2YELud zE6l<#cYmI?E6>=a1CGSSg^3P{$;E;+A`T_4Ci>YBYE-nhO!R0B&6S5l&)|MH1vd zMOj@)pdbrFuGM&=Ay{u-5U6N~oGDXUyHmz3I!XCAT~~scdh)Hlw+k%4MfWY^E)FKwU&xG>f&O+5!UuY^1*h`r4z5Nqw}TVzU;PcfUw7(ZlVz4Umi+ z=Xq0=gk9Km$=n+DqdPauEVZO(C;oFV!vz4eySyc*cn)SDA5UCqU32}R?ZlutA>GcOM z(4#9nA-7-FOv<)Wjqcf+nupw?#*ML>Tn~EblW<72qL9vOq)(vt zL?9=t&NqfNpLkWRcf6#Lk(Y%GZVNhItyw#dZk!+_!QKpwE|h1REskcd^oZj1x-l9_ z!=4PDi{-hybkG=GD$m9CbHCI;`WKwfM9RM!eZKvWR}%s&l05~$h`&}B9y0vQ)!fYB z&OEXGr9CwqI1-{FB-@nY{r`jB45fVwBI&BNm!#jqET>6S6{M&^TW;Zq>P0p9~9tH_|mc1kvfkfffT zFk2=`8Z2KUmuzvXO1PwwaX>TIO4VaR0H9y$e1I0Cq^yxcX@r+`BV}7R?{8VJRuF54 z?5sL`9^DnBdp2|2DBPXa?)sZrgoo53Jd}FHk<&H@XtEL+Sx2^4oI;EFWF^Ur_2a=F zvID5rZOhQrxGhel}@Zb{A z5K16pN6_&)cL6)iPTMpB%=oO^Ab(4B=AtT*7OQqP$xqJrrKO-5rT)L*zGg(%(S40Ts6fN6%i4+cV6VDKBYpMMrpMo2g0EzDjBiTkrBhT zj99}ru}LB1Ia1=AB{eh|8W&ST(vc3g$ve`bLU*{T5cVLud;g3kB_}H3Q0Vqp5lXN0 zmo*UJ`g7m^M6~!MlG#RT92{A`76eUf1 zPk_W1LI%oB5%kWM2P;J$yitef3unZ|Q63cyL>@$8#g@-}RDw!5g5k+Rx&KcD!?78` zkR8%L77Ry;U>NWmKrYmg3o9JC(3J;shy8uRV5L$PGJ0guV6t)0)@!ltfF>oJ%kka7@3q5D7isByS&bNveD~JH8aS6)~!sp;tQ%4lwrP&(-5;NA>uX;%5g@J zWQt(PjUpr)-qoL;nMY3qV|}bk!i|96GGIy3jeL1D)g#B8dER3`BTvN~Icpg5lsy#O zvx?=pP)2T`&EZ*uUY`3|8>ApiMLKQEq_5kw9pWye=>s|+fZoY|jwSN|KUKmEojsVb z7O^z-V_P2KteyC2tUgpN@~GgTs4Jvqvm-uL%t*LB2kX_5ydx#j@D!0o-{8=5uxu-p z1d&ExS8&Uc_g<%c`G>q$rzQQekdnH`>dQm6yjL${Kv5%<51AuJJbj19S92vsZ{)?P5G~%$bbEg zkpBu?`EMKY9~)9F{k+q(p5)n0|E#c+zYu;ct z_q~k#L}BEwLWZ)Bne>%BIe0PjmJVB-;_thyvJ!nBrzE$ ze5GwMFRVWP12Iq5v~*pq>2sx8Mj3ln^}{B7R)54M)k%0Kigpq7K94qwptm$MZhXna zK<`^h!UBC^T*|b9G&eF?a$5DcZ>l})V{AfdZb)(d!}oPY*!#R^pkgP7BW+=CV$k=F z_P8tTb#jG0k6N4$sLHK(SSboLAe;4TUP7pNZ9>QCTO55N{Vg0OIcBoEy6kXpHIgRr zj%>Q+Xs9ot7Y^M*w%aX1Eznb^chU!gzI7treJ*tCaCO;kRmtwu>&kb`6!Ii!@S!|7 z728`Cvw0`pH%*FueZOQklRR0vRC&aPTF9O@uKN67MO>X!95YR;HWQ*hN=Qh&B(Jw5W1lV}9gy zV~^^nv3LK@`fq#s=Z~kjv8uk=Tegv1XPpn7*Gj>o8TC>)Whj0TZN^c0D$7ag&|=kO zK#tSqUDr9li3Pt>f%&z0i*a$8;E#TrAK=h}Kf1W1Ht+FseukDZV65`*H~9+~{Kl#U z(kLMD`jv)Ed#Pc8C{+Dr4XBOx@2pCW$X~rP+4a);?d|+!YZu%wVFI--_6$SgTa~;f z3P3lavIe03WM%&9gMqTm2)6#@nB%#iLSOAiYGj@GwP4-wWY^=XJTeyx9xe$!RF&-9 zuBxm)fF!;XU!6_?V@3VJo}yu1mF&7y04Y6KR<=s3mHmJ=?~0tXL9o%I5RAlk!&9oMp4dJ{btQgvgY6PD{83Ja&vg2t&=UmG&E*$O4(?o(d*`=pwWlbE5k47bdi_{>F)F z7(9^AUHObANzS%-76UIW_7b0}@g_P!X)*DaZztf z9>W0UGxJx3rxGjBETB`qMp^I?WS=LGIkiX_SC;|XWQ5DLxUf12Jk)KGboq6BtO4%_ zd;g%t{j}qM^JP+Lsvl*Y$8R>VFms zY?F7}rZe+ad{Hffde(U~XBW_kA@(i~lT39E@WPuiM$g0a3*Tevc6^@|?pIJv8Rs`LbH;R<8Doi=Hg z9&KqCIjb_ZFEWfVys|+M)B6=a^n5>F1-(}C%xqZ0=`x4M25NRdA$35hB|q|{u^z;l zSM`on$vD^|2BRxwI*|_8w<^^53cc=!f!eC)_5G`Z9zx)3H~+X(VF+FzRnKd&&)Fr= z*-$bv-~XnKoHYmgK!Tt@atd~Y7MsFsWX<*2LI+SA70MKF)}<3aSh9t_tc2$n9pWvqTwR~yvUbpVdyaIsY zy^;qoxXF774}8Ce?|5#M92-oIDd`+aRxgpjrbU?UWrn4L3*WZaDEEO0@bk6!@7YEm zqtR*3TxhX}d1bM5)@B;+hhx=;yX_BNZRSLIl8G;Bugx6Ci$1OjgU@2?Ff&bW-vNnN z-n?(Hy`VhEo>eP6jn*(aC+IEXxw&qzJtWe5sr1WMuwoy1pA{QDIB-%A$+$oij@D2> z;4C*|y|q#S1eu9tQpz(c!(Jk?G*;;QAuQrYg+KItU#rhBfXkjYe@rkSu3vqg6{*uk z$k?NM)`A8#2ZhYl9CT>hB<5wl%#Aci-T{(V(Y98o`{U0X)IFEn3Ux)#qfqxRQasUR z`C8WhjCz?i-RP3yjU&!;Pe>i3EM7- z9L#8sxu44FNZoI*E+Luc4x@~-%oNFdJwq~=*(7r!D;<(~Vh+hXP6+0>Wl+qO4EFFE zXz{UBt<95JJ$EnsLkR%wD}FuNNVx^v{AlAZ?YYuWJwDskqN^65db-j%v&IF%G>Kj z&_Zncy?jmJRN8w03IbKtgQ}{?)#xsV>;#eW!rGpeF3Hu>$!nyOom;w0S8pSEV|Q6Z zfC5pVEl$gmx?BLldhV)dZO#n*)t}BxSTe} z=|oQkiadDH6zGYUmx=qFac8M@JT*$8FA4jcT4vL$#GPFi2|b+Acnf=Ab1S+?N!pW1 zT0xTatgLwDXJz}9mv3=h%J=a_dSfp_>V8m8e5k%@Pt;jIlTl>J`?5b*4o-pz_X)n+ zm{7V(fGmCn3KLFNuW}w*WmcZe=BmAPu3IP22S>pk2pKK!0TRQz>^elrg!eSc@YcXv zbVzSiwM^eiGHLNG08OT^PQAS=AXLhMoc`g_pcBjFtl9;v4E<4>+@!rf#i@KD>Ic3&-&bz8Q^nM*600*&TiWhbAr#$XMHZrDm{?T*(w&- zenvPyR=ImVt-Az{oyKg166btHO>)RFZpa!*oGb4tEZ^Pv^4@JH@8xwhcNgYGic+Vd ze$wkdDyW&(sW30xQPDZyo;xhOa(#Qk9h|SINjd?_I;pA2yYAXv0FAnuyldP?ITk~i zLEdM`qdi)W_(mU$E^oa{5yQKXAL41M4tcL4OX>%%e*;I{?xgO3)Sc*7&5s1w97@QA zQeD;$bP`EzB5?<%bj08~B0BhxEVR7H(n@V^i=IE^bc%#Tl{kZiGQucOpVevzB??Lc zWqU&7_E72@RVw_TtJh6jU(q3jG8ke3s9uhd2(?2C{YGW6Huvt1w6lOQ zsCG`K9jRIlxfKSDN>rTe8!AhQW@p1C;r)XQJ2B)re*6wWWRIfPZ)ZHAllF*SmK}bv zo+uaZW?{q0;2zz#cbcguMxc>mALRQ%_yq8_du#iEbodHpT2I`82l18cP&UZ~GDVv1 zyS?z9x2aj$Buid+p=tq7eP92`U-d)~SKoSGMifS#QT^Fg@H(T#9ZfnG=wRx}F();r zek}b?28RQ4du`J>myQk^ zs6B84>xuAq9__wwr1YS>3)OVpbDZ@l?@s!@`BGWM65dnaQCM;oKjr(h zxhU_Nyu+_fqvJ65(FRB-U7glSbm<_)26ZXqr&4>gQQyDGIQNXVNi({X@MGOCQdrL# z8n?m9YMS*FtJ;NQs}X_FTYuUs&stA0ztN|}8l!0NkU7+)Fl0aadW9i|_XWxLq!5rq zw~2fw9uS9W<d@)}pgcSgJ*U6rb>?SY!bGruWwu^@3lA&-B+E?yJSZ%>Tm8 zj)dE@S*e#If60<3RIcC9=%NqEWe)D6^g8TnC|FZLSAHSuMYhQ*kgvG~AyOxbBpoI= zbMg)kcrQNIk1i7+8R~;MmrMbII1rl z4i@&IAlgB5?VqSX#O3%aDrNVgPneI_rCCuiNWFFKf&6If=-tfkb4!wnAI! z*H()E)3|+!Y7ATPJq#^T^-T4+ecEzz;`lg+BbWuc(QUyp!L)N1tDi2PsGqo)3AFfr zDzCZc5hnX{X*>TlsfDrm=M@sm7!v)E>Z|=c2}ejAPX{bBw_)!Qa@9|bkMs3gzHPBC zR%BFEH9GY-dC!DO2=TO7P58%whfu{e9fZhM zCvQ2g!-(YI({!Rc1wHE=tPVy$Z4PmKxcFtYe3;mgLU3%{)?ywRexl1yrAg(v-0cSS z*m)WE&X9SAycu1F%1@%pF!>SsFUgt)6kf6V9dHL0!+y@Uvp{-qXGdZ%auT%qBPoq|$Ythe-vnuyTQWw6k` zI+3Tl*6SMfSAJwuG{_aIB51Ph1Rrbj4t%o3P*N7KAHQmwteQ)rL8_egxpfzR-dqbrN5cE-()4uJPXVON^_P9 z-N*E{y`VO55>V_fF5aWcu!$s~jzQwM<`6t{CebOR*nd$>0!L7$W1^}~TNd)2 zQ$-q|hRe?!-6%Loo7qXB&yYcqB)4VS=M&7ue*jFrJ!m7Ow&;-ldwk}V7s-;sl4E9OAgAW@eQjBcPI$G z%L|{c;N&99bGe6?q9w|>0=V!-$@ZR?xOf% zkD?0@Da4LXmO93k zQ$%lYHK6Px1(7e=4|I3BT1FDG&)CB1ak~)gKex97D`kT~^C$4toJ8~Bu=*rUa%J0C zP0_(>i=G#LTEWqK96f+MX|a96%aQ-3N8Gn0Z;5Vi*VAy~l&%n^5y=7A>}>v1GmtT_ zVj+glu=nF2DPmBB%g;HJw_5jYnnZkuE?d=VZBWF?_tl*z;~k$`qTnXt(5BQ5w?5jf zq@}zFXXCvW^MvY~vu%d=YH8o_hU91eMx)!Eb?QCLk97snyXj(~d~5Qa!;kz!XVc{E z?!1H&UJsAxGm=BuC3X8m61S{H#|GW_4)v0rLvX1)?9>e1Z=Fb-rH<2bs;R>t&1 zOlz@S;JZZ>NDNsgG|bqvkb8FX%%^_GOb+sX#3wCQMm}qjC^Zdl&x;YtyR#@L@iAY` z;Svwj@V1O3U9R2`xy?ul!Ehzk@e@?!52Bo^R9j%xV!f!)dSAM5M2Y@wm)MJ6vo*8L z5_xTxsFxB0+bps2`Zjy@pp-~8JH2uw@0{U0N1^y6=|g`!Sb&V1r)R4?pP^N>cG)9y^L#sA4JlpEV#y=n>a*(E&??W9lIMCm zkGa79sKpFYXIr1xT`-?^lH;REux{m>TECgS*LQ5izG45R;>)O=qp6udNi6d>ddz&D zL$djRk#=8XA$wn(9MBiLkLkmgHPLOaEJi%R3dJ*V59r6rp7a)?q;}s%dBgvg!=*&j z;V2Hd%sUDDB!2sH3Z}`dQufCo=Td;Iw7^o97wDp0D}tbpcP%zr7<|H8!b>R8?NeHn z7+`g&K{nm2xw}(dCKtqP!D52}!H>qpxrG=BOgOVbRHR}22 z)~mD=g_Z}AQ&D>m$mRT+yN}6>EXOo1DuWN4TIZ5gYE8Y|mm49rKvm*?p_tV{G zNakcEy4q9jq2nWs{mBECzG@EEHkKnmVszJ|zW2wtfoXZ(BoE!foL{tYzG z1Qx0bw-djpUB!Cx8Zl#kjH|^N)d{)TL85wn5?N_l!@rYzKMQ(20#q?&?%z1xuwRJH z*Hy)6DPI$u(J-6O<@**LmsjkWU%|8H<*qe!Q`$^XAD{)2c>SUWg4$j$W&|9*xLf9;qB_erb;oKGte!O51YK#zT_Zg@_HPmwkcJ}^2K^n!z$)@ z|3lG(UqQnVKOW9OuFjji5qY4zSsz3W3ff;rK5KR?uxu1k6d zs_I0>G-bn0kHq-9M928+W(r~#gd#3m=otgx$Fn7HU;z8I$5#WK0KsJfBK zyzS;As}>r|@Ma1KBG(C;yv~1#-Xld)AEzq?iQk)N``eT+r-jrqmu6&QCEAXVPf2+s zx=^At$dw;dBhE#aKp{?1?I>R)^UT6SEj3ecPE20u2@jCqtI%3DGUmHmzY`_aCO_L3 z%A%z87D7pu3bie?Rl`nyc_);MIzQ;njhesszR^Z=SWg^l99+9|(B%cj>N^KT>%EDt zv%uN%#K=P9TVu`Il(FfIkp!UVdViv8e?eyBz!@Wp3<7g>y+6O;#Eib|>g>vCK;nBB z{6u0z5}841(o~S|LyL-uwjy!FIHCmMGe23KQLojqNFDW9lPLI#2{uqtY97~>g@@g+ zlz{?&PO-~_vp)Cb7vWzk#05f~5Afh?s6q*&* zs}JH6PC{C2vs60Q*_87Ix1D$+X;QApE$3C`#^A-lNyP598?iRGla4-91)ZxoP^`sg zODW${EqWc39P*9FWN_aY9*Jv?m~aO>ZECDJa7W}9q==$|NGnuXbKsoFl|kPw?N<#t z_u3U|(Wj}1INC8e$2n3WNCwN6Dicn7YD4ZZ?^|XMqttxo4~~8?x}-vB&0E_C$bDrx z_Y>r%qVQ_ar9z!N&dHv^b8a+{@buJ*n5o_7hay6?tf;_D=)bk}{v zq(m^a_WmKVRWL6$f%0t6eEE?RtSN%i&i+^T6|5CIp~zF~CVv_7;r4zd-#HX7x?!cz zLT`0~HB1$PPBnWb_4n6ID`@E(8H#GSKtd*0vI0jPmK|Kp!M=8!4}zw8CR-|2%OHyS zYX+C}eM`7Gdc>^u{fz~E`U-)xx{-=L5vQ7BMJ*KBz-Gp3FE%gxJCFg@g!pi%$h);a z!XqI~%Jh68HIshr0FHB3`-P|^yl+U3w0us`)@d_Jb>pt?=8CVrYk@xKgU&Hu5qVT1 zx*9hXPr^8Q&U#XC_m#dPKu)0wu`hY{aadc6y(}P3UQ#;0fQRUIjZn@6>sf_3%9-hq zuOX;ixJsR4`XxO;H>&W)2-B=|+}AL9FPXx(RvSx#W$#xTA4yE6k;xuOYC{0s5*`S7 z!DDI6|CHjar~MM2hY8xmde?qtY*5OF`p*i2zSR@_+Vp~flXr$Z8>@YP$Bj2RW=5&A zF6ul+f3lLT4m+C-PGr@7y5UE&jMV}ChX78bUBSj;*}njv!9MfB{eaO1h@W-fz2H@m zwgGyVb9owJbWVwW)2d)cJ))@~B^|eKl+d%tkpDGL`5H@Z$Wn0B;vIRM-$C;F%<#bZ z$JkF={7V#_3*-${T~yH*W7Hx7yaVa@2EN!v{3gGU{XFY7kYj<=A$j{r-iKA*$jjCa zGUF#H9u=>5$ATdKz>9>xn{_{92_fsFbCa-VvM^ER-p09^&m$+KDx7s)rKI??#GMlKqmQJCh*OlC|v!Y*l-WLdfiNF}G7thSQPMEtgwZMZ*)vMq$&oBn+yH&rPa zMkl0NQX^3Y37rIm*30 z(no15(a#)3`H;`V1)LJPuWFfnZltlYMQGgo-W4PB7OiG{x>nVU`#S^JeLWcy-M;uy zFLuTIlxEVm_Kt^9gCaFyAAocp%Mj{x-zac<6iA{+@0p)RA8^(bH6CBRH&I&Y;PBr} z0HQ?XWs%?URdxUWPc|4fZ&aw!qJ|nQaZTKs zh)4z|ctC4Wt^L%6*7l>V3Iv2CED6wB11h4n zidyxKafw@4HQ(p!+&f92%jfs~{qyCanS0MY%ln-3KJW8B@9h{;1^tI&WX)kyrQh>Q zPos2K>%R8)+HW8U1kv)tb8a`Wv}|tnV|8;jRPZdAD72)$X4vSUYt%f`q%aF0DQyJNE+;zvjakCLWM)HgmKr{ z&aF$LjdhQD^M1kYvfS0X)@qPTPrzE&W#)!g)Ns_4e5eN=TmQV{=n{Lz#F#UfTR{2R zGKd~WQYuUxc*v(<5cU17{G{RIo$+JFH z#oRf>y*dt6@$eVP4pzPpYy114SoxoE(mh39w~KUMo|>64HdI&rww01{MAz^xpAHa_ zX069~=AtLMj_+IFxvCHfgJlHG*iOKV4JcZQ5VWteQnyefLR7Ls{5V8gOOwy)x1wOq z`WW+w3CEPu*!aQLqCJ8qQ+OJ(n7GpscQ$_dNa9ihb=S`#3+9LC*T$z<>GgmYslTld z6X1vS*p8ux^ZH7#uGjnV&l$Ro4jo%&bPT4?X1@npv61UH0|@Zdoi>tH>e{2-nqm$Z zE8U`*|0CnR#9z2+fT9cDF@N9C-?lfyd7tRdrs_3w{-+BQni@cjmK{;K1wmo%uEgug z4)JblBW59V17(P2^+WFpV2W37Pk!6<1dQBw+}CBBAj7IpRmGj!`{PbsQ{1_z(L0%{ z;_gL_D@uVt-?nR@VTY1}2BOFljmquJ^7oz?skTjZHfSZ&Bp#?{~YXefCyOLz&J zr~HREI#%746_0F@e}(=9G^Ob)*aV$mD6y`TY|1oc_HgX99ub02$buxDl0# zjx4S+)qNtPWM{FoR!u!cA3r7`YWiSkL7>iz!HSe>^`I4Br6S(ZS+mpZ7zgEcOb`>Q zlOXnBy2B8xA`G5&#NXot>7&1D*j-g2@Pp0k9?6c~#Tq`$Vf?}D@NOj)T!|n1 zwBYV38tHfL4>ac15stXF6lpFf3pe$&8QY+PixFBoZ4nokwI!cMVQHWjw<3y(4Cycs ze}lwqj6a&!TC9gS_kSfIw&r|?=ivkzBHd1zDGLuVa}>-5lYjt{3+UBD*Jw?Rd3?Yp z-b!Hiulb-&GJA_`UbQ8dV`$IqGD#{-N9@dEp|Vj4L97N*vH9$+`-!p6 z`HFwH*i96)8yrFZP;hkqT-Ou}H_4ksfGv-d{=V>7@3F1jWWg9!hC zA2g$QCrH=deV^dWCPR~UZ=CM`ksdAVK zn7fh~GcDs32B6$0;-2o^NK{&T!73$ApFIn>zcj^+d4OnOM~L#2B^7(M=4s?vVe`lA z`~H@1%+_>Xc26CqmF`Y>;ZcOg6QBE{w?!-l;k7H(nZOzWdobf+CfdwAEs$VwdrIG)@GbdUwO>za$Y+@nG!UZ#=Saqj4$5rYa*I8G+ zl>oc@oZ~u#Bn-%!Lc6+S?guilOdJVki=EM~h&fB!&hWgf3`+X>7fIx`(&%YX!LN@F z>3|grw#Y;g!b z6Sg+8{DZI8g;w07Ib$=vS8*oX3Hokx!%@QOC|b|6{$)idz0^I_)lsyBM4@-r>zoM{ z4Q0PG;qRtF4Jz1#ySjWj*vGHOc)v%3$h`9^I ze;eD{)UGeAh4SlAD7QK15QfzUD^UfEiSn+41HMsh1YeGzeK@^6S(&is&I|g^Q7Duo zvg@8~IbYffun5*%*5X&gJDk{xpZ^1p>-=B_IUp|q(S8gz3byQjPzt<~mJ<0X3?H4k z_id)n&^|8Hpj!dJ*(XK25c-6@H?O5LCI@vWOZJeU^+*1p^(p(bZZ+8CZ2k?|mj9MN zSp}NOo%1e=XRe1m+UYym1ZqL|_-Lurk~K0+sX_QVFE3KR6A7sN`=e4ZerdF{^}DAw z|AZP7#r^WowmgKJ!zJ^Pp!4(t6UalEpeLD&`rWr$4d$LoQ`z+WE1TB*3srV-*Wvx^ z86)j~T3=VLzDq@wkXh}#nK<88!&Q21_!v=$6DG#^)!>I3lveJBtT{|8h(iMJaXxtS3k{hxELT z)n!!bov_v{a4Y?^rcu<`_wR}50@8YNfDpP5aaw-F++~&hjhPwN71kj}j%%*c;9YPW z1sq2jIEDvs5I5Wy=k~)ZIMVa@oE5u?JTWtudEm-XzyAA3q^l<;N;DV;o63ffn>2c5 zEIU@Pt`DpnkiJ|s@vU)HT)@<_%zbQ1z+v4rkKP@or%zu8;g3gqAL-+dBrQ{bQE zUK@yxIy}@AK3_o0j06K0JvyLzsqme?N!~2K0k% zNK>w#pJQy|)gvyG;NMC6WVA-7Q^@EXYOLJYwZO>J$Z&S15Si}IFW9$pz1gujo|nyD zPDUdR>c_{(#Q@#%3+x?Hs67iN1y`@dardy&_iF_>FL_@96Zj_gVH4ThT8d)Kk!>YR`391ktnNo{fMqe6<< zyBZ+wOE8Srab6pyr(MNOsAJ9&3Guf8d}_wm zRP7QS=}i`K@!Ha`Xq~nRWkO|>d_PFjR-r1Ml^&-MHPLJ0N=QU_+x4UUcIiPecIjzm zm)>tYbLZPy>0Hbe#0Y(K(5<2eM6W32q^pCL^R(*5gwDx`U5-0ndYjMYP-c<`o?@77 z9k#s-ToZ<(ar=l+=p2RGc1N4j5So6g!S`rQYa4;x)(NQVV1NI|7yzRMvbYRjPfCZT z8s?)qwS=eS8+P?Q59(o?yGrnQt7s+1!Ec89iMv--nM2yokch?I-wSlvVzZYsDIz=# z3-|(e6?0F)rsN~fZ85^>*Zb(_)!Rf=`wu`qT$Qi()D{;exAzn+c;INX80pdUi&LQb z+MXWFUz|5Gzo4PGIenp>MYqt-dQ0uT*)f8v##Owj;4yOFHRf}4{@q{LeG!(s+_ z9JSd_Y6ixhzs-GDprlJQEGb|3Eg@GPN#-q<1qqWR8RXz zLt}ZLS<6g*YuP0>7;Qx%P#Mh zN-9P)2_vohh_OR^$u;3R!`3JP}bcD=Ejnf#oQ!03@$USN&x}3~*N2-c6{UZw)o+|aTF!-qw9<8OR z;b2*6d%+nPMG6{Vq@ip7bVLEEn_D0-u3nR@n-#%|P z7l;#>IP(0isIxKkhUH+LLkHyEZ}<)d!k?kkgUm|y>VHh1=8q9dztkl>W+#z__;NIW zoJ&XTY=x*UI?LEm^w}|Eoc)=psD?w^2emjP`QjR@;StcqT9z)Q*wjqo`(MP|iH>=b zA3cWOEZ4y$grrOvF&lOPW@vCPr<{G%geh?I5%-(pn)A&NPc4nQY0(2Ha%%M)b{wLzlhlkH4Rz4S_+B;sy zK;;0|%^G_Qt`4nnYf5Lldh_gQJ!_E5{D8j19TR=$IlG+2l9B8NaiTb{xZ`*0cboPy zsTYsK(AIqQ#Yk~qW?`!7Wr*sCmbqxN@H^FB;iH-cHB&e^rd1_>C3Pp}o@rNew~eSI zL#KM{z%lMEvJ1usL)v^0V0nxG%O6cE&0Rmek>>5k!uCH%HRw}VQ6aCa7M+fvgL&D| z9f!eq}BeQ=5Te{&5aI&rOO;`+!6n9S=VD|^4>?`pQK>m#eq&~tNnC^W0< zgns@c>a>@)r@pkTMUy}e)D*gT!WqfEuxn^ntZxFz_|7r&w{linThpJM%nE1t%w5Xg zER`}4N2W<^3*R(Ge7To#1HV)Zd_fJ{SLP?n8IpnTGASDPZf=U|&%dbK5tsMo!EQnYBq3(P*-Q}o+=+16RyX4g$$ zpxWW-j{hoMuPqLv_)M*A71&n#H2~;Ise`5J-r+Y=5>7x|3^vV&@kY^<*c4I?i$krv zA&b>TDIF4V!xgdL&(E;*9(>dmkTC+xIq_?JIjY5Xnz8=FSgSZaK$2gRra;LRo;xb2DV7^ zbgHx(u4EYKgE%$l7vcLjD|Xn|ZmIHq_Tt{&dUrVUU7zdlHv(v;K{Nx}V+^bW;RZ3~ z?=jM9KQLYJ?H10TkVCT?WcE4$x+}Gl+3u6tu$W5k$i?D*Z>~zsFAgP(yw3SFy;4nw zZX8Z-jkdRvL^V_e+*xfIk>( z_WK+SStqyO#X7Olzh>IJWmoN%73Vx6SKM*2SsNTC6W`Shc+RBamVAz4%YPx$!`+}?61>rS+jl>b3P`sK>>7JZ%`fcfC&@mgT&;_7s0tsC)A4~MHQaAR5 z^(4!p(zu(c60RsgqQ1CW;A`H!)+oa}#bD;CpV7;JMdHW4&kYYBBq9-OsYzdwpX^#vlf?58cM|MH&ycltg4>AS zBhHAL!agB)Qjh${fcu+daJM*GhUvBQ$ zECiX)L4#OiJFuAv6>DdgMURKc3;J6=faR|ZMY`?YVH%OS`LtTDC`48+`Ou8A;;5q# zLJej2tE04JevJ_L3vO~`tz{J@$D9Ltihh;R`L^LeK(*aEJUVJC>Z?PEL(FZ8ueDV9 zwmKJ1VlovSkk&6Tt%tj~tmbuZ58==xtLQ1Zgo;>j(K1fUr!y(Wc5$k(JGE+@mQG(} zkttNrYX-+^_zfTY0m|HK2AKxFd53mbta{M;_+w>uR~ZWr%+y7f!Y_j7 zr;`1g%t)c1G4#nsNicvWe$77rgoc*361Otn@yze_t`MaFEf6*8!kB$JfH;8ab89^e z%RzI;m3fV?vpuyNRW&ths0@l-swUivuEBgP+#E(Fhxo!SAj%1m5#L6Kf#B+{s0$G> zaXOz?Zh-F^W{R_^Caw+;G>=a`>A&$8k=aO-4~f?REQGsm);25o$oN;y7$)GlKVmLR zz1`36gVP!^EBy$Afx*=YH({smuYx-?(V!s9Y(!6e(AZA(g}6##=G%`nVfW4Q{?pqm zWvdyVV45|(HD-*M+8M8zF*+eP31CU(k3{mKT)flp2H7yI#yZT_jWY2%t{-$hh&b&r z=dWE?8u|UdY!?H1)MYSQK60tmzM1*ZUy?-lq9gI~*U=Vt+6`&1bAP{${)*(B8*|g8 z8dD*|yL3O6`MKVcnV7pcV9U`$10Y6e%;gQ?=6o}k*f_p!ivv6qWedXe$Fi5O1f#m& z=q+7I6K`m(bM{6NCEHdSIW(&bb7&S3F*R6)x^QUeg5k6zu?5G;hfMN<94y4)BLSId zY{7byCaN`!ZtS<%7{7nB)O?if8EQ1N;erpZwM zBSZYNCz@<;aT}CIiZL_8l?|)eYuDL0Wyv5TYXa<;_a^h+%Xh?BTC)b+rMw&K*wwy1 zyu(XAWh`kMj)9dkHnyu}V5{|Ung1odoHAJgumI2kf12svTk5E$Mr&5f)jjVTGu>w0 z(zPn@*sNyTYWN(`v$Hf#6Amdda$?1mY|2*gHz2*!ebW0fn^*R6vfJ)6hT@yCcs_{@ zhsc+_ZNZFFLRLc)&G!^-UUYP*&`PHS3k2cxPD;wgV1447#E6mY7cv)-B4qp>-pxmt zxe)_aRo|##&F5z25Wlz3W3F2U&%v5deWP%#F#C-5`VNC;X6%kPQ}B^D8FP;g_j~1V;Erg1;Z?0 zy^D89TKleKG)Owojl@!`%_d`KuERB^;qQP=@UOoW$Xw}*-o&ih1~9KSw1RZlP^sL| z3L}lfl$bD1n6lyK2{k^9<7}z9zH1?oU75^TB_E)h0#v_cb)q`!Y|YKO%MuL2AbX%iWXq`-=L5k8nT&w(y!OEM=|aF@{j*L z|4sYkKeRQ~dSWAEmZ{ClE(iSsIZekDf9N`3a74?q<>p&xpZq2wq_6j6ZY%Tsx%Ks? z14lObty(Umq9=&Mki>Gw)4p>%=eq+&)Y}F7K43O=g4S%J);XeYIv>xz;KTF3_xI;y z>>r>r># zGr14t^N5LA7K~%e&Gqou&Hlq(gBd%%4qiuVun6L=(pV0~!2r@i47>`)ucUAM?#%I5{X3_Xo(5*bQnyvG9?uii zbC19Bc0X2MLxlIb>d&nPkwY={B0j-JHg#5(%mNNd&+w@Bo9pJ{{eSP~yRuOM=@7 zCbemqVMEID*=~$b(N7J;i)@R*t$JZTyJfJOBobz)WFv`XR%uTAHWDL5)jYgTn1+T{ zGycR6rILMyMVj{PAX9Y>?8$y`1?##GE=g&mHyf~vPg9K@3;VXoC+KD$+>Ao@P19r_ zz-Rs&fW4CqtX9K!XlEZVFD4;7#WdB=KtxD1Zgl2q@&W#q+BzR&oHBN2{jMR_qJ?@s z+kgHk&(`Wzxx-njw?s2Fos{OIg7amjb=L15GWX20GKKX)o++8ApTm5b^R~70D^YUe zC^uy8Q8|3g40ou@)DSOlJX+08;Ip-Q z&5mtrjmhL%nx?w)&b9Kv_3cXJQ#0Y=Ti|6gXTjs47C!{D!G}ojPGsl8CaZyG{gVO6 z+Wqvo#($2Jbj;a{j}ALyPf_Q5V<5Z|lp$KcIjUI-R)xQ^Q+pr_rx}btzFJ>s!DM2+16gk$BhUJ(2Pc?W@+>*S40p(V|l#s1+7|2u!us($Qt8 z2o+(R3v=T|Y-ro8rTDb@=PAvX&dQm2oi+8nOqg_#nVW)_+j%jw(cV8}gZQdFIhe6C ze7R`fytU^A^ESxOpm{s6Z{EI&Riv3O%HMJm^VRoB^R=^ozILW|h7w8Zj;DYinz^>O zw)(|e#&8YDG0xhyt|Rl-Zr-EbW(sR?E;hYgbQn`9-Nw3c`^pZ2&dm9K)fy|E)u1u) z>HsEhw9f#fQ6u_RS)OZS#d@7RqORu zTmF$7Ef^W8?ywdfOIFD1DP=eVaNO9AEl5$w=_a^YK+iOPBZM9-IIRq8(Fe2 z(iX!EY9}#kZdxbRkz1>-BR7X<_wR>iWk%i| zZUJ^M?`8$|<(czVE#Ze7E~N|H{}L_Vpedbq%N5Z~vNx*0zvnXST!C{y3nQnSXm%gD zqZco=`!xu;5m+SEQMQbnIUJfZS`#VvQFY1?lck5KQ%0Jasmmksv{rOiQ*JSv3sR%b zC&;Boqa)|c3A=aa$+Vieg2?7tG{Z4fFZ74}tHJc{;M4#dRr?v0-OjU^ff7wHdTX=eb=nfObJ^2qKfe#*liUN_pCye>6|ls zTvBTWp^Uqt_YefuKW#yN*h`Y5A`2!KKr#|F7$?S39VOA~uG^kQ=td}gIE|j78*esZ zls(#PovneDw~lUM?;C)pb6Gfx11{>yx#384&zxnF*bQGW;ivH6syX=xF=nX*3BjPq z__K!JaGy*Mh868fLWg#A#?ogMCsPY1d@slj+m^X-6uf~*Y<4z(jCJhlCkVpdqQUWI zsacNQw#2YM6K9bcpnM=(e2k{)=}p{%&ORf&tu7;#yLqjnHhLtG<4f{ zq9}nGZmqzB-F7C7=IafItWcPT)sL&Ot4v|5p_ze$Chwf%hdaprM(?RFD&~~;XV$Un zWWTY4X{nRE{Iv0ty-C@9q2zhD8tVQ?P!mp{zXT6hqzC}&oX-r_I9r)v%&d!%J&eBX zcy}^W%CeQZzJFSMB-+BkESOO%En(Gy@n${`l*K`6?eIwThqpFokL3dg0BV_ouwqM7 zqGZ8@oq53oy@=65=E9;-wn)V%Y!A|d)a25v4DAMbw-XP;U35PP4R4dcMztpF7CS%D zkrB0`JF75?X++*eXJgb^qyrfiGa7D;1r~LyP^Pev$DRITlWiFFeDZoqk!SECokQ2! z?pf2-0NxgAfEth*m`~KuR`kIN$yv~FN%_fxV6K< z#sjcmOb(feVD9KlwH8!wnBT;O z5%U~!RA8a5AUWq(5Eqk*V$NZR_J6<=W}3N?X;=eh7)MTByK(0>y~W7VCqI?vU-$vF-k@>@HfvT&q8vaaDPqgg1d`TsT zGam)8J4&mUsH4V8i<#^xdVoa;@m&hyxsAeK9VeRjgg9UpXvY~1d8LtAG3?`nh-zS( z?JhK!EaBGcAeMWd@Z7yq7#tn58{u)MEL@%koHoHrsJ!Qid9%@e{ylq4r_|f>E&}vx z_|b*A)AJHhn^P6q{no@m#3BIewASL!4nnryYso9o7VX7QypX=41QIAq^hnb!LLzMAjeSs^lrKna~J@|k#OE} zxt?d3*a2<%l0ycfG^%4$oW)n{9eR|MEjk)vrlV90Uy;a2p9t4$Zn|{u)ELXKtlQqf zg{lih1Kh$U4dX{ZBHETkf{VdUS_2bj+b+0I#Gq@q2JJ>*lV69Mj{y*uQ@`_N(ldav zGZ6uRo*(;!a%+3SG&epFK6&JEF&jW%?kw>`AgmSb_33AccEo|Orw`H8=65y3 z+=3N!gHVp01V&e4&=J2EYLZ@XJ_Gi+^Eb88bpf3*AQ@O_PX}p#y!@7916uAMHOrTp zYV?5y{HyoJs8N+dH+~b-ZRZ~#AYzD^GP|<1WdmDS zf4lxX$pOa(*g0lP`03ipj^-3(CxU4?dswcq{CR5C_U~H z4Go$!M_3&gpSV94R0^C_6EbQvE3MO&)^sK7Zx{s*P%Z(m1 zOu!L3g=JbEBw%7V9Qz2YWi9GgzvjXY=Qlqr|Q*j zUCx<4hHfg}oUt(oC5EBX)(phlOTu;TnRV`*;?&MBmw&qgHm}2k9GK@n&LUI2d91B> z{okfv@f&xZ*3?B-n;X{L#d?Wb(QXD-6O6g*JoA&h-^TSC))9NeYe?}9AW}t(YDAju zv{wpiQ6N;h5P zrI8m3sd;_7QQy?OUam7`di3~*yD=ZZpA8GHqV)SB7bVMADjP0vR&<+D{k*nf6Di2+ zPZ`bpV{ooH(M%CrtKVcAz9nUPi<w34dN88yNXufN&rs zn_wvvmC4B=Cj_%t7%L?8evR>g*rkEkom@(|iU&iO>QfNY9wCKYUAM%)@tdlZpgc$IMRlm7wtVjQ5HkM&5rT})g)Uadm%(BBd-=R!aH^rJ(M_an&fhgoQ+ zK79zBg2yoE<(-ddLx4?ZnMZ>pcQo}Q$@KUB8Ir7c)u7gEAc=KYU?dP}QRgua%F3lF zj(fOqx6Hnxtqx)ly#C3&E;h7vAgLQlJV!UL%aHJR^%Krvne*_}E*`4hdQ&~|?a zVOee}IVTJU9clguSeEzjC+<$Jh+DNAFl8|N;X_%$93d=ZwUpPjzs3$Qp=APCPVvtE|Dy6&CG#{81c=MGoj)c^Pux|vF9l{$1 zg)EG2fnNP{p`@=Ul!HOsO-+XmV)QF>!sJ}D2S9KWLt7raZC1$pAo&#i^|8`zYK8b4 zNB-miT|}AxlfT-KQV?s|9li+}xU5Qzsk8izR&ztQ zUcEN)T}pSoTiH5eQQ=sYxG~=^GY9P%wp(a|Yvw{YVz)P%7>>)ONV1CdhjcQK&gk$f zp@~qomJZpj>2Fq1j9G2!V|={nabj%hPrdus(VKXx7YSuzgm5I=LZQl6yI#>aB}Vm) zLcOuGa?Az^A&L4M96rO~g~24>qrQ)@aJ!&064#G6z2cpmRu=o97|L*MO@$rkh;7Eg zGCSQ219weH*ZW3ndXahctv!9vx%red*Of(RPaCI(Z>n4VBq1jfS zlaxiSNKd^=g_G|DNbD}yzW6Airr6gSC#G|3e$-LQTH`*_v+F`C}2Lp`b1B4=?0;5eprxZunw z>zT5Ucjx8o97v+f*Fu8k=( z4B@Ng$2iTy8^L(#7AHj=-Xmi+Jm{mayJ7FXyMRj`)S2ZD%Ox-e99iZ) z8#4eCLV}}K8R4=)y;$bXS!9YiQ^uiHYvj?+)L)|?=R?@9f|zq|mF?i&?kwB@^WwdX z;Q`A>?|!)}!#KGMhndZV!_|@Pd!s|Vo}#(eAOedtnl!87S7gCJjg9%f>13*N9@$29 zbP4kF2WafiNTLXX=A`MYSRZPWh$5oUN0QkqP&F z@g<1DU^CMjRniY!!?joS*zwFrRededMp5sL3n|yJ9t0YoUyV8cQv*aCPGM-f2OLcU z`EjKFP95~aSzY4+9C7CqGar?`l|9-2Aq`2}z%j8J6b``L?I|AVigOICHs?>LBe4K~ zSV>wiRq)pSdT(!6A^d)4{k+~2LC)Ufr*`#w*rKgL7iw614eF5n0W4&OL}!(SvTS>j>t30cKSgPZRZP8CfU*qJQb=x z1*zoEAKlx#U{5cjHuogwmDF2Sdrf|*?L90R-l*&)#-6qG?&$^Rh8khn?=cUoAvcM2 zxc62uVwP9h-W%;~>C2?|k^!ZrmbQ77cn^r0mqGBug({@RM;$KfZMkf6KWLtn-FA=I1td z?38yR7)5lT8+J)?&l+btryfE%+gVmaAz#>2n6&Ee()`0ppgR*Dau2`kZEpRWJtx$;hE&2_$7z*Sro2KRqzCq9orp_L-!!CGIzEJjmEDx$AT?2M{p=Ihs9%FjF=8zyAfLok!L2^$ zQxp5cJOzI0=g<8EXh-e+stbO-$^3H2LkY>vYgjrfogh?xUA6bq?sny|8g2-y!h3e| zQrm!J^n6x+ooQt9G+5{*Sd%un7d@zgQRiy-Xt-}8RoR(jQ#>;=FYX?NWpydqvMM>_ zJZ<)F=hjBsc`h+qUQ%4URuf`|Y|uKL!gSMLw~uvye6&cYdtx?E-s&40VWBdt77wDD7QeP4f`iw~s2`p#JAevWiuo`x;c+ANo3?%Gm@iH9v-bBjW znk|&Gu}E%w{I7RX7xCzDCZn2SB6;&O7y^~+L?qi~q9Iru1kW~+Ops&vTncvmVU4A8Pcc7iHkvWyF*k+d1yu%O zV{lWsCF*dBc-{LMY`B}&8q-+rC;V(>R9&;8ZRe6O3ppJdLggJb#l@}XgtFIBCa5>nV$AGt z;(Q}}Fv&T465I*x>djc(n(8l@rd4GZObTmdIkop^e{b>{JUs^7%S)iYuPeB< zctGYl=XC$p?kvIsv#&GSf5bS$P z_S5}(BUHH>=z61hI|W0;$&YbY)Ix>PB?{DwuWF;1d`-&%z#4&hTipa1x7~x7eNJ#M z*#&mksC{(@`uY;D_8^cv*WV>Hk=??SYCn*I^FuaZ+ildoVeE(w)GCleH~mp@oS6o8 z>l&56+b@sFOR>`#FW(X^?~Lc|23jCR#modW{KQl{syu+TS+K6|1Wp54AF!JRzi9@b zIadDkzyYxCrJ3x}rmcG6S-d)HrJfw{&QdP;X0f4>qJdwW?TjoXZg4-TNiQZhWX4+f zI;bCa9u_TOVTq2Qst)kXr!%*T!05XO%zl(bR0S;zvalJ3MYEQk1IO`7 z+}R$`l-~hqZ2SXdK2bSA6KsfzXa__E+R@NzcFr785w(G+Ky9q0TLPjIPy`W`nB&(4 zt$?avX%Y~I$PgqP7j39@?kE#&amU*M>BtcbL^Hq_@+TY=cRd%-3z~ki4@Zf(|At{c_bcbmffsun@UyMJPSuNG3eE(`GqPICn z6zkK;&wfR1{hO5`_Y**{av-eM(r}0E+x}LyZq^GRG;j;Lv6B-EfvS8d;y{BzBF`P4 zQ5`anV_i)R0{63R9I)Ociw_q^zI-EzNln=Ct)oS(uk-=9^QB-63>|TYtu8+4Ur><% z;k>;gXaGdgjOTVB5Fk536&gWt6@Aa;J^*L4zQb}K_64NIMCtU`MQ*bVLp3_UR}*SQ zr0dHf7DZm`kPYLxrv$*$*Y8w~X0Kz7`#ax78?uE)s`0QkFkQpKE`V@9#$7hM{c;3YrbsqXDtGRm0rRDf>+Fd312~ zbiQ=`WWQ1AOvL;V+2W7Ln!GJTHbutYYZg6wdZ(WhfsMv)Io?$6A21*YIw>H=!(wx%cHj;sVExs)Ik+m51*~YCZ0v zo2umWAFF=$hBs@S&$2fQ8{z+9!dFNPy4+K5t=i6II z<}l}n;M~h`WHJ<>aJ7O#AjlDF1dfsH0eVYq9~_gcy={BG)AszAPB+#M5f1QBGv9Id z2r@NKhc0Ag3Hp!NU4d`kzsByBAWUk)qKnOXyNR{Pf?eUEAJ2Tk%?h=&yX% z&vS?R3(oL016SPFO_dXVMg!uf>fxuPDsL$HRItA0-3>Ykx5MWB@Td#K`L%_2?UA$ZC&&@O+NL zZUv$oLhRq87X&FH6S%KDU+0{HaP#p62)B zz$0r95e3U7n7mYO#4fz35>k-h z5%&z`xKc_Q^OvhCv{u+K=-&xB{vB3;u0oLLD2O7jk`;=lX_!qv)s$jtZki91@(=gau>|Ek9d>vV0nUTQ21f6W9vqzX0?uu6fSN@?VC`?|NMvGIGK;er*Eh zZ}0hGh=_xkLN13aTwjmJm%?0e^X6Ak}3fPu=A-CZf4pSG6TXcuPXMV6ORuzpvC)$miuQ~j=Ehy}wxRBh(< z1UoY&FG$&FQZ6M#3BVAhO;o@L>g#tU{hNoayUs9q7>yUq!|Vhh-ww{+$9@`dhop9$ zXe~N`jhtXu1(9M@auH_;W`eP+{_HG%kY32MjA%=ZdUP`Po1bS!sDEB+4*q|BCf9r+ zPJr^Ic9XSXgoe**xQRw3QLgUfDgkYHq~I} zm65)L>6{i^mXTf5U#@=Fb=(wV*bQtsyDNJ%mg%QRkn&uSd2{1dPUX&~SiyOH<952w zHF1w%jC%xQnF~0qEf#ZFUp3G4hYsr1+{qSgOlv%Y{zv`sjypeZBGHO=R&MkTJnqZh zs6WI+lk#)>V;px)wg_WQJSbp7LZ^!B=w|tvSoN0Mj*O+&9vUlOi!InIJfdzTOjhQc z-e@D&AZ(hSWrqEw#Kq>!mS)s;?)7I4{9tYaxlsMCdH#**LYw~}IT&B?m7qnl@*~SD zz*iqfK~^jMEygn99+=t>x)___E$}=JA7W?SC^Z=o9{jL)T*GZZB+wzXF zGgo3T!rV9I9YN2?`g-0K?5LbW@BDHc>_(H@*#LhoX3g!M5k9COYK zXA`0%2L0#Ejk)LX;cPy{!tUwWYG&HcOZ+pEvLBc}ivkU#JJb$qvMuYW>Z@Mx$5Kvy z{|H6)F8VXDmFyN024W7)t}%HAfOxQoia)DNX*Ld6K7IEs!erwG--MsPZ=kq*&u`cf z*h8ztMAe{vk^OS!-m>QaR8Dht?{=&FrjM=i8{V+Wzqi>c|8|>Ie(4{q^7DUXm7o1% zt9;S|JJV3M-Sq2|${qw4#XNUJ%L+BYMzJO~tJLh)-Y7&l@J!qIWITfU`JI0O-^0HZ ze8eU-K&}=^+Xq5`Hedqz{|%(O-3cq6FAD*!_~m~E?RPkB4up0ffA%lnz38!h;AM@$ zSq~V||Nrm~{omnTrnByV(H#hHpMANGC^_@;80PxE7N@eudC~g`c0l5eW4^X>9*Vy= zopz(h^^P^)=5eu(Z=f4<3d@q!K~7{Dukzi5y?&tVesvl<=?D2$Xp|-9*SDVrJh8lM zk(EW9ZN>vsEKWOmte1)9q@(H|#pbw0H%x(@0_ttz!nO-$%r{Xkiu>KE1JW`>z($#T z#NQ&jaVfFOUm|Qefns;rcv z@H!jCS6DhUBZa6$jztzk8FbAASdLN)+3UhHB@VyNH12^9^Otu_49LXo&4_J zqEjga6z-_wc&Of-e86-Tvw1817pA~Sq0D`^Z3V3T7gTq3(P%Z*Hs?w{SZzfI=`Hw1 zyABjHpG(M}iyj@KY1$*Ym9?yvc{<&8ZU}cw(%NS{TMf-CC~7AoBCVO`Hd#RWZ{E9m zCvg(eytW-l*AQm-w}JXgoh6sB3o~CuXE8=Ww4+!cOv#LtH7cj)H@E@_Ijs~K z8!T=kQi-vX!4M9V9UUS?F>~}``a`z4nnX`^&YQ6vuXI^C{YYyS#b=)?z3@>8TVF}O zA9wBIb21kWM?yPoT-}(>@iANC)z5K_+%8`^_X&)i3f=H0Y@gt{vEH^^vL z8gu5q_R85;Uv>QtX3XZcvsTLU^HgtdUI^VO7dY6PJ*T$GE1_n5MsE~S)!rM+Oev*` z`vaXWI0E0@UNb1vUi;{5?{ZX3rF> zcIrgs;6&@4Mv~op!mJyvpZVSEuGaO`E0)qv+X=6p6w2q2sB*tL*<5QCQ8>3%>U+jq zMv^0ZC-E7f6gQlNnepI;lX|e+1X>QHfsto+aeCucA};f(@SdXx{QPu=ZABC9MF}}` z?e~HcH0sTF%;A=E-b@kfeOdj0Pg<~>7)l{);r$FsN73yOO?h!{TI^70Br~LGAvrHQ z!|d|Fq}eih{KzxE1s(xoJkVtSVJ0-5w^NFB3X)K&%~X_Bq|L52$%!gD;T@n3xFdE5 zX`EM9mz);J*a7)T#8+9PtEOb*ZD57%K3roed|LHF*eiQ$9nT0pjj+!PokeY_o$#E} z+3M;hCtX2S@6nSK?#n!*#S}Q9x{O`JUBDx!X0EFy5NIvOh~sC~2#lg{@nbbi5UiP7 zpJ>)Wi3pqAbU6jJ>`0WdXU2%Yw_==0$Arby3!lu!#Qn0@`~F6LB9@pWCPyG>+>3V+ zf@Eqnmpz)BTCptUGuL-{S5F}T;U^5Q-O&bk*!4-6^qf%+MxNQu7zYEM4Jng5s%(;A zH3LG<%%t3{eByz)A_*&LBW$+?QzM~Az}AnMT&a0KQheQ`Ow-;M7v+X*v^n!I$fGur zkCT9kDzkk4k6J81y@`yY8Q}DH45$VLFhDBg^6O{T2}pm6vW7+OQSgKgJCl6EKWrKg z-I|^bt`*wNFgR`OI!p4oxa{G?Fl*TXGabup(@O}F$^8KbG!|NR=BC0(M~!BHDd<_Q zA*TX(a9x1pSM_sKRppj_D*MVz=MWR(AgJssjfL226y0?uLW&xBkOJu{p|v@V?mLC? zyk76VuQ6hh@E6UXNPs#DG)EDuAwvac6E>0>C#c4vsr=PHcSJ2uP{q^uaf_=r&CYkj z&WMq`A$7pp;4=H#wvp`02Pj)X(MJ>bNqNKBH{4TAnu{Ak4b~UlNJJpq|H*;GIrTHL z#WEw$M?#}}T@&cI&4ykQGD+nazTmzEx2UZ}Vq2m-7WHcUok5%s`pyTkJIcihKqUK1Bj0vM zy-m)*9`QYet*u^r!xS60N4tD2vd?6;MT#{TIxk)pV9pvsa)y!7Wo{^Lz6E#``>P|L zeU6pv-#d;4=co2#fH7TQ=n&lY7G!9~VYrgvSk0xl5?=WxduyBP)txP?|63$dQYAZ8 z^mWaTcRH%ue)w&7kpUU`;DyNPM+S2Gp%|0xIs?1MVl$L(Azq@y1S@OB&&O8n%XoGB4MY}-5f2;(rFb5VQ%mq= z_B%e--%?o;N(@r*F0Nqjob$`}qKl|6)a4GGKVPPoyOh4>U25?h(<`87h`g^^4Z+7nshBs8mv(Wb@TH=+7QeM_AwN zGtd{wed`R4#g{ML*{d1n2&6TZf*#aU$vzOVAB`+uJcyc1ao|6o~1h@L= zkcn*?&wK~xoQ`6zdbDXQ5sy2iU^5dq86@2%DAzW%4-R#0Wd3G$Aetw8zJf+C@A7iz z6(ySwg|w3RudbJJ@Ql|KZV6>s-rL~QE+fx$lRRA1yX-(F+FNH zfe!|MO}i;Bo~G$+iL#r2{q!-oE12QKGLv{JMyL3U5YnCS%c`KScIJA&uXSHFeYG0y z6aHsr(OD!cm&?0uw$?(163p&Qe$3SgxwX*O-v8tE5Ub{@SFMLd{}0wfJz~y%*Td>- zbL-)hZ~Vi07^9?ryB-*Kt%m?ZB3DGNWJ%yc@*d~S$Ck4c+zIcWrt{o8-U~p81$?xxnfE zE$t*|XWwPm9NTmL6)eKa3uK?tU~s5kkZ-q$ClrAHAwN(g%N`> znm|AQUg2ZeTlAU7{F#qszsK_cU6vjr7p~xZ6KeSl`{?p-0X2xc;C&_8#H}uxfY<DKv}1x)xWa2gcA zoRgQk$ED8*o~7Q&nS1Vs?G#zC&TrXYv7%dC4S!i;QRN4m77QDcm=eL)5e31E^=FJc z(Q3GZmLkskm0J*)ca5CcL?|LT#9Z}k{TahgO#F~fPFwkAj4zUJSAJk>h-h(!yeIvY z2)K!3BdNW4iHoR{J`31G0QR4O69vSMwG5)9eFGuw=QkoKzG=QUcaPT0j?jrA69dm5 zc&O}PQHt?JXFn+fJN4RA;X;mq_i;x}Qwn!LjOp;w)jIqV7^(w4N={s<5f0Z*3gd?#b3Tpdy~cmA6T}-MSg7}x zqqNC!Asl(+TF$Yba>`vI6f8EY&vvOkwa$+8zQy@A_5L)!JrwSeWf!Xf+QU8|kRR*L zCj^KXy%2gq;^-RUKKc6n>8kpYe*k1!oUFE2KgK|#mZ6QR8n^(23)V@px##gld{Na9 zwHQzI$}!< z?|{tbg{=c4#nyqb(pPV+c=bl$C1kSgK2X-gT-feYWe@VZAJoqn@vHYtsd6wt0@^AD zXsZ|qU%jrZhW{(T8%bsRr!4#G1r8&DsWRSc|5jMj3Ny=p8~jT&{Dn;#}& zv=)i&-YF*}UQ5!?T{UE2)5`CC)Y{i(#bvOAtPisdb|^5s34SH;i>8-x`V*@+;?9$g zCcsJ2e0~hlxD&mVU!$EqxP?!4bLkoh^Re+}+$YME1ic9}97C=KEaCP5XdQn;-lcyN zD<@kLn6FZz)lLj0hX_9nf9kzj3gOXPu+IyP8Cc}b;JmR9A)v#gaN=1^O&(k@3m!vx z`}SMl!M0{+b88zQ0ZFM~!hJ_8LgQjUcVUET=j~tif7w1O*E7yc%}ro|_EnDm?_VS1wy6?3vKXNy zLe)}3)BtfD`<@>HS5s1BrX(6bRwJx9$&Ad@5cCuQ_%sQ?FOdLzI=#Ne$6N2oBQ#E3 zujTkh<_EI%Iyh(0(bWIpKIm7ahJ?o6ORxCDfI&uY;&>*3rl3sBoA(TMsJ80rYB1}= zJBN0<-s)SQhOGWGd~7x41ElnlxoXUIKVT2%Oj|hC08)fZvv=($)`3w*j23qyHh|)l zI-A;d9l@pay}k1nd_r7{`9sz?ojbM->1^A1 z+j~b2S%Wa5dwzLmn|I{eCbbmSni666P?mJ_^1js)FY8|L9&ptUyO87!gw&{4457AN z2biEoIT(yG1VG9+0ZJ#J>{}m|zppw?eFlJGv_gcaHG;`n*5<<_*$wdQEJlBhqifuq zim2k7RWsrz7mlp}2p)#}5BA9;&4cY88F#0mIvb zm}iNhWejvU`-7kF-rpY3|8Blc?iBM9b!Bz~`|osfqyy zLxoynSFMWU%8)s3O-y%|k^LG@%h1gWwXrso)l(+!K4X5#@ulsK#=*(=4UW5I?Nc+i zOyE>)$@P5U4>>w&{mi2fOTrMf=!v~Fpo&YZ#v2%CxUaJ_g}xrhc?F4IDa?0WW;E`- z(Xv^B6$n0L+x=wUsc`qW~rR2 z>>;qT?Q;kb_W|K#w%u@m-q?@K8~jpvcTj~XpL(O$RH4E{Hrdr%=ODQDDEP_^qx8mh zZr=LoMcdn8s~D*vxa;vNseV?glzPyV^Of-`Nnt-1~rUdsgiBp3(SWK8C_oNcLz>y$oAkIaNMjN*a$Mpr zZR$4Q#WIr!6$5)9pGK}HJl{A~887VtILu;WcRt|@ZTgMBU|fwc-L_cfxIG$n<74Oi z$uPt*=Vj-$*p8Ql$EA_fTVOiqqfb?4U$9Nz?pn`MjiuhBwM{YiBn+5%XTgFpU|R|D zCuWrQI_vSbOdw`vjWq(!N$~ttMo%G(Za7G9BZ4=d?_v5Xw?s7CensnruT;|&AA$kW zi^5kS+NK*0&>Q$_-V`tT&$0#{7i;@_X>7!Mu z21cPO|3+QjUuKVp8S4Nc9cak?sK9`t9gNGC#`4M@-`1nZz~cW`-9`B`8neuWKf&&OOxLu|p?y{ioUb47)B!Q~zwW zvw8@=s@WROoqKa|Sv7BQ(FcPceg*z4eHI_`N(^#9pkRNN5X)|z1D|K!3}45PYBiY! zG=yROB<57aQXWHq4Q~2sLt8sVIV$SPU*rREUw=!#*hA>g)J%MR$Zq=}*Qo*gsE>S~ z8v&#`!<6qeJs~3GVYx0Gh~>u|LN%H$`1oADQ%Gyd(Faqi`lUGtG5ij&C%iOgXkQ0t z9F)*E#vo)Gq4&fmk?TBL~mU-u)CXf^()s`e=p4r3xt{Uv^K z;vO)@>T9L1SoRc^0u^)ZXIDY2G#`j;S;D@>x|PPbyEmS>(R=w0F-J3g9dKlyE^h=|AbgXT-?l6)Emk+O zTOqM#X$47Gx059KpGg`=(l{K0)4$23faTdzrTiq9QbJ0JQqsAUVp58^m3XetrE-mN zat4o)r5SQoE^YRT&zERx4wEO#$E%7w}(9y$~nyt+_ zWWagLpMhMNn$YSnnUN>^4MobkyY3!1oJ|)n> zS-fng?18#xDlVqA?BmSBJdVLmK53t6VZ?{DVyR>XwS%_$2enz#$iMmam<>j_KGH;buMSce>kr47l-~s@jP-}5Cgs0^6vq0)5|iR{ zKJ8C3)*lv=lDtXusO`hBp0DD$xrQ><(qCw>%U?#96pS?e=^N?bT#tSfR7nuyrZxGl z8BTv>ji`ZhzmHy2SKpDJPkH*^)v{89?e9OPsq&Y*e!S0UH#J=_Gc}PB*aPXFR~L8h z63jE==;@F^a!u)Z;lxQsCyfMb!XaNf8W2WwN31_o;>AUVuA%<(Lp;Y_SB2}4-b7N7 z!n|hCJ_LuuACIOyNmE;Yp^juf|MGxIR~SXfi_Kp~>;EN8a6NW@DLZ zq^tEb;hl`_25h&Uvk|bu&Wz7}vim=EHQJfebLkZW(tjU}qd6cGe^5w@&&K2Ln6on{ zW`sx(-{iT(CxKdn`ncY z!mEp`2p#gKt^$)_gEMKXFDMDiKgg&(7?HUpAaCM;7P*@=b6gE!AtE`9kqjX_fn!&A zn0H$0UqHG6!5l}PkoRhxB%O(^hOWH1W08!2C5<7D< zT*h{IU$1o&bfG}PmzzrzI~W`bUL=9&p9=&=!F1Gwykifb$G`34_kDO7mP6bLmjHq{ zOyCGuJQ;on-mEUAao<$5J7hEl5^0agmBX)qOzwoy0w2xZpUa=>%~q4NQiGN~k*Cyz zd_Ll`DKA`47c!?tbdNqdl%FjkL8CWd{4X*U>*fFkp;gmpR*Zj_#(+_qK|B30=mr6I z!u?e0ox_I!{s!r7S%CDe3fEFqm>AQKKdiJ*AK^Y&1oB@QLCkq#}tU%$Fd+?b816!4CdoG}b?6}L3K zEWs=^b~v)x3(HEp-*c8gHFc;K@VFfau*`TPLQmaXJao=M%_lI!1PkiH%=*8vTqR!e zk)sV$DbGz8MV;l{pqjh6cx|gA22gt zP9TbIdEyn*f4W|5G(6t#@hfoFR4OzJhkHaZ-gr;QtEV=@Q6t(3X0=|81^CGRgP8s- zcWyDAEA>|;qlSv$TJRSY!R7owWm%m&(I6Z#{OHgEF`@M)!dRJ?9tzy7L#hVq<|V-} zw|s>>UR8SzdiF0!y`l2mhGe-%Lghuq$8t3bzFlYH#u7@PetX?p!}1e89ox>YF*vHF ziESd)0LdAFw%9V44kNK@Q{rVf)`P^z>tEN_K7JHC0&Ddr-b3TT!Y9zLUSv0av;4fj zJh-8@#N1!{A{sz|*kTlc5iHbGUwrhlLR{m~VJ-3L-h$`>38&5RnIvwd3v@3bu zr&y`Y=i#;^2At0pd}xX&fUy5{{`)!ztSGwW)9=0ct<7k`zEx=NKnL4x+G^W9l=HE3 zQP}?Omf{2GDYp76oJRkjGWBQ8;~uQtMLJDq3Ky%F&P9bt4KU8eGi;kFI5u{7nf^1S zwkh_k`m=erUr1MI(?BQvNx$lBbFM(h_g9YCtN+NcB(`$cOTV5qVdzW0wpYG;@?Y|= zJM*pMvf9UTbgmngFt(#BrWyf7Qp=r#;^nPCQ*Zvq4;|SWTwB|2?6U;r-9b9RmfEfK zA}U+{1ODh@>O1s?m@bARk9vs@AtF1fZrg1-MC3FIuR#t`Ust}V&erSj#C*My3DBfaiyYp2+EOTQo-V>be#DxJ$tZlDqtSf&zR=#d(rtG1*y!T>= zH|m@L9Y(9;dMHEAP_ZOher_wlQc>IgY>4A5q z888hfMo=+IYLsa@uMH{eU;|5QkU&oCYENnJgDG>$QcBUp$8ln0m3JT$0p?vg95$NE zm7N`_cZr^V5?j&o?+4S6xskWb!h4PV^?mwrYDX&kO=se8MB$zPhrKtCkGi`0$0sDw zsL_dvHdfTJ4Q&vYL_rCQ&Okfj`p@zd0_YSp&Z)W?PP zsT%7-&{hUT0*V@ODT>v&@)_e2w}@+g@Atju&P;{`&~Kmq^_v&reC~G6x%<86o_j9F zo$5}=h zg#>?!b@9qaDhsE}yC1NNhC38f4o7>r^ca*>G?drk$%uM$)qY3VG2c>8q&Wt`GR*)l;x(?-D=ciDnti+N~=}6eKj-xSz<;5MS z5De#k)Ne0Xe^XB^@NUsIVB?z%-Nd?b1Ce8Tg4|>4Lt$b$5+3$dFL172_5kKB|I-+j z-g+PrhWAa*2i-t) zb86Pvbv|qAd}T7va_3kx563O~G3O}#lgwXWVGY?!-1N zyiW2XoMl235opPRAw7(inOD%P9WBJsG#BL})es-#1Mq51_^OAR*j=og(ntTz~!depd3{EE=|(mfy+W)=KLHhdon5o z8_i5#bTln{t2UzKhj#lWGz{oyV)0POWkeBo-s5=b%8j*z)=z+vN1Qt}pH-XV%RH&) zu7ReNo7IsWP8TN*G_4%zq-o{$$DG;mxk#OANZGTN+n@M>@J7Fz741{cyh$batO{KP znf2Izq6((x`Yha0AMVG@jwfiahqaFBo6IVB3|O#w)K!4H)PGm*C8&bj>H0|+RDpj$ z71ZVM(sdLOt6>pE6&+-L9dIidPAP8mNfmJMtm+{;1}o{W6Lk>C*d(Ebvm4R0A#!OwO?md@v8yusM{C1D2z(exsHv*UK#5#Vp_Gww~t)mhC-zEZd&e z)Pj9TmFsChmePfA2>T{(Q*FZuL^t%QqKj}@GKB8(Wgxm@Cj>Wb$h^C6BP>ci=Z`+= zjdnU4d2NwpqE?&v%5FDq%ghH}`^4|wi=#004a-HmERJaS`Z{<5jfodxkJ8K~6{)Z{svu2P;}2qR`ah07)#zLpiSKuZ$`%C(hmQ>Efoeg~put9?;# zGxWgoqBn9p1@mEJ(G!`tzBIa`4Kdp7ose8Y2ha{*g>sCn=x~I7nc!dSj)#t*ki^>x zL)_Z}R!w)nLP1!eUc7U$bMqr>$CYp!#0ywzfqos1ZqqTG0t{<&2F6>AcxWAKA5hDC zo%RCySu0?Oqd$1~%GZ(EoY1}-voAOhy8qb6|LxF}!WHJW<&!y-MC37L5+53wpTg#S z^l{&(&)_MMqkR)r#Db^#TBaP08y_hzD!?qjo0vY|w|D#q_IOu3g$)bP#PHAouMO?6 zyHGAzyc5-9u%M4#gvUD)H;?!xgTeqM^rKMf@7rxagSHauxd$2OusyKV*K=o@e8qDv zj(_+P680%GtTiz#mr?Pjw48}{aRp5(gW*SWkZU}XLVYX0H3IKhX7ojJF|y@3OP|Kp z1*eBQX))Z3)5$EXmq(}uVk9gf=)UDy%X3Owvc?BWV$REXJ_j0JT?=EIkqpMjFfw3FvVT?%9p7kH0WoV* z*0P-VS!l3|&#eJ#lv+7OJE3}jRnv|v`(Ga@q&O}O1|a=`VlJ&lD{LcxjhLWs4R2S< z5I>$(bjRmNIe-w~EKQ1@yyMnBs~cl3_=Qm}`|&m425S|wUV1Qu4v%8X$%`*u)Je}{{fFf+ z79(K(IZ_>+S&V~l`;*Vew+afqsg|ofH>|rJ1C9N7uPO^)H2diTe&E0pQLrlt2`++w zRY{Th54#H?+ydVPEGlvQd{w+?U$dZfij2}ijMh4l4LtOxPO_##PiAN2K>!`Bgk5foCV?E(@ z2jauwY^Dhmj2X1$?S;t+w34Rv@WQtu8ga zoJCeHDJ-?hwqPRQ2(&}psZ$4h6AL^mc`-82zU~s#G1=b$nSu)14o9d-dkG8V9@Nicl1VLQMIPhLGaC>mk!~+v?5R5kz;$|l#a$z*JPIo?{ zIP7Qf4T9LBse6>iAw^mA%^u7%kK*PIS1Pa%Z+Q#Fu5}QP{)CyZtmMt$ab?ybS4v>$ zZNGINS4PE~@hT;|!Kzzn0`$$$^GV*FjeL2m4C`6u9Hoh@^tf7A=Y$UON7nKB3M5!( zCK-1|{tT~_YzC}}eCtygs*XM!dF=q2@`?GaHEK;}?E{vbjUlv4sJ;eDdP4`r*+}u_ zY{YH|v*7xSX;#*g%o+C6ekh1s5eCoLfH_yuR55PjXJY3-DN&-x5r^2NET>1une_p)8bfnM9erF#vSN1lF*0W!R%h@;)^fAu4(Tt(5vcqa9vj15GEjI5Ka^`IM z9Vq!e^~R_P?rfr8Uv?x1&o6XlkNORiwGr%WQqSTJylRX_TZRQfqTM!~joqR$vq(!y zG+bANc37xhhe2Uz`6OHapD`B29Rv7hd$Z4*n9Oqg5g-G%_TM3XW0C$C@qGgsKx2wb zI$~e!3~!|)%* zM(jSY;JgwJPvlnx>cI+icUSFq9_qlHZhwMVTi-g|{yuD&p+~SM`KfEMt{D-O{YgyU zNBsk6pCAk9^kGO$*biwi`RzeowRV=s#@1RRxKP_UK_NjJ*3>uB$`>XiuTz zb_5|)-kIrK^)Oh(1dWjl7pcnHI8Br`dt0#z!p3V>yM0TKOc@WuU~(Jm_aMwFyFLhe zGpd2Ib z@RA5AV(@PFM^%fCpNd?peP2y8$@`7=+`CyZvpTRuYiDnZvmyH1a~ai4zJ z=hLSH(C&(s#q6uFH=q`Z<&AOq7*K7@Mp{4ArIc8h2D{Y4o{BP!8xcfAF}?_dl>|hO zR`$i&=ouvtLrhtZIcHyJa%}WvdNJh2jzLGo%!EYyqfz7aED_T#cL9ocbCIa#3zr)vkq; zt@@=tG?2f+VNZSnjW60s)4VDxEp9AMcYftuH6Gx);I<(#WZBHheC=U zg*a;~)uL0CG{p2@+%;f*&-uKI^Z7PN-?;JZ=8o8gRC9nez||^`Cn_6_&%w@>^YVL? z&pbTh^oFzXaEt}8Yl4B7lJuQ{QFZ{2OGj>kw;FUPeQOzxJ82ig7#y$n{bU#ly;JVR za^#EVx_lERO^3I$$pUIrj317&rgsA^Zd|0VW|POg<5749@d+B?IIAUid=AdXAdYLi z6oI(Ds);)nq|$Otvy8@P!azg;QL}=7Q&%PexH6&FcmF78gTJ{2!S#}ry^_e)(EDz%9HrwM z1=$t!)7}IVGe_r$JeWh-Vhr;%3!}8Vpeq!CgbWvCk&j7ZOeW$~BTbI)%p%QAwFC~u zO6l`fb%T`is}DL_3J;Y6RgxuO ztS?x`{kjhiEK(;Ki$$tjaqF*@b2A>cZ$wXSj8QEOXFm)@{CsL;xtvY6U>Ky97RHE6 zp*5NbCC}_xFa)9r^K~DfnlFXolUhC;wfwbjbg;nJlkc-&!R&oqy;M!3Jcut;QhC(p zP>Mr7XDM-%d{_^?-T;aKq{=}meg{fM$unc|W03@nun`RxS=a5!-x3(k_SAEXkq2AF zqF*Y_3CmAf_{Wv;rD&h;!_;p4JBqgMU#QxY{#E-mvNf=~vwu~<+u8I-ph4Du3DbSvi{IjHX&wn=#Hx>VwU;LR93*x_q zhoK|m1oDB-YsQJ&zS<=pc(!HIb?|mJJ&V-H$8X_)HhKURh^K2gWNn7pENv+vA2~Ta zF1_AqIS-LfS91`CE9~~2P31&@IT&IdjKcl?%+upN=#VUsgFFBpMNcV zy=T1|Zzy(uEz2Ld^bm}?NAiTpI_!-vN5W`o>98DC*|M>85{;ETj1l>gFxE%9PZb6sefZ2bI>4a>y4pPIEll{JqHz6be+UXO>hK=b z`92JYiJ_ErwK=$h(W3|c>5GwiYKe2*!yX1?G9b@97`gnc2djXSbuF`}azO8c?#~3M z94~z{fcrO@QLA#DKg=UP|pUtdb3LosBL>;70TPFm?{GB{xr=)S7~i>q5c|I1 zh`#JYQK@ccQyfh^ZIfdiH5_a-ZHKv4Mz=02^8NfNA6~}Ph4R695bJ|W@oTg#Rk3JvSI2nb=J`Wht(uh=g_ zwxS%|m_Gr>S{9h$7i+_kvMtV42XiS|wH{9mba=v-swsHP!=YDGO85J}Q^mFp+&WYt zmwXPZPWbX{g!X#I#;i=VpWns6P3J1|L@MmwlhFpy*>M8`j!d)g;IrV^__RT)sVY4B0!6^o zb;SEx`xHQ!Q?`X`<6IORq|_adO+li8y%qD_>5Z|Nyv5r$;)N^EKj;U^ZFpWQw={n1 z7-tio%aaF;SLZkzS0Z{}b`u&6%sHL04;x%WZT+#%hE%T{Wf5_)j_^1L+t_+L6Co!< zv!FD(s2%TJt@cO%4arzkCwevyvjfvrFEiVtgX%8D3?9qCmsjZCix z$G8la8EC{jQaD8ZmSG zR1he6I0##iLixGr(X34jH`Ea)^b+L;pfjyAOHabl2(ziJs+2b0I2%=9Z;C5P4MYx* z3@9(PHifEk^Q=}BeY-FEU>K>;gtoCjKzn$kL-kR-7u=~lkw1r2bo>z2^euK}OkfbL z(;dOXPHLz20Hjrp;CH3Jq1#{?6q)$6BlIz65S{|I3_my;iIGx^#j5fsnJFH!p+h~4 zh+dJQ4j#dtW-WRx_WS4~>g)<{G4O~<^5=^p2kUoa+HO4O3+7=aSH;!mTzH~E#g&{x z{g#AvYoH`hH;5*h+Kb<#GNzw4?zew&vl53QI@*YLwY?s0YZg?|@RAb!ROc_x^WY8_ zOJNlv;z}1TGU~I9zROi-2^|}6K!_Pn@h)TZd7M4;_N`LE!F{4#s7D)!qn$0o^Gco$ zmT=e_hctocdOQn>k-U`DiX}zpppwsn`+B0EofRFk3FjqToMBKedANSNCwg&Cb>dLj z*;I@gvSziH%)$VE3mTs%`kWH1Zr`_?s^)yL7DkfBe{oibQqDcU7xD64j0PY*%)L3oQ1F}!~8tpl>Erz911+4V{6TD z!P5mC6hYg!y~^W+2i-(OlTg|AHQI;6xZRBJzU&)5QZw)WD7=^C*!A$mDQFC;hU36{ z&w(Ph+#bYU_!Vnv9?qU~P?Yc5KH`GudK{I$j>AHvc#v(?F48pEL3}NdN`jJ3(9VZ{ z_`zJb9m_n3>|%&!>nrS0;M1P;>7fs%&DQ}r2~Tg;niYGFYR8s1VBIk|rKY<8+!`G-hW;*GFE>uHIFW3mwjnOX1urfu*|>Be+CG{+xwa1ON{K*`|n#tOu=S$M4ikyok6u(57kx*>p9Z1_b|WC5*;nx|XMD*HdeehQr2Kyd{~3K(s0q>+{US8M zTEmJ%NuSbz9IAm_j;P0eevfLk@8VgXMA!irDCK~7J_7mD2_7HqV(szq(V2hHVoInO zuvmAoFFt#p0ICA}ElOV8159D#3hp;C+5H-nS~z7u$7)d1;?TsUK#yGT{yyZT+bA#X zMvf^jMJI=m;dRvrRu-hea6X5O=qFh1=tm;#A3`*MYQfo+mPYfeZnN4KJmd&7?hfi# zaSy7t@eA+>#ql&QB-&|ZBGc65CukJdwT7e;dUB=PUm2p022 zGlRd_SX$VRVY-)Ea=k!IA?Qv(3PHJppq5;Yg7+4v72(PaEC6#ZjzxXpGb;PUcB9_1 zx6DFo=(HCXv*Xe*&tWfdoTJ&f&{bp(Tx9k%sr3+p`?i14ALlZ+6-Um6zUagP-i26K znZrf^b#(~U>|qdvr>bh_*{vvIqR+Dr-@>m_bs$|x4X_iX_5<&Zq{8<90w`TmrLeu|<&gswwx4|o6cT1$9>Cht@z4%5W_?ZL@H@Yv zxfsl+)RbR#eNqIE{9r@&^*YCXsM#~XrqQ;~fwn#JAO8b7S&BZ+j1FMNK>iMAJ!U+Q zrJ=5d1f{QS?qgqjYh4{s;+gZeALr^zCv%q4BpCXzME#SBx(&zlF1ZJ%%ERIVihOAH z3_CE2?Q=>#ROi(%LBB}R7t-vBm;u0z!CWd#^lBz*(wHFkCh8FhCUBKX(?1&(kmO-o zq2d87vj0IDn2JYidTU)Qi|CHx*q5}d36o>3SK_8+u&_Bd_ex(z-}?UGQR;ITKMzr# zxc3~(!WVo(P-&U`rBYByvnKLdoH+U>C5FUP|Zy4bLSNbvmL;v>m$K)T3WPyv~ ztvQ<^XMI?ePzTi!o!P!g8NJvbL~b1MRlk&+lplr-y&+JhkIpEy&dM#drr;G6b#Sb& zLJTj!xp!mCd4I3d3wr~+0bxILfofnb)D><7VDa7vLReCr#}ftYMa5q8qIK-85& zG;a??|BS?``BQt&W8+uCJ5hf*iXQ&c^mrv<06h#*!|;BaP~skasMbOW0<1;?6+{Tg z-4XGF6d^Y@w;Q1{rIjc?N4qx!cKC6-TQ`Zamc>INz5_{MK{W+2Pi;BBL>`5wSNDZ0 zQV_odd%jq9sK!>-QZoc*2w3q{ejA9KC-FN)6-|04RkjlVnoy5fyq@b?*i zU;F~`_tC%H_?O2qrz`yB^q-GWX_?W8!##{l*qOu-RSNy8mdV4EtP^&e^EpOgtT`G+ zSw+-HV~m`dAhx8aAgZ5+rd(0I(vBx@=}i-1&QjQe=67UAuP-_kWj+-%j(WyXY@|k~ zqA{<`MQ@IDbF6FH+N@m8#>V{|j+V)=m8xYiYW&3`=St7eLs<$GeIeO6x)fHsQBQ%E z5q@}ePH!3UN++1(%Fd0`z1ext)(9sF1WKOqG%I&#ZM3VUK7)CThQdgTOT-U%kz0|p zfm7}@V8oiM7+Vq?p9G1>W16>F@x~))jjjs431PIOeYtw5iXX8Fdh3yx4 zg4zq7g`&iw=leJhhL&D#Y4kNuWCLE|D}4?fEdQ)Vxt66;`PfQ#ijsH+~ z-7G_A(^m8rxVp4!16;^H%bWTBiKpel0>~@7aX|JcqNCAVdL%?f5be}#k`??FoY|a> zUP!y5I3QbwGJX&D0-!rH4n>Bh{-S*Yj0;2iMNNUx7hyR5EqfL14O?nIY2`y?-*^-# z>+#caLxvm+R#>7Sz`Rn>Oz}S zQKOf$F-NbY6b^DC)dHH_hy~RxUlcllzhk)1nw?V`{lEi>t}i;`F^ZYPH?yK|LhEL+ zg`V%r{_xe24p?TsOyN+Bq>A5nprQgA8(t|RZ3^9@&wCqR+aV@%*%=yU}m`hPn5scYHkmz$d~-;7y>} zIl+99Ybw!C^le|sh_28_-j*8h@NvV0d9CQWR7CV!*Xat}8akv0X&`C(2=B-d6Blkc zy~F&|!%mKL^^bw5hq58a@w`w-y!>Kt7g^B^vSneTbBMQzP+IASQ1`L%;1LTojzx>( z527)8aH|Yw6%8)071@GZv42I^L-I%xR_^1T5;TOZgB)_$i1vEW6u`B)w$oM9~^D;cJI0+fJ%-NKU>1~$*?k;VB0Op2} z6c1GRR!(4SUo^BD2QBCsec4s7jC5dp+^&jhkm1j^qI&4Vu3U|NmCoD2#2QXx-koA3+v#V4(o9;skL++W> z7lqwdt@IwzgXNWsq*7SZuq)e)BCyWE%=#m&A3?yHhGnMqbivc5J$-0Gez=iB9sQJn z6Mm}%3QLZ`phj;?$+UeXDvLe~$)nR?B>yy)QnZPT#jk6~a*<4EnvG5~zD3`f|r037&#&Q{D@~Xx72gxD_#b;bUL);}KKbrHKVk_jPXU zLeZ8+L(SC@s6t*7i~kj+gyA**=I3b34weq2tQ2}guHZRnC+2e0Qv3zTa`Dy`Q)5cP z|NYgtFi!*vfWY1dRN~j-OSg#IQ3t9;;5qmvtVbi0KAv5GM=1V;;K*js`!w47;hoS? zRYfeCoqUQxiEf>ZJj+z3(i+cEUz=euJXHmB>Gov9P>P_5h5>C^b$l~;+i;$$+6G2X zXA`a=;M84>2T%pVu&{PnO75FhAr$XytSy|#B2h1T*mcTNP4a7Vls}~|I&3DwFanFP z)GCWPy}Zj5>L}idR&%OKd954eCese*P3YI@TT;Vuu#Pc3zLj9@>4}RLekT%iG_iZFM_ZfpXn-)D63_ zs^l65_9quSd5s4b5zVtczUXr^aETs5u&(j}V19+_HQkuw_QPS0 zvk4}I9bTx9tCcD4S8`(Ec@A%SurxeSl`4gO0qc0)_Gn^};Eu>PzZJ@fY|8O8D<5Ti z15ZB#v)^iJLvq!^ci^Kh+YL6LMB!9qW4N(|oti(>i>c{D$ljx`^kenbM2Wgea|sI5 zZ(U1~IVaVV;+e>;=1)&TD3@-&!jqd2Db+ff*g7d)Q+Sq_{B@dZgNykMX-Z|SSd zPE#@KCoyei6{E>e$3uw&`z$dv)uKvNrlDpTGF=*#YS zju~7IAL`kq)IoqYkW@ubYYt+kWNoZM%H7mPag4>hqzbh_kvKMox=K^3LZL)`^gJiI z{q8xcBqX;gncas)qG>o}A$}gq&lIWJDBe8-( z?$!H<$n6{Jwdry2c_keF0dD6tFAjS z1p(Z?Dr?Dla$3u9xyVV)ZIoF4SuGQvgvq^t>Sd@Gdl46yP&q8bz=Apl7N~f$;vvw^ z_eb-CC$LZov9pBgOID#T`UqxJU&iBsjHh6&th70+z&;Kr7+6r+oC)D=0nTiH;)ugU zM!$9S7UYaQ{D*KsOBPmJCKPN6y~{ENx1W`c2G?aR-i)(aa^C~DoM|wOfIb-A=!4l3 ze1mgP*}!$CVpNz!HzuRL=n>AwP3TC%CoIs8DD-Dwf!N7sxo{SEB~p5t!P3o$)vE2I z4bWcXiCpqD=d73R>9?})czPscRDGEG5i$?02$l>~6;*Rwv$C5I&O|b5$F{anHEGQc7kca~v5km6j6%MWx~vw)iAH3FCGE zqV{F~65L}1KLpb(c|L=qhtE2c`pHr6CM0u#qX4e)`q#gYAr+@koHay_Fl$q3bSK^Y z!yoow#tK3H8(9s!iok_zpG23L+20kP4woL+)Arr)Y(x?yiaf})*q_uOuDbA}=|IeC zgse(WGy9M(%ziD^ytDmAFKIQ=_D1{>{HOYL_6JX)PORDN-l43$fUZrZUAu-FH9+0seOMYs(*he+8dR4QEug9p}ig7t%Um<{( zX_SG56+1oAXK7~v((zUlUSD=D>L$-`x#yQgTP_6=KduKvp9tXW!PzvI&CrU>XU}3A zeM@z*L-7c3&b-L@jF79eWG?oUHv^G1Igc?Y$3>sac$neA?v36@U>9gS#I=t=ErI$D z_Q=1%t{}G66dPmDRz7Jugw@{G8p7zmXsl&(|3mbZZPfZHzR0Uk2;Q>xFeFbjGaP(; zbPKAaU^s+&wgWPmhct@LwTB>{5`BGiOCb7cJPS;79Iz(mLJt_L#yaHE8Z*q_GUil! z2R2ujv-DQX*@Rse);oinVzA2cs0?=*&{@~Aq)?;J5F>K26#SxqnWNTK>U+iY_-@;Z ztBCfLkH6?&M_+$*gMBI-Wi8cNPRI)EQE)&%I_ns@49M=(v9xB+&s|Gu>JwRIE)Sxn zji(creHs=luARrPTvsLZj|&cR*u!u^f?tLelxD|X=-d)DFOT~Y-;)=Uu6gPIm;UJUXP7drrKNl1{79e{AB;r zYb1a4E6+@xM_o_eaH0|oHAb&ph^(t#wHc#>9_O!9J&irXx?(o_n&^fqkrmhC&c@aB z=J?N(#f(na0EPftZC`QSNg+~)6KaOU=v&I3nT1=Y%_8(dI%V4e%O+*pLMeDXt@yf; z9fPXEdi*bg$sJe=z#U93YGF-)x`DT2Pl$Qxb?Zj5kELDHp^B*D09uN^j4yf)(BmwJ zfk*t-3Yfi_l2yjFigi|wbtsn6MPXPl#0GcL@~N!pGjKMRS=J0w1C5dHVO&ypuo3p` z*NKGts5ehMB^yhflY6ZxxtOAFM{fle{pimeac%^2YQ#Zd(L0`t|JdI>+T;cH>WfSD z8CmgmFSO9CTU9=D@l2{`!j0UFHI!oE&7Ey@8-^A03&s{B5KB{L(GML+gN zzb>`Zk=t~OhoQR4QO?N#tWDzE;jb$J??h9=<_Ml_WNp~u`!i?31hc9i#k_OPTIk6tH{zO zwv~w5;DfvS@>ETo1Esxd$Ej%Ce4;SfuplcyqpJsBAq=010MzS`_u*UfFeQTo(erbyA0x$xW3ceEeu~>b{5n6+nwEwkDmp|3)obH#=+LhU!qmwLGgY)8=jFm z8-C|jGaGWgXjQWz)Lk)twPA1@WH$7gF_yC-@6zD;iPwA4H9xw=*K&!P3_r^GvVS#3 zh0ceF;{m>T9n8Gt?}zez#b7p`On!TqerR+Uo>MfBX74kPhT;)5jF%Om_b_~)=HYm5 z?}cIv&ml8!WN(_HR~=jJgK$|`$rINWf=JJc*pJQfL_hJ&#QI`Z@w>7igziFraD*NY z`XZ`4g2zA>ggw)K&v7;QHlzhPQ9EHF5d8?}4W(2-e8qkMvaY6>x~>q~(z9v6?i2RU zq3?tfH%MS0C^!wT7Xh4CNPS_x^=AFLnN`Ob6Pa>wRJjDNy+Zj<)ST4%h;IUYDqQVnp%>pm< zWb^*%dY`p&8|nzN2F`O^X2oz9s}&Tv&CP+XDH38g0*zs`2bhcLx1;AU$4E9ShNh$h zl<13@K0Jwx%P(mQf3N|o=gy`j$Z&N+)jo8-rHcSI;z^2BO`%3MWuRPpex+mhTYgob zxIq-ng|dIs$LJo z2kX^&ITc~ncCbHcd&GypEE>jmf8+_2E{{i`Qj3*@n6esh+Hs6J9(i>ib3B5zeSEja zBRWAl5>R4`6{9*GX}71}P1Rhyh>k)<*SHEfo~M2Z{X&oGz7UvyA43iIS4y81`$n9> zW)*P3h_;0G0p&kvGHQE&kPi4VaLL>g-Q=Yo7bx&6hke#pv%CnvxV)bVgs9*W9q7xH zz^#Fdr**<$6%*lxpH39W&^zF#T+5xb_W&u4%be?nS2(cssL6oh1=ocraEfp@WHiQ> zeFTP%FDUM#vv{+nhw$Ml9Cu11-Iw8oi^%$Y@xn~w)@5&C?=%KErE+kd{m7ZfF3;L0 zR8wadT7u5V7EXcA`#+&RdlAQ{ah_;jHI{#cI(%nfw4UJ zPyAoxY~nHiXM~r5N02KAvd}%q<-STQ4mL&bjYlt71p#p2yy|yZstSS!!xP3+u0V1r zzv7ri@K&hYAfRZ62;#K(s1uxv1o6``gu?!X#?FfzhcXZ~^oTzLmGtiN%rff?=04Pj z?ku?*ft0?M16S@l%mM9-s^P!EGO7Qyq&$i(Ym=AR__EyD*atp6ksa7Zz7J6k3g_SG z^}d$FmLRz|+CQx-_W|BU0|K+&G0bw1GyH7C5?%4*vyLP`ST><+=<;X;1?N?CvsR9A z1P{_V465P9jVhAza5fers;Z&ciirb9rsYA^4{9M^`dNQGgnX7c*Qvr87JnHo8~_w= zZbfq$Bkk?O$ZKeCuc5v9_xl%~Tv_&do9G+jKy zmQrs2f;};m8|9L!Zd!L6PnFmA5El=#p}_L-m0n;^#fQN+S^luuw;mY)Uup;)7Vkh# zFr%mN<#jv+`?wrnGXlqM^gZ*I8u30PXRpSih>ko<;!7lP58YHIR7gLOg`v{ltkYk>^srJU@qzJt`aBBis=cf9Tp=+1yjEx9 zU)k&whDu=I_>|8C7k~w{Kcqi`&W)0u{U2|?$L|++auC(+H2!l89*DGok^Zc3gh7mW zo`zRzyhYj=a*Ztr_X4 zrum|k@#kOo&!KUs^_fi>ww@Rnpae4AqUuoQ+1dd}4J z48IpL4)~o(IFMgOPx$?$ZAgB9tNCr${Qm9!M2Uvql@jd$tKj$q0Qw~veC1Zf;57&^ zCHnmyudY`q&wnrq_`8>IAb$$K@HcCZ{B>ylKE5x(pZk5`&!PDXomSwWUy{EwG=DE4 zU?~1Z2!F$O>iy1_48r-yazT<#!F?!17c0g}EwXB48-~zQi0u{yrug$e+S5{9XIUy)3^=|DGtn%0A(*8T3(p zU!8*bgo)xLf-$gB13%5S#j?}?MaAN-R1ouT;~vm5?K2!F!| z;g9%*zx#flR(_bQ?gqUit!HjhWpO<`RatN%|4IBn4wI?BS+W>7>Ym@?w3}KlRMJYW z4>8wbsW94ZBs$K!)>Id#$bGm-xFpYBsQn?nO!d#VXKH`fK!2A#QTrDT^e?mvv_BR; zsd$R)ThH5((*ETG{mbnQ+JE*y|JnB6w14G5|4REB?LU8@ z|9tyW?O!+0zs|0vKibuWglJbztW)Ca)Sf2H^2Tc(;ntB{D`k6s1BhSn9BXV8eyF-7 z1fAIzFb011xiD^k#mZuOV_9@y&kxOh7-+2qXl!zIlxLsFc%b?guE2vT>_4e$eneqW z|KSe%QSEmsG{K2j-|G6zL_5j*7cU=WUyVR4cy1vER_Gu20hCuOkE4P*Z3y<6CN&qS z29|+@NKvmMO!_`@^N_k-f9yZW^rY{?19{n3a>?~ss(m~d$ckq7A!By2M)0=EWPj+* z3&?h&J&q$&u5YdDVA7TS zv6odIe*FoBK$k3Y1kZ+O6e=-C@#s%%jr<~x{9sdsC4auJ04~Fq=kLkdrbn6oICC2#y z;^5LVB;>~+U-oz4WHwL_`ivo-4If#@HRLhMn7i@b*mfY*OZk6aGt#j!`$_z-47`+r ziAK^yF}15KTOAZS<7F{C2n_I+qZc~0@3*tYvfGdhca%lv2Gw&FJYbX$96T~3@fvIN zZ)lvs++OG1V^6yY;Xmuy51+^Lv*Mxd9lwBRW$m#_@c$|7Dvp6aTEW3+KK$W2dSud6 zh2enS{sa5|gj8PaG!A@+UlSl04CQEhPth0g06UH$>_h&*3f&%h7p5>;CT&1GoJEI$ z%eEf_+bcKlyQc|Zt5d?hObPo7B6w!0b8OSBV99{`V`|r8ot+1%h}dVxWvnBty1b^o zUmhQ@D&06%2!6jha7w|*A|Rn5;*VYeQQ9Y5k>}}u)(^c3Y~^6S4HDAGBd{p?T>U?M z*7AJ&g5R@Uc=Hj83sNvz+mh=B@@5!bc-Uo6QlTsI>@oOY0YefdRs22rfTx}gNXV1& zaa<9_>k#P1i(NQ6LJP-j2$VAH=(xz_WLPvQkXF=%B{`i%Y*Yu^>*3^SEz1dK!0*t| zczzjnmxbYRCpjBmgNOwBzM(gPsz{yYaF?Be4vGu?jE=x}gB57&LS}&?vqDih)V_dJ zaue+hMxUAcGApF1{83Tq3+)&{J$Q_1pTzxxbml^FmiWOp&_avwAAnjA@Pb#3ceuj) zMra4dL2!anxg%LB&c-dwGI&QBy&IgE;yqlMQ)y9Rv1M%OO&6nq&WC=XLdAE#z) zLXsukurL`r$leH7&%PWt)ARKu)03Y>zGfwnT$O_4V0$HzB-_uxe7#}t46N7hFds7p zuTRf)DfOwwbDnzsob`$KV3Ernql5~j(D&^_5S{mL-v~ZGu<}DaJ+CC%8>cG!cPZm8 zQf`Ag{tCE9d9r*r(S5LSzi)TY{V8fqg}-mFru)2P`1N#8O@=qqO(lMbzl?6duLld(20}ibAuDUPz{wKWgLK4RLNyiF* z!#NL*!kY4c_-)sstQEbFQq~TrVuzKz6__zG9gUQ0gvj^sqU$~Qj^Fv$FC`s9Z=lbG zz{GZE@aXwz(zOVCsCznWx_ogjilZ|-3=jU)B$G1Usj1q!EKkpiwLwa}Ub!Hi4YU#; z?^B~sVYZ1(>bsRwQXZ$IQs8qotw4a9md3&vss~fgUWam-Fp2KqS)dz(o4?4UwJI@Z zqZ~%A=4|x!pYio$B>M^}(Pnp6Ce1fHtkdg%w?(9R$+tgrBmpb*BxNr%%vezjs^ zAAD;Jn6}jy|1%Tcq-T&_6vP_v%-pumT7>!b-OvLpHMTy?$LA@@94#Lt zC+0#vk;=WtnW$L|2@ow}K)**1=&-#AZ7wR7C2g}m&e7lcC4zAHb95%Bt@C)g=xnS+ z2rg;DfrH_%6goUKN3G`z5RJwnm5}K7V1>8UdFY7$pcT$j!F>BC=;e(unqNUJ&=&`* zxR`kxqk~?+!T`55SVR?<%kpv1y)$@ZJc__Xd6ZecLVqNd2ODUi2I%W%_)8vMiq}{N zk~#IatV*C3A`I<3Q|Wx*E*Hogg!InFFfvn&Wx_A>(eHah-)FmnI={cE$9 z2wTCT2ZKwhiWJH<^aly6N50;-f2OcaQP}iN1!e7H9FgH2bUei7Y?_B+H3*N9b!-A3 z4E832>y^UZ;lSzd^pZjLxI)!tQnQm-AHkHbDqQ>ZRu-u$Nd1h0Y7*9X_M-7rxVf0T zbUB+|#K4|hU*U>(hOgwa20X%0CmHMuzKtV@2le>Rm1r|i`#Yzq9J1BW188i^~X&vm!=e$!pHMFHGh0+k5l+cwT=&Fb|4%BGj(XAKSd;B#EBMAb~~F+ zP^qv!5xmaG1o))l=5YxPA|%#H{r0x2$ggfrs?YfG79#35LeywWFh${PdPdK88t?PY zRaLBmWF-fQcMak|S0veb?G-?s+Gah{h^C>#On;D&zhgu|W#1I{KsF@ZfzOmPDP>)CJPi$7m9&;A*b#LE#w`*g;zv+Bno zNM1Fb-BOV-Vw{_pvnDgC-bQV}&Np|QFM8OWa789LRT9or zzh$&S&Q#6(I{G7SjaD#;Y>l4a9$dCYcOz_0O4zF@VYn#$PL93qe?L;2kmPiMs~#9v zQhrr)YJV7XEv~Dnbv4x2R4uNrcFkL`u;y6D$YqYD4jo!mUo$6I<65$)W|0KCM;47+ z=5jek7M;8-^$%XeaWr4YwwYh9i4$U85fV0(pzCf1B#@z_vpbxr-e zg^QO~)YR86t~Wuug}vu$Jat&B@YgM{a<+CWPyA=-w+>Bz%wp)he?#()I>8qfx@|5^ zcPRRKvxm%oas8ayi)zyH-YfW91%G%C`0E6J_par8^5RgiV)48RMaGoNo?yA)Ycu64 z^vj1R-`?HOUszLnQE+}*2KT@~mnkoy@7@Fby7P7U4wC;<7t}79vv5Im#hm(!LW^o@ zgL@#Yg3AS8Oz6AzK)-ir`X^T}UNmPx?KjN7;Oi3ljv?p|m6!G(>+(@=BTyg3UNhU#m)CJ->ue+<5Q-JdHeE~*VxR4rRJetbnm)#BQ! zPS zUGo-Wz%VQ(()kzFEkyFNiu#(mg>%5jJp31QEF0@qQQHN!3JeSE5*QQMCXk7+`c$JS zhcwPOdH8eT?+pA+!QbwFt_yYfw~le^Xo45zeX8bptYR>=tQoO=u`XYSNiXAPrMP>g z{58^D|DRrxDDjiW3{^AfM0Z8wC=z;k!gtZBZWXKCC!nOKlN* zXj$Lf83jIs6f~o|Yy_LFLx`eN;3*0&^Lz=!o zm-rV6tTXWvmOl>E#FhPi|P=5Ug30GH9ouH2G;Y_;y`ij8Ut$#9*D0 z7@uZ5>yq>)v}mr*f4k(TS75iPC*k*-=6`ze$rV!rXHWJ7Dk`Q|6rX&u6uiz|g2_FO zFXh5t%-rq@xe;kX(ND+OLJFeWfZV7J6C6zDL}AQqUO zzqVx>zdO^TVpQ(0VS4^dydsHLZsMio&%|>{Jh#L%Y^2k7U99Q19;)f)4Hx=pcul;p z#B)eIgV#XAw{f@qM&ozHCM&NxfjPQb`VBPkde`ZAl@ib3F)$r}-Xg*OrdvhndPGBm z*FY1m_YEB$lXxmo%6~hhK9|kYc-sWJ1g6tBeE+BNrxwL2{M1SQy57=!w{FnTA?0VF zi5HW2VG}O}7eBX2K4xE}^A{HAFfe0a0#onl?ZePD(8SwQ`!LwMBwykGbt|tnTSLb- z4c))gF#L?bts1ueTtnAJ4P!5f`w0z;4$wOX_s_(CiH7CNHB4{+MYXydV%<7CZE$ob@OptUfjb4dex}3ci`y-*S<+Vubfo># z^VcooOS_B*-TO)ZmUJe6CSIGw>oW1u@@L|;O1zlFGi;>OuaxnnT*i$qfo425(8O~~ zc+8A52C+a>FUGrD#+NP`H;RTBUrfB1#B=TC_|huv!|}RXlWfz_j4KA3c)hRb@ZOs= zbfo=eOTBIr==iy==dif{uk)8azVu4|x>sp_W0F79uMITux+Gq^iI4UCD__*G zTl#y~zIuGAyh!|m0vj~sen-|o4MD7q7WtQQ3&SN@MX-L(f?$Jxsn_dB{LK0?EbAOI zeK2F1HoJsr(z7c-6jOQ-t?*?BwOer6|cHYbKX%qbA zSL=FB$7|dv_@#KN;5DH9s|G87hv^40UK)JqFj+o{^4~21Q~s3(|2HrHy}}<8{HC9z z^KINImJJAEFXpm!AybK0@cY9zKx3bh6)^68*8Xi1SBQAbX!^!=cK*I}J zD#Cr+_EoU(@3{*8`^w=8W=}d+!Ph#+D!3!-JOwvz`IUn0Prt6<@;}un#G~qFD#SM* z^r+(e@n0UHIA7HA@_vjsE_Wvs5FL(NZ+QL)!hhfTpe<||u z;!_%e)e9CIA<3WveacLzIXB~F*p#1)`zBwz!9UnQHYEOT!Ee?HdQQbj&G_+E3v1@= z@sPC3l<&v7UW-1{(C}Fl(&b++;dv51BUq9kHE4+#1OiQYvKoVfUBzNXP}9ZQp$;o0sfixrB@vehiMPPWF0f3S|c#& zx22^w)J!OiC6Q9#&d9=hJO}l!qZ{dr#jv<0)Mr0_k5(MH}2S1 zI^FuOH9XKUS(V4t0t+*=+r&4}#5eAt;3ty)&S8V&87kfI%-zTD_(=0>>g!KG((&`k zHEcdxL%+b)5}tjj_O~zAaGAgofq4?%eYFm6`;CUH#qGXEyYmIQ|ES%L-)op7?lytT zOn64RBxXP}ceFL>e%&6``EuQ+p(E}5^gr%4Y^>7sTYsnNb_+DYZV7i>r^71+n((lM zhsD1;Ej%XS?KcSiTQzL^qlT^bl`2%>RoZRv8d!9N=FctV5)_(l8c@^8=4usg2t z)V--;*S&+|HTc^Ee@x21{6CDps9E!0CwSWoykqx#CHRx{UBX}Z8>V+eHT|M1HN8qH z|JIvydAS4{dg-uI(z_+SThe!3snh5EO2hPY#@#0AyKmO?U6Q_isdmQ%_WoJi0$T-E z3ha`2-6p<3LuV-1ZRr1x#_urvFVXI9f$hRySYWx~S75Kia|qqMy`*1sr7r)r>xI75 zpZhSqWvUcdC$Lpuo4|H~F@fp%Y%}6NKTdVtd zOkmf4sr+L?zgy@QJ)rscZ?!+yuXOq4$#@qQXo|T~!n>vZdIg&Bm`N}FFDC7`?P*=l zbpnf?)b7g1HFRy#u=P=iw_d~ECp0wpT`ihFm*8&`{N>+~{w=U|n)LHCGz_1jVe1by zEWcA=NJCeHhP`zf=1F`**T71l-*uDbD=hS57i)Kyz#_Modg&1A%!a%||4r$d`mdDr4e<|F|3yRBzs`RhlCHTzl75V+(j}TlRWXL7MHq~r?p_fb*a1v_9I{>s->b(x zgD)Lod^?3|)k;|}eT3T`AwiUA|3Z)6gSCHmTKh-4Gs8yeaF@OJxY@-OQPvyMUgG|2{( zxrx6_;tx{Z=&uVGvTF`PF45oH&3Z}N)r{b2l57CEM0|S)dPZNmPVgA_Woho#GBj`N3H>%%hqnrzcG070mGo`*YQDk(-2&?*+#&AN^S^QWe6eA9Z9)@!&?B{!=9_3A z-B-!_Hcg*lPx$w=q%!T@E#;H0FOhyeVdVVj`lIGt!ax%*{d}lH=yVHA$8XL7zU}!( zzUZsC1)_C|e$%%){z%MEl_`2K8E90y$lpaj!?ZU8&HS(_Eq%KD-NYXX8a*Oco^G%0 zhiI7Ie~P3ZwVM7U{U%Rf`GL~k#BKCX%f;QEtHUeBZTKxk)!!Q{f*TJ(E9iU>*YcFjN#Lak1pvagQXv=Po$@>l=KPO z>f{5r5%uNhv=fmz!88xvZJ1MEvx{%t@-(kh{AocAAuIvw(>&+Y;p%pEx@SYff{SX? zJX5Yd&Iv6`3zSQdX}$|;8*n2e&9lCy0e3G=`K0ozZfD}k2)GPY1zpo;1p;ZeKO9CK zvLB{&_~TVc^_zXWu3!5$4P&=xST1gt!0t8LzfNHJecIi9zlQAstDn^FbDz@i<}MA# zJgwmsTLy<)B>bma2M;&tdiM}+;x#>^@f`K6hIa@w;px!(oR0Unz{cly&qsQCZ_>82#@}z$3 zyRG3b1lkgQv_sB2zSiNrUuk%^z(NUk?BU$Vw8!q7^!ysG)_A%Ens8T}4(}EJ@){k_ zgjY&2SBeN{Qe0n)Y|Ss$p!S zhUE`ySa+9(246Z13;w)wb-cX)F#f!oHUC8huRzDeyXVXB8J6@e;jdfDZ*S9gOZu>+ zZ)?zWiyqXl>w(?#;r<`Zf2*VqOZxVMbUW;IYH0XPhha${{)MJfY3jdJyWIj^5?)j% z{0Qt?tli}juTo&0z;t@)?wFxpFZ6_d`4sK06j(0wV?wu8V5Pu1i5C{wDlna1x;yU{ zDZhD|o=fP5|E|leOU`9_@6zrf@$VLY*PT+%cMo39VZrY(^=sP4f9U+CTksc2|7{nz zxBb_BtK@%;=D)H-!~a(Kw+a2Qp=-`@r2YI~w?D^iI{&Wuy8c@Qns(xr@FJ-{w?Gr# zCgGLFU+UNWS82c3Y3RO2!@A#SSpI7b+bspH!!SA?T^H(SMyQP2kF4y(cF3>$% z>TRKhMHL#hjngo8w7AdKu(C`;*I5$&QwF!ixTfDN@IQq=Cir`23IG4E{cmsktz^`-TGwCYC7OP(z@lGjxBF5JT{ARH zFQ0Nr@2=M2ZF`v>`-@%Aca|o1@MeD*Dc z*X+;TW_<+$!|-Ryncw^siTupCwXc$w?Q*`O_!#V*<(uSR_%Z7qLoXeg{PXzb+t4-T z?KbNxv7=(>KtPy0-}x2<4F0w~;s2HuXz)8^ePik^$^T&IGl}k%Xy28R-prGGOrLhX z(>H1+&%tp*?W`tV?*NK^?WCp`(%2TSw9I(J>MBD{%nc2Yk3c) z50Z+-v~EX2KHMt!hH8JVq1$)*dC~wvrhXl=J~8W*l=GxPGoKMSP@faPf8{`J#WVd=D0n zc;@4exR{^8;=tQM+QiR%o4DyT5#*SLKgJ_X#^X2f8otiNH~E??{)5FqkfO(UyoEuU z{AT$v9ly=Rue0%8g+IpOQAB$9bn#yTI1~;9DXAI5iEF5M9)z(z_$$Ys`DWQLAIx)l znJ^Cd8Z3-u!F=!vLwdSYoCsF53?8~!Z=^|H`Ae;Dx*2ZjmF`qpbf?xQ-Q?5M70ZBW z`D3102Zm3!ZT?JrQ?IOdQwD}7-WYD^ zv8?$sZo_jbZHBW9$Sd>5Uus*TJGJf5U5Y=(XZi5wDRY#U!NPBC^_=C7vc(F_4AnHy zd0tI*t^S%hKUA;0&a7X+kQsA=p?dWZs%0t%k}$dh5gmxb2##rUYD07Cmpjg^nOmK80@lmW}MP;CwVTe#fe30)Lw2s&oe)WLY!+?smF*;T>CgwtWqRe6-w zROt_3B}O2qCn_Q#4x(UsrerDy5;*3}b<7#fzwmX#7Jbo3tUI3t@== z|9r>b;g^a3EklIgF8+TVBK#qtI~4spCEZZ;@0ReP=-;~s`a@**zvgZ7KNLZe|DnP= zhA3AP|3L{i_C%F&PJQ5|tRq)c(XuH_l)9)Axy!MaBiyd*JuszW%FOf2y$*a#pH=2P z$3KOiGyP@CYs%SyfM=%qEIWI;cc$l@^BG*`oiW2R)jNaV<(_iyIV!nFr}31Qo`Z0O z#vAaK`;bs4^30fZjxCrWN%a+ZVyI@H% zmu5>AEvTqkIH#eZLh0--sGYait5Fa7e~Ny@$we?wjWKA!lA4MIwF`pU1fbUet&H*G z=gz5y?i9z5;JCy$Ft&Sl_Rl|aXa8*c`S3?~YuaBg+}-#aC*gIlnd@4zpgtIyv(UAu zCOCg_H7wRHTv$`R|1LZZ$Y1ek$ZLAu66`U*3#ygA4LKC&E*J-T48VUf%CcdQ;!BVK zlZ!E`t4rCikE~o&5u$c9OInvz&9W*CvM>^uEV`uEK=K3YuNcN?=PjLAd>Zz^i_k&9ZdR4NpJ4KI9v|*FkUqs=E!p7 zWYO4hZdNXkW+clr^~-&~%yUQU^35~w1nq7;Ui^>KFf6d>MD6aCc-;cq1olci$7o3} zc#6brc&HQl?MLZ&MgL{`Z9+fqSWUl9VD|{gpFo%4Phd>^TgBZa?mBU!eV;7)dRqB@ zz-}*P|10!OIm`%-Rmotz$rO=-roLxOd?U9{#Mjpz5^0S5qgmoJ0PSKI`Dpt7*4PkN zrbaKShb{l5cx#}+rN)#w96VgKE{^4%{tXpB-JmF&AL*k-4zR)PhC7Tu)jo%a(2iiP zg|mPp70>RHrH1i)s9(Yk#Se9PmkY#nVzQ=^8vUg1HM+k{Et~1^&6w%%oQ40&@mGew zDfGbKbbfnhI{biMz-fRd6cwL*%9ydIo;I$;al+_jvy;v_bE~Rr=6NPhDfOP|mN-)E)yaW9t|hv`$@Q_|eb>Ho*xy};*KKmH%T9CAv|gv9Nb(`Jq# zhmAQ*%$hmmw2f^}v#lMF(2|@Il2Z~Rr;sFsq$Np0l7yrsNs=V7oc4cx?(2Qc+$Q~g z-^1_s`2D|kJ@>k=^L5|%HuvuPzV18gbhFN^!{$y$)?u@}S;pCi0FUWrTGr|2w#vGE z&RkaR>@M=nt3Vf?zYcg;|Nb$F@zGWiFPMtA_%I2+hDXN@47Wx^3>q*fkpW%+Yz>GH zk8}RUV{>;rxwhE1SDcU8L%!G4fBMh2$IqYh^J;1P&hHrxnHf4L#(YeNeb0h7tJQs* z=qC$U4;8RnuHgg26RX!~>T;R=y>0&RDD#H9i39o3G;fF-9>aU+a>RjoGu_xI*F$C* zbGmK0;e)t8lay!{;7}>ov>ufSE7rddzue9?yOD95(B5mN(~()uYlgrg_-Guo<5sp40!%aCYmx+j4hX#@VO4 zttabrXPu_Q#;0dg8fOd5a=tz1>t-2t=J9M<=DGfyy?mPLInQ4W&(CI=m~6)V)jFJM zzASfm^54^f`R*j`1$$aL^HhJ{J|8xfzZZ1gbGa4FZ?-#^>AN&fI;}n>J!2x$;kk^N z-*cQZ?8Ey04l}>!e9k(uP8)HD^_88Lo>6Slf8Bnri~r7Y&N9%&-k9<{BNXZ zjN<%6%tQ)S**Qm(RH~kjpk$8C#?Sm zytXnuBM0+0TSy3>{Sxo8GGBsh?o|#;4DZLIGVTdpgJQfa_ut9< z?P`z89CANwKY9 zaQLurS8MZ!yS;(|JX9IMo8U8h=Dx&7Mn$?m5y!>DlX0=}JgRBWJaAA%1fRymC)|W< z@up2{TA@7p>9Ruo`uFEfN@!biSh7{yW%0pX?n7lW&$ujCQ~oYgNjHro9ql80N(+x2rV zjN3CJHi;|6;sdsLe3!rHP3zWcY$e5*7qPQ1=DlZc4w-v$&ZNvUF0-baL!mr4aqBt~ z2FAuGTEk*_ykxljUbZ*qcJGCI1zb<;Z*={7<{C5S8Z~gvnLQd99vx+wrFn@DcPBYh zzaD4YkCy1~7(Sg$51yEhSFpch?J-%;>sV*Ku-+MRFQ}J^Xp%GJp5(k?m$R5NF+9+! z*4zs3VugoW;rvVtwQ6(yTVt$#f+_iWzRsTd~2`sODB|tTk$s71zrIQ?(z05>V9`( z_vjWHpLwI$V_hw7S&y#wzg&@7#C^)LeO>SW<>j2)@2(40-JG5=VoQ3)xA5MYp3xcB zyXhID@e%G}I2PZb@O$YQ4X_v|P-NZ|pEPlYeH-Uf z&24DDhrZVLk@NlZpW4^Uzv6m0%+Fs0DxoRbpa;zF9Ah)zqdQ>E@26km6n@jVYqT)) z$6_pAVt5($bDMs{_t)px2{ZgW>&WU}=6i!_TQq$Zw|~SZ{Jud)&R@hh&L@19o^kR3 ze`{ec%y9JHTa9D_w!3;NMnXGy;J_yfhdWrASGxlIBR>KTmOuJPG z_9tSojr}ogzuXh@M zbB=%PT-as%@x*^@Eq7m<+sUtEN2{Y>pm}8Y<|#G6Jj!cXzQ5W{Ij{a{H~AO4mQyVn zcF`W6rl$O14~JdSc&F)FUdwr=5F_qE42r=E=COTqG7sbDK|z>t=C&w|tfx9j3GsEJ z2lcCCKO3KQlKXsDjWO#nC-d4Nb1H7f@>b10WIg55KwkAe)tS%in>iJ+bH}e?`|Zb# zlRvkcqK18852vch2kl{JMKd*=qNY02zqIEop}JIOInU-}gkesewa40D&GloL>)BjJ zb6q>jnqh|-|96=Ao#pS=zq39wkFyVdr=Ht%_Q%v&7iTjsi*vc1>1LWaIk&StbnDmu z?zCIiVh{b*y8dc@&+E?IhR(RG=bg)yKf9G_+<$jkcB}lWb^X=+p0}GbY@Sw?UT)c0=SRkul_#%6;ar+?eOk9GC$WJ|S) z-3xjh*1x(DH`=%_d5G$-KBD@lmDN&ee)ZaQd--40IF0YzH6*BONNDT!e!*RM2HQMx z!+p@^?mHq~d5N3lyqS=$clYg;z+92ezv$6}c-+v6PO_qTpsI%z-`~DXZ<)vAM$0l- zq*Yt@&@i48H>Uu#s+vQ6y0tz1P95%VPoJT_s$Nzbx3#CQ*ZTg^{)B{km#kNI9`ckA^$K*O$oa-IEfZinBrKOd+wRA;`wd;R=pzFTj< z|L*ni@4nuh?^pLPkt|;>XI##(lC9*+8I>$6`CiKm-~7)?E^R$z)#9&&vetFxGjo-+ z8Zu|*DstZCRKQHXCugC;p7RwnBXW6;{p;cTZke3>mZATdfgE}A=F5L?=CyI#nH*LD z>t6mUxz8$O<>y{me)n)e_b@L9;yz6tE3bRJW!sLfno;`75=!Rf1cwl*itbwMw%Uv!8`MrkHW}dyF%e%AVWl1KDlfJ?>sE zv$;2O`+e$yjL&*mv-^7`2iH-qEdS+XO*t5LPUbRY_n(!Vkx_))}r%zTFd=l)xElX=y#WnQfPBu_q>#|W}JndQxX7@qfw z&HeGe!esZ!T*KxRVaGbHE2zjr_K1}6W-FHE+sb|fB6;>)d%|~rn7g``wR_$p?w-8Y z{=mG`!`k0(Mig0k@U&@4d|+vF1Nx3E@_SF3ymQHz7tBUJh`lhrGM(IKM4SF>Bx3p7 z8`HQ-PfyBS`QypV$SdcQc|Ck8&GLJL0q698`|_JhdEQ)hQ?3VdO4qP`ef;0Q{FeJS zfPLBRug>?WGtT)wb%rhH`!aKc^L>^%!g}V{)z9_v8|rl~_swz6xf~S+zaQ~Sg@SRSk9bwbF`S{o&V);b6sy-vT&V~iIva(@!1#oj^*BQbnV`)WuC6T zELXJ(KLwf}(7$=gG0J;xuL)mli(h!;@Ps-gi|q_LJ|r%t!)JvL&-yaviFMW1M(uo~ zN~4kw_WYt%vqB*m{ZkXV?z#WhKO-+x-`e%^$H$V7{StF=->=6)FL$wjJpblq?>bwK z^yq5?;x7z8)^^sk*g3QN%$eMD(~+{}KP-OFj<)T(pPKo>ut~d~+>qq|NJ4t`_ZL05 zvf>vl;?8_lwS1*IW!HIMeQax=&fm>m{mX0bujxL&UY94X{WvSnwGXS5dHuP*{X_pu zn>u~n$v0NLR5Kx}c<GYj?FHfZ&mtrMQv`tsVg4=!)he$Jr9xf0e+DPG~;$#W*J znsTJ^fe#LrY|y{lUHAImH$#r|WopFN>h(vjilbu2FWg>!LSVUYws!K|9PQv4WcGpjs9+Qg-fl=kA8OF!uhoxto(7;7L~sWI~MtT#m6o-_`G+y z@sIcaWZ}EDX4IW|dU@WO3m5hrw5{KcRz;>Jj_j5D`v(^@P;>TV~`eftU=x382_iq`wq3-1G zyM4H9)s==RSEuI){$`>IVlwWc$t8eAsF9x)Ip?sd>D;foK`6!|0d#9Ec zoU`nMnQ^7t{TlG~fnF`6XB^DmZ%sgx)?PbbyVzi6AD@Hwls$B$s{VcX_uVr8ySJ1h zt$y*yf%(60*=h8;&t5nYP_do&*^uuioEw*vXXCq*pKtWyq92xa9r~qr!tk-zTYVmM zX59<#Jhq^AgSlIe2JQOoP|WyZwHuU~5b)%T?Ss+}bnt#LYTEUWpYR&Dy!+3=T`TYT z<>{+w<;MU1-F#Q$fc3@aG|2HmgX1C5Q|4D+vAlKEyI#LXeENNkjKt)hyeEA>^XU(Y z%!vJM#i8=mSG@i1$l1OnO7{HaYVgbc<<}SgF#oAHOD);DxWd??zpalbb~W*nEibM* z^zosF8SSUGey@ywWVdBIigfKeuu;dZ_2-NaSaqY~d-pvUaHCn&60f<-JD&OUse(lp zOdj&llkHMI3iU2@c%1*m*UL6@6;4?7R`guX* zy>qJfh+fa^?DqAUwz227oeMnNwM&_1$Nd+lPD*(7y|Tl)l-d9CXOC`QaJ~Q?cF2^>!efsu$%m2H7 z0{*ji|H^A1N9ur@F9gOP{Bh)ssng5POTXVQ->)H$rG-59?pwucoE{Ps|5lBwf!$yJ zp>6ol^IsH-PTuyz;7u>}E!k#!xyMr*j4D6oXyx{!_I0fA;ORxrzmoLr`-h5b^ZS0p zns2wS8!#kC*{3TP-n(jB^Teuy-~8&-+q;tsd6#(T#aZD++gGlgV~#ap?4OT*I&OZc z^eJ`jnRxKjHv_}(FTVAj{P$+~&0iH#WnJ-Mfj;HRK2_?*BkjD(`OiNS-T9gD^CLDb zjQrVe&Bs24?i(}ntKB~@>NacikxoHA6+g}YY}veDuD($7bQK)$3Ha zdg|ox-*a~^`^@^K9}azBF*&bgZ1Kd?agk((>VT}kvw9JW0A{!5du4*&U;MQ4xy zwq-`Kcly8i{H}(_r%d~K$xmNC{9Q`Kdf(G~KcDd4BQsiM^qaQ#_vzKVOC9T*|AB?O z9`AE~MTO=`jUTH~t3Z>|bxI~zJ#yHq#o=*(CVEd8`DTR$A9#gy`epxyKhGwe={NJ+ z0?j^ZuxL%eCI=TkJlXrot}*qVsrb;Qr(#_Bs(7Up*%q|v;7dI=*Q&d!Qsr6|s z|M-}G?@#*pX#A{A`8L)ZGh)Q~w~vosHS%2S#e|pMed>?14~^My`0~QRi?1CxoxAy` z#lQVz@Ywjeqh46BH|_AX6?0~OxbBGGFrN>ad{XYS9r>!g|MBM=#|*C5{8X7Y&xM{S zKDCxl|8sMO-tg-2;GC*Enmu@ORKMf`^ESM&YS+VO77V{y;HS^Z?4Dk`;r9!Ib`@_l z_RxqMK{3-tm@sr`2M#vi(le)iL{eHWYLobW_I`&nPlTQvEX z8S7UpTRrPY^xjTEl^^)5=3A$)P8mL+?4G7SH-7dtuMgiETXCvA?woauQj@Nfp-FK zjKA*J^5Jjao#kKgdiT@gzAXNQwe8yM-2UyhEE)UB?$a>~wy$X%cXmRVrB>V{_0O%T zeKIPe>X7S`AGx$X*RdC$eDC8Un|cNgJm?)=HnIJEGxNL|(EnJSyJH?*;G0 zug;n=JoL4#OLG-;bzgt2;mMsFAE>@L@N&q#eVSK%aLKA>e(viaN)(fawHFaTuWf6NHEz%}O4WE90 z@e9!T)5-Z$%^7xTp5HUmJg1x2SD42(^1z&&`OTwE<}W(){H8fM;~R0l9-PNRoV%$* z7&idsu^w}B{;K+t^9NvFyJ1ew`d0Es`7)HXM`ylnqYEnxLJf^~{&rc)4laiMERtnC ztmz%tU^|S`aI`v;6;Frzu@%N0()fpXaJ33DPdRvylv8eHS{M5l-Z$Eo@dbr)x zZgzb%K2A*;ZI558dhX|)hCTP+r;fdz|LXp`bUpmt{rCMB?f-f2f6xB^qu0O7-`>x# z)^?}2vKyqvsa6|%e6llqryKHr$@n5m1ODMXF~2(rnTLR71Oj__qlT4z*u^n#d#f&Fzz`Re1j)<^vWZo4PcrdGi99Q#(ZtjpeYR zq2X~iC-Zt%`}MMs(NT4nl3>;D-{0dIh^*HR53}p%y2w!b@~rJ=*SUUD$J)dD``UGW zzokXk!=At2f}-v5ai{*hzu%nwpRV7(ciR8Bp7#FvbiH-|o!@U^`u%&i{r_kGe#_kd z9`=6SY5#pS-ADWBT>sAg|GTe$=jY4!HC?a&OMbqZajaoX?C_Wt9O@MxpTv8dMd(O6 zujoNBLl}zY_$DhVJ~GlA^FJtN7{^mZxZEGz;yE%dmc!+EI|L4R<5ja}_M!IAo>t8Z z$t_+D?o4#n3eQ+B;e=8(7pp*N2m-d}IvI_T-W}1fmI4m>VRW+h%0gKn=b9AQ59ITk& zGN09>b@GY-r&xS zWFKYeGB>@8V_I+CvaaeCoC9Z|KfYsRXxidolUoYj!UZDuGqtu{YIiN4qFQ;|yZ-^(udY>7RhR0k4pgVB!}Hk7r>f3<3-foI^Y>uZ z+V8V=^J~`oCg!}}AJ%!F*bL|IIj3FiUqi0Q_;`+ey=BN{F39!j_WpFJVfT#Mw5B~g zPd%e{sAZ2|sm{~-wL znt!T#Ty3G{7N}D-e^d3ST2u2+R*$MpwcI>)vgU839#X4m{_*M|wUL&at&Z3H_0$7u zCCxupJ)qXpax>Jin%`U9r3!e`J>d0YAG!@OpVg~#ng3bPR-v>U8fe)a`9?E&0k1e zqux;aYPmIPAuSi9_SJlO)#d7CwY!#EuIANp1Jv%C&r(y=^Jc4j*waU=AE`y^+T(kxtJF)Xe?5EpORA5ym#Dt0=F)Zo)miGdYE5l#gqo_}tL=1G zm#M$0Ew$aLYAtOqR^6!HPy@8R>FQCnsRGj^wmV6!rtJ(?-%|fn z{k6U4)h|_-wilyrRC8!Moz(g2k7`40cbr;L+lf?Hs~6Rl+TM6|zxsf-H%NU;y{5L; z_U5Q3R3B~kX|Q}4i)uTe>T>mh+CtlVK|QQi({_{8V%knO^;Pu;)koVKu702v)OLERi`8G$ zCfeRa^$WG4wi~D3r|kr)v(#_Y>e^ncx>?Pw?F6gy)F0Hk+Fr8ysai(c9jM-;?X*>2 zP`^}N+TH;5E%ln(LED?5exugV_C}~5sztTkF!j2Z{rjkew)dR6S1qmWgsQKr7u8nU z-emPlwW78cr@pJ^*LHiTe`x!Sw7t>lM`{smr@OjHJ*zg=_QtAv)iT=NK=o}khqfD} zhPALS{|I%ux+!zJHsDperI^A$M6OXuTht)DeBAWkE*kN&pPXO#yi9RtnGiM z?c4VzZvSeYSKDkxyUdrH&%e3cL-zAw?&-ev2|>+d(|zp`p6fTy*JU?dvs)FJ{=R!rd&zw6^OogIuf*_n_c(isvwmlK<;>~! zkl_3wTcVVRY8(&vSYcrrVbGqmE*Kb}eZ!d2@18aZ1xx9J5A$z*L z=bp>A%$#md@!Y;&=JZ=HpNsM4)C%_cZ{0tanaHU%vfIAPOyuMbd%Au5I{W7`6FHgZ zYwhVSGno1Ovpr;gcExu06kqpOXL);w=XM!uPfvDF_q_euGuB?exuxyzcT->Ug;N0R z>Aq$#^LTuF$bP?Hd;MW%virRROnuE4PUbyuvu@wMlsBiFqZ#b!shqog`A&?pr@No_ zxo!J_Ot<$hjC1yL?xw!x3#Tw-{rU8~{87$y7iTzmUVj4^mvwp1=>whV$(-TjIei4< z?ESMZx##wu%$%OnEN*#DADKDbUbE-)r!uG8Q#_}S%AB74_D5$4&{nq_+G2Wb>Mb_n={d1X#oW>!$>&Im#a(WK-be9>-yngH<%NozPtn2r@ z{wHKk&;Ii7XZm(HYxZ3J^UUe?@}ASpYcuTSU7Wl9_BXGau%~Cg{jV~oyEy0U#m(#Y zFyrjyea+;|%Wn@^)-lFq-F`tc6DMEySIhc_W?kNM`ti)^$?hdQr+=S0-Q}KcUy7US zzd7AE+vz76Yi~a}+v&F+AYP|VNHAkM1~_kFZKV}AzX9y$d`-hj+&XN=Wj&b>xG=*h zTCQddd;a7x<~JG3cd_dp$nqrz=M8iFI=69_$$$H-vwdf~&VB?9&wjhkik?Sszbf1Mp#t?npW-9v}zYu)i^Wl%@yt4voxCdpPDP;PS?Z!1^>6NpJlJwmoI6) z-3Qesvu?fIc{Kis<@WfIOYQbl?{xh=`tq&oapwDC_O0WaYrZY7*yF3twL4nF&UPzm zcY-*(P<^WOe0nDOpk7CLXX?95~TcI0>7$M*i@-|(Mu^;mbh9=t#LzkU6z z*5xa@!@iswKeStPPRU!d#xq%Pm)?YGYNl7{I>1KWoB2Lxxn zS5M@K$o_TsL|NWlI?8zg*v&Ugj8Ei!qP=(}g%!{-h&N>J+_o)m;GB8BRY1Gjmx=n(oO?8G}(Ry;LwRQQO^*Zw(@L1m256^mT_iocY=fBhNuD^LXJ(u^~ zzOx>uPk3C9=d~SY`ch4I*6X>xyAAi!@@eWJHC1(P=QlO{qU!92=l7@Qu(N$<|D1Zx z=M10K`pc??RA)arYxtC|2j~01`MGhvKb`Lf&-FOtcWXV)_tgXqJNtJ@!&Ox0`^?#o zJ9Vt~>qXVMT+Vu(Vb40(lT&AY&)#ji=luWdVQ2eJoy*~D$8*@3&zXLw{@wZRwEW%1 z-|cpBzMt;4p3VAx_;=O$efOW*|L%ES56<_EQ(jRS9Xo)Rb?S9ve2(fMKGEYzUe`S+ zHl8!QzHx-rtZGCHtLi{k)ks&>5SP`fYD6FV<>Tf9CSv)th^h(qIj;rhwW{uqqU81B zZFzMg%k$#XA+0Qi-7m(>9HEzvC-N~9{o|5!r+{&8oW zc^B!dclFwL?!ud02l#a{pKetnuhtxRZ-=-BWWOvSl+V39d9)3K~uTwzl(C%&g z9yP-pZxGCf<=SgzxN~qoyMVyV!Jyza9Xs>dU#0{FcWxWdkx$6=59I~F-Pu-;Zf2ls zmo}khp3qk8hIy-NzmEQ$JNX3!X1?upC*Co+GoRHP?AN-D6&TRPUVC82F7AuN>xVmc z>=tS+32%WN*w$i1Xy+jFVY^+dkWNA79&JcZ^VMvvyI(LXzJ0%21qAws26palrs=$W zMR;6ZT?*$Ksl&bFItfXGBD~zMl(w|1t=qS0{b;CJmtU7IeA;m6qiuo%+jO)7+68tF zZewm8=W##x$IVznV5E#OOejUA9B=t{dU^O>i zy;?Y9E#~rS(6LRO+va3l?s=mlv&@}k?ICR&-?~gD#~A zvl-*QLhIai4ZE*Fww>j=abNT9@vY1?>p7)$mpiQL=8U&5Nc(0q)Gj3)_Kch z_v&xkZ09a*eSCcC@?V2&R&aA_!@r!`D4VI>&3l4|hL|b(ZnL_Y?~hw9h5H+Z(O%h& zH&A>(V+PAiD`H%(7lxyJR=9YZtFOE|~oi0j)aKZ}f0>>uMczrwLu|xDDqj^{HoW zj@vGje*AeFC&c|*EX#Mp&0G1-Q`|qFxBLpp<_BM+W>vQz!Azca>+>t-aAY&fQfKX~uN|BH^xri-mCtm_ddi(Uhx?@nx%B*#Z@4|(dHyL!9((^PYr56z z*5z~Nw5QkC^f-^_t#b3`l4g4$cX)n_?VG2PtVm7weE!Lq{;ae8Y1waop0oW4w@!Dq zpPF_){n`NTMh*+*(8HL7P|hZDM3R+dT-NjMPlmr`L}W~amE~TH*=bJB`${)zP{+L{ z`>U7z4{CyU|M30;Bkk2_W#&x`b=Iu$KPUSd$Z2{0m&=vY`R}%Ap7ZivmSMS5|LVVd zhj0Jy*7@^&ndQHa^8Ky_OGLUTTWc)vo=k{}Q{r~6m|MNAF z%!PjjV_{y4H4e|gyl%?8Hfka!VKSb_6uf|`n1<v6KE^J5g5CHOd$1S#@EP{Qyavp?&g%;t1iwt&?}uYvyTvaj z>j;kGYnaz#nb%yI*HwLsG<=5>_#P+m15V*boW@T$gP(C0zu+8x#d-XO3-}!u@dqy9 zGScxUuHY)J;W}=>9ED2UuWQOlb0IhGK_28qKIBIM+>3&^4~0+|MNkyQP#h&t5~XlI zN}~+Q;sKPygD8&*aG@e9p)#tVDyrcjR7VZeL@m^Y7rapiKB$X&sE-C{h(>rAjnM>6 z@d%osIa;74eBp;yXbpd~L0hy#djy~Z9z{oVLLfRL2#+BcT@Zq<=!Wj-fydDkz0ezd z&=;Wy!@q0$PqD307>zM_8p(JDWAQA;;W>=Q1Wd#vOvdw=f)_9q(=Z(`Vg_EqOw7V; z%)wl|jCptk^YJPcU?Ebl2#fI=mS8ECVL4Xdb*#iHti~HygEz4jZ($wY#(HePM!bVf z*o-ZB7hCZjw&8uG;sb2QhuDFSuoE9+7e2vme2P8Ti+%VE`|&vr;0qkYmpFv4a2Q8$ z6kp>QzQJ*Pi!^+P6Zjq{@dHlbN1Vn_ID?;Y7Qf&ee#LqGh70%|7x4!!;WEFxiY-~W~`-~Zw0hyI8_B%&|?12G7LF$B>ViWtNq4o@H+2}nc| zhG95H;7N=`FZ4zq^hGGb@bB9GQ*3J#Mq><~Ml$~M^Z)2CY)EJ$x#)>#p^k8`?hp1ZC8uaYi`m6Pu8UJe8n=8u5b*G<`yRF}R|KDj@vwmm!dpK{tw=2N; z{lZ!Isk)lR74q+(&Hb(1ZU3EpH!E}fo0x6=-IKHbxmm!RFAQ`2H-dOj9t{hy=Ub^-s@bMFJ?(s6v_4-(F*=(3-kM;1I%}u`OXSLFhbA`JdJpj3rox6z(E|s zVI0LV97h^X;3Q7rG|u2G&fz>R;36&|9anG-H(=%Df8<6UCrGw16*K!5?kW9v#pT=JV!)5DfF7jNQ-!J<%I| z5r%$rrwrGzI=!ig=&zcBE2)dyMdZIV_A`JZyfhY{bU_>JZafnAE zhG7IoVid+88DlXH<1rDFF$GgG9WyW!voRO*Fdqw$g2h;ZWmtigSdBGUi*;C!jo5@O z*otjP#dhq#PVB;N?7=?l#{nF~Asoh09K&&>;RH_N6i(v|&f*--;{qKR#9%}t262c- zB8FiEMq(7kAQ@vZ4&yNqlQ9KTFɀSFZF^DrL^kb=cnf@N5Nl~|26Sc`R7kB!)b zE!c`}NX2&Sz)tMKZtTH6?8gBd#33BUQ5?f@q~Qck;uKEf49?;l&f@|u;u6wv1=nx` z77yCwL~i6kJ`_Mf6haXcLkW~ZX_Q4dl!ptIPzBXc9W_xK-ta*^G(aOXMpHCH3;3cH z{LvQe(E%M1h#&+b1l`aBJ<%I|5r%$KR#9%}t262c- zB8FiEMq(7kAQ@vZ4&yNqlQ9KTFɀSFZF^DrL^kb=cnf@N5Nl~|26Sc`R7kB!)b zE!c`}NX2&Sz)tMKZtTH6?8gBd#33BUQ5?f@q~Qck;uKEf49?;l&f@|u;u6wv1=nx` z=E1(4$c;S6hXN>wLMVb_D1lNajj||*@^GONs-POG!+gp~ZFs{6_0Rx~&=`Eeiq#A) z;EPu9M_aT<2XsUrf)I=lbVCpHL~ry(82TXsQ5cB9h(-+J5RXI*!w8JTD2zce#$p`C zV1Yh8_1~fadH54V%aR%;{Y__Ip2a{yhzw#{|u3rycie zz|7fiHGE2~XCAxZR9_8HgFV_s!%m;p@W-loyvdwCQO#pd=Jcs*9)~ig-&FHhk~#gZ zn#VEB$vk#pPUi6obNW=>tD46#%*i}%VNN++?pV3h-0D4Q9yOnuUoD{Cs}@mRge zY6-QZT3RimmQ^26%c&2l<<$zRORc0eK3Ub%r`q-LD={530o~+27A4)l%yHYGt*N+Dwg5Bh|s` z5cRNnM0G#G#invpvA35~&86m6?@{xpdDVPsezkyluUb&OPc5VtR*R@b)naOKwS-zy zEv4SCmR8HCWz`4Na_WO>d9{M-QY)&J)hcS38m{(J`>PRZq#C6TPzS1m)WPZyHCi32 z#;CDsoce?suO_I8YLYrk9j=a0pHxSxPpPBS(droWX*F4WMjfj@tBzBjQ^%_l)QRdO zb+Y=rIz@d!ovKb#r>ifjGt`&Vnd&TcwmL_htG=wxQ(sZ%tFNjH)P-t_x=3BDzNRiw zm#WLuN?onKp{`NiRM)C+sq56Y)%EHIb)))@x=G!vZc*P=x2o@{+tl~f zRP_UOyZWKJL;XnIseY{PQa@35tDmZS)V=CH^)q$9`nh^Q{X#vceyJW(zfupYN7SS0 z*Xl9#8}+#Qt(vBOr=C#1S5K-xsHfB))zj)v>KWC2Fps&vQq}&wTTm^cmQYKp<?<#zN>Ck-&41#@2gMfg);GKf|{r%sl(J{^%-@n`m8!m zeNG*(PEaSRlhn!T^Xe4!1$C-AO`Wd3sLoJdQfI2O)YIV$ zDe5A1vHF_2L|v*bQMC`$`i8njeN$blzNM~H-&WVF8`O>JJL)ENv${on zSKX?Mr#Yb+`Jdx<}os?o&Tg_p6_)2h=argX)*+A@wWu zuzEy2s(!5=Q@>H&2S4#EAjehv_drfHmzrD6qt;OE7um3!`^7f=9#eCvxz&5rJZfGw zpPFASpx&z%RPR#@sfE=}YM|O#4N`;E5Vfn?P3^8euJ%-WslC-uHB1dx`>Fla2sKiT zQU|Jo)WPZyHABsz7l!6kbE&!2d(=E?UNxVZUoD{Cs}@x6Qwynu)go$9wU}C5EuofF zOR4v(rPVTOS@i+6ocf?zUag?I)QV~)wX#}8t*Ta2A5yEUHPo7FEw#4lrFyG%R3Ejj zT2HO7Hc%U?jns$L#%dF_srrc8Ol_{VP+O|Ls-N0QZLRvNZPd1EJGH$UpmtCnRXeJk z)Ihbf8l*m^2CH4v5Vfn?P3^AsP#;%&s=d_SY9F<)>VDyu`Mq;6hx->#PBoXBTfIlk zqvlofsrl6c>b+_~^**(bT39Wj7FCO>#nlpONwt)Ezgk)?qn1@4P|K+gs^!%Rs!Oe? zR#GdgRn)3#HT5C2x>`f6sn$|!t6r+NT1WL!>#FtC`f3BUq1s4&SZ%B}QJbodsLj;o zY74cc>Z|&xt<=`4zuHD^tF}|ys{v{U^-;B>+DQ#mJF7wJV`{M4MGaBAsom8c>f>rp zwU^pk?W6WpL)9=fT#p+UZnfkiAQeCC4R@bO+s%zD^)OG6H>Uwp9 zx>0>c-K1_--&NmJx2f-|sp@w1L-iwdr~0wFOZ`OMt$wQRQTM9*)X&uY>gVbK^$Yc& z`lWhE{YpKo9#M~~U#rK|Z`9-Jw`!XDoq9t3UOlP)pq^5HR8Olvsb|!m)wAj^>N)jS z^}PCFS^A74@omO}(z(P%~8POZ(sB9BNKAmzrC>N6o9|Q}e3@ z)ce#zYGJjAT2w8j7FSECrPTY?(rOvCtondjPA#uiP+e+8wUSy{t)f;{tEmsE)zunm zO|_Oj*-4b?{K!)jx-iTa4zOl_{VP<>TDwUydh^;g@f9n?qF zj%p`0Q0=S+sljR&HAL;Ic2m2nJ=Dk5o@y_(x7tVTtA?s!YPi}@?XO0tk!qAWKpm(K zQU|L;)M$068l%Rlaq1IlyqcgUs!8fFb+|f0eNr8%KBbOQN2_Dhr`2Tj8Fj4stU6A8 zP93jKP$#OB)XD1e>J;?_b*ef|ovyy9&QM=cXR5Q*+3Fm1uKKb%Pklw5ufD1-P#3Bx z>LPWq`kJ~#U8*iqm#Zt(*VUEkDs{E`hPp<5Q(ddRrLI%oR@bW=)Q##p>Lzuwx4)r5-r~0wFOZ`OMt$wQRQTM9*)X&uY>gVbK^$Yc&`lWhE z{YpKo9#M~~U#rK|Z`9-Jw`!XDoq9t3UOlP)pq^5HR8Olvsb|!m)wAj^>N)jS^}PC< zdO`hNy{P`7UQ#cs>FS^A74@omO}(z(P%~8b!BXb$W^>RKr<`goHMe??nn%s6=2P>l z1=M@hg6e&0A+@ktL@lZoQ;Vx5)RJl`^?tRqT1G9aKA@IUA5_b$6;zj6QLUs_R;#F0 z)oSWPYIU`ST2rm1)>ge#Z?%r$Wp-S?IKd+{@Mzxug)K>b2JsD7y)Qom9U zt4GwM>euQq^&9oL`mLI#ey5&LzgJJHKd7hFAJx<9PwE--G%uBTk!o0sm3EYpeC=c^gc5Rra zs?7TrG(`)vLR)k|AcD~iJ<%88h{PZag?S&cB$)RbGw<>B44%V8Jdde(5i>Cd^YAKC z@EVrkb*#plScmm^2V3wSQn3RcV>kA~9OM24%<=6<@D0-NJx<{#{DKSk1LhcZbNsq_ z2m0K|i+fQB#ZU@m@gORoA}XUQYQP)y(HM`w7j4iUk0KC{Aq3sg6MYbd{uqG47>YO~ zU>KglGZ=?Sn1X4TfmxV~SFiw!umsDo5^rEF-o{3}haLD72XF*w_z~yu2h1_txpMM) zZ4^c^l)?k3fJ&%_TBwVLXpCm?gFo7#13DoHA$S~p5QYd0LM#$63?uP0#^O0l#PgVj zmoOLek%FaIfmJZaL%)Ufcn9V@5X01h$Aq^H-8UvO!F@=$1z{VHCX&m zopm^_t^(&2hZu_+4|{ZW_#Sxx}qmS5rIL7K>|i# zG|X|E6JUey!yGgD z2h4Gj8OWW7+XaPC9HsFfD&Zm2MqM<*BWM9X_@f;NvCdLtAO7>J>WLjs<} z(|8Wg<3-HDt9T8s<4tV9yZ8VfV=unI5qygu@H2kHWn4$Dyj&g>MoBz?ig*aM;e!Tf zg68l;8w8*e9z$0=jy?!S6rvG_M2x^FB*PqAXO63T0p?h`Ihc|Z27VBY- zk=u$?nB(Hi@o@X`CBDXY_z~t9H*;*;Wtd~xa`KO4UKB)8l){6khMMq3Jv2g7v_LDg zMF#{T7~RklebEn5h(;U|F#==oEGFQ2Ov6i!5jx>jsY{rf4zt8*a>r- z*XQ^WNAL~4!w>igzrY;7bs1Oj&p2kQVt)I0t%qn$c)VmctxZ z^#;r_Rd2%_Pqi85SgQA7j-&br<`}9^VUC~r9Ol@muV9Xw`Ud8hsqbNqm--3jSgBuO zj+6QW<`}7~FvmybD8SD@@}dCv7(@3lQN^h_9;yt?u}~FI2~}Z^fvN>_{8L?+W1k*| zIqs=B%rQ@`VUBkSfH~HwGt6;LU15%K>IHLrQ#j1AO#@(#YZ?l3Oj82P@k~#`9Lw}H z%yCT5!5qW%Jk0S+FJdO;sY3SPr9ypGj)6YH=6oA55S;REcz$JmX%*pDx82uE-X z-{J&*z-j!9bNCGxaT!-|9p;VTb0H7%qaX^S7)qiv9zc0iL=`-Qn(%@T>Z1{wpcz`C z722RZ9z`G?LkPN~C;A`^{Sk#hh(;{pk%SR=3S;mL#$f^`;{{B|OPGz9F&_)D7)!AN ztFQ)dVLjf#7QBa4e2AU+1bgrq4&X~1#@9HG?{E@7;tYPldHjw`_!HNVft>t-a1Zj~ zUKB!6l)(KciwEICWmH2A)J7fDLqjyiBWM9X_@f;NvCdLtD55Q%{pf*3r3 zL=4ABj7Bn^#du7@6imYm%)(r}f(2NFC0LG?cmr$kHa222w&Hzk$4A(OPq7c5;~>7m zQGA0me2-K331{&uF5nNO<0@_-$9??#BQFZzJ`_Q5ltLMlLj_bqRa8eUc%v>F;9)dH zbNHe)+9CiQ(HX($iXP~Nz6eJI24FCTA`S@{h9@x!Ph%{e!$drfsdy1HF$eSTDpK$o zmf>}*#+z7&4cLTtu?-(!2R_Db?8Sb3fkQZgWB3*)@B>ccXPm=txQNTRg6lAEESC#; zkRJt67{yQ$rSSmDqav!{A=HEyd{7^a&;-rU60Oh%?eQoA@fbqT9X-(pVd#%23_>(w z5sxH{z*885XD|*EFc~jkI$pwTyo~u+h{afn6F~YM?gipdK2c zF&;q+_`x6T&;gwggf8fY$I%<1=!ZxQ#1O>b2_#}TMq)IQ@hrw;5~g4pW?&ZP;uS2w zA}qmjti&5wi?^{6o3Rz|V>>>=E_{l8_#6lE6^`N?q~Uv7EPDR<+y|BsMAGbRgIFs5$%z?{h ztwMGXa?v; zP#)+O&>Yb1phD1m&_YlNs1&puv=X!$Q~|mdbU&yHi09^rk6X+^X7U){g^`PmXT+mIR*`QlN1)w`X^FRwg#h@jiWuO(Ha?o9%dqDSr zDnZqt^`Kf%5Yzx_0=0lzK@Wl63VIu;1N0c^9iW|{F3`I`?*_dWv>Wt(&<8;u2JHiV z9P~-hr$Glm{{{L2=!>93ps#_x0s0nb0Q7y(4?#Z$9R>XY^nXCV0u6zF2l@l(PoNRd zNzlunzk*JK!XWQO82_LoP%0lvoBWNZl4`hLKu#nKaSxEC*2MYzFWV&l3N=?9GKYmu%uu9qWimgT%|0N>Q_yrw-mlpMRbL?XJFZz*9e56|gNava5b;_gJ;zAD)ks_a;VhJ2+X?RITq=J+Mp_RI% zO+KFv4@;K9`EO}0yCT7Yq-D>D{rcGJ$oO;c9i1VNh z=2$c50j?9%wUA1i>xJe!vD=M2OuO+-%q)YxsKXkaKzzNCZZp#H_8+v(w1efD*W{CQ zAm6k}^W9`jInL*+L8ecw!u4{Hxt0*)Tugt|fpeuB#=OLJpd8Ctp#j8mLG;}v2FAIX zvZgJ>Shfzd$<$3*bDqA_!6(n;vrKah(scza0FFI(9^Q{gV zyGa9xHI`>!Y#X+R*%q|pZjia&>h^Z7Y0T@CGsi!5v5(Ds)J2^2(1GnlOov5CnAdDK z^O`!%Yo^UT0PE`PPjl~0o6WxFde1h!4x|Gywy6`l$pvGZI_0=_II-C-7}pV}T)qo- zs|!Y(bK~G{^&#d~C*p4PBJNf<;%@aL?p8g#Mnw|ctO(XD=N zb#tqiTbpV$M1cbJjz*wOJ3&XH_7lU#)Y&s$DR@3l?y}*1KT5Pjr@B4L3t!OC5*)h^hbF4$czScMCAw+qIz31{8T%?I+V+SxAuugwR}eTaEq*#^JS;Rc;R z{I5>44|L$YD#^SLW!ml9#4O0@VB&gj&$ZLp_gp(kZaCL>H=Jv{8_sp!4d+_#hI74l z!@1_W;avBfIBj(HC+kbxt-i$F>PwvDrr)i;ly$2waku&scdIXPxB3!yt1oSFt1of4 z`Vx1mFL9RPtS@nr8}3$L%DUB;xLbXRyVaMtTYXukv%cI*m4P^)>%je*dCfI{wPqsb zzK{GS1LK(Ex}!rQ65I>wz-#K!fqNtS9X; zui3xmHOn`z*W;RbbYT6>Yw9vZC#ZjMPE zOx-4~b(@%}+r%k56V$AgA~rFnEzWU8y-sYY3&wtS%5kjGf7y3Bn3&TpH=ffz`ng#b z_Op4-em1XJSM!?r%`wmX<~8%1*UWETvpvjfwuO03{oJ?eK>g-5^_$n!Z(dWsc}@N1 zHQRFri1`@nz%yCYf^P;Jn`OJBVeZ2*wLk$wNO9zg3 z`hpI%NU$ArSb_ve2c}t19cWWXUm)f-u57tKq_AlFpvSu4mj%eQ% zRxR(8amvvSvwd&S3jYma^V~XKI>)fn?1S43ocm`T%=Znh(jek&BYmBbe#l5aY^2|8 zq^~j3<})X=EvFkY&gVm#W$x40Xb^Fhk)CFx3yri+nsvHbgNRLgR`?}B^pG`b0Hl#Z|YF06Nt?@ zXqka8H`1J&bXcJih|T$m^OFwTv+1x}ClH%!pSey|7&3Po>3fXyy++#f{re1@>%R`> zd{C)D#OB&#&Y#tW4A(Fnc#f+B=SCgO^`l0Eh|T%*0R!hcrGq&S*J%)Oy^-eHqC=xj zAU4-+bA8}Et%EsVa}S||xqfWcAYyavGuLW!4{y$MZAM;m&U>qYpYwCs7-#F}=loN) z+u8c(Ie+{2@wfl2bKp52`}gs&{}5d%3e+Lg_b}HNXoWGs(w{!EG^nrip zGxm7<^Krs z+<$NVGV`q#d^7J;<|&8NG2Xvn1o9^-q2UwqEuTND_}hPx*E)XW$5Q_zag@H^@@FW0 zx}39(YyKqde7*6<`(?e(How!(v(5jH%D1SO>%ROYejy#-%X3^O#OWv9k52aM?Z3%N z(Ymk7c67Fj?q6M=E`M5;HEo?WEnrP6o-=LfoN249X?J_3czm7&j|=M_az&Vif4P^p zKuq)fES(M*X}<5qck?Ji57B9!4O<}M=Dh;1|5CpXS=q_ z_IO6cKS+~2qkICyw74J~7 zPs#VH>vUDGEEVUexJbo^lzh3m_NzFg;tmyet9Y-952*O4D(94nyOh3tD%SdssOupW zpHi`<>Qk)Z8Wne`_<)KJsd!lR&&WPm{|r^`@eJ8Ny$YWw{<_jLr!O~w9rR6gt?_TE zYaQ$U`;Nj3M`U?=o|3)%`q$S)rGS6$hT(@Y@@W0j8mEP(Z2;AQ%$FFHoQ_`a{4qr- zI5>88es0@WHEr3n^&mfJ9jMuwRwL%^CS1&)K5eTttsJT9Y3ALLwmK5OUi;5iDk@$& zJNtFNo@uP>d&9J9iwjUNV!BAZUi&90J;g7NZ@(_zQLKIWddv4J4cgyzIVG!>&n#Im z-?}kx_RX`b+8guht%XJN=TC1Aggi?ELBF-CwyvSRIZ*G}h^s>X#+H^1GyEGpH{{)H z-E`xuS<`3VoOhGFx@p$U@(MaVH_piQ+<5D)w@klr*7RA}{OWQRm(Ra(=BoL#X3e~7 z(X3o+x>Z{r3N+PMg=!n>tAe##MFO_YpV?qVm7im++8CN)EpBMq5U6jq<_3cSD?i67 zS~C5{**D&7EooR6sIRHoRBxGYj@!*y~eYX8A zx?Z*=3Eo8Cq{&l~&YPN?a(?OsX%}8}arz~fUY2qB8?MNFl>w?4A%ZEtVycy#+? zJ05?>6P-JEJ=yinr{2~5^t<2F^WOjX&)v_w@BMo|@WBu5{qRRVy6C{xpZxUb z&wl=kWB>hsetG;?zy8h83%~u{@bCZd#}j}0^It|@96dSq(#x-$`s=HIJN??7bkKKkfLP`mL2m+G39>*}fvyI<8I%R$-uxO6Z=13~*MY7F@%L6apy?pq zyUYaf9-e1IyocxgJMZ0j-_Cn>-mmjso%iXy$KY>(3P87m_*Wpm`uZr{Q}s z3qT7&i$KL7o=ftbDgFkBJ0N-)S5&^&QU+Q9S_$I)OF3vYh%??@pb8N0Wq9Ag-@vQ^ z@pOQ{by*9l1XY37fvQ1%Pyn|jE>JhZU4D>AM-l?pr3$# z3OWk<8R+MrUx1E*{u}gvK)(bX2mK24YtU~%L!cKxzXkmcGz|JZ=ntSjf=+<`1o|`R zFQ5_7i=a`^NzfSRCD6;DS3svge+9h?`Wxsp=rz#aL19p^c3m~1aRbkz5FS~rg1ijh zagz$q%5eQtSta6s#lP~w%x{YS-z&rAKUi?teIfj#)LioEi*FPE%UmTFU8sV}e}NA9 zyMDv}Q*ZA6Y1#erx12v9LrQMS*TnzBAADlQ7luEWeCcytkLLKVN>=tvZM=QzPd|iz zjz0bj|37cqf%hD_f8ImqDKpPY`p)e;dyoAnX@?9+Y4eh<>cBs${3#<}nQ~ zDP>by#Q&;VQ*@&xVCGCrm@;{iFVUNTS@D82SUw4qalvI5TyW(D7hZ6I79BTENRaH0 zs|tvT*~pRLl^5St4In0MK(@T%ze`mxA<@W;q`rPqH0Q40hzTFKtxw5+NHpgYGj#d3 ze#QU#vJ?IMUzcx}=ac0+aASVi#~nzB=T|uCEPVhksjwh!C#7{(5U#J?gVGM|97u{m$Fy)yN=biP+Y1lhPeMGFj)&B=7v;lfyCD9JjLU-A?XZAiMvK`Q0V0< zz8JA4LT^+!qZA|UOkUyyaD9=&&UfKyNSB`ufV*j3He+rqh-HEpl0g}u%Rm=`E(K|u zSpF5DG>{HwQofdD-1Y87;0A9}mI?tMhby-UWq52Q2Nsd<~+-+0^pcg9OPqU%+?E&5vXo$$J1ok?)O;>%YDA%<3JloqlzO`E|PjJ-%#bH?F5V&>E606tPmYCv*mcIgM4QLNKsCWQk^AZ9OG;Y`CE+h%gnyTti=1Sdc ze&`iVT(zm8){mwYO;}%57l`yY`jR4OmQ7W)L7roH7V^M@UB(`*t!oSh=qcYco`7+S3B9)vz6{s{#6J0At4(7Yme(wH~KL zETgKv!5^EW+60?}4Iwco);HkjNQ{0t{`5EycGJ>8RULKut3p+Vx#hKR1q_QuF?NW%C{(c$&YAq-{Esc#0O|0uG48s8CVhkNorwAu{&4}Q(qV-jEwU|9vOoTgE zPW70R;X3{;YynilvrP6myVc*Yx!$~PsfQ#QgOkAg1`Jd=4Tynet=odtDj+8RbuH`H z!|9sE#1@%1j4UB|rJut)TF-hI;P(_aVA$BjH&{)OWPkoFN;-Z`R01`qo3qAwboYN@BTp*j@gMnbLbxYx3mEWOt1+27w#S5?bfGZE|o7vyr#%tfVnOWnGL zASM905K6f_tAZHt_Cl-5T8}x-FQ-V+o_M}pCD*YkvHfDtiN3I!YpS?V;ZY#!v<3Hy zf)CGtNOgm~zj8?79uYavesW~M|Egwi5wml6=E}K?ir~?-m|jXd#kL}<*xG=-aSCwb zRpqcs?OTE{&t7)Wq_y?c4P2GE&Ek}~ZVOVtH)DDer}S@gnX{MMU>Rgf*stt z02hf+m6(B;Ma@Fk{-|xL*ay^4Zx*u?p8e}(b+d3@FpQjZ#4q1j?7E247OtrAnjfs+Kev6UYL`NDOa@)6Ra+;9DAf@ z&bSsY$45klI@QLP>zP%x9&K%fVoPYQX$gtR7Vk=EPiP8Y z4h>+CH_w61V)xwK2rmyvOVcjm=>*PnRGh2g#VWo>#Z4-HRK@RC@#j>0SjETm^&6z# zzo_fU7prnqoTcJ9DqgAL`&Hbk;>T3{9ubq3MvsAo9#rLSVM#XPa z@%vQ#c@-a4@y}E|reg17I!+?OHsT%qDwDlS#AU&W`C{M*%a_8Vos_p0lUskm6-jVeB*@Smx;N8>6U zR&mCgq`s3%F6nY*mx{Ahe5;D@Qt^W-eoV!CRNSZHpR4#UD)y*xkgnn^70*_2iHiLy z4ypKY6@OU8y(;cd4rTpXo_Dik= zS?^iudXM8;^PRDl|7XVs9p%T)u_VP{Q+wg{ME8aN$EZ)F8>A5f=H(^;q9Uh!si8p@l#T&3acq8=3csuf< zgc}oH?nC^*kGEt_pfqm(TZ1yZ8Rw>9^+GF#SHyw3?PV ziabk^n4xG|y=TUZnV#}{ib_`E-`e$_#)jtF)~1#q?q$p{v!%IdrnuXgxwWA_P+Pyg zL9o?Uw;Cr6xNE8Aah$k!YYtR3;rP3;DpVun7L+cOH}c}V3;W~-Kkuoy0T1HDJQ$dc z9d~V2y)M(1XMK2hiJKw5i`9U8cV@zgHq=+QG-1!pgIKlA_Qd3yAcr`nT~NNZ=AxQ@5oJ^29H4Y$of$N}-TC+`-y6W-}YsugATYs1on{15G#=z}syba071W_iw>T z2~VoQ6>4~++alhV6Xx1>h$A@l*no#Re1#6(Xgd|#GujT8B_3h0dmC|lIo&8;>$M+9 zl$WlO=K?qzl_x^Y!tZM9YeTg-NDtNUlnn2e%O+4~Nc0_1toeeUuxUL`%W<0-;(dK1 z4g}<@f9snX>hw*#)Ff-^s#mp*K24C4(LJGh366z>d_0lJzD~BT-G6rd}9Ak&(!n<_!X zs)gxBk(tXQX(OkpiwWzC&eg5w?WYzv||O~7x_)PbTcMj3mO zQ?eFL9ky_ORINAFqn->JRq)KY&9i)E$)b{Fp0d)p{X60GD3zB*Y(pM`DSp-g2Gr{B`hW-GR2Jb9&KUKZhx4ja50V`C5;ajk!rX zf@CybhkoZ_spZMBSspP*E+pzU4aZHHgWi;UWV1sy+uySoh{_M50^VZ%p z%gC+ja5nkN=ErJq=I6P+zn0HYxE~R_1J>0Xc{x04Y{ou@m6^`f+nCp=5B*Y$j#(0>=(k=bR!t zyf9d`b<1_0sfIQP@l6F-OQ)s20=*`Q`L(5fV?7={y+xVm8dW^KMBrWTmT~WUWUO%= z=PSOJ)3N63I4Mqk&3DGfb-5~TRQaEHue2lSKVD6_pK!=&dCk}Hk~sM_-x<$Q z^4ThWpNgH0*ivtAGDXkG=Zd+l(Q<|qJV|TQwgMG*J0it7tP(So8pz9|#;~lH+Pl_nW#yEH zCMBO`tr`&7j$!TR{1sTR~Nzb)aexZwz?5!*?(@fOx0J_c9*Kp6Fr_ zM|&w~DTpV;%RyzJ6`+-%RiJXvYS5jayFeA7yFvGW(30z`RXa-0hI{cpx*AqT`?)=K z`n*}jSQL-KkX3~D{Y=g`$5lL{VvT!#F8OIHwp6V7I?h-4A(dZmNI5Z!2m07|%6F^k z@S2LapU}4+db@`gp7^wDL$F~T27EK_KJ9(9ayNltZ-K}8@Nv8ehFf2)-*}X+wAazY zvagf%)TQH*TF!x{K1H*c>74-lVCw>;ur{tSEQO-*5NIUPzz@y4|S8pF=gB* z$k*$HZ&&kemD{JO8xW2Zeb0=^OE9qjZ*Ia&7w}(YS!H;G0K46$fOv=)s;O@7ikZy~@)0Sw+SN1HwQOjfQ4^{QzGcQ#SdWdDw7$NU9;tS=Q!z`3-MuGjXCii# zY}sgMX~d)FIy{!c{Y|^-OIm6z@+!rN@4Rc(_V5cEC3YPmPI!feGG;Tdrr$N7v$0cfsT1_af>u2w4 zBl~_iK2-f}zS>-?`!+Fzgq;gTk@74nGFON_d}-0z@|APvFTkw5fXivgvPF>&Tw*}fgIQsbIa6qxsrceo|ErcEwAY z_}tUkT|AW5?{CNK1 z2fqgVX=eV)hdd(2hsjR;I9M!YLkCoqofWHs?DpS9AjVOTayBGXFfG=i7J-!x1 z%I^n%5d3)UKLq|a;_&ImJ|DhS;w*nP{CJTpgJw}K2Xdp3;~v{?r#m?&T5UnvTMoH5 zeJ{SfA@GyHr>w2#E~$d$bc62${}5uEznfFEyMOp%_;RFexpFB${xR_1 z4SqboIt_jo_;bztZ4o;%C*j*J;NNEQ7t@ZQvO>*&ko(3s<@mur1b)2!>j3{*@ZV&X zb9ba3d%@oa{`Dq55aIWOPdnrGKlppWf6C17-6<5XoqUt=JH;Qx&z}W;68Q1PM-lkx zVa-SL}e;)XM7$<)@_@m&*>+cZw$G}fD%P)zP-wpn^!H?$; z2f#l(j{ZULp94SMd^ZOE0r2DXXFAs5L*Tpl6Z^o* zGd*wBM(WuHKKm)#)MNC+Zty4nBz`;gDgADCit!Kruc4nd+y1gPQvPZ1e+xd(M{Is5 z!p}SpILhZ)g3b5Jg_ZgXz@H6%y2)Q2$-f5tdDzz!ntWs3Xaj#8_-^YOb?yeA?RPEn zm!zgKB7OjP63TVr1Hdg8dqH>Ge**r{1bkoe`1-RZ;1^B6_fNpr`oDy_ z__DCyT$P&J>D`{#j`fN4qyB@a{{Zsq%J)Mv{0y$AmV{AG5!EZdO_&u@w0r1m)ruc_q`6s~d)%@XDehNCa?B^#9#i}s(R}*#3Gk1AkLAz~Y(F1<{C^nuy+koj zwR;z(TK=i2X>(JP=AupvY`+}HdHy>xFSdJ2Z9k+Q>L;J)lD=M)uXUA2bWtuHda@z+ zIOPsQ4rBY?si{dt$=*UlqR0a93Lw`7x#O6xeaED}?OxHZC8^f^Q&Tfg0Bl^4ocQu& z`$}5O{B6je`vUC6dg)tmjk=Ffeo=U*onu~d;ujL^E193|m5Bu^?RPJRv0U1LcpmU1 z7rYd>2e{9cmh+V#_^IE<>S+T$0$h(}W~2UY;KRUgcj(y{8FW#`M0niA45OROHF&yJ1;e3SK_=>Yo~8sYIf%&jP&i3=ceYj zPg#^&n0O5RnGa}gYBoe5iY)U|ljbMW|GH7$(ci-!>g$2N<=cJjla{4ca!T>O57sXb za($3H^2Zm$U&j5TuOD(tIpYYq?LP0vL@jCG5aeq9^kVq!)HfVu-vZmdxwNl{_7$a; zd5^+8AP(~uCyRYvH&(iRe|a&y0lGa)IS-bmTAkiUgki)GazK5M8-ZLN0aUQlTa=oy z9dn-5?prSg2dYdSLOH0DE9l6JVct*sa;_EaxRdSJnK(Dq+U|oj?UNRz<|Zbg^|GM` zLq)dR;^ZPA5V8?HFR(p_pts`17sJmW?W=*_3Yts1y>nsnB2FPipcFXH5y(Bu^0&$I zcd`7P?8i=)zn%TqJ~^?H7N9)4=gjiTp(p?3i{X_lZ!h#L@JhHd>X5qjEn7 zKd(jW3jYV*3S8`?Fqf?pHWZ1rXFfmpJ>avgI6l^b!}2qM_X2-`?a`A>Kb+h?W$BaN zPB>ZFuEd=_?`K4%AX@+`g?!_r(Qp#w2Oux(74sSF^{%(gU6j1iPOFBa9Vd{#aPnx> z{7>9>G1}9C)7C!7=K|+5G~Y|IJh3(y^SP)$@)tu6;%fbnW1pAg`zPRcjKilLMT#%% zNVv{*U12!`kgFWWjuR8`Q_vXW<KF)d`Q}RxHOzBUd9u=r31qHG` zPCN@Z>*K@=fU`aesRQHsVc5fZQvXrlxk&rY*Zztv%bMtYP$u#p*K(J;%h9gAkQ;WC zPk--I`6J^l;z!JPOz}&Q)`9pK@Qf*=>PvuzG*&jo|D}c|#yeZeylGL(JuXm|hD=5zPOv&(gwp}KzD@N=~7VzfP%Ci1hCKEW@D z7R&d8pRM`+SpE?B`QR6#EIIFRuTY%Kby(Pk1JVlc3mp7=6+a97R`45a zzPAo65tzRS{KMn$ec)$YBK_FcD($21+4ToMTk|`k`Lv1p^TF@ny8jILVy}mFe|sYK zm+ij90_>J}FNE!0NiufdDoy>&-+}x)E*%ZGG5>Qe`EQNnFLLD1L;il`KYZC}_#4cB z82QD%1JA&g?3~m-Wl87cUA_mNO!W3m#nDf(+F#K>*I=TqynHnLGSYh7;l|$klxTf| zavz%ox%@YbhHs<(A?RN!_v5)@KmK(gU4?(Sk<3A!a>y57F&bV%`O}7cXW~w;_q(?I za>&#E4#?MJj)s3s`Sk10*#1m(ZrYpR|Hxb#iAI6{Qe|y0n*8EU3pZ(Ym z{weSuMcTIm{Ni@sO1Z`N&PQ9!M?15e6Zog{YE{neXg%boyb<%i=J&?(bHLxG`Teo{ zQt-Pp|7a{f2>u?;KM~9C1ix4FJvYR(!+!7&X?}Vve*pX=nx7rZKLP%6%`b@Mr{F+) zMDxp{`E2JL9(cc5wX+|5mv*LHIpk^}_cZ;j6>=rp6PI>++kLoY!*)va2ggYpJ zhHs$U0U_sGDUZ#HQY*c@O;>jZa(zp=67tic_fhP(EZ|3hi+zsRx7~-VE0Y(g`LPK6 zq-#dQZ-K0wU*X+JOKrX%{A})#9hjwpU~{(kTeM)4#0*>L*NV%UykMHy-53BK>npH1shfGTDv65rCS(JklRMNmyL2tBjvE&cSEi$+q|!e?C;pWYZU+2 z>|bwAnWuF76 zyS(0E+e~@BK>at2hK2rxuKG);pL0I#IYIr?mHv%d|D9CLGQ7Wota<;Pc@^}}7!5y3 zd)^`RPg>gPYoEMAW=Xu9+NFzf9xsJ_?@V?7`zgqab#Q@w=7FON?+2!eLkhVVA}j6c zK)xNhqv5x*obRc6?DUCt>YT)u^1gzCaQY(aaRBm1ZyXJ$QT|W59!pt^lGH*}VywM2N|f!g8*+`aN5k8xx5?G+FvRESb{T;Dky}Q?7UkdR zV)ut3tu_GEe;V?K@|FINO{_oX&Dg)sQTh+N=-&(dC33)0{~E}r-8LG&o%S3T`lH+B zd#bXKWxI4zf5B+@5YoP|&~LX3&pPR89N*~>J_tGI_#Qz1Uf}zf|FRjbIbx{aPyLIP{yC5r z`s1%V-PFHiH2f6jjk|?@J#UDmDls#9-RXyX(o!{FzZLRlxbB=rzT?Oz)}3cmJ)+lz zS5XadKF)gNWMh6P!F zr3mubtJS(tDfDvR5?L=D>rM#r8FwoEtrP3t3;EW&l>Ya)=y$9;gOIPOz0pcM~r{SS@({HzsYivZe;(7wL#p6bK`&kN4Y`B9fsUbDVO7r3qTI*0qt3H z1McV6MAwIS2zV>-$w=!U{2zED@b3cjt$<#!-W1E{F}dDOtT(uG6%Txc{yxa3-50BW z5O@-Br~Xdh9^gX1e|-J4hx+$G{uUqXX%+Rv^O=g&M!C7}oWuu8>IQ=HCm`QjrQ~;y zFVA*tL#9K4iPzyG$Q@ii8h#CVe228%@z>!H)oj2GG{6Oh?Xmbjbo4-t{V;CO?^d>bDW1Pu%Fk8=b?CB z>)r4AxE5_oeMQr;UuhT(KSq6P&PdwvEDbORp-K8&>QKumdWk9f7*H}^w+UyCYlAbxqJGcn#b z#g^v--U?il_sfaP%Y%IW=F#xiXx}T&@_5%S>(>Xluw3RC{g~<+0rdAXm0!G+al$H$zVCkG2>JMgQQzPY>iaK@Mka7N1Ek zN%k%=#Kb(>2DuT)tygmLy$SC;$m!c;;jeokm%lZ-p6uT~;JGgNAnDLb<=J0*S-*$XzV`*lyX5;szD}dY{$o&XnzDaqUm$8qz2d~D+^Ta3YSw)V60mzp<68oHf zh~+tO+OZpWA@HZEKSSupzM8Mn)Y+O@pRC!~e?jh2q*-6%m{!!cXac@p@v(e}{CM-w zp5Up!1N_6#kH<)=KknAeE$4s5caDQT;D?aUoDZmPaDwv2CMYlc7HN;Oyd2=p^5~yM zz}Y@$>YpLVXKWh{*RsC0f8HhP%znuOzXtrLkoH|~_R9lyzp&gjkUI`J;UBk+?;q5A z0`gsN8x3znnsa=6;&!jx-TI+ddMMj3Js;~6`x_8I8lubkofp+CVF8|Td@PAHdSCxxh zvYpeRrww|#9vclOQn3oeyMgZjUZ_FD#PA}zRw}gexUv%z`u^PZ<+89ao>$P zc^^}KA?8=eweA=d@4fn}AQzcqIT@gl)QiUGJn9{U{3*x_y&-qKw0F%M%wLbkt~(*% zJ;2TT0Lpg)?*d+iv<}4g0zd4crw{lc7d?Z(4?6U)p42}AobQYH9)sQ3q?ylKynj}W z0$Ivaf7%mx|Bv!}guG9@0$Z9|hzIR2M5Lws3CLTWc)yGCpLfy!$4Cz9&%6!m?@rb3 zKZN`^?N0ep$oE42X6k<-#-3kCv`c?E2Khs~V%vWV_(9-i`*Yl<6hJ@ldyw`Ni*<3S zo`~5GIpB}D=qmy~?4oZC@Z;m?YXjeUQqB7;6M^M)1J4G|;iW?+68n|>MFg=9wR>&z z#C$R^4xf5YDE=hoSAn?icFe~p&!<7e#4~}X0T<;d9`QUS&$JFqmjY*fu&mmFxF2{i z@QZC!>Td&H=7M(vuK<39Lw-N-O5o0R=~wdc+GS`QKJD}2!8OZswo4{(+UIPSJm9R4 zvt3G+yt7^WO24yR+JJ9^9%s9B1K;7mIq&QT-Ua+2wo7Dw<}(|6-$XwNL2ejwn5I0w zzhj)hSAuGAkWc+dU87+PSKJ@pEcklI!*ULQpAG)!$iEc)l6H)HywJ@ZCr<~|JXmlC z#uwz?2RYaiIV)_1j5-k!{SUePcgD7F8}M8QPW$qJX9E}ZUnlL;_S3#{@QcC!4AQ=v z9rp1pdDuri)PEduqMpUE_1q1<=PCJqkFOkju@_#9M_a4qi<(#(SzbTnhPB)_cRALx zv=HmfyJF`XKk&oA@%YdVly579eJ=9dz>m7f?*~5OkY_#nfe!=6F_qe{Y|zt|7{^24 zpK{4}8aVa2_4N&MFDi@0WStF*16+n zuXm97cgO1O1YQVS=*_%Et+!`xZw@xJh0nz59fIC`;6iW3+3W3q-u(B)>Ma1C3tZ^! zK6|~#nE(B;db@#V0~dOaoW0(>BIMr_tM>%-TEKD=9-%jYYJ`k(72zUl?p||+# z^$s!r2V?c_2A&37=Eja=T>c$Z`3;Fg5^5cm~N zK3_=0nj!oj{C(gHyAGYbU4zWOH`*@h?F7CDxX^q0?Dgg>ME(y)>*c&L1id}LUqafK ze=E-qWu5t&@sg<9KC!P(hn|{`jE2W3-w1gzZ!O0;DNcap#A^RiAPX`@kk9|9dTzVd z(9hdpwenLg2svxtXm~c}FW)i9MV`OD6_R`$FXq>7>igJec!cGr&oSjV4~vyM54=9e zd7edmsjn1rww;CAPX54)7RyNu;%w(JN?fLQ1@FSdu#k2PLe8+1(-*x6`GR~VUy!{Mo@WS&v{xr>kfV^DBN+QsXHt0FB zU-kC^haG1yKW7#r|0kmRn|cSK_b_nbMzS12wdo`IeWcXCCJ|!tM?f6_5v4r_ny7pHt6mBbgbSy;QN3Jy~od9?;!I(7pu1u z_#WUwZ)U++w{Om3&=A! zjsf2R`~jrxxyqQI)0bfUI{C)@Jc#_+pHp@nIeWW8(4YNZ(ROiMTjh0Gckw586qv1ay?K@ ze+)q`ha@4-2Z8`2gk#8Mz=rO^wS==<*^(+Ug2y*$~z&SDX zhoB#Of}QNo=-;guda)mh`q}z|WIiB^g7iiOuIbs$@}YOW7kWFS-Y5AR`d##snC}Yk zHxqET{Mg^^V-H5ZI6-}faUMcD`=!2J-o>`xMf=6VSihJT-!C$ue?Ja}bH6<*zdxp) zQ9q>Gisc8vZv?-G<)6~!JNzCSsCImZppbh_r(Z6JUq1aIX9ecF?~LDH1&|wq9j737 zDecIatLE_%d0(81ttf{t+@UCWiJexj1Y~y(8~U34avtO+|i3Mt{VDKbN1poHpl{m`(2j}FH=y+`vcH>A zY`=#kewPM)^{%f?u@2NX0DWbHcwS2T(&w4>iSKYY-s^(C#5X3mYFs3K=a#t&>)lUA z!^^0zB39pG+rCBeyBU@I$*#+1J+Ri&z997-#e0_2*Qxc1@$9fq*Oya`OZ%b1)Yk`n zX}=f^cT?Yh)`w40#LN%syB-tNHwBYf<$sTcE2%GSzS(}Fe_iT}Ny4SR7%a4J4fHks zay0xa>MM%X=lCuP-`RJczo0K2`chV-zQ2w=zsv%ja;ws#TmY$Km;?=`?J7d!+!!$nUg@H7{EFYqMb&i3vD?s35ffuA}a zD}Ms`2=I3xn+~j(uLApN=yBqiz>fpJSPLVjd>-&&;5;6I-#6luR7s-$fm;|4PTUW? z0=QF88}Lftd_Dm^Wi852yMgZjo~!VU3f~WW4{&Gu_XF>7;PjVcz`KCQ^Ow`$ZySf7 zc{ltm4xjZc0H5|d?I{P&`a1C-@G{_VLpxAU2XNZ&Y@gl0S>Kx}jn$-4wZj46hh6Xi z;3F>h5b%uO#OgT>ywC+tzX$%{g69D5a>0v$A9TUj06*@6hkz#y#oE&eJl6%^3%mlj zvwix2R|3zL?NeB-+Gh}WD{$^{&~AnN&H>x`1n@2w+;=bLKNma`_#qcO5BO0RycGBd z@LOcLWlgGFKk$qfV(n=Io(r6|>A-Y1@O&41Kkz~qydQWma4ds%pq^uK0h z>y$MZ572{cp&h6v3;1E+J8V?S7XUB(Z7g06{2=g`uz%HodV;|B49E6k2k?EsZ>2Ei zfsksq-N28y$R7ZH)CC^^ejK=S+zlyt=QuhI-1GZbKTN+5^SKM21H8-yF9P1`g0BI- z2ROE$c3?Y%fcF4*`g5m}H|@`a{9YyRHjhzXKlnSK&&)^s81QYtoqjO}oc8)4qXXqr z?#Fxw9NS$x5YGZ$Gvk7w5!@Y!BYyF$Q=fnR5rg0&UtPNi=>{YIR7m)YO= z@IEJRm2l!MZYfxPALRG^0pG!(9(6z12H#;2^#}h5_+J%>{D=4$@Ew1QeNQrFE!xWk z&jQ}+f)@a9bivDk*En$68w6en{34nn&Ils!Pt&eW#ZMt$1>$>wS2*+$?*m@uf)4^O zcEL{oF9iNUtsF7+_$o1;960UG1m1XJG&~DwX|MkEw7h>T0KW@-Oec2x<46q09960p zq?{jehavYF$`!Xy;^$5Ar4oEYkni7#$1>tRGY4{&f0FOr`dYD{7j48l{Gw!jXM-Kj zIlUZmJzB0azFZsRj%c|(@#XeHF6qyz+yn9D1|V0cX8v&t{ZX{S}rHPTp#4Rv|M3)xgp3M)^g?Xo%sUBQNd9i{t&{HaCG+;Ag9(uo_gp5WtKAr zxgN-w<*>a{)?>T_cUzyRe*pQ&{~pr5uR{Mld=IuWk#D|vccBcN15=Lr^IwwRYx5m3 z>bpKtU&>{oyh_N4=SRmxy~OV><#(?>C=_yEPz1T+m(}lz{v!3M?;yje@WNZ;dzZAryd*V zb#Fi9(oW&L3v!+%or%@>kQSFwwElB?+^~Lw)CW1Ue_2i^@FTz%A?+(P%BhOB=K$nR zL2d!%N)5TS(Q?NiXZ=-vN6}Yd$l(nKvwprBG$-V4pgzBrL)YP@FjFoEa%EbsF}_?m z~&9r5M%Las*3b;p+*fLx=N+Y?`I405enZhw5a%v$U>wcNq@az&8a zq2>DH%LO6VrR9#qm+OXHkCr>;E=NDgd;tCnIj0{Ds{F!_hIM}UwZo52L+%jr3%RlQ za#<*67;<7B^(>5x6Z~LA`v>jW54p5gW9{(+PXaE=Nip&}?CFGDu9nM)FLwZP6_6A5 zWEpaXJ)AEJHlqI^cRA8>Y)Ai2X3Yfrwh8#VC*b!@z&|zt|MUd>%;5O-E0}=4W&(cO zIDGc^ZpBYw`>McpKLETnPP>cwPw}1kP5^I=lTXb5b>s7M#^IO3u2RKc>BWWP+0}AB z%Q2r_^(Kg4Ex9~>5pT3{zTORe+fJL`tKlyVi^Mm+=O#;E%Y$4$ON z(%E1?U!2QF&+54&e}Sa+=e{-?{xq`ra^dHzoaFr#Ke=h!8H9XV!bv#~ybF58dW&^Nty|o8vixqy-&r$XXvAyMGf#r$i0hpF6B4O@6{im>zw3e6D>*>zaoPH^s^A;hrP0V zy+`BOE(86j8~miili}no$dITzWkjT^$kJa;YlaM zep?@&MXP6ck!P0krM^80-j|_oVT?ZcqGdVs4buLkli@NS`uS^U7hxlwv#Y;HAfBrV z8`X0`_`1*Q`lL}Rg-*6(P9yp|gA3>m~di z{;}}M#6>$N?eZfXAS6+Bhe&-hFjGNWI&i5eJSKx!==y{XS zQ!Mo4K|cSIllu3+g*@$`J*B|QfLC(-YkTl{Seo->BCV-Okk-g^mo~_6yX>U=T?Edl z?^k-df%gEPY}ym0=L13y_nMsV`XS%?hFCqvfOi2u6MN|IDNR_fGnHQo`_ZTTL2zY* zo;pN%d5}8_xi=#Z&)2oxrNBpkpQ+t#kUw%|te$S*!@&C-?btqLiyHTv?Qu_k^h3V& z>XYH}N${JDMKS&;o&#fmsJ}n4DD`+k;(m93)UOwbzZcO5z1yx;dRP8)^m06=H^bj@ zPRj3Zb!xrhxw;tRk>}Rx`!J2(=P`Qb#|%jfar#|9^c|gkGQ1z-(e}HF_9@!)@TWTT zG%4nnG00cU!hAsa$ozs0+)kgE4@6t3za`S^P5eYc{ISdOi$ZAs*(bwe^w-i|i7PuN zaS|*~?eae9#YZ^bi~RcjQ`8^w#dA)Ef5LITPuD*(e+U~Q^T$%WM{y}lz?VcFbKG3< z9z{R&9lh;j_}3Xx^@+5l&?V}#B6V9*;uiP%hzXP9AiV|kDL)y0i1T^zPH#DHu}V{W z6Y$qjeu=8^6O5EAfZUPQX~{q2yh1n+8l zhJy@3Iw*59&U+!BM?keN?Hh!i8sfBXMU;JRJ}3G!=x$%scWydSUfGV=@``}B0%zy@ z+WvXvord1Vcg2=>0C*4ZM=wEn`z9=JQR*RY;!>Zh6WQxK+byLP<7MB;@UArIJr%1r zvTsmx&yj@0f=RA=<&XQJll|(4-lWf;49~=R;hS5+^D#_6_op68&>t#GT*N7ejZOJ( z%0I8<@0?h^pYmT+@*BsOXFbO#|K*e6VjM8}wn08J$GZ84XuqBA>yh1lw7YZ*{`uj_ z@J*aI2ccK2(aMLhZ~Lab!(W(8`8LR>{pe(PA=~9Q+HQPluoE9Q>lKZYSj&ciW6|#Y zkk9=w_6yjG`c7%P#a>a2yU4t(`e!5|@q~N7tFP4JSq=31wqn2Z-%4-kV$~l1m|pIq z`=Gb*g_H7o77J4g`IMkIc^zg!%pssQ@Vmf2!20h&xq45wSnkNY%eY1+J}ov=>V0+E zdjR<|hEIlH;&^*r+dKYzz1e+y+xv-h=nX!E{ng3n@kYD@_%Lv6U+lp8?FN1v_$s2< zukvk2dAB3yr#|qlvDkcrz%ziy%Qpso1^6sm2d-x+ZP*_J$Kz4^d7k`nwf*@Ux&MP) z59Bb6?Lb|nz`GoH9&kVK9l%Q{jj^EKUB>TTx8sxT@^5a{M!%*k*0J5lw+Hz+Z5#Q- z-|Eu&@a-}6e)9tHb13`;0S%xeJS}EkgiO#0=sN;^7ZHFjw0k4p`4aViSn}Uv^GooG zDL(V7521ilE)R0WFP#jJC&%_!1Gz(xJCFKQpdBIL2Z7&A4Cl}I5g2|Zw^G>3eBIz5 zb;-9M_z~bYseJ8T{2Bm*mpQ3-0Q?c~NceGi)D`X0gj^oklUj`zyR&jP;{{5MjM@EiH99$u1PG!DNMeClWZ=Q$6J z>G>q`9&%(}74P}t{=|nbYr1TAv4F9@2cU2GuP0;P9~l5X0vyHIf%Xk4dC~6TJ=N&% zX85*AeQtb~m!cEJaL=K_zZzmj*WpXmR$Nqug7+LxvHG4%&d`(o;^D(8Xda>V!ozYP43Q_m)$C-R*PJiDSM(Y|lTdnn<`CraJ!8@jDk5^0{k+%y z*^`*RLLFHDAaCw>Xn!a0Uf^QB*3Yi-DH5C=tk4yuTsh>D62_$cJ0j&dzsu1Ex#N%% z_V-2Poc8a9+)=NxeS{nU*8uh9S<=P;31aj}A+)YAGe{ZBkj!wCs@ok5`Nn_)F zSBLs?Ah!o{)Q9~84n^?8JiY+HSwrn~x!#ST+>FU%;pY=kZZXPr-2X(@J9QsX$A*%|~O^D4HK;4b)p^&5gY{;#ny#ugU{Ba`u>6Gn>;*Z$!w_enj z34M(zW8syQOIpVJR?((~;_rB|BbSKpP8UIL-}z(V2PjuaIsUODymJ73d?SwsSDar4 zAUBda7WI8j)?o`z!;`3|)2 zMe*A=1pX=T#eNFy%a3o;{!ZYYi(~P^#}%jpB227C?D&VD5S82Dvz_-y~(;CF#9 z+P^P;`}afcaQawyE!%%gw7>W}$awh$?=V)29-#fDkK><9W82>k{M035VLVQo+^Scm;$flMBW3i9yJ+|)87Gmt{4l$t?a=1o}WXHI#HxO={wOrIWGPWybHLq-G-##IJ9N`e(%I$)SGWLeH^@_4Gl0Pj0Lpp&z)=W36(v=Vz!gkGxo4-!7~#vt0b2 z`hg2Qes?`)KbAsIZ=Q?)Q$O$+{|CMYxU)U;fcF3w%es+3;1E+iwNR*C+BYQ(g7~XF9QD*_$ws8%)2NeK)rtO^KXgP zOS}zuE^u+)!Mq5>yW`03SMsKPldjL5557UAjXwLv}_Mgv2W&aET zXaC(xoV!CFxTy|g|M}i2>lcvxN`7ET^Qkur{0h_)+ao)O`U5X>!OMXc1D|Y5OFM$V z3xQk2#W@WB!mbR|*QxksKH__U(|??JA8`85RZ0gV$`1l(`DQy1KcV!S{v+!Dl++*3 zzq7!vfxZe9{#&`nX>ED^akGSA@zz+jA{gHN*0zU-&O17== zZ~4uAUZ#VBif`s4-U0leLm%G)$ln9~&#L@Sdd0J+$Tu+XBEHfp98!M_|;koV(KaS|7bfOusqAU@3Z^9x1q2_iAqI=NkvJ8 zMMXtVY-59MY(qtd4i%M(lnfPZB_;-~RcumG&s3^qR8&;U$grsBiE2+#ty;BmZ|y0{ zSyZcs0ehnE_wzl^^Sb|BT=$>%IG*=94&~nW_dUPY`TzfUUf}KEWj?$Gya)U|AKnGN z7yJSr-VZ(iUh2b#!AHO^^5GNUli)A$;YYzwf}iihXTeW_oBZi)@_&SdoJH^gAHNt} zd_P_ZF8#!OrgU#`e7YCG>CV23-c>rXQN|ipa>6U!`*C0M$~n8P6d!~8(%sCNu-Zxf zNuBIwox2;o0ra}ij?B`J+T0)J`^TDO&_{XK#alVsKII>vy@emN&QXrWG++go$Y!%fNMAsD`S;`B>N#`oPM{vKM*86imwr~SHctz)4)O$* zuUgQ{dG+#ostbIP_xS6te(+P^t8wN%(isLn3GVe3WD`CCej-5UDEP4e{w(+r@TW+? zJ<^FhVEIq=K>i9Z2A96STvvk2|NeTs0bKs~`?C#P{`ZHs+v)rHgW&T2TAzPL!R7z6 zefSjk;ehuZ2cHbU=fKCo8UDl`g*X2}+M57=DfkF@evED7ryBeqxIev`zz=|5;^TLK z%Rl~j=mVF3{P+;K{8Qu883R}R`1@Pa;EJDD`uH>8i{Mp0dZx^`y<43_2KTr3Cch>Qr z?ZYD#+_S0~U+ z{nm|64?LD>2Po9;`Yl7)Phh`HcK0Zsj)9l`+nhO1=;89$GBq;<2)+S1 zQ=VtB4`5Hs$8r~8LVqv#EfO>9Rv~u6Gd;sHvFxSTkEPMA#h&|`WL?EW3wRFrz5HQL zgh@))3p8IDdab-<9_jbNYloN0-^17s`1DQv4?X~Xqm+F0KO05&sFmwo)fKCoZ9l6n zZ>v{XTOj6-{EtxH()gns`w5>v6wX@kW8j&>*#WPnCUrRbv3FumOdshFgSUgfLq3gv z+gEq9hrE;aCjH?ZNuxiDJ!f6AzDfUsZ z{%gQ~0=wVeZQv&Z@NV!^0r(*Jq7PR%M!|D7xb+T`P73Hob!RB7^g-~9ct_z?!8^il zZ%xUbsZ~v72L=l761)@eOuyRbHLfjbG|O2g{fZyLf7o9r9b@0Jw&MD@y&8K?ts8gN z_eNB@ITpkXlD7ZWg1rX2{AAr<8q*injlB_jCVvjYTZ9+nPw7ly&)w+CmGLL*atUW_ z^?LF8;Z?wE;&-Hgdf9O+cE{K76fcDzqrAbpkl)G&y}CuyCA4%ZvG2yN>W%v>B819> zTODd|L$C5f3%pbC65HK!aOoFqnls zUE|8vy454rzF_%#8r~6j=Zj~;7T>og`>eB{8?(pHE9yP@!`A)%vL~+ZYV(L)E;R3{ zgjZh|oE~~l6ZU58o20`Kw<#8THXRDF@5TOQ%#pHq_$yfQwBfhy)eyXr`sDsVsnah4 zACdc);eIYFSz1j z^vdB)!h6yR!}Hc)Rj6Y7@=f^Rjcjr0?(MU)+fq&Zbzwi8!Y=;|Vn2y}#`wpr32U7_ z^dVkl6GFTRc!##mMISZ1#P(H3rR)}5@%rG^H_Vy+myufH%lL^hay_(!`YZnvv_J4x zO3yuVKZW~taMPb@GVWt>>D}=u-YmRHcpCpkS`Ck(W|Q3`5aO`6tDm}fPuVuFz0^5E zj-GJusOmB29W#EdhBpB3apF7jM(OphWF2XLcpp_w>z-Bjg-nE)t5fym-)tE}xavG4< z#?zz%?r3D(j(Iw7hlxF?dy% zk`KjmkNh_cUIDH!s@_uadK-{spT*vYy-s#7U+U659@+CLP(9e6Cjj@FCfB$4^r${7 z$3B3)$RPHA!fU|~fIm3_mtG6_LGTjcrXG#Ycgx=G>>Fiw`!McwJww@tuphzw2H8FT zYLg6)>=W2?E}M(KOn|BHlE0659D51&0aIRWIkN5G%_d|aZK84!B)$Ak5&p|#@k72e zcWjvcv+U*A4`B~VU&TWM_9NI6`)|VAzz>5b&Lc^$8+;Pnv=7GrUg%x@hy4Whr@{3e zxf=sN3BE<3i#Oe*q_QLXQS3!cZXD?Bx~q+wus>UXNtae1^!r zc0%qeo&7a(@ASR%tFkv?Z^wP2|L$^cP(JU%egOORa*w|9=~mN!*^#%!C5hr~2;K>J ztHpDV+E3{p1D{mA&~54k)`hQJTeCmZyNW(B&AFGXCg0A$Z@+T+e8LiVYXDyO8QQM^ zyd1nS0IvnF2PgVtkK&;Pyav2ksA*3xwRIt7PWEo>J=nec)avv15cY%E6Z4bYje#Ek zzc!}p>e$`(9?qlKk74)E^UQ*u08h+Mis#5E`3d|2>A6SmDF#0U9`FBcTU&Ci?f=PL zHTImH$?k+Vf#(L`9pDAvrvCv?kNADyMc`){#QsnCkmD!fCj3s{)PE*_Zb{0&N3k#B z?^O0V>Q{+R`@2*4u`Q$7RmV(=btfBaN}?*&&| zPW;5~*KGhl2wviF_4X9cZQvsTcsKZ=0DKU9JOCdBpA5jKzz>7J#J;!j7Wdxc;HLuk zbKr~M@|*YQcm5ao-_^_UQt;dWyc)a!JmiV+S2|58_#KYF-pB6)uSe%nA3g*=0KV6U zk4b;ma(o)R2i$+}?hJVQHOtd+3A_{B<>yB4e(Az7%3lD#9Q+@CKxz~$eF@BMw?Rp5C(dFUpE)sAsyHM57kiU zcue@Qk6`}~0qzmr0p8l0+@BTR2i_cj4}muZ;A7zR0r)g{jSp9R&45>dKSh2q< zk;cCfCZc4|m)$+`S21`+0A2}R7JxT^mjvK#;6>mJzhjT|yTK3naQSx-y#9LjKZY~ zQuF5EjeERfsd>fYly{Feo0?Y(uk1z_j+`q}hNA;stH&!$%^QSwz~hyr=1ssm;_<3e z^Jd`XwmJVbrsm}{QCH*f+EVi>;qCT#yHoR8;2rXK{lPqw|KXj4w?!GldieO&$AVXJ3TFAT~**foC>`H+`ym=8|4|4cf?6XaKTX1>tmo8tFd=t z52{z?zQx(E;J3|pd_>T7&Sme$ekhImA!kqJeggZc6z)y^=j>Z~SLCx^IGJmHeQbHf z#K$naqMPhIW8~2U9uv6U*)G-BSNCeC<8ye%^fCAB6Wh z@qP|3)^?J13FlD;;hprorx-V*;3vR?;#>acaP|i2{~;k9v2&P&0h{!5Z?^kEBY(HN zboc3&ex33UKh=9@(39QV;~TnC;b-38&2@zyB5?I)T{0h?ijFyZfAG2R0`3o%-v{9x zgZF^zkKCtk-MPEvxZPpdJ&G5tU@IJZ>wK3(nS~Oi+YEYTx43>E^F`i$zf1BDxZW9b z-|qzWR_teKp0O1FX1MQn7Jki}=InjH4e(8wA-^T8MJk;Nzd?No?;3tbniF`OnNG}a zL+}p4%a{M$Blk_&IUHkbk=9xSkB~ zPdWGr?>!X>?tT7gf|q-%^G|hZUN^i7c*Z~V3A_aVs9ueHi}Zu{RDRnso4nppn!#S1 z!QPg^-j~5XoWVYo!9J709+}Dz{tWio4EDA(cIAgYXHU$ZN|zz<#uVuycN5O;cXt%L zK7~8!&ZV)JVlVu*b#LZlOdYPZ>rHvOieRfg5lY{=5?(dD9^OMXGx;X?-d$F8+&Z%M zhIsl#x6RpmcbmwUDem2s{vmijLO#-Ac!Brsw!v$@ea_yy`;H`@b|{&4Q19)BSJ35n z9}eb~!z=s_{atvMukga<-Mic2?%$mAt}!*?nL&5)4%eUjGP)+tlKYc-e?Gbece--> z-2|R%kJJScuM%FB$NNPvuK`{QyjFN-f51t2%v~h*70f*Ytp4v=W%kVL9?9pbs{rKd zD%g7@N72jKZTlUOg63uC-~;aE{5o>W@8zT)-h|W=dW~;kpGuhYd!DBk@5>my_+5;( z+{qR`B@Zs|%hABh7f!1KJ z$$g%=-j>CFm8-(Tq<@cFmu7w7b~^2PZ#noW@b=U?mlz#3DoCdVenp==I=PGqYLOQzhB#KAyfQUqIckvE}j~%3a-~oy%E2M zF;hIL-J3;s^7C#Tj1xo*OPDgSdvYqVk7Ca`#5jO(M22~3 zN~f)lYNr`LKRg%xZUpz9n>6|C^7x4ulYIE^Px5!l`+~>Af$eN;A7>%D#dN%S3Ql|b>CFAgdf!prHv;}*z3s5KYk1!UyAsnSW%!N-zb`3#OA6ofmcMTdd<6V8 zO!!3Jkn}zizGe6HPOb>AUl|ymN%eI85yJPX)6q**zkC7R4EOX_!$0_H$Nx@deh2(R zuW|gx(({#$L+~fpxqNdfDV!BC^4XrG_oa-FZ1P##PbmNG$?t2x zAKl=M;Ahi+NvOBu=Uq)*WA4Ducu%>)HH}`u8&5A=U!4KZ4ZxScbHG>g$9tqx_*3eW zj?>Hbk(7g<0#~!;J>u7bp9CkFVvq0^@Dt!qiJ`V1+U5Aq@ZtSVzs!dZgUkP`eE0;o z{7-i(_UOGw!R3FyKWCl3-=C4A*8hHg7K1CiXUEj7|0^Be|K0|0h1ZX_fgc0U^XYVh z9|_iAE0xu$6Uhcy?z-z$$@9hJx3P|4}@CtB${u~1@1NX=0Gu9{YPSM}ADbWzSoxdm9_qlwzBOHwmwY-^u3@+o*BvBVOSz@ZT+`quT|= z`zoAd(Lw|OXLQ7?hF1gc?cyb!Z+u-$PyTO%Hv+HD@O0iGzOP*Qr4Rct?1}kZ`a|GH zz*$z%{$bYrniJOjdd<|1^rzspzbUo;4E6)q{rXGb1K>SIKk@uXJU*mfdW`xZjeafm zqRwRf2HdrP7l7X=efs5z=kw#|GfAeT>k#Twp3fXbuL8YP@i>jW)#nez;|zE+c#r&% zlupUv%>O0rZ5sV@>?Z>BYr&6!_ZfYi+qhEaHq7})Sst*QOrkG&InVmis) zFnBxoE2K~M>ovDN#?NO?Vc&~Au{_D$aqu4S61k(?BwTxB)`^##-Lym46VGodUl#w$ zx_`RdyGM8>xZZcJ2l7{V1NeXsF9&Y}KLCD{`0?_@1YwAyVXi>AlB)C?gntbFC&V{* z3A*^)v(oJC7gf3w@LF#>ZO`4tbyZGvkjEBOrz`#}{7Lw?NH>|k%g;CAe+=I3r zQVE_LfH!~_1mJDpMLt~N>;^9Z-{t(%YYwh%%+qW$scWh)@rL0w!Zh`8;R-ar}eb_$S~zXF2v(?DCU!&&fx3UQ}2E_D<{% z$)4t1WEZ?ecva%LN9iN|k~>b@_3__OkCR5dA+kw|bCDDB5Bv{G!#l62yHmAY%Jq1Q zG0ng`2JbxajAm0({T%r})^75%vB%ec6s}Td&lLX+@OthHj(_QNU?0F~POhg+{DL=wkI4PX zYf_%)9EEoR-aF+Fv&VudBhC$Oizl~L8kUlEYhPW2`6 zn&6GV+YBvo4)K-ZJm+zEN8ly43wqBW_+juj%l$>hee4XT;!;(ncoXnS?mlhzwY%&cAx~Lv=)vu|q^WmL>_gl^DR7+1E3iHl$_O9kU=SOg24zB9`L+}sX zbK0Ccwec2wo^uLbZqMoH9B!0<`^#~!v#fg5zAa(TdHd<4eeiNu z_*>!={F(fgyWUXpJ#LlGE)DOIy9V$A++8Y=HBFt#_Rjsu-hsUlyQ%*?|GFcOviD=} z!TvJ2H}z2RdCpPn2eE%+1@`!yAg8~z8P0SK>hnVHBb1x+q<0*CMPG1#OZFw~t=NOo zS79su9sa?d&u{M$UJ1S%yubtbE4>ErPVkosH}U43inQ_Ffqejb;y7FG`oIr>t2}#q z!a50s%8u;A*bifWy6h%iu1adZrkwp%vitV?$^8uWlemAL?8aYSuhi;CW@wMGuan)m zzs06%IdxT}@~q;m1Kt3<#PO`!ed+H7 zKS8=iwtDr#E<4ugUA-?7y4xs9UOHp&tKNBf**V5(@R|U82E0B1UjlCozzcs*c)3dOXbzn%d9)s>0789_pN+``SwKl0XDIMG+_eOu< zbo4v?j@%JjzZ{qXl#>9x5(JWCGKPr91bP-fxxd^XOH?OUlZj@*RF);#0R{Y!U_=utT; zpQS!}_iAt0W$QoO@BXsum%4V)4PQ+=7x@eQPwbP@H)TBK z9yPVQZ;O?1TISd-wr(X``J@TG+;O-5;oj%vlm_fw*vqh+ew2xSRCG^I!uKEviuf$E$Ym)nvFJ`c}V}FP2O?F)JCX*>l(&&ei%-rt7(B=#@Ly~~$sb9KF(*FO}m zA70O+r=t)1cp3$H`A57lctu}19sQ_}mpE}Df9qNC*2c?nR_rcV_2AbxUYPTAz5{M< z!^!EwCaoTH{vl#K-Q)eO_}-JdO|}WO=61IPJbeej3&4ZYSMKzkUfC1NpYZ7vdNXPC6i*TQN78#6 zzZ1)y?zJcfD#hN3y<2wTkPJ?(p|ZU>Pvchp?^4;8l_vO;@Lxmx=5Y6OZz!ah)J=Jz z!!ceprfzu0zI{3x5|8-Y#~ij-zY(9kE7?q_FO(_nsL5ea!P)5`Q=d%We&joDK256` zs!m+}s`NUJz398AqX+n%ppTmSo^AuT!ZT-Hwjn{kHjgW@HzfdaY{S(>Jhr1Z$G;=z zT)ZM@r>-2+Iu_r*-H(w+?;YZ2&0*H_)E~@)XY%@%*x9UjA2+rykuCE9bpw@|(sejO zf9D5oo{2SEy+`;2cssbsCjxnd9|i9O_qUs~j&J7oNk@GwuoQj-qWJHU05}<#&;0B# zDa#Q+Q}>G3rSyXL7P;BQY_O^0b!XhVJfhJ=z24u1Ud0a?5Axf4gm-|K1>n=@mVj$r zA#y&t_(A+3$2ao}VA2vk=JZXMD*>Ml;46R6IKI{ite=&!h)5bH=|!lII(h#Z+1(?& z7`z?aKfh7w_(A1U@zmt(4@fI<{=?i(w<%AvUE+1aJBj|A#B=RG%N)dG^1Apiyow*4 zjvlhSn%?l9P{>`*D1T02pTtfuV~_CT;N#%_dSVXz5V${F`Kv8IC|uGjclLNZ+I~eX zI3HYg>-(|swDF6=*@nFn`&J>OSFe^GbGPTMGdai5OnL5ycL3glmRA#cZ=9fXoFjlm zKRzA3f!~qnb4@!Ipl1(j^7exRyf!>@&3{}c8L ziT`*ae|w(37LmxWM86Au*-uYLS5UqqkHM#0_lN3ZV-BH_DH7zyb9bOjyA^+3Wo{5vp*@OX8kqf^r#+VkN9KY6}-ofPlJ~Q z;4|O~pW;mAPwl$mcgg9e@>enWQQ`6Xs}fxAPYkCCe+qt^=o^Bb8t2p9J5=@5uh^;`2ldpzO?v zt^bSvY5f%VIS9!6(7> zF592GEH|TvZ zY*X+K!`sJi+jn79<>p!I)*dnwEhRv<^J21{nFn0LUCD{l(QgO3n+%2a(&_QJQ#g;} zZX9=)^E>ix&;P_T*9s@rA1y0ZL!dwxeClvQO9Wax>a5wN>-4}srr5c@y9cMQDhakrn+^?%L1*1r4dkHhQ9z|(so5y}s| z{Dk)iF9x3kzc>L`93DmY82Hcm9m&7m%!Cqct>S0jI9D@S*UO@}BmS zwx1p;L$ASp-@JrxNoZb67_g=w!O})Lt?A5C!Jyn@WXO@>t!h1mF{2NbSk#qUl8huEk zH)8WY6y~qZEa(bv{?qsiyzI}Xqj!qn9^sYzHvnGaF#Qp(JUb5lS^@6S``h@h;4i16 zZxF_kO>amu?HlsAM%&a|eZZ~QM`SnY9IvzV>6jwwPqQ?ES$bpqcLM%f`D^C~HP~iL z63bxn$5H;P`78T)6Vl1V1Nh=8H&5l}OEg!dMN{RIeE98uJ01NdzpXz^e&bA5Zv-E^ zy3?-INv|4y?%y5X^Z`QLEM(h|O6)Dz$FUcw1A;xa4p^eClx}QUpVtxl&AkAME3IQ5 zoTENcN87XyCNIU?2UfK;5s{Ra2tM;6f`~jE5n&th!f&JgR`H5q-U6}0tNH6=3#X&E zYT{>Gf5h#l-xp^8_kIGhBQNwIf6RP&DHP3Lm;IA@7~I*sX7+_9t@|ktG{I~97yD<# zGwEsi33#J7!ps>ZzJvum+Y)WcV-s<_1!V%@YYG3f_0}+YB~j)xG@x_!u-VtCg&o&o z>%E%4u39~B%Ar5rHrV;#n$QPrgv83=#ypCOZw42wTK+30{!gNJv%+E0&#gn2c(-*j zXxO3v6m2pcl1uYKd%bkUi5}&HHgpQknvb4PdM36brW`Pvv1r1fZV=rpgLkA5Rr$G1M?&KcH(J`|**a1Ww$1f3$qS3LhGty_k^ z?@LFsTF)FCPBedg=*jcZH@Wbt=p3?mFK-s)KCr4enJ)ZogU!eKxL)hPD%e6UI)97By z@`;%rRX#3a z(AK~G@Q#(wN6)c5a}LXFIo%iOU#W>du3!9$Yw@K!0srs|=A-2a{?S6wwmh?5WLA0< z{^4hkpI$g`&$|)+7C2r0A2*HbqMbf zU-Q>N{BFljoTn0h5WFBje-u170G|TS3BZqoFA^?AkM~G_4qV}Vng{Y%@sy88#BUT% zJh1_R1hO4y*~_sjK0G_CxNNGicIh@?Z^mBf-0OU`@HX%s@ZG}QBfJ~@B=|+b81r1~ z`U9H3&Z(G>{yX!FHh*u5-J`S7-lMb9WN?~d)%#}t`jzuhR%nCk3w=xdGrodbm#5W~ zWo210LsO3}+mAS~GW6xZUbo$GAiXy9YBmraS1;VBbxL>7V!S`? z*78n-!Xs1>Rm)fUW9{%5dIOv0?fD;Xe|Jce?e%%yj^%FNdmLU--F)Rny8mOW+P52bi72i5}C_i1{5iedS+OzOg`e72B&^*iRHA6L3ur4Lf}u54I4 z$-$xUJu6%}R(;k7??~gkIoA@a&oQKLKmO)tYsrl>HOXp+#G}m6m z+ZnSz!HtO~`I=XdC(+TPyc)s3C(z54KW#g|X4OvBr}yUeoV9g-WZ%l0?+b@otQSI8 zekVVaTMe}ucjK4MNAKfzigxNySassETxRP#&0ptUJ|F$F+uY*~A7rQYH?0k%q&|Bj2_l+=G&vucOi7tDoJ^?c;3=d62kLl4+% zdqVF%TkkRrlH3>PQy*M0AAL$X?YEx12+<-A?j zqds9BUsdnMycXL}@5Wxgb3SR_TkZzIYrt=l&@Q=CO|&ugoy;-p2Yv2TpG<=v0DrpN zxu=1@GmihLFjJm=`>q(9?O{&Ul!Hcf!vad5?e?2~VdWa?=jM5P&$!WJPH5Sf+>~e4 zJog64mE)Cebatcjdijg^vFqjA0 z#`s+A4xgGOSrpt}L688mfu$h|eRV->SFQXi8hRovfs6HuXq3$s5 z=|0CE#aAWxB>06wDYuG^^?933o>94K!hQsMrEBkL?P;6HDI5A%?u+aX-^ZbziVfzr z#0_eC5Ag!&_T%o@o93f;DWBD?JllvLMEJAZ=a9ek))R()ar!n8^RX^_Zv(@r2O87 z-oPF6cD{&sX6Um~dr+9Ey3wmzlwSStM&P}R-wMa3{UJImb^A2ixxs9aTfb)|fmzQc zxm~7FjitItuW8&b;-2V;Jt{{t;5m2BN5AI6v%z#2Y?63!)4I*Zje->VWnliS&ExcX zK*4TQjw|QC1{SoNcQcMwf1Ge`*c0L$PQRMmeSA=}nLdPREtR6;cf+s$-BR>hj!!GX z7#JzmF;8M_!*Lao>+?hT;;*$ z8{((V#LstDB*#xBlxg_wdl`SJztpE*Cu z8N*H9hZMr?gp*X@Um#lnFym@ksJB^y@^v}(MeLu=x9&~-PW@$T zm)CP+=O0YD*E^L@THx=-@AvZCwaXiHZ3cy(Qoo^h<(^1A)g>MNq+-8?DU~EZ&h`FL z`47FE#P;R(c>NasM_3hHtld2hzn<`JmH^?UcJS)Yw|KRubR$dzm5jOe9$vkvm-nsg zU$vFX0>V3~m+$xLWreGQa=re$+2pVDVHK|#AaAEDPZQ`pIV-&%en)yL%jxv;Y41+J z%$)z=8GGDI3GQreiMA#pHAri9$L{F?=9XJ3co@JO$d!|#OKumZRj2R3F{(B zddvIghgP(?4*L3(f{KSR^a_44AHCZ3XHBbL!k)03T$kVji7RaOTy!n&W^q^b%lYWt z&K>b!qd<}2Zf2tIz)Iq~VlmQ?7yg3nYN=PjaEJdYFJS!o80q8Ulla-NFRW3Ty6Mc2 z#=GfE5o_`*)=#&IjE3?>H#$|v=_k1Q%#@4z`@+n)nOc?ksc?^}Q8$|J+Kj?K{5#i= za^v;JO=e(EHnJH={eYwJPRzJ@X#TB7_$+wt@8^^5MNoQI6R^t1vng+9!75%8LL*)M z(~n*_E4|4-NbegN^t2EAConVDXKyxo2h->&9_A1#Q2Zz#XyX=t6c70&^mkG4`k8i| zw86|6d!yIHk*g-RE>AHR(}rFPdWX>~NXqvK|zkN?YEe?Vv1jdx0+F5#}~&&+!$AMf0=>b_7v z!!+iK_J{XH^l@{F4pm1^>4o$!{xTmuUp!mythe=7iHWyv=A&2qJ)89T`QMb@&-iQl z{G)x?M_^`7?|nw^-VA!hRHPrtO0U-FeLRC+2YR<;rT33h3h!C`KUH~A{PsPI@eS#) zPVq~{9nR4R!B!QjCx)??;HP_C{4;K3s7%#Ql^edm)!wcJG38%+yi>z|?=j^cd=cCX z44gsvG;)#UhlBGeb2OSYv6CHK8yx;5uMEhKoANj#5`de4wD6t|-g97XKC1I~YFEq- zUFt?RLBr57{+@6dFL4bDJWire66$mY)Zfb3`F@q(Y4jK8i6^JO#hd3aO^q$Vnm*aH zY6B}Gy{idJ=u69s92NcMJjHu4g{11tyxm9B;O0?neS4S$s@E}2?>wE}-vIyE|1jTB z!atUWuWs$B&}B(s{7P^{D#DarL+F(~eIe-{RJ~^myafDzE1Z#MGvD=$h3Jg(mlw{> zrd>Hg2sy{<4yDMIEJ`f&E4*Hg1RoI~Kd!T-0yIg8%- z`i1Dk@^Jo|aMtDB#s77=2#>;9%!F@!?LxF8WjLRe9L`76hqD8{_SYtdQ|a6X-U{BP z7rV!#KlnJf%8vI`@?#7<=XDFwJpze;`jfS8T*XY3oremsN3(>E5oYr9I@5oc#a%P* zbRV1Q@heN%^zptU_bf!u)w+^>ADs?W zUM0)A9h$3=|5@!0@IO1=hE@hzy!R=c=6GMvzJ=(w6<=O|f%Ns(n@Qihm8^23d|3KC z%KN~AU8m#UdZbegz8id6!1DASNlb6)biglqFX=75dxZCaSAf6L1Nkd_2)r5mH3_)# z%@}wO`1LNm$^SO0OdqL=L3_L%(LSl97K1Ibs$bRaE}=8>{sp@~w{Go;6`?(DHpJSC zpO1eB7os21`;GkrN%m^&2e9vt*^}M3V9)(Pu)P5{W6V##?cW#ddaY*Sp0pvwyxb00 z#cTGUm8u^hy$F%E8D{2w(<-C)r3`va=oMw9_q7koe|t0N4WsusOr`_uyj;rgb{f6$ z40?0uJ)D)^MMm#^Y4nsI^l*=byAL6JT!{nD$%Ua6IMW{veGLiI{}gW)UfBZ+ z(cg(@_KGu8x`8DGrmkFf+r)pv`8hdThq8(PVpzp%);^d%zJ|~{FDtztp;Wx)N6(wL;86Cn?ICIzNv>*yyg}7B3-#Xir#vdnZxrB6P~>p^h%lMK07PD<U3Y4j97 zl`Cl`u4PBmVdq=KVW9oK5_cz$#wzM|;ncX&$}S(e{^AmmDUJKgM6x;Tx&L z?{_^W**adr`V;T?n(W*!zbA6(+Jm93y({;HLhp1{uy}*;lmi|R?^-CPE;nUPM`p}J z)1NZ|?*P2j7Bc@=xbvSupYkKwm*kMLRWdhkm;kiWtsFK7PPhpW67gC78AxQIj3Cu8TT{$a~|HTDtgcMGs~ zj_um@SGjM&o_o-Z-$+mV%COtEnX-3dFT=hr?q2(2%@Hm6YY2NY_FCE9BYX^eAON2R zKMej&kIY}aX9m3IqYKeD3dbL+m#%VgCVQlc@i+E5+36B)*1_3ZY)BRFrPy;GT8NHY zd&L&nwOO@JGoE&dT{;c$4!}Dgo(-=#SK7dMQ~9d{d(p?JYuvasHvgHpFOF@qX2MmA z3!FX+804EJeU+C9^cIH~qMxFDq2J4X?OkhYu8fTP_{=kG z^yWvsS%&>=Vv29fW2Pj0ZSag%t-1HS`W3wYlMD7dJmIY;UOAa%rbOkx7VPaK3-&%D z>{q$AkK4g?_Mixe@@$7b;`hTp2LEw>EB=^wVt8fCKS#D4-(Fy5!Vmwzrxv0gRe0d5 zEa=v)P43Gk?02$&lzJ-Rz4odfpSY|%Dfmq+T&{QVg2PJV77 zdISAbyAR8K+w;_gEDh`rhkr{d+v+B#8;bS;myIzG8{zkpsbB3}+_Jq6jp3E-_|$x4 zY=X5ukNMUlgk|{qfD&)QW2Y_a7fCEHTiJaQXOTaNt7{d`#P1GtUT(a5p1kX33Y7l` z;nzR95WP-I|4S3iC z`76BN@m&*RtYo#EVekt0KNMoV)5PIG?Tu}$?~l+i5MR7$c*o$4TV6=}-W%)G&kV&T z?!=pe*GbMiVR_-+Ri55<^gd_w6u-s)Mt&flkBLV-)VX~t`&M43Ln>@%y_Z>18SVQU z;E%(PDBiAS%b%to)NKv_GL~{C{O}HbD|sGN)-k;IOSqF z?>&)KIKE)Q@wYVZm0tPt*k6>DUYpVTT?V~w^zMY2IbO~;dQ)li6fgbCBydjgC-PDo zFWRg3fG=L=c<;I2&L+S7!MwMa|EJ3@waiy%7ti+_y{BZ*8$?g>oHsSVCAioc`l*uRA0Tlt-Hsa?0N*rFA(P@~s>6>kaN2)tj5XU-c_x%BhV#1o+{ z`$M76imRk3UC;a?@vLUVdz6p5F3!o>^qp+t|9n`*Ypz8jUHng>_hguv zgI-~IPR=jkWY&AD(R+RxJ;hh)`Sj zN3S<4y=tR(D1%-(^T69-W{#J?ns^yXqo;VOMreR|siIwtTpy1Y`-LC~JjzEMwe}~Tl0xLet@j%I+v&pxAn^itrcPxFr?MClUFf*^~3>&>28T6*n zdn7Bpoks7RU#9k-`j-=IgsC82b}3$1rzF1Wi4n6ulPJqMj=dB68QB$|3F8j$`w4VK zxyduu!&$FG{;|#`&h(yA+Ox9nE!cb5?08t8!dkC`o3htpufl#czfFIl*W8z~PKTD2 zKiaVG#!j^pdrJAGb26Rao$9w;y7tftGXM=;hqm4P0xbPuc;nw+h;HV0EdALDelr!B z>{HlJVt<I6XaBn1gWcYUmC4&)qg{`$x6#+kfcB+33@z>44X!JdM-V@i|rk-h`CS$qc|V<68RQcAUl* zONMHU(io%G_paKrlD^s;-DyT?W+1Iax6OHP^C8{@z2b2S-IG6J{=@n=Z0>2T-xHx- z=*0(S2&Qn&!pr&bLi9`ie)SI14S$_FbKbuCYN$FVGw{=e=n%inxZ0cxVynA$mzoV3 z>~+^>-)3L$pEv4NEx130`^0otdUb)H1gE<4(#!k2fpIs8eGz-&elEEib$V=fG4rsx zdySpFrs-4ppB3roLi9z1FY+c^UwLUwY=(CcK$o}Kiy!-K4&}O=UQF4Myy8piizj}z z5dAOJU+&(H$rW4e4I1GK6$}H0|Ubt?8vtGx2l}=h;oW%Y;+0FMsOSYJ! zKFaZ~W2hhu!fXH4LbO^u=55T81^3A@>FAuyUhKEZZp))?oT-z5|8IJ^_^y2Z2k zl50-bcS#xd2Ik^LX#c7nTX5fTbo~m`$(P4$l!#XjujcrIy$8nXT@S(TFLD`D@zwG5)-cV7m*CG_si%71@ZrSN_w zgI)vk#En_`@1sUFf6Yd3I)k3(vHwWSWRAbQtioH(y!4mQ%bdRdu~PAu zH=jPg^rNSoklBBC7`=iFddJb*k(K|-jougjncjcpuj0IER{r}RTyL*IBJH5pF4}{M+{>MBre}ylBxBu7jdFa9>=6k^X z{qb_}X7F{=vHd!Yamk2_48$Jc zL*R?xbl+l+@G1wR;|(*k|~T-{smk$xBWK!AQf_}&2hVelUC#Q8VrOn~nOk9gwz6@C=F6MVZ5 zp9PoyYkhd+O8WocB|f|uylQkQ+A5s=EL^%_#wV5FN8tAfclVD~+(JsP)A^KmerS>V z-?5$}9{EM<&J7SW7?K~lvG2yd+4bk^Q?5sb{$NLrvE@i_xzP02r_kw{A>EyhVX<@> zeBX)|mfw?dVYw84749T|{eB^OyY#$ul4V~3|03RW3Q(m^)woM=CKWlyk=MHz#v;!znp zj^439Ekyt3;>BD7>t+z_1cFX&hJF}}TjqwCL@;Aj<)h+e@-OpbY`cg(8n;z~4}gDD zx}|7Im6JBy-XQLy28~lpDGQSkS*SF&w-;50P-a+^|e`DrNeD??+1<$1~`DG8} zuj-vC@I&A?3CBNLhxbOfdjE{{=reXZd%`+=d|BO1XqfcBiuxQrU)qU}OSdsD`NSLQr_d;Fl~g zuGf2g@qfe@pCwz{US&;zxUC8r(O!bd1YeZxX-oYW(v*@%3guU)Sz#)uBvW zDZXmqANkxQ5xM_zKFTJ4~ z65GKE?7Oj_8*^pn*^YwufZwU`UbSbHJ}+dhv}bRKJ=nR`_%dYtNHu zqQvBL@w(x)(}Q_Lyld80Z1mPk^_2~mBH|6h%b{oYe({?5K9s$@+f0CZ@!NnYvXOGo zkxjqpURcFzM$k+*FVKYET`)8E>#B_2uQKQjqqik1|NZG^`7eh)U^@TJp?7Xp{(H#i zO=s|5?I!B;FD^xu_tM{+^0kLyt+|!|r}N(+dWBi(NvU|vD>LZLpjS&sp6>ZC<@u3! z+@$z><5SYdUu9iR&Xdr~oW9jY@39PeedzrfW@f$reuMlsoI&p>dY{co@6$%_U+1I` zZ)rVcE-St3jox$yy)N{&W~EnT^bTdvn?mntS?T?#UGewU40?quggn8UGpENMqt}!{ zuMNHNtn^-O^q!wVZw$S6qL(>8pL)H*JDVZDM7HGQT$YvI$BbTG2LCmo_YCx6^+7>x zit}kZjo!b`OP~LS(R)HMl@l`Nmw&b?yx-2CSGtXPq^$fmX7nD+px1@o1zGv8-RRwvL2nAZ zKPo3=3~#Z~dqoDlLI%K(pqIIR`O%GvzdvQrYeVnetn}V)^!`r|MDK#E^zJfx(G208LGRDX`5DW{i;Ui>iuB>Fyp;4n zFLV0-p;h7iZ3ewQ^!8?@_aUSAg$#N}(c76-crP`24`t9RWxn^Ktn^kIz5Wb(UFiLl zl9@R_k6)+wd+=rH(_;#~uVkfnv(f9ypr?84cc7O!eV=9YcD^#b|1^)?l$G8uuT^*} zGw5j^`=YG$28`bKGw4N{a&rDoNzWYKI-~c_40=uIeKRY)e_f;SUiYf>@i&a#KvsHR zGI|$h(3?Z=x~%j%jNbDz=xHANg<0ucWb|H{L2nSfe^L`=PLE%;DE=;cb^7?5L2o)M zz4scuOETzb9{a(p^y-b?qZ#!2(7P!sz3485_k$Vqj-vO9tn?l+dY7(GAAhCH2j^y` z_eP^vmO-xzy2E8WqKAx4{4MuN8 z2EAeQy3os<9_JdpRT=c=(0ff*dWV}8e?QomKK?Y1eRfuQcNx954E`HL?`O0$nbYIN zM(^zz^k&c-&PwlzoeJ-z8T2Z5<>cIsUgq=|GI~uJ^!m{Ix2*Jb7`>-w&^wCWSy_d5 zmC^fK2EEc2=KHV7COy7(rQ+{!2E8uyKADwXm(eS!PoMv$(7Q7$y^D=r)0Xslh1am% z8@(kt`>&8OOm`RiAJ|K1zW1gv4Uh0a@FT1*K5P*C zKjEX`yZ^#{cs_gzyyU^9Xr~W94t@;&B?-93PIKTntVe!G>t}o?*ls7+Z9Dd!*}^+m zKVtpy=WK@i%sL>$yx3#bf53BC@B5iqf9hx7cGFtEL(H+h&&Ae>6s~D_8c}`cP4QsY-~IQ+#SeD3hW;EF5$p59KM0PZ&3RnM8nANCmj}7K zD8S$)lgUpr`0vQ-#puW7P4`stcL}^bcQN`=VfM}?cBtoGsdX?UKCGay z@hIIZ-%R}A?!qVYkLBs^yDyZp1K-7u!OQe!|9BsIlTTfY{!#JWxM$@qz6W79kF#?8 zW<@noK4+u69LgB{o@XpZOO$`N^+x(R3R=?04rn(2vpyYe6V;u3SH75m-}%hN=xVoK z%s!0pH?6FBe`Gi7n-@|q8ofQ{`;Qfz0MlVGtqpV1Rm=KjkyG(j3BU28#ppWAH|wSq zmuvCzdcz8h@rU!jC;8(Yz*j!*z+L;Z7o#`w+pKewue8w0cdq!qUCC5A7=(8S-ecmq zN8ug?-~F7$=nGZeIB&r^?4DKoBCNxj%^BfgdD5K^u7+}4{O2!5&sDs-^BIkeb{_{< zOY4j~biR6~yc~Y1{^@zwq;v6GSZ-xbW>MwN=4*52nT7nuI^EuHj@13icc=kHHo5q} zD64enKri=2i_sTL&z{2x{gapKkch(9kG+gJ2V-~p_3eca+hOrl%MbC#E%+)QPQb6a zWHGu|;R`5twiBVD3EFL%eqOm?N9KyRvr^Q*3S3HfO zH^A8aSBgh@!kky)N@nUjl>v7^+wAC2xMtwjR4>}^DiJO|Dik|O!(|6LNu!N0;dSnP zv0X;QwftXt7v=5Mi}svCES}k4%6%LB6ZE}zD*f#~ zj%)EZ)yiYB21@bV53gu5`NZYF*gh^Vo;#DTn^hd8if7d$$I;u{831L-B4%ML6Ofi?a>O)V+G|AiN%CT%MJXJ}uy* z;0Ne`hF9_r$|0A4C*FISe1G=MWR42m1)Ok+Nqydi<)Gd8RgGl+J#=@N|N3{m7k%zk z^}g4Vbd2pIR7+GfYct^VB-&WduZqz=HE_2x)Zo>zAZUl3O@>7a=S~P1pch} z;2RvKKMHrGhw=daTH}x56@1EaAKzVFxsmQifurSxtAKe>A` z`W)eP=Dy`^O7BopJi+DPK6t0z;_7Gqt*4m(hI;VtT@Js?+Z-jU&7TuJ^mn@#qdzf- z{htXxc+cI7(dq>MsJzUAkGyTse&33u<9bJB(LLszVsGRI)1q;FOiJ>9@xAE74@<|K z>$`&Mfm}V!c~I>TlWr}%gZH?2RlYRm(qg)A@>)u$Mz;(8B>W!=FuGTAYoOD;A6*(` z=?=kb?^%q#c`g4?z3I+HZ}C3068_0qI>4qvF8(z9@%@WYbA`9ZuhaKl`I3e%t`1%9 z(M|bYf`9D(#iZ|Ob)!@GcKXZUx(`$D-O;O)n}pUKSG7C;bhLLsQjgw0$omgHuoye%=lc!?tpQiq>q35p6m)C0a~{zV_Zun+$pTuk~dulVKQRRMS{cm?>!d^#=Qhkg7~I9=eA z;0Kkz*l&3acZhFymu9M&Q;jU%5WK2~7VUQ*xCMP*_)1PFwCZeKuFYyUz0L$0TC=GgVIJ;8%QnF?!VHZ&R-7drdcxUM?ky4(?6%ufkpW z4%)|0Fhjy`?~zV5_(^bcKYxpcA{vu$Ks|hgIVjz%UYR=AJmPo2um9Ad{r(Po?I(}% z`7CE5U+*1)f8aB2-={=)q%#IyGP)T3k)*wH?%iL0t+_6tZnZ1v;?KaJ{PJS-PimMv ze(bwty3KxDtX@zU3-?feek0z#*Y<{PBP5%Z1Ep7q{SbDxYZyJ|2WSq-&1&{Ha*kbp zW#dsgw!uFF|J90bj>vD+k#kLBxN1c_>Gs1rIk_0!u5yAu&3DMSFWURUn!X%J;+PK_ zi9Z29_gjlmhCzlO--lkJi>8W@F#Qmj8~AS)elz@%F#oXSIi_t4etX_(H_q~aaI&Sg|?+ccU<LG6imF2Y{X zW-n{2VC3>PGdzk7S8!_L@hI*aasTTJ0fN$7qkke&<*X7)WH0~AJrliC@oxH&+|Ru| z){ne~v}cU*%7ol()3q9R^=F^4`?=}=a&Jd(SYHfXmv_CF0hCT{@CM*{-&d!+*`(5V z?HjR#GU=-PG6=sj?@aV6<(G#3Nbf4z$Bk+q_pH3$c*eee0^UgenP{Eix&7N_6Scf- z`*lk98F)w5oQZx&JjG}7ISsyxV88BSnv+JdK8=go@?Yh3ees_Vlf+x=NDW3Yl4}d>O{*0xYJ`mTY@2%sn?K42S$N z0e^P^I?B(Sfp1#dxKTrfgkojZsBsg4ZH^2%nMx4JrMM<#P0?l0l!W<*RL(Pl}sai5d2gC ze-ym=+~qn`;FI9>(s7UC=Q#Kx__#1S3il8`KIXEGKe2I6}oy47?uvDIUmQ<;U6U$#38f@H_GW?!{0&tdp9Ys;ibAcSo?l z$hDIUl9;bs?>;U_?orFi%pbGTduSBgzjo%~)aWoN7$tOTJ%n zuh;Ate~e)-y68;wgE9O5m|eO{`{`eno{2t3cJ~M`ypQ++Ki>m|@n1Q3Gq`?xkAByJ zw+7%X;O#!V5`GtWC%Eb7t3C4WF<~ucPnanR#VB8<-5lrUV(Cs0QV@~XTgiWUlQRTUi*^Jzp8uT5k8w_dsy92iU;W5Jnu|& zbvz%~{!;LLy{%zBvllcF;xkD!EmdX~FKIqzi}|wO@(va)oa*Hv-amfvnds+Lk8N}P zfLhxR2=}fqX(`?myqXuBvES$M`XQ?766WDdzJ|9K-Yjm^577BaP2rn6O3Uc})ih{U zKlF{{S!c0r`oEX_^1?Go=OIn{gID-)#diyM8F+Q9U$tv(Dm1wyY)VB zx@Ts7Xg&QX%_r>AG#xDz*M^yVFbuB~9?f}L-ZZ>pS?JBdtEtTV-s1ODzrbVo6!>1< zD5Y@J!Yg=bX8(1-+noh(5Z;L_coXpIUzRx>Gw=?<%M^e4gVYZ%Pv)^KlY6Z%Ju2b# z_;~(wQ$B2gcM@Kc;*b2H^WWP{skQAyH}|30@0c;3+JNCO|r@S)I@xC*w ziCwMRP^T~s(!7*z8jg*GwlGxH%8>=AN=?3>MehK5Gz+n(kYAAx5Z~bIW2o)t7lRkQ z;!O1U!g)V~mUoBl4Y#c=_$F2I_YB*lKfDrn&k{m7;q}4079QizDZ|ryI^dPTQ`~ru z@ILSgaMc0cBhCtn^kH zy@xaC4Wjp=S7y@>JE~Y&^B<%^x_;sedjEo%xnK7lqxU~)^c25KbPUFCUb5wzbPL_o z8{#TL#kLt27ymo;F&ZydeN6f@xYD@Qn=jtXNal$B%Ahj0O&jHhCfxPlgC+@KGV@Dp zoiLsB?ff5Dyl!~o@Gei}H8L?0xxznzIb*Y&fgwY>H5nifwo zyqg|z(rP$6Ea>zx#f2CD#qx7( zGUh(Yn!W^~doFeo^r{WZmh+TYDo0cD-$pm@z&aE2F&b^u=4nF1P6OHcAKqbjrk<8( zc;wH>gVg`v`3AB76J88{4E!uX)W7))0Us2=d3-bJ!Zs?+2Y45Ln()J`-;_MA zF2_k1cq8}^SMv|Aeqda`%j@ce7tMWy3ZLR@82+hkXQKV&U!|wLXC(GrZnc52^$-(d zo#EV6HGuTy(5u*S#(tN=)+gRQBivq^kUwge8OfX{f4)ZH?aZLpg5Gsm=?xpb|4gH&a?!;Awvqg7TUr1f;r-zC zKD-)y82m`vlKl<=`IXOMB~+0W+iVpX+T&Z6H&a|HuQRyML3am#7&kNdMs=;uR9$?Z zhTfn55&CEF$kwsP)PLY5;MWWF=I=u0x?bD=$KHwk_p&o}&Uk(gjd)0-7&BQ5tZ;O| ztJ;1h`d{J^4jLfpz4)a5eyTjvo&Bz_^9o({#whMj;{N?-^AG9`b5C}t-K#bD9>ecq z14QwU!*9OoO!Nb4r&{9Q$7pTP+J!71h`a|>B&$>CA^0aW1^6T@j zPhURs8R+~C<}>wzwDW(TGkT|5Q|l>Tlzx=?9m18^ZnS|{gSYQGWA_CqUz&BA*gee~ z-2i2M?<$th;=>Wf4$O$u#~^#_(#E_uBy;3>o9@HB=LGM0t%G=%&3rzqnabBW=lA_TzGpukGkfjl zey{g>ulr@My+3^>VSPddC?>vT_Hl{Mjqll!N=8zmulhXH-)Wfd{)^IYAoLBJfpzJK zu@C8YVnX_r4fXVMw*n7OuCWWVk^~g{mOx*hnLFbzQJ+aa3|&kX+qf2rCNm%kmh4U6 zZs=P+8|xkaqWJcokMaH7o$;|OFR%|&gEHe1<16{Qm-w3R%gu(q){Az=x9)#@SMDvo zo1t&r<2&PzNj*k+sWkmi@=W^q>nq6)U7ouDVoD7MBh5c;Ix%Wj~E_<-?QS-*rxU#?{>4bs;?Px!)Mk_iXZbL+qXbUI>0P^Bw)H z9iNvC&ZP;vs4Sb_tuUMHymKS;l{~-acxyNKCh*z_(;m{_)m?!3RQB%p-z>!WF!=*t z2l+pQOZ=rDz&?_RRr}o@nHKiL0A!tAxR7zvK*%pZ$`(nxE?akG=q3AO(H;%~8iV@- zUc~d65Em$qdOQ&;i=u9!P2)Npll216wAQ+sCp|{!mIkGrd+jklk*S}Avk~Fsy}C2L zUhI%^ZyO=!IR+jP>yqz3S7CBuzWs4U(@-ciLn7nhLp@@ZUt_O!+$1mMh7ZCAgpR8rNAw28ZO!D)1=y z81|q2WZZ?N_~535U+>^^Y|*m~^4YH^mpkFr7a>2u8^CQ3;jO?$zPp7u3-1Cx6TFwh zPX{jouj%mN;H$x#JA4x5`z3HmkJ;cUZ|pR`F6%)C)#U@uDgimFGqM zVAM=6S~Y<7XfznLK=K5Kggtz*iO;XXdZ(}Rg3mBbH%q!=_f*{9w3_W7uH_Iu54;4t zttRH5v=b{u-^cg5#H3nq-Co<@9$0UF{FD7@eANpEh9eK)r>3ioRNxvQ+?%lqT(0A5;>6@hWwcCfjRnT|v ze(C$W)_2c7^wpiIRMm5L??-+_&XMOM*PXrh=OaU)?_-gaD!A8q<@3;l-xb)4KG87- z4^BtFyEBex>wZD+vgDVIrGC%G{T;Zke_zPdZ?i6}XK?c5T4r(2V;0vvVYRtW+KA+z z-4yM*pV$n2tKZuhA1C8A+kf|!AK99Y*AA*+Mj4#RpV`v=R?`B^SCGaxi2}Rdpzl;) z_79zuHdJ>_5|18`Q-~{;8%#WMA&{`{It2G~*6z7JJO+F;xI6xs0iFvU6@5IUA74WG zz7bqF?OpO49aVhxCM3HhtjG?LXvm z*6)nJEr5rl$4cc~mq}7KWX?RATM7r%k><6|x zA)HoE;`#CCJL6jHFhwiSK9o(6{j^toMJpGk#@Ky(xb` z+f1A}+?I-SiBz0DpSQ>gQ!C_0r)5~b{Tk0j%=`xL|DKXw)J?yT7~BT0@}jVm^MR1- zjJ(kAxnO@i-g4|=-r~_qqnI`gvcp>~KNa%pAb%*wv3hh&>yvv`o9ugGtP{OC*q%@|7!}7jiUd8U2!ar$@+B$ z_7zPGq!DNRiadk$Mckh&6(X@+O`IQLK#4ZCcY1C_)-BdlTtd3*-$g$i`Z90W7032E z8RueO-c4osEa@lf*w22oKlN`4r0^Z+3A^^XFJL$HP1rAef7klfjjvpvl#{y8Vm<}? zcV2{x3e$I_PG4J2dO*JTAG?y?`;&Oi$XDub2yx%f#nZH1+n}Se{SQ(emmr+wkm(4w zJ;dHs;3eRf3N-ebB8dWtALw9N6Kl;n={Cq`AjDg$03g53qG3{dpr;R}PelVC$WQ)N z@FE1B9!U5-mZN{#v@7mA^+Eq`;yq;s=nhcQWywPrhyJiX`F}Q~@Ex1)D^C~Ew;TFS z+b@09wZ7ba=8KwuOT4$i&Q+WDCmrvG6n-xkajL9*iM}3lm72a^ z`ub>n7yh_+eKVl%97ygv9ZR7J{q|n;oeulv$$nj=&usKNk)M!`m9yF&;zM)1~}Yrqi^4`tf?U|Jt78M~r+g3wR!aus;{Qd(D^fjX8H!k}(qQO6c1Ged|P@{+)@_(lhmrW{fu2 zvgrOFas^0l8TRn^spRRmZjU9tkr&Z_;r``vAMs5b&kV57ZRH*g3AYpEV_SE{zZNhk zy*Q$OGeh3LlATm$Jxk;ULT(e}Mv0uAKjNipy=cw~DE-z%*v+GAa_2Y4QM4M+b#@G0PS{*JBsSbE0G5PIt5zn$I?lRthb zLdwTf$dBH!D}IP9P$srRXi_Rz&&v&hqr(at2n={d-v;O#;FreVuV8)9Hj#FHy?1(v zAMBE+gL^gu@}O47zYzJER%+(!SjU#Vyb{hBtS4XEv~)k#S-L{1X^qnwl~!8+MBf_d zJ7&N1{nkYEP2Y#Uri+lz`=#$Ot#4Z6z1t`2*q=c10NA?sb)X?y-?)9~lXdJz_Df$A zt?$Hr=&QaM^T++t_W?9Dtxi5#SI;NU-Q07-sKKes$ zUGLrT-jXgTAK0an(gn^T;04u7&3Cq>-AmplfzoXjD}218Aj=YZy~Vs>q{l_`;6GNQ zH2%7jV>7F}y{3HhS- zrSZ-Xw}+(vRPY_(df|&VgwF#nserEp-&6r#3tn6S-weJETy)w)+z~9qiF_l0__mjP zi$Zu)aM8a&I1k~Sz;h2THScecOS<+4UkyH4m?;nT`!UFH6uUEI=Z$_@L9gSMZ4d5| zyn-v(NxmckWVZzHevk@DnzA1qFKDLF^FyD@8uDG+xSjhpmk@WEJ1m zeEAR=w3qF(+6?)W?xp5;_|7Tc516HG_vJ$@S{ADHe#NG%QD1tL#%Hp8Vet-4bJ;$! zZrn!}oQ+bIw2=%3c7l$9@ZY(2Y5WP9@8AGytmV&o*R{2*KLbQ_;dlV6BWTE{+Kucxhr|DwL7@f*{j%%-DV z7sP{(p?H)2JGO{Yo+59eJPjy~AC!U%6c#gILJ=+7%EHl?88l(2RMo!>6=u(oQa?S; zKOOp1Hu5E`Okd*YOD3r&RouR!d`kVB2Ym%YOOw7oCVVCM=!;6t_f6404Ci=kEqFfo zh$<%h3fp&>fRIrJZ>l0seJYBLbTYdBzlHQ1UK-DXI(z8)58mhU()cLJC;R((`aN_1 zS>&dE5FqW;5Xj|UQ5r9Z-~#C@g(&HJI@*>3kGsvMMS=?|P7id4*l$xo19p6xl$$jO zXVZw%_{eIwNXqv<(?aC?C{(z5+!ks(gR0=ve}}hPmix#iRK?r2NjX9t%i&um<-B;QoZl#sR_efkaw1{ffTbkY7Hn z)Vwz<<28K9#s1K+NEW5eb;Emd)^-DH7&%`2^3s>AQvgi^>4`M-BW756QS3?Z)2}*(tRZENAKl$ zSl_=d>HZAd&#&aZr1vu1&zME8TzW#{EtAquf;mu=T~qFU*#8AcM>L8%fv0@E~Wv!=*{@`E&vA0iF$xW&euD zJ^CY`{@6WxUz3lM;J+CDFBJbgB)r++o#%2qMcyChW#9$iHwcsV+I}Agy~8wo%uV_S zyce*gF%ZEATI3?rWqN~^Bzz!^7kYGV*5_x4|3}bovfp*=;W%kpM2wN% z)X2j7y`Kx$Xy{xBtO55Z;eX58Ux;EQK@;qvPb$be>ysi z^kF^6NBY$8E~cW)Rpry~vZt;hlA3Q@&%wEa&TZ z%!9uCXG-JUCBJw`ey;>y_iSl=kZ_bg{7$oe@ei4Jwk{#SVoy`7|E51zx*z);zFZ;e zK?mc1W$WXjPu8(Nh0MO!+wau+n(afMtYbg4U;4UfeOs4TZlA6P3($T-=4aR%seYk; z-&dZu%|oK-&JkSXcCSTwg~s#XR`}l2shD5Li(A+oQ#LS^a@6W0j7K1+*ZVL|ItNuw z{C5GL0zOpyoBEI1i>b5>BRH~@c47$RiXeA{$n{N+;`66Tc1*(k65QAQ1>Ps^C7*Q1 zXS~p1mQBc{Us(dVl;=z1i<#e;?_=b7s&4V2N37HBQQePG-XS+k0Agf5)+`sMUUkC#j2BAx_&#KR@Ydja)PL|y%VF^_q+bu{ z8UsE69K&{-e)26~Oo!!b58 z+ht@6d-nKlZHSYx^?SnA0WRAFk(`2BvXFH9R zrDrOfmSU(R_7C|K<>$!VaXgmQ^UnG7nTp(&n=6kY3DXVUVU2{ABdC4<0Cz?`v)IMF=;4R z?5zG7#@mp)U4XN1N6OP-xW54RZZJo0!)%WsB!=g4mJzMABt)EoW&(q*y*dRiFN(;lRO z5dBR*M|)j$cl>8be=E=Z(%BMB&`!^fMZOC}`a`}?_1)$@ahaFu_tedLX}6nX;SxOs z>H{_sB<fH8oqUv#Pb^4B)YGyRV#cNUF-$xM?BvV?4hpWE2%Ep5hUZa*_zNh{kPWIIK zfOmNz-s_s>>H9a1MY!X8XVg8?# ztL3HC37ZGU*H8)ze3JBX#DiL1wRT5e?@f>tmxf-a`_M%}_-4AA5ee_9sUD1k^J}WR z!tl|W>VYtPrlz{NO1QkHx}!??Mom>(C43WiQ^WN&Rc>0iEnVH37EY|GCR7h6*Hlxh zhcjxboz=sy)78Wp;ns9jQX^cOuHH*G8GM`+tkquld7!@b!rue+hZpV))HJ_iX`nvx z!wC^p;)jzW>b3yS($xKd@b}~wA-2jt6p^&^HU#fS)Rz%lN_-CTnp_j$5zJJ%zcdIx z3%rklI!fIX32%#ph`Q3{PRlm)>RuFn?0Z*-w+F#DK0G`pzLL`CSnr5pFPIzg?v?vL z`Qg(M@5cbs1`q1~hy;rx;d_zbtw{KJM6DAeeu$u-_CyJfIDTvBknG?ezPHK?-t)ar z{BXM;e(#49gYXYgIyFeWCkW<9(BjY9C*CE0Qip}Ndfr_g)Rrlga$djF9scCN%M*Wh z2jTsJnr~E;gQ=qyaF%$qgn8ZC+_#XaCfr+SpfHhApD_O zFe5k(?%hGSu32z*MELDh!q=Mx`BlVyUrM;FS+F=oWFD^(KG`fNtRe0P(!(Xqf~Dyq z^J04Vc=Mo0yT42i7d8*JX!kwQa7OcBK~&`PYK0S;2McS7{DL~+Tg`*#>WF(qz3}Pg z!Q1sj=H15Om(7FX#^QdVNw}z4@LrQ;9xmoLL(IQxj(=r277yBat=fTH6}}g!abaGd zZkHr})ekrO-VVQ^Qf~#}+90tYNSSFG4YzyVVlVv83*Pm_UE-n8Ohi_Bp3M?zkWh!z z5m4y58;7mcq2$)B^ACAYHK^$|Ow5D7$Wp@EUK2-OB7<$UCV3$y2p{_4Mqllc6d?TF zS8w~_248Izi1Jm>i}ntl2)u#8OM&-tV&MqyhP|Z6-uzai?|&29 zBW_1}2Q>>zJg<9*a{8pS+QnWt*;l!epTGI3_;oO}E0Qao^2ZjA$U*GQq1%$q;Y{Bf z_gGmDpjcFI81_&t!fYiEJfvK=4Np^-8F!8L;Wa7;Zd46>^IUaqv>p*N=LPEWn$2 zA=9<)XL0_kIwaxIIU}3D&_(fnKiuXkSd)<1`%|3JIzUH}*0J8ZG{N^?i}PN+RbHGI zc;Plrz3zpN`wGJe)RbHHqc}h3Nz1U#3vZDT;H6*r>T_G=_Ewz#2i};fyFICFFZ$|J zFTBTBci7PWXT>=lH1isr94_{}D}(1fZwzwob}v}rd5?H4Y|kiTwZAT$~GwL)z-lsQU`B}pbq9G*1;BDljC9CiQ!ujj2?s45f2|VcrN1o z;%zDygO2@`L*I|Myd3eK^X8OCK#vI)dciLdZ-pnDEyX%%ar(htb(XYm-$)|eXS1#n zUbA=jx~EQ)Ms~Bvi;C`&#QnpsJ@t?v)Gw3He?qitI8A1%X!ll>YeiGtKg5R~77F^= zYV8`{C8BS6==4lL=xh6r#v+#KtjLFD5lqi)mMZQJe5aML&#<5(xwqq;H&2GAv%LgC3|$vAnckM zVXmhJg!ny-iPqzuQuU->Kd$TY=U%wbSMyjb>K%w1=wqi~80>`)`|1IELwsO7gU$^7 z@gu%^h&L(-P%C-ZlK~dm(K)_CTdYe=${}F~)rs!bVMisMtQZ}``8F?{>!~MbbfT!f zJ;jqn5!h@rmH7_ZcumFy4|&0hUWoo1BLjt!o;uN&S+UFw%g1x^KPB72i=7qR?*+Gu z8Vn#ddTOOO@ja3qp8C})M|rg4#drWemjp|^AYbmjiK(J)H?f-JrQYddf=+`H{lvZW zhQK#UsV~b!AsE2i_pL*Dt4Ch)eg!4D#kFQDv+~=o0 z<*UbSbjsuZU%&rNtA9t?IMQn+D;E8Nd%Pf5N+5>C=#DUr!c%rH6@7^AKBTfI)-9=z z&9d>rriWh+4bZfF;i+d#iB8?>sh`BThaZF`>uVQCJ)R*FsK>vt9&h$iF)JBw3w}~q z7;ATc^lY#8Cmx0@aBlNL%;tBB^L{^dnXi_Xdn~Ur2v7Xw1eobh6ug?7s2e|BTb;%)$;J*|OR3x4(QO37;J~1Jlc(TWdiA7ct=zu< z*6IJ&>3>I^{ww9`f8HLnl;zJs!6Yvj3kN#fcRlrpl>b#;>Q|n^XviHipw38pFgU=d zdmJ2Z=qcM85&XeRo#dNMX4n73)JA_e_g+-T}={S85!7jY!5t z_|PGIDa?6OF{09=^`tRc+)F(q#A4@k?-ZD8#y#!+j;!(m?`!z3sYZYh>7Ju?8dUO+8Bg0B7y$F`RS1W&c`C*HxUF4udhU!1C)q!N|<_Q zMRp&KvUGEB!_AQ(KN7whQS(K3RV4L`i278Vd)SS*ww3(a6y$~h9<4qes)xnQ74q++ zF!f7@k~lBJbCGIc5AVcOyEK4$@PCNOnna!OASKi6zu^zpDXqdIusOlF8;5PwamHPv zDb5$yzCqn0^+r54ZE>193GPAWI<;rc^PY@}={3Eh>TTRLTj1QG(8Fk4kC4T4-HcPQ z@`bH)_(PiGnPFDzSM_?`$DW7bJKR|IRCqMdEbbw%^&#dRT&F7*^Gz6S>vYG8hH)Pl zw!^ZIamT_#RVLl7u!Eup-Sxtj>fry!?nFBi-3Ma@#3p+pPjn~9)IC&Ict+K}%|oM) zC@o>S*E>?SeoXTkFZ9g5554wak!MGV4gUX<&w4FnMf;c#Pj?^m!iRjd!pA-jZSz51;|}4hK%FAb5N;g%neYALhj(y) z1WTz7{g5uOqByuSWqVy!ntmX<=Gsl*e4{p2^3~*exLH`Q2LKHHv!aE;gp?2Ksx_kZ z`&v!loLT#RX#H9i1|O`~13ils?{R8rB)L-^J`-~pgt7Q{CYhAtmyl} zc+t8}M90?_tp!?CYc0@Pk!L4*-A3U}fl2=3jh<+!W;YENAFQ5f`hXJk zE^W!%u~|OQeJxWSYN?jA1bJ8feciIO3UFdN^*kQ@OTRR_w5gg=KM%LQYj_3RpEp9! zx2w@$IR9vjRogq825`P4jnG={T+$2+$LibWn>}zuqSoNY{H=k?m9Ak*B!Kfzz~B_G zx5O*%eN+Gan3~%-y!Sx$Y2$l5T>RGb0k7x)Z@#QEyx9C|kc|hVY(7B!egMGDu?&FC z66f8qdqTKvkI2R{|PfLj)^PTp3U zhJIKa3@(;OB_DYQV6!Iny7_9RFQ7ntf9$6g(|1`p4zNCO2=1NG>|0r4hd1n*dfiu3 z{1EHv3;on0U%f1zO~#e`JH~5$z=6Af{ zS1&+1;Q?TcG)YWdJl{k#I3|4JI8-H}1y1776u0MCu3JD=$XaDOjxzavP6 zb7269x3QxzKp!d++k(_7obx8mAD~MbojbkAgF%3&K(__REBx>b=D*N2K1hZ0KGF4j z5dIv?IC%G7g-PlcqD&!faD0^{)gzgC8!SPT+#Jf5N;1-=N%+| z3{vC&8C{4Y48YpL|1!J&*QFQczp|(CelM~v2wwHV*8+@Jk%M!C0|7pi9NZp+^CN0k zAopfPQkSx1mDgwJko}L3c#%y(fM=y21!|}0SRAwk_*!&Kj$rewx-}yA7DrO?yFR*N zCh3sp2eY&tcmja1bP1mowEZ)7{43(}uhL=Svg&`FrvEBC{z~3rh;eiN(7 z`}8nJU52TK@Jm=47Fz!1>ww>cy6gJ|#ENc)bChePN zDRo`AwF(}TbXV#gKb%=rt@L~0VRjHsuBr+G+|QM9+FkMwVcK<;%eQ&nchXec?59lU z-W$%v;@;m{oT+z~tgME0x8brCF1)oG-WGx0SA%eBHT8V}=gLU%<)Ryer;7kBeDAM9uNv^Ja4~8)yJC-cw;k*~c_0j&*m9zvIR~e_eTaJU;M3s#Scdys4w>M=eN!8_ zH?}zyPUcIw-rS~Z+o%Nxgp=E-7Y+#EzPZIC-pqr&mqc$K^a3q8IAz@->ZOBEgZpdI z`v>&GeV6Fn(&kh+%ktY@n>Y_558^k*KEOU`b_=~_UcVysdw)wqwKIx&{YUizI7{jU zm@L#6=Tr6J{8&3TiZfpSNYFPMpgVc3;URF2Zv+)6v|VH|>Z4#*+LQIwZ?Z)2dEFSC zchox+&Uyiyo9bm?Jwx^&d{SSor!>H0i-`@J!3qD`lpj;${u7PVidyi0cl`j)0ts}T zcK#~Pdm0Fx*8t9!8XO7dEe&DHZ1b zb!U~ky)UYHFUb1#)@mK+rK+W=aI#g>{rCRJIRUr<#%JN(!$_F!H89VtX4H@AAmX#| z{@rn5ckj~6v8!CS^S^i@oHqPfc$e?G&+&HZ%GB`nRPSZ6?cLPy!&LP}f|)8l3*(nQA6?Ba^2*l)Y_?B$iwvF#!CCfN*sONmftanlgt@sN{uqRKiSYZt!^1@Eo6=6aMW>(EjlB`Q zhCbrr2WvD7`~b@|&-mDn7#9296S7jWUjE^2L|p42%KhMd<<32C_Po5ZcLDpqcw{A#bUFVPv-}!nD_*M}7EHRjc7eON`KN3C{ zQ3cY9;2{QpOybJtaN-Xy-{8?nqql?Lm;Lp(XADwW)$3~K5Gjr89f>Cx+WK@^QNOq` z7G>|Svxqw3F?uhqJljM3QV$4!^33*5xoQ{w(^I!eqDs6_4kGURbB(;}EwI7vn6O*W z59K5ACZbAd(*wmW#TY4JqEQ`)}F)78YtUX&pjH?&15^zV}9_nhbLC=_(x;c zLD_bZ;dNsYHHmq2o;OgbU;XgqK#i9ilK5X7JR_TtF&M&C8a7**;9SB z+FtJR0&M8{ftS_i;w`>!@g|i-R-_ksA(|i5Qgew-9(Y2xi8n|__pfAsG0*GJ5D3WSq}B|y9lR;bvuIoe^*nY?bz zbDW1?J=1s?XwF4bjyL|!=x)wcd+KvZJ#)@(#`DlVn%jR?U%|S57VY(1{nh^ZK0ZaD z5BGZgE9Sm@3PFxyURQ-%{_4=by}!wk-^%MM;u%NcH5zpF6Ytyb6R+j|PTog0=_B!4 z?#Fo_wMrj}*K$9V_Mr#WhkMINWPP|9$_@V)!k<^Rv4f17@Z z*Yeq%!MrbJQ4Y5}Ud{U=D@S7bi_MqvKAxcJBk@|wY&Of6Eg$anSVzBmeY11ly}pC@ zZTWStXY;;|f8w>2!H0NX+;X_ri=6xJ^+@AQwSC#ta~n_hnqp*c&} z(|<7iy+Urwt$Y0j@7sEJHT|t6x9vs4*Nolh)b!!{yM(;h(U(ns9eDpI-naGeO7c?2 z-%Q6}$|@7S^*4n6>N~uM{yOr0E8e&1M!d)A2+AGBv$Q$#cMPwev%oJ zkssW^=-uY<8+rXT&sNU_UZ3m8Pv-R$o~8bYP4Bio5&!Y*%#okU>*)@^lh=7X+i>sZ z^-M>87Ox+4_(Qy2%(K-qkJpL$B6dDXzKCaG;^#457w{}?t?+IvZ_gyii=PJ?ne@hWi$|=n#9>@cKPR{sUeYJMtg# zdL7R;A2;y&OP+1|wENxEyF@(_c9l}YzepHx4IaKY}QFw(JN9X_0 zL(3QPTvVs0zAomuFfi9qo{K4${gd&R|GhceK=X1qK4&}?ukC$%j$Ucxa<@~DJ=Zni zSvjjOoBB#d7=QMDW#=5~FQVQOo(p-l{;d8x{-k~zuUw`}KF|9~zwC&KUlGqa^yk{6 zo;3NMe^tft&0@Odhelr^&v`t%`Z`kI{-$5C&9~Y{e~jmS#V@C(kuTvnrP?a8J+ zHXZGKd(L3Ib6F1adCuY4%Gvz8`%lKtrfVVfWw6}2@l)g_lq+Dldf3`;4ROu|ET?(& zUues@Adf4mO zQ>Ogd{K@3C)oVkw@~86tfN{y?UD`(Hr~o+`H{Ua4u;%+_Kw)1qj?MhVobyX~-f7OcKbf<#XZp|H zW_U5r`PW6XK$QWMXA_u2czgwu)V!gZ#+Pr(QGoh12>T$bgF z4efJdkIRd%OKu;m_`c4W9KTNb55+Gw%Ghb^r5lfECGpF=;jhK-rvFg<)M#U;jJf4- z;}@$We)(hmTKtL`t_k(O|Nqq~kiFHk3;$}p|J7$w;J@kn?=1;7eQo<|+bP>_#2WO` z2IPe1T*Px8&(e;`+Jnl}V)$nV3Q-N>_%Cgq$jP0zI8LzVKja3EoH^!smdFNBXxIA- zUNRhbzYg1fxWbk3wr$KfVlvm`L=NWMcsH+IJ@(qlTmRPI{C)Vd@=rVAy|fR1R^Ixz z;k~~Pe^&l$C%j+x;m^uj|2DjU>1ETwJ=fuV*I&zh__OlXzYXt*efaBMNqDF4!=DY$ z`nTZ?-G{$xD+zDnKK$A6tbZHc{rm8Dqpn;DsmyV^;<;#*sUJnJnsYYK>UDD+O)>pb zG0)Lz<~on(oR5uM>{D~j;5pl#KQlbRf(o6zyLbOvtaa~`&S(?s)V@>uqhlR2I&{o9 zqQeof)~5~~66-T)q~Yy49Nh*_AFjUc@{xlE<2-VNIS;e0E3OJUU1Qfp+iRuz50TSuCQd} zun|KC4N1Jw{v!BO?MGZWWYEY#R_y9)2Gg%4gP<4cshDaK#M%!X2CaiGAFA44eBp%` z3>|&p(43JMAPU1lMrz&dM-IOFYSsS2kynnuX^1&pdf^DT5p??zLx;l(c)t>{z`yo6 z!{IPK#iJ9aoROmC!YePoeCQSSSzd)1u%ECA{#!Znu7CMG1rfFT1!mL#Z2HG{yOR#re>dDs^j}E-h4jDtZ`G6hn_j3K zNiUY@Kevmi54l~8zb4rDlX$rP&vE?cpJDvxpJDtjNc0T1>tCL8CWhbVOyj@LnZ|#* z3;ye`I30V5-;E$k3W&H{+AzTp1UkR&V)R`k+;3M#dkUWqg{>v zXjkLkm3OZna{M=>|AzbN|2fBhjQ(TvzXl)ZNj%)}S2_MSx6IPwn_HTkYTq@{H-1AY z`BLGhr*!%Syb-%FM@z&mH2!0byc_=KxG&85&!qoM`p?{l|F3ahVi-`)^>1QRuEY)h z2giRN!_Q;*ZvAupZ*lxDp#KH*@5;N^zd8O3>A#Tv3$PzH@rWf}z>W8-lj5IqwMqY+ ztC{}E*rvSa7?MIS%Rb~5!WS*jIyPwRf zDchbW^HgQq>13X!Z2Ozct1H{CCi5D~wwK8~UDcdD!ff2dPI9 zwMC}KNr#ksPwkmLZ8hIBeL8EtXMV}3-BWv}+W>H}C+cUyReoFnr>Dx}YwL}C_e*#m zrh~1Iw}FeEJUF8C>klqn$Rs{{$C3ucT$drIh)vR67WGXpM?F-m>F@uHYhX_xIM* zzLYwb@|!4c(`~Be4b+aiaWy|d3Q(b$tBN(oE#m<3uhWVR{kXN zocogb<>bYb&ogS&o#c6xkCHDX&v*D5@&ZTy_vBgkCx@Gc3>Eu}DR1s7bp&~dBYy^Y zF1eK-OCFtNNR)OyKpu1W3*?#P@|htyz9ui8ZS>fDG;bp`P|*jH^&c1-`(qA2o;;I0 zrnM{maWjc;Ho3e&B!~Rsi}3u1jeeV7Gs&a#lKHdbg_O^t{Ts+LC?7TI)lTwEhu6o1 zP{Pe}^dCVUo1Yx+8RWT?&!_&exy`S3 zF%Gv--(njMpOaOs8J2As|WfO-nf&D45vsgI8<;SmhnB-}zrzC$JaeDY$-o7pkGxKCc< z@D=2W?^pDqJ@_~q;sv7yah^?{f(_Zi^Bvv^TZ zzUrYR&!W66qe%J`azAG=>vd1^+yWEdY|0O%p6G8z&p7hw7r;-;{>8VLS@(;*keBWjk`S;*b{&N>4*WVgg z)(~Duu7A)Iep*@nx{qS$+CBF83Aa+g^q@ zrk;A_g(n-n!-%W48!;5LpiQqcj?6{f*;!^VLOLBCG zJIE(ePxMTqXCdvmoxG6zJMt&U3(hj~OUYj*&mLg-OXTm9$IdpqA?@5qo;lF)D;clt zLA10+;qAgZ0tAmvkTHv&S0wPR4xo7cB|o?S*|=7pxf0usfX!Sk6hhj_`^nxI)uD{{Bi2} zo7FSN$jcYs@!4rl*!f?luXFqB9GvqVLV^0}wmlqe4=Pxtd z+W!K1^l8HvGQ&Ov_f&nnP?V!J+jRa~^9E|Blm0)EXTEt=lDuEnl!rpf=Q3Wk!6n_I z&zW%ZIAD!YKF`sA82J>3cOhTk@V?~P?-+ZcjMv$g(@yCU&|Hr2~$kn^ad?EEjKQ($5QGThFf6vHwA}=J*Cb#-OAuo3L zcUHd0=#h0}IpoLcWn7p0zTtK}*$4|4dOS>ySGo0(L7x4gkvISG8A$R%^5sTc4JFTA zYa|hzK61%tkXI+4NuEzG>tAv#wff0B8LZwUS05$o|AxFSxh%++%897oRw z-;#DFzL>7+qbv1fP>(I2Jt$vFd0XDHw0wOP<$hwT|67Oj0)`;cb;SI=($!$M=Fu0V%DNcDiiagf|*V>=&@E+9fotcGIpGV%+ z;g^t~@9W`Bj*f?2FDhin7 z`?2J%`~^*tMDlMP z`8;y(!DRdAkjKcaosX0M-I0Hu{2WJqHTgA;{3qly9Qkj_mpbyllE3T7Dmo`=eHV z8RhN!qgH+u4ycXIWp;r97LwV0_#Grl$T-Int@ z;PMp=`Q?uMrR0SUzlMCZ6R+FA#h%k>Cz3@U_mIaHm~fvYUqn8_iPv)SX^x)P$&0CH zJoT&xm-Z^(vGW@(U%uZmhw{6~quj5B?n56{T8RBs)L{;M(n;buhtB+|~PXkrtggb}wnahlxYd8>mobn4C`Q?;f;^gCNboiCLV7cKZ(9S=|!2Rr;I^6?Ju zPM+`ZQ^{W@{QOL(IpWkn#m%O9HhmoH|Zu55(`6Uj&iF^{dwf`>i zxekAr{1u1eHw<<9{KL^-NWRJad}~_k8AW3-Wq(lgm$g@=gvvmi#n__ah(S@N>bX z9By#R;Z>B6{ch}8$8@-nT$LLBnawZqI~@IYlNUIAKKXkNe~SEPhrdc*qh50Pd7r$s z!~a3v-Qiov&nLI#Cr&=r;WZC7`8C_oa{&3X4sS>Pfy0j_|H;wckGy*QXW*i@;O6{{4WNpS>)OLp7>za-$hyv_PsmfF3UGn!b>XQ zJ1XJoyX5ta0v&FODz0PfA4WT$A+^0;u?7Gm~ZXqvxU@eRv_C?A80CBIF!HvZcGmLsRi-lz&!qfNN4}qy z$9%!je+GGLC*1~8e-B6h5b{0_9}X_%E&r!J+VaEMPL9>`4OA;9+{xsbAUJTo-B z3(JGuN0GyIt;v-CP0NRBJGmX7s5U0wi*5Q>GahP#`zlnm`kM7ID}R9IcurKmuNJiB zp)L7E4!8RURy*q(ohe^J`O|2peSS2kvC(harM{FOLvGhs&m^DW@FCz*p4AppAMN@^ z4*8A}!t8Nbm~j=z`oi-Y`@XRbjs)O`ywgi3&ADbGMH|59e#!8D4!jS zo|7rRM(b&y8q!W%@7|~U{J)Oy84)0Cg)!}Dq9;y11JncYpihSHwt)bj-O*nG*Sd_gZGzk+%eYk9P9 zPChLy4j&e&ozoY#&-PSqjX7;y0zMU!O zxh&`2p(fq34`=yjywbs?-79#=*uR|I?kmV}>}*Q;-V2lCdkDFjZ+IH@90@Mvv$az` z?Q_hF96iTVzOy55%R>&!!{4a?M9TMZ>>Nm*>FBu_T;f~EaQ~nlo1Vo^dfIRc7_RM4 zucrPiNB{L&f2fKnZ`-$97;bc#NuM(r?)}s=z|phN%0H8wp4QGyj-AhHJs3yzH~HI& z4cVLIYOvvbP@d#iPo6zBS^h`z{D%xLIl;)6k`LqeFIhZQwZlxg%H3t;k=gpFV|l6J zOkIEN`Euf9P=gJpE^K zt0#l{yKGL@^LO&I9Nw3F1i9677P#bZ$-yV-l(FN4^U2jA$$Tidq+91-lf%7={4|H( zNPY#m8*YsHGnp?o+-!$WgC4OnpWN1?S=67k-PqHMdKQr9lV48$tk%;&jdt1}JO9mf zc%jv^+vvCZ2i~ThDUSRG%9qsYr$b!FcEGkv#cXGK)1DuxC$=?tzPyvXwZqlnCjE2k z8U3$UGm2`0OS@V?d+a!^C3zuvHp4xPe3>JEH1%J4pwVyJ$sXjlIQ%s71rE<9f5YKd zl7H*)G2~&(zlkPmbC zI`UkHe?>mU;hQvXs9HPgNZTmi*^!TvXE;1H!=z89!y9Oh*-x93bV;bo^3WDs>UGhv zCjDO`??9e+oZ)AYA4gt7p3m`iKk8r4-{~P%XKG$Y_2KuM?ELF|&G9~wW9Q}6lW};m zo!5bjoq64iJY1#6Ie8)ZN=9sz){~-g zXeXHHP8Z_GF~yc+T$R*Y)HD4xdE6z~Q%pi=CNc zO}L|I&m8i?afTN#;Kf=`ii&nH0zmOJ)*M)?u^ zT_Prf+6=DkoM-GgH#Cak)U%p;Z2DBi22N?0N*w()$agrrKDqk!Dy`V+IfQ(jBY!A) zvBNu%7dgB$`5JPo|2XnZ4(~%==;%3-e6u5O`?ZWLlk(G;&SyYg(yj1ilWt5ll}%nm zZu`MY$YZY<`OB#1dh$H-uH=)z<^9C`@j05jr(D@}w*C(P2ld$B8MXF*OPH1jy#uqChh41F6A@(2V>{< zD(1#nkbaLWr%WaBN zZtt~v_&wjz)IXP8RWsq*`c*)lL*AJ3&y$ysV>_Qd-XJeZHF|7)e2+YqX88S#?>FSd z2OB<${oq#e!ov+ej{3b$CY@D=;V*MMoC2;;AKmZ8HLrvp4(_QvzvtGo68W<$;lnH8 zV=Li#;0L0dgG|j+^?#*aq#m`VhbAT#O0A`QRyAY44L9{DlMd0dOghx1o&&)p z+`M@vTvI!g>Pq?S=|(=6^4C#5nrp(XO+FV~(joeW(O~Z4cM2(=y~(7*U6g;4@&)S* zPhmQ&BF}oRua;~}`47M)AB%?@ds>tKLH#+6OneU|Z+f(`CzsC?Taq6Jjz`IR9Nobs z9SUDF>5$EQUr0U0cbIrhsA0Um0A9<-bK5-A-<43~2FhnOH~ITA`48j;ea(!xD*0CO zH@`9IVDlyDZ0s*tYElxvtgesa!6h9so15`fEMoYH;9`HYnMnr|I)0~zfjL zD)~|1x*S$F_E`OwlNa*2q&xOyHuMt9=rL+UBu zdxG}6z&}z?QC<%%&gMkb!2-T6C$}1I$0yyuTi`o6_V>dw8OUjr&zsXtlVvPdkAds- zIl$zL&94=dFPLu9;VkN@`FA6qUDw3Re#d4IxY(1?-^dqJ{(kakNv0-gWf4lzE zg}nHCBfl*$`kx|?ooUj!y1{BYxTHf#=S)o+G2L3DV-;?HACqcSe{c!+o?1rUw%hlU z=f7{lwf)N$@~kuyE|WpE!2nz9uV?Cu)qfs&&H$qy)lDDQk!M|D^v|H3w^;e>O-Y+e z`Fp^1`8mLZJDL1R^4uFuel;WCNS?#;ACgP=BzmHojGgC_9|T?ta49F*y-YbVzLc6n`Qocg{*GsS7g2uTvqsXE!&ks{c~&MJ z5FLGd0WS8d!6qF{tD;mjm?1ppxo%p{>d6EbJ^7*0KhUUACs4j*sgbw!Wiol}I-~!6 z$}gdwyg|mE+2k*QOM7B}f6&&)Z>cBu3ZuvPQYsz68GB6n+xplFTpC||^SYUBG1c_!cA|DJZfOkVt$(SIfN2QW{ISyrM0Pou^Tcco#hxQUGui>i#FKS1AA>PYg8QX~ito1R_4rGLzyWXg$&ol>WQ z>vDLi8CT3?0%e0sInO=X^zV7pKhnw%%+!R@Qd7Yt9r8K;8BO`c)Dt`3gxi_?ZOUhi zF!r0!mD*%^f5YuKM4BDZukJDFVCzLDdG;z3-*MD`8o0!(UMm;ILSYO!h z+j`mrT;%hd_WykHtjA4!F-_3Nn^yjIr<|aGiJpvGkgzyxew_v00`rJGu1nZ(&j+vV zqh2h8!8oj)Beguny==#wnN2L0~U{nNczcWr7KwilH)M!)cYH$fReCU6W?mof1j1F z0|RiFSSauet$)=t*G*~@IJ(-W1d^e#hB|nd(^LLiv*8U%VwLY!^m-NiqZtT2)d;++Xlf2uZ5JxTYd#NWU%jo%m{6p%=m}5%FVDfJ$ zpT%*zEf2L2O+EhU4gnk{7nC{-T)(vI`b2bXlnXlC-I4drtw-?n2CDV**d;V{~^5gMB*J{+HsqMHO?&aZ7clu zfamwpc+BSn;jX=E93O3dI~RTFS}k`0KDP>YaYj8gPHp8VdK{kPx`&S9DEbVa=sj)6 z?;5Dl*YNV!+N0_-zioSn`j=a2d(ETVe(*{+E%#{n7{_H@QCg+E3;bl^zMnVVw0)Ps ztHFBsOZ@YLWWgh!BZ%i$^p(vt{x9(V2VPz;2PXNv1#fa_6fKo}9(RpqhGvO&5bp9E zk5}Fc{a&8S`-k~GbmJ!rcX`eP{c0LMW&C4&7NakWO+0A>e?+*;L;7{i=PT6fIrL@L z+ot~neR8X|yJkaTk%`xj-}|+_On;DYKi)6UdQGF;%Z0o3YC2f2-hfZhoiNI00Qxp^ z0^;iXcKyVYOX#58gu8O1yH&5P5JdywsbjQ#wH2ahqUpa=pQheT&ZF@!y{i30zZF~b znfB^q^WGEa^Bk{jzIqpZ%)DXx&Bkb)wGTBvcD_6uUdCT8fBDa`@H}yT3BOIa%R|8} zIOX$tbN%8$cqXOoIA3wJO1R5^!uah4{|Nt>by^GfZ}8OZn$Oqa?Z?tj#&4R>$--Sc z$&<2Bk&oR+n1w!HR3D8himnmv;>o!MiF`Wv|Ba$s@X2ki`LBaNg+5uTdOHvL8+}Cn zr=w3Dp>Y;DPmyWFf6j(i*K7V8!DqosKP8@6xpxV7^(t{6sxA6Q(Px9}Gk-a~%roUj zH2$s7w;e~j_tZR`1m8=zAMe*`y{uhk3wPz_dB1CG^j8}1qM5u1z8wD|^RTt!Yv{}S zjPS~{>7XB?PY>00?2FF^^y&VIC+>G&+^3^-R2NUWlg8`f2d}g}XR2 zeB?-xcoa8@-=+emMQS zO_u&PQ~hKAZ4~V+ytQ1f$nt!L^hp2NTe$Oyx$b21$pm<&pSG{fdy9p;I7`PQk^8(y zBHqiYPi9B$&o%#T6g4{r|KaL$C45KW&ZqXGI?sggx_F5H z|1IEq!xOH%T0W=2voln0^GOw6Su@l-n7-w4>Yum^gYuc>|2K+;z%zF!w{p*b=g!tR z?Rd9PxXW`fJ={Coi%$uj>7?Ao%R9nd-jZe7e|AUT_IToHuJP0CQBQby9^D@vG43|U z=Um|~p3I}eJQ>$Ozj)SsM(yRnJoMkeYmJoKyc$o?c%lo`rw{ru!kvF%Yi-9i_4JDg z!k5Z88pH38SU;J8-hMaz1bnW;KNDO>eOb7h2dcklepaIYTDZ^Kzm;2mj3zq1c~qLr z_(k7KxEpubBQ&4a66ZL0dcJaN-y4Pd@pACq-eD{BH^U2Kbl$Mz^(uU-muTKjtFHxb zClz!))kidM!-;2a;V%9h^OYUf#-mS$Cti696a7@-F8)-TeLT1O1?Rzw@2KA9rALIj za*s6Wg=^lMD@?)HE(s4dnCMih4Oarx$pw##daR?xNw(;%CD-o zaq&95$n$!S63@5zL`yY(>*vj70p@&)-)fC+puQc1yLKrr)%Yh9=q18ko@=*iKeYIl zqR-CNJm|MkRDqW+(Q@s$+3;lbFW#wq3~?R{FE7@5JxU%fhsURDJR{*Z!}BjGUqs@1 zoPt01D|?|o6rRhd-tu-0JQZB$|3J9QPiBnPs}VlyguC&3|G51xYE%)0B6MqJz+9xPH;JJzUR@e_J>D()c;rFJWsgu zk55S4|q|AJp)#-k|l3@o92~#+d}y{aOll^~ye^BiGg~9pSmw%ID+L8(wU$ z`Pmmf%6M>}?ik^&zGcpfwe_QDrEr(G?Bj`dSU`XH9$xOQ%5Cxg2VVGc(>(7mS$*O! zRlhq2wp-!Vl=>foPunw9A5GK#X7$<)p8Tl#3FuFU$GfS244*FCjk}0(XYii2cLh0yY|X2(sucof;&&qJg41_75UhDcpu>|&*6G$ zG(3Nq_Wy10ze~7Z|3n9P(hdF$`Y2eZ{VCkVliO`SudGAg_$)0q$Nq}8@R9I*W6h7{ zVWx0DzJmR1bA-Ei=AEPUYK+fS=rg-%|DQk}t{3k5Tj5I8r_jGB+~uLTx9YWYIlqCY zf^}fSsj4p@t@*M3lY^%lX#cTzZZ_UrojX(R2k`6y?YCJHG~{gB@p;XgW?OzQM!2hQ zG*agQxs2dH>^m`r+}yUA;2w%WZ@H9Q5J!$vMWke__X$yWoZCTHn3#+3Fni zFLOLK|Fm%5j!P4-?2G<5c<~uOUVDfgu6I%ycfvwwimo|y!Jz@*Rl8%#%Z}WU!4O_+UW6O zF>&Vc$#UPp+VL`YYG&e<-O)cS+>Pt%`5J#3UT=ohx7JJbQ;a%bh{KUKJkv&?my2k39Jg}ZX!57vRp(8trYhxEb!ar|q$Xg+s>SJ3AQ zs(%Rnf%ljF9!1D3C!bw(zP%j%Zt&s^^?wq+x9Phnzm+^6CA^E9 zSA+M9P8II^+swo}SiijkUff;Vr4jxQ;a_-2`@{3_w}rcTcwq3H&NujE|JHc=k>_>z z)Y$jika1lvukq9xYCf&p=E7sj+W4QXgu8Z(TQGw)(QkVScl9ctsXklsN5h53{P)KH zj1}(m(N(J7oiFc&muG8z`@z43r%p{gv3}lbminZ28{)a`j~XW2kBgmEFD3cUc;Rk- z$gs}u0G|RcvhQUed=Wk+#+RKR{ad(?pLK}Md$+=CQ+1xa5}$j7w|4tKS)W@x&*NVR z?z>gJUi!~GS*Xj$uJ?W~++9aXbN|%h|I>Upj?lbOyV=CKD)ByL|G)C{4Z{6+oTmN6 z`r%>1-FOW5vyI0m4zA<<8=r7r_x{16X@qTddl z=Ki>?!*_<~cHPS>|Be1YcrDn!cZ+b>?#1JE9+2gM|NIwyiv71o!5d#lemH-$d~O5J zZmH|)diC@VwioXFBi7a1;&X^_w@xdwzs~yA*}`4_NjP5^j{Xkeu3c)p&&`e__oL4> z({k@d{|G#Nisr$N3tyW4%3)r4DEgLjwA?D!Rjgk9g}Zhi7~B^aCEUeRI9c1JTwjeY zhUeI?cpv_+;$I5(3#~z)=6yTAkhee37r8EE^ZeFxHO}G*S}!|}>;_NhCpPYm7VghS z)^B=VJQaPK>%&(N=bge`y^6Q$Ja6Ol5%k&Fnzv5q{}k@l$peGylN~NnpUjoopL67= zk8r17AFQhr;XUx&_@A-DeShebcx>%;vv3z@j`ua$cz+Cif#+GQU#%7Hjtkk}seeAvcC>l2r*P*VvF@_|a}YfKLi^hxdwaX+2z(0Xs81`!(KL9P{Wivn_+;2; zxEuOAh5K>A`zmAjDtt1-wB76AKM8l^D<9l1i!auCWy?BaG(~@ya96Kz-Z)OU>*rH~ z_byIDpIfI_D&EKEB6wxb#FL%jW#O*9((AO`p|tx4=nMPqYCma&*@5tQJB{;d_^I&Jk;)H;ufjj! zx~uuGLm$mayprx2?RKfwD|~MB0O2m46!V_+c>kFW&wZcx|No`p=p}f<`Cw=GkERc< zWBexE9pB^N_}<_$^1%5~2A`(FUEV53?(azl_%6a-yOeJm=}D!Yelb$GyT57YGZz1W z_-Efpyf8*z#3#Fr_Csw2d43ap<`nIR)}K2p&^T*c_jpZLHEE^R%f|21=;I%BycqupUhAO#-6^;3a_w(pg5%NV!d-r<6ZiAV?ih6y z?(#W?eK;-f>5sm|z5<46G)%bb58-~Z{ouu5-{w4g@=df|b|cS=&4=fV?ts6Cz7Skz zjtUxQbn&L`p1{-WH%<}%LBd^}<>xg&_mck?;YIeR*?POV9N=6Y;=reqa5vu;c~952 z`1cd;{ZCFjxe$H|ywX{@h|+FnzdY5~6*KUnj5 zX%ppJETkVUQ-AHf@_Y}x$aA9|RUVBJ?(6F|kjp0npN2m7Na9HyaXu>C9Uqh6yy-RJ zF3wtTy?QM^wHMTf@S~oxU~qZMwbuykdPP5Yh3l4fonfAEA1C{NvOcOPdS1Bi&&TTs zS{&;aAHyq~4fnu~n`?!;bwwsvhcvxPee%Km#D2nEoW)w=eOjq~bf(ugiAEfzc>_n& zg}XQ-`j5>^mk4)x8^OB5=AUcvueH+oq(+|GEYfn5d$e4;FMP0Y=d(V@{|V^x9krj& zBA~g#U4GIXHP8LvONF~Q^V}~O2(Nm7iGRJ;YbAUg{u#~(?RdAX9DrOtA6}XBN~V)& zh;Wy;nCBhZ;BzuO9qfBtF5JbFJzLAQcy2?V;<#_uPg=-@JLg}Bw4W#V?+#D3*LiYh z_zHOTLsgDtBKruQo}>A<@zUg4jVB4_fsVpmJ~L-(xu4^c6YkqLXqP#{U7XcNG@i|g z=Q-ok)yH_1s-QK^1kq4!dCg4_i@Eqj*Q<~1GrvW+Yp){Lf%EvhY5HqaKN|i!{)YtnzEU#q-FpMd z3$*{#(DxPY>YGXuPi`Wf;Z85*mbq@P-$v0Prr%EUuoV3{kG~%Xcl~5ca6fA`KINa( zXLScH;J6#KKh)?C*he#jyLhrZ4>FK=77BOml3c3sZwbE}ec^2Nw|VS6c!l>ESbtk1 z+~vRAQu8^Ef`5kRxxQ-pmP=G$;r@lq^CN`&I9q6cn@2ncqt893d?v@`b-XpRIBBZlVRx zGG5jGb3OCG75EgUZkp%E;ng#Ae%7o;(eL55bo#apS3@%#OEY@vcbNlYvDD{bFE#T zg3s=lc!y5-{05J?-ZcW=eYwV;`9bwx!lw#%^GrNT;~4|L5qiSVAn{kWrjt=*3@9w%OD^YB^5*=J??Mfk^DM>75t z`qUqr`mBM6_k(`MC;R(wU+y{nf93i66`IfDGs^#gcN6a7Pd6Lt$+_hD8sqh~9qZ69 z74GtrK+Zh3nFpVHPtymA2kO>fb1%UtKIk55nGe%@%V<617K_|MMh z3&H-VS@7`r{TuN~Ptx}4j?c~TxYs_Od;@<_xXVNQbK;4ump(&ZI9K(%p#L6T<@aAc z?V?|-7w*?5!FxG2U#b4J+tq(Hc{o(KTMrBj&I^ta?#IPk?T6Y5vY*F%g8TI!7-v6E zg*dmoRr8q~uI1W!TyNpNzGrH?+dRBTxGOh%gqGV2pS$r%ovt&<{~GBRtI$uoO!c;| zco+ZbTy6L5@cA8{51#MX;Wo*GtOJXe2GUK_J1Uxa^|=Z?R{ z=N9zw*TX#78~%ZC*MBAj>*QaA$7nYGr_~)AfA$aUAtScXZ!Zz<+OfzuwRS9`&wMk& z3+=h}Tj0qk?dLRabPv3|uln10%FpoJ%EbHhp+E0(r^b`KsQv0V4JX=1xF6TSbCHLl z&)lu;vM2h{v!KAUTtxA4UhcsyA75%4?kFZ`5vpQiYK0Iyu9`TrVT zcbCSQW1oKucsJp$ABN9a^hO^Q_Vdar`hUSQ+<%`8KLnpV@Aa}g&x2Q3H(Hzz!sGcG zr^WLF{*^|4GJ|LVCzyo0R|e}z|8Xgn$Wx4B2-DPOJqJX@z< z%n|P9v6%PZWzgS>zQ%r@L*cIp_w&r<+Fs`|!TsudQilXJF4}4yOM?8OI{dqk+6Fe8+;{n>`K=rqMBUcFb@z?1*whi^V9-e0( zZyWe>;eOt}NcC3kYW(8`>i-J*K@V!Vg`>2-wr)8Vp6sdpWLR_kgHKF?PdQE4N+Z@d12!jBpo!jq9Q|KTH?y*Big9ej)nD@QL|-v}W+v z&{wzAI9JuvFPc28K9!zYum03)Hhgq@^|$kyN8wj@Qr;H-hL33c#aMgDweSwYU7Yz5 zn$H37JUrYFc_}^_j+;NCUxYr~&;K60x?JmJWp;&WU$sdWd=pck@_& zpHZH#q}<`cUHs);)!)|J#|U@(UNX6yS9U-@1$}Oct_RMmuV0)m+_i7`oZviosgdTb zG5TxZ;qy&*;a|?FKGj71pMa-Xul6OLFYyWI?eF0+?`N~|^0V>w8voY#Gsq(0=aM$086E)5S@FRu0c;dX)XcGK9d=h>S zOaDr?vEfr?-nRaE6FkA+^pC*9`;V*O1lgiVRFzbtrM|BoKj z`S$X9`o+=kGVdj@R?Bu4pRU#hL9q!t1pZ@euCfsRYk?9SyH_)N*b8xd5JRtQj`G3jgrEMjxV2 z@!Y||)N4pt%Z+EMzm22W@F>_naJz8dZ@J#y3!nGUm#m&F}!rlBFGe27%KEWp{CSJJ`eT!!_{v;Tu6NS6^r1-1O zC;C@WbhdDphw`?%()+BQ8eNM|mGk1!^_Bk)k9n_J7s~CsN_`Uct#yT;F5Kla&pc!O z`C53E_b|6W{}4WzI&GJKDEE2vCH9kB|7r8A`WJ)ir3b?+!S&un!u|X+d(-*nZuF^( zhWJP(l82AstdnWqA1>&42R-l>4IV|Lvl>U?2WS;V%CCz3R}Cyv>6r>{}SEHqjHpU7Th2 zLR$H}0sj&n&sLv0c*p-}xexOmOXF$b&L=ZZ_0~^L7Vg?N!#*E7-koQ9_VbX==vwbD z&mHr7xaPkMpDgcF$`VgixbJVl`wITXXU&zm4m6+6FKL`L?vL2G8!z1Xg!>pzL7(fK z_!6yN7r`%WrF?thSt`5-|Gn`)5943vJzzG^e}qr?yz^Rk?rCk8Jun;bGUMgO{XH20 zA1B=R+oKXs4uW4Q+|O6b)Ta;pVe~1ktK0*BLAaZrBc40%1^*s>c1+?)BY52_T5e&Y z^6v1#!dXCZ-MP9f+zOWC?`e9kPJN}LduHSx$e}Vn#IvdOTSt}Y(c7HALQ_9^+xSuz6 zQsp<4+gG?Nw@g3JqdyRR>Bqzq%l{m&Zy(j!?}npafWG$d&`tDD33vHP_#KL4(Z4O+ z?ekd~?8jb3BOyoizgji zA1K39uc>|^{(s?5ImnU9G@cNr4{}M3U~X2 zi^1;|juGzq!?NJI${C)wi{hy{@301+3xvCP^1Lsr4g6(zmhw) z9X$V+j*CY4uY<=kv|m|%j(kVsEb)Glh3Kym?%KT+TxY%weU;;;mHQk#{N0(=-bdCm z^piULe?y;iB_7&$*LUgXWo`G<(C;VQjk^l(rLpx!7JdA%>d!@gCcM;9=e=*?=LmP_ zr7RYgcj&ug(sZ9*tq^#xVxSoU8>{M{I_^t@oOnTPwG5*Wv>$x6(w%Y3%J14%xe^Krp@ErT%EI)?}ckw6Of7uEBVtDx6^lQT1x+t2a{jG}rQ~bl{=6=K{ z7d-#f`V%cTzgoxL2HO2VcIZmIr{zjb{HIG*`)zf(_RnqMBZa&6ss+#WoG#qOlQ~^y!V}2D zEO@dmiQLD=-ECFX*SP;%=f91jcj0lce`)aNsz0J-;+0#WKM|hmrtwQp@}G-@yWd%; z3)b6|1AJTIPM_s>El1+hSGbEa{C%#&yuMMC8K(XAU3^YOpTA~^cNmS&Lj3c`Dc`Dr ze(?!Be9!!s_*8@E7F&JAJkRy3f%qrFU7Wd&dwF90e>6P$E%CfL`m5lDuXX%B3||aS zm4KU6Q&`PTLW?UB-47?pX9GSL!gITj&wBbrQMj9LtHJTHgueDw;)Sd5`3zqE zKz$COVq1Kx`3%NPCZI$_ISE-8oOo3Op?_>RTF1-9x;&n~@|3=Zh z@cdiKx1j$t`A+?FJvYt&F2enIU#;`Q6XaopaJPC-dcWFu6CY|i#qhPgu8O%;JW4Y_=L}YJt^Gf?WB%c-)8tk-&5bK zG|qkDW8qQo`y&?%cX^1p@75pvwdj-J{mBo)!+pz5{;TE2duh49;?q{R^DnjA$CD@E zUC?J~U&})u^fmI<3H>hc=&ijyIUBwgJ_+~5dcm)O=Ppb<84UjjUS*%ToPqdHs~-WPquywMr`5cK8KRB!Q|3Qu_7g7L+|T{|A#BJmEk-dK-+ zYOHdb=iB_K`r?H;f6k`dJ>l7Nb$;6uek8nHRiAG3+xfy>yA(grd_Ic)YV_$VH|;-b z;nmyJNB=5{cKu1?sc_xW_z-xO>+Lp9kAjEqBfSWoa2&VzZ-Cc&YdlOd(aXYJyHv88 z=gocLoY~K2^?}EYAm{FL6KRBK*%6?)!6QKTrN3p9{^O z{X#bG%JA~j8c%}$C*iK#DExhvwZyqx>#L(i-j6NZm0LYt$>&T=Bhlws-?k#oDd?ju zbiTFvUIx$YsC+&8`{1dT$}OKS!DD{!rUm*nrthr!tKp4*);QCBl)nq_E!_3<0{ua1 z?mr{od7g9G5`GE1@=fB&>+nZ~yXy}FgX^Vl3U~QQ1@})|{-Sv<2k!ysE8NF(iH@Tc z_#Y+Q=Q())@Hz0>!J2=YmoCC5Q(xQbIeZ?07l-P2+ydT2POMzJ=Xs875PZ1j^8VG+ za-MX6pDx_3SF`N<;_w*F6z<|oKcMj+jQ&yKu3p*Tyt}td$c|U%CSF-WKNeobl~@WjfUhdw$~>udX*pBC=osc;`Nh0j~&e@fy>S9qJ>h?D0x+rp0&?tG%H zMtE{Kd;^W|5pf)`P+^Ec@Up8`-E90L_gpYzR$Mqca1Z@ujXd} zW@o^|_mNJ8SN73(wnaZzxLaRkg7d_y(HGdq(iHvM!d*Wpa$T_({0I2?JU_b`{BPkd zZ)KhXvhlT<3~R-f(RUN>`a`&$>;ta^zdw?NPiv8QhvV>B0Z;S(ghueUg}eAG z?CKd5UD3Y{ z&ve##yDbg>xo{WfGvw3e&vn9Gerhcf@6a8ezOs;Z>+RH1jsGEdBHZay->Kf(aXLJA zq>h(%_4E&J6Yj=WG*`#FogdwAKJ?G6@n0?6=ix4`m+jl^@INiLI$ixYM?X%u&+~_R zMBbMAo`t^5dsD}uFT%4e)#pL@H}D+y4Q<@@`;&QW%Kly%qd#1@D>r;k=_GizoyPMf z6T~!l;(qW^KBJne(S^cYKEvPbdKg}KT;tr5eEuTbwPTfayN#C(_~+Bw|Bt1Ew)ji+ zY4!tK|2$Z@YnM#$y!j;IE}lI5RT^lR(R_GjAC0F5zYbmsp4WKI^uhj|4}`mUzBqi8 zchIax(K__0orZdT4F1`_RUiGJ`UE~%xQjCrT&G$9&z_^o2guv&@YGnH-wwxTgK*!D zx9fPh1HQ#SuH1G}p8Xg$e|8h@^D|HLpnnxb^WdosTJCWE;4$x07flK7=e~zNT!()F zk9mHf3GuXu>iKq$*{2c1y9syk7shJ2ZQw)Ud7hKCah-t|d7s01=qCyH?GnT}L%6GN zx~TQl*+6cKz%!iJFfB!8cyyNL*~U?`dg@bnR^xn_a(9DgxDRM?4u^;PgfpfOp7%Lb zxXZ)0+@H1M>`dWq9W^3YM?H;Cmg`P-9Qn!oc~5vx;@qab`iIv8dkS~sF}YBCvW{-~ zeNo{qZ!_9yeYeEt0{DlUDc=fykNL0GdG9Rv5BL`!QU5Hwkt|4jKfFfsY}YM!hsWO~ zUTO35WZ^E(sHi@j@VN?o?o%Ci*56)-CnL1o8#9hR!Y95*eR^0t4b{ImPr1!A!-Tsy ztHHWxF8YZ3_@-ZizQFsD+Hm0S&`5n!n`?cwb~2B`tLG`P{xcgM1?!pbg!}Q{R*$DA z6MsXgppTRH7}z*!FWluVf3nsqM!%PEKkkC}N{z%P$9T@x2)yC@Z`y9Nq(^@?BAcI<}#oJerhd#U>_#r$O+}CR*8}OV@E;zr~UbvfQO6+H_aW_J^i?dAr8xrR@e3H-gxHg1% zPDY=;LhEJo`Eqz^Kh5V(`1}sf9iaSI^4Y$rmK(KKZvDS2yx1Y}4qxDN1-#06sEx0u zguD92rM4B;215c0Iro>ksD&_x+Ro)z)5D;8U)u&mH_h zi_O%(NWU`uHt_Uos_#a*Q{XZ0wdf1KPqnb@ZznBHy%u! zaVsr1)l%of`S3o%U7SY-aqfyfGe>>2w@1-=@Cw&Gw#H|La2IEJxc0Y(jNcNx_?DLY zZ9V;i2aR`A|0nTz7yqQCayxEzloJX!KbLt=eg^$G;Vz#Ao-a5WzC^h1|6I4}1Ahvh z<$Y+Dx3}E zm;legQ;GIZi}PG~cIU(^8>7Dy9`4V-A6`0IeP*IxBiyx1wY&OQyEopPJjcpy9kmU- za;5s%b;!~1Qcd-Ce96PpkLkD=Nk9D1cq`R!5$UIKTa71t@BJ3SUEcD+dggF=+(6?Y z&Cvqke%*DJ_J^+c-;aMT(GheH6VobqiR+mxf1*ExyZJC)tv)sTVhfF@GDPcT{c3mN zE}zAtwO)r&?qqoUk@k>%>ggAA;DrNpoZ5Q@7YKL#s_?U3AG3U3<9S{5^W7Q`>5P^M zclk-T(LBg<%YRDnd?E4wUk=Cq^C>)Ywd(g&9Q|VY+Y(Q#+<|go!THx-8tVCt=<~u| zo|EAH;R}VkJcQ39+z796KZxlz`T`!#+dm0+fYKe z+Y{##=;If3eRVE*cn|&KDfO{-93(gHeLhc9Zr71!3wQnD;b4E@BH^ySwFcUbODOk7 zd?MzPf$*EX&;NU$?hf?fdyrlc?$#k4f_-tV~N$AUK6HktSUx_~a-HjWB_dv7pKlkHPi?zMl z@#TNurQrVEd+=0M=k2ZxfKTDMxmquq2mTPgRN5;#L&r;w{B+r>o~)u;MI$KB`uQN? zE}zlc>VFj9k3^pY`eV^2eYIcp#s6Y>k#@KFbD?mb{~I*U3HaRsFE`XYq~Q<3qkpM> z0RC^ni}jS>g8pOSZan5$=iB++pTb?e!uMh|*;?cIne*k{@fj!F`4qVS)f%2dpZ+89 z#OigOaNqxr&?CY!^!E#Q*K=adk0i7H^BO+6;5xttd{Sp={y#?FyragMzbx_Obn>u2 zJo!Rf(&mRT@aTNakF6i>fY+w$xM;=zXupm6*Z!@19p&x?PlD$kbHd%aG`UIJ{Ri~t z3wQH&p7Vtmz7(IraE((==l$m%cy?Xl|NkPz(J!VyTKj_?XGe9?JZB%&{5**M7{}dp z;LBockNhwQ2W2lKbIK~elO-#;ck9QepmfU{6BX7(tpD9!Ef+Mg6jzlJ8M2G zmufz3oi;$Yi|1k9XKv>^BZRxWtz;c#<8hqlbaSp?@~e&qrSi`sZ?Z z_6Ch}0rmY5UcE}W&VEt!H#}LP{mSOa5wX@cnXUR}_$(3b@>bbG=jY|{mGJas+8+*J z9(xR4I$!l8=_jw@Kc!>h9a`ekyNmjdZ938u>;Jn8ck@g#UE{R)SD??Zk7!4H?n0mc zF7f0`_;2tG>)77#`dzi$GS4O1akGzb*S<+`y>tZnLPM>W<$1L57|)IWIT?N2So8BC zc{oeBt5+@7df9yVAiO*=@iw|jiK1WNS&qwA?mxm^oKf)H*;d`O|G&@rN)-OHt8mwj zxh^`stiJmSck$#nPw9((7W!Hf9j9+FAm^bk&eHhjp??e>@2xydeP6>T!+Ub9+|Px( z_{;3Ow)3yn+iIL8?wenRe}CalpXa%!ZQutBck$oRKJjEB{8@a`UA14G4F3pT-CTRr zS@hfQ@h@{7au4)NM!0LoD(~y-Pq~xf<$r7c>;s<#F9zr73*f19RKG3yqHvez0{e69`1^|a zbKh_b`X7b6{Kq`kBX{il=NI$usr~;N`osUwXBOyjp)>l?J+)p5@z`m~k?_=fZLd4K z=pRfL?#eB3{mt5CK0Kb9c;SAOTgE5NbLiVs?)$>sy0j8p2iv+A^$LE!zAHT9Iq?Sg z9173UPi)-HFg@?{JOuqk!k0>(d!MJrDZ-5IM4z9p{VGQPmT=eZrLDES2Ee}(?%J!$ z{wr(8&9+mX3+~&-!d>1f;}Y*+^Zy{>-v53rcRT#YqtE_V+tIE!o(vD~vrLAU`Q0Cz zAI`%+_lo*oMTH-QN8>e~665htd~(5kw}X3YoZ)l9C&DxIA6xI8C*0LH#dT)uC->o^^D6Z9chGpk-*c>kmm6*BGZy_{qr1;Y#q{6 zPE1@o)>do1EY3u@^C@$^v?2A)ps!=SVfCE_FMX}^Gt1}bZg}NLjor!+iAN z`yz_)!p`b%^?C?ii!`6d(0|^>KjOL9Zj}3@@R{rS#s>U%LAYz*)EZrN69>3p&#IIay7?&5ik{$TN} z5boy(jw9y(I{GxnH9Oz=0bZD+r*byK*D;J#L53xx!uEwr4+pDEwy`K9xsx932U7wyXN*gXiET3-|u- zX(Zp#ka^)QZ@KB3H@gpVJ3iSR)!)wFAA%S8y>EM8?yvAX`yTChF>s*9Q{(>BHN<&3 zJjeZ`=I~44nP%EQ8^g=OUHqA@i6@u9KS7_4RB!$BA9#429kd(mzMty9#^+>s%z3M= zm+lho%1!;Q{lW6{F!~J7{n>HtXLvMk)4Yuwq~*qwluw}C5>IU1vIri&@9uHq z%;$~pc?Dhzo^ZJA>57AG}pmo2JxR2=)-oo%k(|8ePtN> z&rk45SIz%q#ZjYw5r0pee_m^%U$hbK%RNl>c3kTZ53fh85`_J3N zlf|dhQtKj-gkl8N6}!dZ~9L? zEQCCDmH4E%zH0sCE%Uiqu-BfufD=#{(Iwp_ClXJRolzn zPkSi5c4Fe?den%bD~0=c;Bt*;I%c<^&vCx5e-%X!p)dTR{pS<(Poqz2s|9HBm>IT{ZS-w$(|a5s+9!F%;DL0^7B=TAG2SS8%mH~L5G z+l2A*Dm?X@*6Ry`{ScpIn9e5_Pu*S`XZXILlyINV;P>ygL0<^=!5$4yuG4m(NV!ws z#r2wpli|zoFO5}hgXhZ*fL@Yq{B=efz*G=V<)apGU(}+<&zB=QQDd9n19}^S@BIpT~mzy4Rpj z_&tU8)c0QD?!HVsQ|FUz@QQGkpKxDIyM2_$i?v>HJ^h2R@bXlR-{$jK!d?Fi_p{xB zzBG5!`o4v}(puLER=Y!+&B;jryUgbHXUg$6PT&|zo zpflAZ_^rZSK2z^0w|Jf~|KNG958#AqoGWEL8&iiTn>AaT9u#U3u6x`~yP`DrOr)#_0y7US2;ri`vY9DV?D$tv?(JF9qZ1Jb1WIb%}6SZqiuGolQKqqR$5N&u7Bj z@v9oV2kBSz(Vd#N90@vgl*XB5|NNQwPl4xZ8h;abQTYFFp1)1Fi!XaR z@Apvd5BS%XYkOHg?|gv9lb^Gs z+$TDeKiXBet5xboFKfuH2Gwjc)!{-^}+a;dZ@#qubF8%1z(;VCuy_Njq-t2M^!Jn-?DAx$!^O33vId1iuHgRJhAeahc}F z?jwJWKH~SYw!p0EA?gz~R=u5Hw-NsTD|b8e#W?W}TjH~qaNl0R@An;uzQXkutM56& zU7Tg^7ufM@k@4U@`-Avb_SJsAkO}%l{8Kk*`%WO9)$nlt*th1-^W9c%&qFo->YqBF zoLf(gb`|dO{BeuK1M624;W6t&4yVzB@I3d$S`g2N@Y2&7=iB_jUWciF_MwUgUC+|K#A&IXa(9bNkP0ravL^|6l(~-oJIY=06JZ-%GfwZ*jEx*m36= z;jVpiJb%|q?V~Be{rvE$_MaHOzxCCf>ou*C2TAGv(dt`LCl%@QC+Q*?H)4 z;eK9XT-&&N7JZTDDD8UA8|Htz_J^(1KHBa`ji)+GxwXsD@YHV_PaXOt@R;|MTK{=V zxT|k|e$FdX#Q&-1GJmey-}4GSKMHsGEOK4ceCi*iJpYYeCmD!O3wU)v;vHJ!Gr;u0 zarP*9=0qK#_o1I4+{Ia(t#Nk1|1{yQAI6-g+c>=%9^Sux4qj%yGW{BOHFyv3TGMkL z*Nu3Z9j)z{Ow|0u@Lh}_uRH@kM!1VJ%XPDc@agdIeZsTg;rrQEz{B6$T_xPjKh<3h z@XiJN-xKcItHSRXz6@XO_3fgV_vBaMYt8>6?N@pfkl*tiuklCs>3Z@k^rym8pQy4) zef?sla93`g_Y_&4=fTt57q}OnSMVuZr{xZW{|pb$7uLgPbV$6?`eB!>#u@(J!BN6n z*O%W_l-Z3Gel4g3n&UT|X(Fn0TVuj-ovJcpHt!=7-DCCv7*4=T7wbFEyV7@c$HjdbaXL z@Ycs_|A~Lme!CLhL%55l68zrKk;2_Pmf^Zq3jJi^E}yw6dVHD5IGu&QIBC;yafkV{ zf8$1c{)11r9(x;oZJEYz$KQY83HK%KII`byTCei@P3tv5xT{zG9?hHOvn<@zD}S@< z+Y!%)!d<-zS8M*ypr8MMPmbp_!O@n-t3LI##hlu*IpHpzLRycfkHBZ6 z58p#RAD+HKeNIBZ#Pknnxs%{e33v17q~Lt*4dJfb>ToT0Z}fXi&^%;$?r9o)5(cd$?mEA1o(-5IISIA`G#2gi|B@N`G5m+c1_e6r>_VICVu1;z;XdH7x9d>fvH$D0lD z#OBXig}Z(m?aG}~7Mf-B<($qNeen6O`9GR?k|zGGPtp9;Ue$KA{@F*k>kkRPw{F*m zCkS_O7Ff4i|D0ibn#MVwa+kuZO_d)3zuo!Edo0%n?`K|R`t3A+yAJS{a6d1t)_yBB z_n)=s)3eq8SL)UJRN6OK7ac0x)oUQ{$!v+w3BsL!%_4~m>UkP@ZlV2W9{QbyyL=}2JOf`S+#MHQ>IawT>{VTr{f~V|1)@cOXdCGf5Ve2v?p8*-+7A0Q|YMl zQUmxw@I3nrZ9iU4xa$we>-&49t$XL8uYITOX!HDo!u|Xfytn6l^zqM$_c@$$>zzgW z@?ODX;LU}*au0h|%eCh+x(WB=I=FAOA3V+TR-N%V6kZDU8!Uq7S7?5AM*o~}S8nNO zE!WmF-=YuS%e@v}y*2R;ww~N&s>T`aubyDMrM6dB%Do<5U8eoe+Wjeb`2LgU;H7cu zWBJ_rZ2DWU-)v9eZX9LK*Aa0veC$l0la#R=E3I4zwxOA&%bw>Mt|Ubx6S86;PJ^?-`@4K zfCGfPJVZPXqN5;+jz^!ZYCO&At9}{0#{2ngJ^492d>-%{^I=|EM7_3~u5o5&YkzA7 zKVP`J@1F#}6SN3@_#EXU=Cg;kyN$;ejI-b4O#I)4CwVQ`=BvMjyMDe$FweI+$MN>j zE5Y%xn{b!6%CDNSOUdVsPA|U~Q@%{sjWx>MAAQX4CNF>=3@;B!JXr!i!FU7hCnvxs z8?RGt*VASSck{q)9o45R`q$vq;CZ)J=Q7U(`=Z+kcRtzkwZH9(&q?r7Uim@r>+#8b zt@)f|<-*hB5>M>>x^9M+8}3JHFWi+|;d;CDNdGwsUSs?kpDWz8SCRX|YtUbbzR+0v zgSGDq@NmEG=kVkvt(T6DDC&5g#*^-BixV2JxBNwd(_i{i|7l%{ohaE>EQbC06Cz$e8x9woMVZ9l5ppr{$M{( zZ2V3Z?&hnA=M8PXT7pmQp~UOu|N75o@GRF`tUtFuU(3x*-84T#g?oSYZCd}FB;3W5 z;rZWIY9GzOr@F2B44~X6;A!4pZS(xE_{U>)e(r@&v%JPrVxM+neEPuiCnjEL?K>VG z{;q2go(+!6D}}rGQ}lCAkD@aA3g;unEAZUeS}&{b|L}SmSqV=G_v0maezrZl7_2|{gNMJ{csRVWjmB@s>&JwAdkjD)6WAUL(A76Z{*_(Kt)jYJao&p}%myE?TVlAA|k~^yzoCUOzX`FIEb7 zdCLa-Q6EPiot}81gyBEm3HRf%_i+FJPf{GUoU8GK`>ciucly$p#4D}6jzwR&Lyv2A z{_==$7k_@T#-GRkN8xUs9~11`uYZx2n_8lIXiA*@;Cc3MAArw5;eK4OZfOr6g8oMO zmCbLb2yYj){J*~;U5EZce2On>9*%-9goo{Z1H3d_N8D2!XrF}V_#I)Jmp%~g$4d{b zm(4SE^O)y@_c(PG?(~(l+P~*@(Vn3ug-guN$A9jHU8onjptPSuM+O!Pr7J-IFNXj zp)assbZhi4p$~s=_e=EImo=Vl=y#v5<>t=TcwUDe2`}>Ip))D>czBBUoZEO@2(PS4 zyu(U-o`zSi)^e@?SB1NAm;9vH{q(P*Xf67f_m%C0Pu(Tj|KAUOS1T6o^TTyKTUY!` zxa$vP)@in`n!okN6ZRi&iO<%T zX}JmSCCJ0~5bnxN@2dU5;u#^_#b4fD&$xFa4`b1157Ibo+!cho{lmH7eM(ErpXdIp zT}oar&m}#7hL6PNnJ3YQzxVwV%>z4JPX7${YmE`^`$<2Y zPb?3oqR+jdJ~n=zg%=-E{c)80ig0(ls090(-bY_$eQ5p-3fdpajEfHVbQbRN6F&dm z7hav6cx9h@`UjKoiC5_Ovi^3ta96LG`xpIH9xZ|AgX8Hl_-9|!`ZjK$f6(g+jVI6Z zq_z$`Ot|w8pCdjV9(CHs8w{h|spivD{p~n@GrZhG`@iM+Nq8+d-+u$1S*Ff*eCfWB z_HC)gWp-sng2E#|8e*i z;Ng9NYw*tn_Y-f!zkHa+Z~f$9c=c}`5qB~Gp2NSy`{Z}zc=0|w;&q!WclnHX?mLf98UNgg zi6`d24j$E%TOPVzrSTVe9>L~;0m9vN=DOfI^M1lzy>gdm2AWasSa_E8iCq^x5nkr{ zo1Mp<2d_<2pFa3pC)|zGJo}Wcgg=Qs{!rU%aYOy$HS|^X3E273$MDJt+JAHu%Y6-a zxE_udxjf7B;KBLPAmJ|0#bE#N3Bp~RxtZFI+Y;wv_~if45?8|igT8XK*7pJW)d#|3 zG#mf3R=CS^dA91$WZX5mTFcEe*K)029SC3FD)BbkV|E=pf4lMt@E72?W9=HYeMsed^Cv=;92pJ}hhqZYJRFZ6{oG)`@uC_2LU zMTvJd|BLa-&s3l8^xNBnyLigXSGGQR86ID$ac)PP>+vaW7~x4rc(hoLkL7Q4y@7qy zLb&UnsrH(OThR}Kms$T?{~QKSk5~OX^rMBlJX9uWO?QP)L|aAMrH2Uh_~}l6dm3diupq z@WRVFZ$H*R`7G13ZnyKTm0x4e-kS%8$qAv>WK>ya&Mg{{_N*yR=pP4q9w< zk8n5Nb_nL%3i^1U_BWeXzkru`KG){?&P&uk3GUk-F5LGw_G9EJ_jL3*t|!|#dR(|~ z_h&SdbJ2f*KJ%>h=XT_CHU3rhL0S8@yiw=XVr|p&qmIIze{Q+@+jZA|!d-q!Ping~ z!hcVA;jhF;ay{d5vgvo%{MdNBP`K+?#kHG`i_6i6{p3O6E}ruGeZBu%)VFN<*~2_( z4*$*cpX%|*`a|8nHU4n_LoeYjo+|y^`a?g{&(;25`JXA=wO5tjGt$~c(R1kIeBvYN zPCOgX7lYqR>R!}()mVpH{JRTxc}}-c=PCHifY%mjeXU>J1dr>hz6tuf;MEoyzs=ig zg}eIJg7cuZOX;5z)yL|!op5*jJta8)?u|aneGNO_oryl%ORosoxR@#2x8q;hjy+kR z6!0nW+_7C}xEX!8Z}wjF;ePvd=+grdpMhJ*!&b|*+{{w#&r|5Pec;6{w4XFaKVG<> z4}sh7XR1Lr*G8pcyMY#( z$1@Ud@Bsc<;qE?TmgkGd5dVDiso~o0jnOamdRgbQPpJd?w}rcQ$*$FQc^`e%aXDXz z`JI}s=r`a~JX?>8p*xzx8czbBYce(Q?F0p<(%qMP4tTzJYQD-UGZsn zv$j{X6ITE@z;7+w)wgiJ)^`T_zQTRK3ih*&5boMHv-uETU^n6!jZcO9^_#(uF#oRF z4^#MG053LDZu8Z3@PzvmT1ph%BHYE31n*6KOSp@tno{K;%5Ahld3lKPM(~ty=Ti-y z2kD1Ce}n3+9rqLN`hWgc?LRi(9x2@AEgk$W>S^$(qWRyeo)&xoJjHbh{Z^h&_x^Gn zJ6N|YGySl{8;mCY`-J=a&((R=`t7Ia!}n5tFWim0;^{f>VB@a!En2VJf_;^}h5LGO z-dabwy9xK>n%|MPa`#1FVxPQ56-7tEbN}q^9bUob6nx4}bjG%M>?U}L{k;dFZ@7~F z`Mx^a`(E}I?(@)GBl#WuD0r29MAoks7-xOb9Q~v4;`SQP9QZ5n+^>lzHqW=YRr^n# z>+Rd1-$}U3TkSI)ry1srf5FS#uepQc$S`>NFwM^p`pH4~mzg(W{7)6`>RaOd=XRWW zA3mae;+5D(|Al9HKidY@IiqiLzn8T3pI$uIgMDzv~lqe zJk9ev%h5jtuYIWP5>xJr_-Fr2ymBu3U*Y8@Iu9HRZ+E-qIm^0bcle>gT|6UsZnrIb zf^Zjq`P9Ud)8Ti*i|kjib@&tbRQJ&QpGTY(;cniZ#y(QXi2pRWL(9!wr1RwbCdzvY zcjZ=>>%7qd{Q<)Ld=)(Bm_r|v=T7KvhS!SP&u!gX!6#zQFn`a(CY*8uwGBk)Xr<>$j+g;yWccx;|e z-9^9pO8FYf-4mXDTYU~;f<6mgZl`hD{4)n$?5Vs9K35BO^K+K{a+aS*(dTbef4d*| zws7B{`CZ_j@c9aT_+!vmCF7e72(C3A_JQwK??cvu8 z_w&Z3dPJyepkLeu&&}P(gLU-JC(Zwjp`PovQS>|d@OOtBl+-^vNR>y^UajGUrOIXc z^`9=nT|X&uoy7X{p77cpnom2P?kn8YE6e*%d#X)z7XG=fb)8lp|BLZSeoVY_0{W-$ zDe}ALHvfEpzPv)qwd?2I?$J2+Zlylm@Y!9sJO7;&oc|t-K73#Ik;1!(zK-i2*58gt zUwcaP+!X)C!d-jiI6kJ}D}}pqqoCaH(AP3L&-_d~*6`1rqVZckyUD`Oh}6-mw1vuy7a8`@wrqUlZ=yt9qu6ce~!+;6ANaWsvs6In--2;Xa-z z+W#&81L5KCoKA%2gYkPNym2S3?{4_tE8NAC=e+?o|Ext{U7_`|^T96nYdqzw#-qI} ziq3&2eN=D9$FGcUuKmZ_@ps{^-E#`T`+Vxl35%<5wA-frd^6!L&KmQuwfnZ{a~ywr zQ?EFf9`J0!>4qV$q#D$ zY4(X(oXg;~1)3ilFK@#uk=FM);_3L1`eZw6kJ3>PMcv@FVEr~uxT{z6t?KQ#d;T z;y~lU@3zbm?v5|jVS3)A*^8nZ(3j8A_^n^967Jjg49&wV+NI*SoS#-7(e~{@JfGuJ z9H`FLZ})#heTp+R&KUi8c&2yaNwtZ7aklVS|8tZ7y%K$TN1cCG*VC_W74Gf_Rr%da zJv)$}PZI9(8NMI#Q{hV`58DOjvwz`VCe8~fz44>!lMj9`{utrzdQRO7dOfWU{S@>` zaR2UHc$xK^jrY02U7o}D^Is+0&u^Tk+xg&|=#vw*z3g{enm(pJ`Jmj^!rlC^CYT?# z7ViAtpRe<$9rybRcX>+(_q&gWhwneR)b#yz{4O95t4zO*#yNoYYWTSNXM=qU2MG6h z=$Uv2n@@7^aR2jkeDXi3UPi3{+zPK9s`1!y|5ejJt9t9_4WH0>s=Rc3Egin$E_Kol<8b4q2wk`ZO;con18?1+$ zJ*n|u-A?`OykG!4)mZaj{wKln?0?W&MA1TcX_1y|{qt3L5Y z=QW=9h<`VD={ohdb~zj#zQ6Qncs01MbRE3Hb=p1ge+?cU7oxJZW3HL5H||E?Lb&Va z3HN<${B91f1<%C{#wWGC_J^7HjDy#BZ+REy$w|W9_=*_6cK&`o{?%voNVEZ;r{RUO zM|kpjbN%9Fc=#UCx8bE=|Kx}8h<3Mr-uh|U<%Pr>zpD1pp2A%}kJulpvq2P%7Vg@2 zUeLZ1(TBfhbeeGg`~}x%df`74edQ(1za7V~@OoJ<@tjKr{k6hfyOdckSwDFmeQK#j zXzQ!Zo>6_Kz2@@+CW2|gUA=0TXg>eN_`MW;mg^@RHlvTxr|%u+BT=^~YQDrHZ@6Dgpa-*Q%?jqdj{~%~hIz>Dm5sJwBBy zwZ2{8&kJ|IhnwF+^{Y6(e~do&wr2Pw+NH&Fu3Y*3>*;y~H~%e#`}h~?Ow|+p_QGAB zv%z!Cr^4f_hWJQq9$N-aSm&gP^G|poukl-d>+-z%=gv;N@*T=OLAXC33C@pZ33t!$ zWG~hDtv}yvKAqLa{L8{!y~5+g>*&+VRsV-CUEZVe0{R|0{~Qb-FWj}uvDavx2{W35 zKKG`sm*%2hDBR_($U0#R{956z-G>F^{Z90i;5hp^yiiNLgSFRYFKV2H;Qr1Y!u_}o z@-RlYYxi`ppJy6AW!BG&iSsexG5@{sKkwrop7&MZ5x)oBh(GG|AM!9?+kG4OD0uD) z9gm&iH^D1B|9B+)0n-P^m&b*>_KgZU|HMo*FQJdQ?`G$ZAHbs{hInUd->xs=!|x(B zrrd$>%BR}C16aU~6z<2xD6Ln&`uYd=qYvK`_%!;;*NGRd$G-+I1?^S$vc{7etNQ=L z+n2yMc2#%3EQGKn4uvd0zzJky86Qk!4x7m9Z@$$@Wab;zFPh zS};plLisQ$w3M{eg|ak2am!j7sM%?OVAisH1p^`M@cqv@|NEBfXN_&XU-`8e>*(sf zbI(2Z?Dst9?>rQ6dG5wH4esR0wSbGInS2}U*oxUalZ}D z@An)0HQ<8h#*xX`o6eB$ZH7@kkwVtfs7;fK5z zulOM0i{5>-pAp`;#PD}|=fR)0c~++K`oM?VV}mOR&rnZcr}cl&0bKB0;rm=(MfeK< z7djvI^x*3`e)XSB{y4dD8|U}yGrtOWH|LE1bEli9JFj5p=brKzlRw|_<4F5jT=MdqITkN?R&hr}1v&y`H z(>tHw_|aF}zB{|_VPCZQ*J=MB&w2I(F6&+7{WW*qCpq5l4>r&7UEX_Ip9i?CYjh&H zu8(n^C9gl;Z#jOkmK^`6|0G{|^m!WKLZ4OdzET}<-7og(a`@jwc+q&UOZS(K3A#CF{GWcn zl@CwNE7+bA1aBnVe=pCifQvo3$n{QfvQ@wpAFtly-wAKrVe;A0|1+F_<)y~w592() zBfLnt;rx+-FB_htKQTN%!|}6#D?f1l1B5?^<5#`+9p6Uy`m1f86^{Qd;oYYg1)N>| zz^~YIyZ_DP*xNXM%)xK6dFsd57jFVw=(9n6=lJ380T(>i`CiczInSp!&+?f@pLN3j zlkmJ(w|3SYhEEscgw8H{BH)t0$h^6rGdz;`R5*TlJ+E-bZ?7f1`(7rm+`cRmzVRFz z@A&ZZfQ$d)$J@Wm@uOZo@!N#2TwwHc^6k8@8veNWq4?Ze{~H8X5WdWQR*r7Z1zhO1 zHfi*EGRME1;}^ZYE1%+cKkoWp9G_=hhvT=C)@^=24u1yWjTf0c@FUXm9Ku&WZTjv9 z#OD!!>v?D2o;=}Ck@47<1I4jS=qmKcC_Jqs(hN{&~NH z-^cL3f%x3*>-OCBHyGWXL-=Wc3*EYIwekN!_#nr3pKJVS+=71ofOm6D{GV&x{9QKw zCVn|dxZh9X2EgUHt3R}J?cVeFUXCBVFt0Pbm300B;Id!ce`5P}I^kdA_(s2tKXR=8 zEVzsC#UEOv?tcs%{0?w=Zud#HUKcOD_!|Zvy}{sp9RD`JWxoRUCtM)>{cb$#@oYDP z;Ph|WJZm@FJnp<#0oQ%^-tV)(@r^eag`K_h_Z;u{&-^IhV&CQ;Z1!z8P?P`Nq3~0K zWyUwoH*oNOIsfu^jShc7`uvpe#`Q@&&-fPU!~Do2IM0QE3;x~g)8f{38OJa3J>U=J z_-gkc01v#w1=HP zb@F$}hutQ(&pyT;a4O;5KeKhcoX>qS;PTu>)?YdM_Ii$Ae1WZt>=V3(@XSpHck=B^ zgs;5O_}Td*UEdY@Z>}SH0N}D;iw`k;+&sO23w;`A*vWc3@%$se1<&AV#wQmLUgJDV zHyS>U4)5YT{yp3ebNu>`?YV8v^Hai$ud;a_Pxw8*XMHX3Wc{D}_d$T`etGrMPX%1? z519A2(;5WV0WRy!c=?5!2w#4FUgvlG_8)`?XWKryIMQc1fBr_J&%?MeKjipzPY(Z* zq}q8N6oT;ERNJ z^Ipl_2|w=ta^F2VTugWu`;hc-{C>h$`pwT8B>ZWB3!OI}H>{99Cmq^^k5XPen&Y2E z`0|A9uN(glZk~smes_F$JK$0e%w=*G!~+2rI&3ih@96f>{~>+uX?VKxQU+Y`Tz!nu zzr-(^9G`iUofjwfUPt)yDS3USlLP+^xW+fUIMQ!9|I#;X{t7WY`3Hv2I{WQ7`FRE5 z`PbR}FW>@~9Q-W?-@|!62)NK8L-|Q?@G-!J|6lknJCBZTU*tUN&o??deR}B+jSjxO zeSq+#cN-l(!Uxm=7rXZ`^%_o;{_i;)-~COKpH~t87XdE&59W=J6{FvOAp{OPw_u&o_WqcOL+b`TUU|uyq@r-``f-dJl{t6YRSgGkmFYg z_xnkH6L8^^MdlG4U){y=%QxEmqsQ4qB^bua{}&tnpXB_rgg4mF{7HoW3E;A>2IIO9 zA^a7Dk3P%rbo=!y!tcCKUPn6qJom@8?@L}hdyc-$c3JV}$#8ik}f)^z4@IpBSD2@BcbE_H@7n&&E^ixuwbCt*k1&=$iquLdH8zH zQ=GH&>-tNa_%nNM_nij68`pI|zy<#m^0VWIJsiLIdZRzw2mRkuIX+-KaFO%8jN>z2 zebh$?U%k}$!R`B=pBp~;JM%h&lb=@;zU=uU9{^nFu*^8dL;2kAa(oy2gE_hPz+c#S zKi_vA;IiH&>V2md9t*h0+cmF0`8AxU>ngKMP9q(j4!H2c67$-#_s1;BsDm?&UT9hU42O<#h&Uhur&D#wUME zdxmHR=KwDAXWnGv@5V2#2VDA?x&Jx-d@08-_uKipmg8RuxZuC&-N*c-8_#@VKPNor z*T)3iZt~c_j{#ip>0a2Y(0lN^2?uAq>bh1FrjcwaHay_r8zg1NQfD^L&eNzrVx= z;j6dW{@#<%{RQAc=fmFpyHkE+&t3QW|2ztC!9Vzi3w4H9bDozHKI-k``v`A%cHnOa z?|y{s`@1>M$-lMdu6cUr0>I^5jCy_M`w3s|vvs*Xa>H)?l_rf7k~@>yQ$Zle)$x~7isspbNy?;1_*% zo4<|!a~uL(s%JgB;tas$xtZtK{yvO9ngLw+p}}+Q`07TEf99!q9qIJ=hXGf9*kktp zzi^(ebJjbe!SS{fBn$mbfox5x(x(V~+=1>^y$|?uO_~0q-Wx@qgaTdHlHO+XxTXuj5w!Xx+{KS>tDC z_x=iSq4T7VELm4h~q)2F`xybJSG&Ku^; z_RqcWB00gco9_X+j^928aNQTr9=L??{JV_b?$7Zh!WX@Mee;}uWj(JWPvH2sa{R{E zZQq|o_`h-ddrr3T_Fu%GPc%G7nRgrE_!{ABcQ-!#YrHA%CgW$-IT@`a8fy?~HnS=R=%--Mhzk{Jm_w8>bt8p2d0YMR>-v)j)@gD=5<{ik`w+{w2Y!hOH*S%mxdsb5C;$_tDi z7Dnv;6k7Ldu)7>`|H^?c5M z73cBuhGmXlCY>GsEEB%Qyz@<*=T^?+zn|sZ96$QbypDV}>G?~*g`Sxg+KSxy+IzCW z*Xj2;I*$P^=Q_i9g42WZgadQa=16$FH+a#>tZp0WRzH z@3VYT=Q%BS3;Sicefa|Cc^~7wtK9bkrVLXLkDH!+?vtU8THra{DuYtGx33ssG|U zfj9r%?q}nd7j1tX-<}J&(0R$LE6V^b>ss;bnHJzex9;-|&wnNzUZ(S-{_QKt{Nw96 ze)M6<@wWjk&waSJ-fwff|Ni>p?r-B)z4x=+oA4F(kG+-nJPvR@zw9ID_mxTg1=v1^clj}ueW`F67l&l;DS$=ryqw-&y6=3AHI$ATu*rSyG)*(M)(rvx%MQ()A7%H3GedmF@2Em{?+0A=ckP6TbKv z)JD#8u-<{9Bjqv3O zqt8nS{|?|n=Wb75Kk7`wXM=vtRUCf+a2dZ&``_)$YdL=X?M7#(kG|~Sp51u-gKhr6 z>jzRGeC_^5$-m@t_X95bp5J41`!3d#?Y!`x6LX zy{DZcXK#18@qc1;zBixy1i%HKF7n|Z;o}_N^}@VDoSc6-;6k?z^0U(;|IB%o`95e@ zZ}2(J)A0O)J>7=?+KUVy$8QzFi;MQ$bBNDt3151Ft&8ST@L|I5d8Un@;P^Ao5`H^1 z_?VYR?g3o*bK@49$33?yz$!QrGl`4#8snlnCkeE8V24WAA6Gk0{j3~*Uj@XCvH=C^SEj}pGJ zoL30NK=50_SG~H^+(S9fyKG(W<+`5b;D?QFH*x-32w!5{$;qov04{V}d8WywC650x z$NT-wegnABXYr4X4o~LzJr6T{maa8ATut~32)~ee*`42a6TbdLqr<1k=(%%jo;99d z$NxpZ<+%alUH9YsQyjleyW(WRn}Ex@GA9-ka`$8Gir}&$JX0>FZ;pd!)^Y?Q#06K_+^BzP|iPs^Rx-?zA>*5)51aUPk@UY z$njpPJ4d&3{F+y<_)Wqye`R<&J<|0^!*iK=G#pv|-vaZ>%zFS=erA8O z``*V8eH-B`>=WYbmUjW3*5~v69N#r)^mqGy7vTZ*xU-Ay`)I>w?SrP*oL~G9!dD+_ z=eLjh+XuMd8Qf;{ba9Ug;l*L&9A|G#x%s)?V@dzR9G~&xHa7!)YH)Ip;W)Be@Yful zr+sxJzyAv1#Xq%uc?aQ-$r%51KgZ<8`Gh|gaM26vUfknDfD1q5J$_i@Jo!nRr^tEk zpEWwH-DGs=A$^`l_|ox4|MNKh&j1(x%(PA44G?}S;iJDby5Xb#?>55M?ql$8aQsIA zSNeN-%v+f|M!3kp6h%cZkh1+0Kcwe18~7J&$yP8s}%=-rqT1iIsPWj z)A+_kIY zn{{^P*WGw8-gRoft*h&qwl2s2=L0V5I?+3K{ea85R-a(&a&l&Y@KLWn)wA7rPoBJ$ z@Le1-PttnSCCdeCQf5Jl9yC=J@cB2w(n`Y1nbjb1C35&nWL-IJr8;@m=iG z;{5c#aP#xMx9{XUZw6e}8+_mJJeK_P4Z`#HG5R|?Jao{;FM9V(_Yq#CesuhK1aRTc zMdIV;S?2i6Tl0#Evmd@ic>ZzrT*tQ?gs*w~n;SBGeop_z>8V!{zWQyO-{JEK!WVyN z>vi(}SAYwi#jl$@AsK>u|Dnyl@+zC>Q9J;TA-vn`hk1zbrCUutxb^-E;p^`(`E$ov zy6oU~z~#B4_cHhw`QZNoT=sX3{kRBpF28F0WSD#9B1d{^&DU4_`tg-_y!pduR{{N2u4-&roHzp?? zpIisH*oWO4CVvJ=z#BM!#*5GV73W#x`@-D*-UhhJ!`JUsOzy#XzDxKb?;Admcn&?* z*0sp@l>H0G7XX)e{P*3wobXJ^_^Oxl{DyGJF4N?QI7>&@Lzeq(dQ}0+4vU#uJmL-G{+Asgs*sYICl^poMY$W zp?vPo0T(`5{zWqGdXK!#zjC6lWvE5yxj9X7jHR{%?eLHS-Gj z2j=Mx!dEDKLT9o zcD~{1^vkt`k1pDC@5T9Fpz}Z@Otz zBOJfv^`H3_$FH#-)zSI5ea4?f<~5xB%mCiaIphE20atqdD6ima`DG1ok#AjIUCM&M zf#!uOPg5P4c|| zE$1oHes=NIA8>s4l#M^k`OhfW`90q|zfT8T=rGDU{0WYK2jD{I#>qyvXA}Owi*5YU zl;Q8>OdsJJUOjW3@Z}d6-#R+a0Iu_U@3CES^PFn)yYX)zyqoaziO)Y0?$=}gl<;M* z4@q!|(Rtl_AII5%3mv+7Z^d>w2!;vw@6nF{F8Gf!{^|75G~xdH&~M^AjiRl~jlZ36 zzmEM2fD1q5X>U7z_#wyVpJejwateZ90513}o|RY1+)n!3|5Eayckl8cgs=V7_V-W8 z=NAw@%Jc5*w^6_apAG6Scdi>8f9lEh+z0TvZvtHD!#JVilYiknqc-5Nj0T+JD|BvZ~ zV<|VDN_gPaqb_kC|K8tgIez)xhQFip*EoKO@qcIM{~Yjc;uins)XNN?#pl~dE;l#} zaG~4s+l&rxp#1D{`e^DKSa=>Hx*{G)^itlM$t{p*}({c}bi zJ~lXWKhK31SA00&D$ieIbTF)NtrBoO7wm`dSw44|@O9>)oIJmp@RbkPdJl5^GdO>P zc{-=x-$MB6MqWq0nB%`k_}jY-?&$f*%c=Lj@C+R}$nlQ>T+ciGakr081YFj&e4MT8 z1dcBgzR@uHAS|Z;yPfcS#>U_67=!OK^DrKJ0pZ6N4bP1mjGj);oCUbxv&K55b4cf2j$fnScRuHtCVZXsexD@#)to2b z`?)3w|4WYV?js#I{|DUs|7QI2e>nc%2w%L$DDU|2Q=EVCJj48T9RFR859p6SgzyJH z(fIs)&%Vtl9CZtKCGGhF;8ORp$hsFN&u0M_e%RP=baQ^(8wd|hH24X8?&kp)dIp|d z@e9JcugdGlhjDz@0h@oFcEyQ=pAGoA{5$^7V>v!gzsZe%2H}lWqvtvN(HjUK_2ly% zfNMVD^GP{yr<;d;>76{h?})9dvGD|*`8%BdJivuMzFycv_~?fWA7{Vq1zh~Nk9m30 z8##WJc)IiTNx&6P@BI#6UwH$vVv2zPw@LcnERql|C6{L$YLzW$@+dOt?E-?!ll zgs*+T#y^nwf6c+Yx`p2nzPy^(k*+Vt-m8s2-{biyHxm9G5B?Fr6@T^zaQ5m~0T(*w zX>VLgd^R{f_^3VCjX(Fv#)s?7-#NW}CEzmuD%a)4mjT!L`CfZ>Uh0609$$a6(ckga z3pmd@-wX42ZrE=)&)V_EhwdCrU1QJn^ZtKB_#*2i96p}_T;%6kv!N?Jo$LJu#|I6Q zlaC<$lxvM2%C9y&c`Skq;JPj^-aZ7ltZS9`Ezx!314Kq;@*7j z9fW6YOY-f*o?`R(?-TDOeAK)5`WV2^!uwp-Sij-;c?595fAxEIvfR0NHs{HBba*Sr zFF(rY-%mVm=lInJ8T>fH|C{jcPuP0hJ(M$_YWQFGAcH%5uS9tFWrk1oSexkMfD4{Q z)+M?+(ytNj=R3X!xX{0w_F=b86P)xk^2r|Ck(0TR-Gr~uk8}B*YXR5i)=iI3a(sj1 zmrmHLGe4g2TR1-7ZFKlBpZg(>&#-S&FX2BSJb1Cqa|_`YJl*hIV!sP#|2&28h8LfF z0pVTyY@WMuo|h5c{Z)g%oOr$uaHS8=os)Z?=lFniXpRrNONLM5B}TVja$jBmxYW@t zF4;LM^0~Ki{Q6X0AvY5KZNiIQoyPq}jn1We+4u^_p8>d>mkUoZ_z2-Mg!}RKIl{ZW zxcy%OF6&+7`R(RBAK>_aPzi)6{@E6DA39)}Z4!G#w)!R)Dyold^h4Zho zp3TX_4Z;^+Z{rVh{GPI{xBCo}v|lE?4{*VA`LArelS?g*Ut`}SSEuw$z=b}=kJYKes2v1H0GD;GJ>4MhBtCyecyONC`8N=u z*KwZUb++CU_}uq#{5tzUIy!%p1Jx zCMNb&f>LSU!7C4x_79I7ES2!-qQs}drKO7x?7h5Dy0CD`@D)1X3HxHB$LpoZ>H1iC zx-{OdH(RCh+~J^7pJ_~2+tu+seS>|uK)xu|#t+M<-e96xo~f3`=VoS(1og4&s+Bh2 z149mt!W9?kL+qjMlRVP>9X+uUKC#uTR&w(*)tNmNc;=;RN80-bOQl-9Hd|}sV{f)3 z>u%R7C4tn+)3xjI3DhXhj+e%(t#)&+qMtLh8piL><}Tm+WRTDr<2Va3V_2zD)o<6)ESsb;l2UMgdv`D&>#U&v)PL)%UK;F2d@dqp-EPI^Tt zo6AjB+okC`wy9dGlq*x!(#%}DdbluO$PR7>%R_(f8N3Oy{V^2y@KjvW1{Sh8VyVAO z!EbxN@^BiOC|5w2`D&$rDg7^vPU&9`&I*^Mf7h?yrA;|dX&-4|15%$>AFo#C+o@k> zGqZCujk(#%7GL*AU+dncJ^*7%vISdy*_hkn%SwHEy4+63JKKXpUp+$PCQ??G9g2@I z{8GmyWrX5L}DRo>{pSa~}v;2Om1!o7^wV5iHv?W2a+1RKwY%}ux)=1dbTjG$J zskU0>$!aTg!^lYsDbF3MkdxBBjB!%x*FGnu4H|NswB?twsMIeVC#8Lv;G~6A4%y5} z>Eq&@w2;anDKn*xi*Zsa&M{6({eBZCrH+bmQtI~+Cw2IGE23v3PD=fCPsJ&Q8q{hE zLk+qC`rT!lHOp+Z3C&k+Hlc?r5EPU3=8;|zf`xfG#Qra`ts|{=b!O`yB%iQ9*UKNc zxuImCZD%Xh6lC}3`X;M}&~}E4VoXE-qMYHLL}km-+0@bL3*BPsEe7vs=1eLYTP;yX z$1RVMcDBzWwQS(QCwZE2D>BG-Y#yAxu$}PI07YRzY^QCqQ{p!Vhj+4qk(~_QH1pQW zJ-BJ&t;b$5(jFQsx5S{Vw}va&nQUdM+$^=5)eQ@8Ud$O56L3Icg?d)8sa`^E6Y;SmeMU=FJVfLGqS02A^4iaR%)Mz)0mtlEo zRn=xE>Lb}wu_!#dKbHmhs-^lwsX8kPalABNo}Q~t6|;S%t7aE!u*>(=XJG=1{k}gp zsJ3-tXlbF=o+`;KQ!~ZOriwF)z(Awi#Jtn3@p5Sj_IS0qc}5wX?T-zCz?!J*&mo-J zrMBW*oozRdq>R(&k+*`fcil0zO@8Y#8$ieniN zv2Jdl1ka#3RtKN7tIe6(tQgNza0REUP4T$04qBU?48}_{!Goggs7C8lY-h< zxmhhuh~FZWgf@wHP2>~W@kF1n+3E}!>_XYPrMO16LI+o21 z2JXaOR2`d}ytH1&4lb0N6QyQ#vec?w56+YIhr>py?UBa5`t;n)Y#VXnJ$C#Cywyfr8=ZX3D~uQ@;J_@{2{ouFcvKB&YOx1#Tehs)DA<%ZTf1u zHd}?&(87NzQ#MnCYh|p29V>?v9LB-G4i=}zoBJHk0Rim6Ku;zRsUm-69ZAN;*q2C> zs6ihX@R3IA0EhfgwYg_7o9PLh@T=o<&p)NKCd;PB;XKiGHW{{4Fo zrhRkyl~)uF?mci#%3PNhMn?8tQW#13s<^jUIFJIr0 zk+E~LHo7khxfT1^j}Ub9u|K1Ob!aX-7$2I;4)wZ4PD8LGCDWE=8b;YHFe+82C&czI zm9W$?9GAHCX-ceiEs+QH^qY zYR|wxt|x}lKn|#5U1~c`BdRqaDw$qSMg;`)YH;KTjGH$8QDzV;34g0)jep0h2>;5L z&7Axvi~lqx>+QOI#lK9AHm2ucFEzxc3h~57fJG-2;K&wdm%jdBMs$Wr{x%q~F?FN` zYYQ>GJ^ejk5B(EbPCmu>3_2|&eKrff$ZgSJe2a2J1FFf9Y!K4I4lT_zW&@amvn^Q}dJV`<{tL?ewY%n_p_i@iqcAz)>v%e?$Qy;BN*#n`-=i)&zgfjYtTkv4~ zQ!a*VPkgr$+?4H!meiYEYvPj~7)2&|vNFoG4ub*3&W6RAHaiqQa5-o&x1Qd_IR&>x ztIPGoKMh2i(i2B*AilP2E<(UoM$8~BqKPE|6>Tbr+bToE!2l{Ph;4^6UK+l#v>-eu=OcWE#oM8G#nWk)3uX-I5213~^SPcM|gkF#}{Uh@LL zAuUZ;XOU=T8+WVT{tMh>PX z=2VjKI_PixHzm^M4q_jN~73UMr9@3V1JzcgM)p6IXtQ#RDp@oFn6Yksp=KF z>#c}G9fNW&vIB$IIFL1bJj5WRo7=0bWMLybvx9>P-XF^8!WFw*CZ{}LAF`PQNwR&3 zk39*&lk4k`P1E12C(@?rPaMzOK$6e{HmeOCFd1PV26J&dbAwQN(JFF7u}Ab~Vw3b{ z64I?VYXf=kdUN8d`T81q=rDX9r>ljS+-J>AA6>i0Hc@_|=Vn~FG(%;i3mbpITxXp7vJwIBi*!5)YXVs;SPZdQl3;%gd&;*`LOP7H%xCV@zd ze&|cF4E7+Ra86BEJ}?JsI;0)!hWJ+GvZfjASZ1L?h>X}Ywf3H&epv3ah}??J1M&cn zjUkZ}Ye5z)TNHqFFnq>JV@E(+x7^%dE-n^fO2yB5PtGKPKEEfI5JNpVm`loyl=$)> zW8K(N`+GByQPAIKb_AKKAJr%!BK`ddChQm6H=9xIj87xK|`aNU*`=B!hjh>kxr;N6f?uw}z}Xlr>dB zO2PJxIB!VI@MtkZJ>UyI(y4SeOK-vq9_owj@KB#w%kBw%Fw}ASl^XsuL$Ib|4;<=` zm;w4P!It=pQ#oF1$*RN{RGDdu3q_SyAkBz?Ew)1{LA04eL5%P@X3u9cLqlD$k5_gfc>Dq26==_mhA_bs~%QYRn@H zs8w-fN(&YFFMLFBYNc@m;oAlx&ybPusx?B4U?BVj#LFb`RYG1ET57`Bxg^Ob0Fe!t zL9|Xy+j%Hr9hiY>c-Y>owkyz1)K4kCscvHugE4Arb`$))qy|Iu> zf5P|Z$4(=}B4z|gqvd+_BeW}^K|-$`k3>jPHID>V!(Bliv^}uUTJ&Sb9+%$ZY@v#7jt~O%12nVU@jAgEH=DCz+aeB4NO&>)F;4}d6EGMu)4h<6E(t|!!p}M> z4oD4#SnCi5_h41Rp+ctSb1or~NSdS^r4vsd(J&u8Wl*EJ4o@-<% z6`o}iegsagc!J`ByN|6Y7~tR<`_g0rcgta5Q89QTn!7+W+%N>z25FB?M-WJh#0Y$r z?`P}qLFdc0Y0WoDfmf^g42Tu}1u;_sN-g9Kk=1F#g_swb2S|Cq0h*n!HDSj_#E-?n zVp=Ab@ckrkYh1^OaP;$D3&w=(8t1=0FfT^xj`yQ%IQkXLu0T!l2^>D1Sq>O-)>1Vv zVc)2GWQVkL9f~m{HXrDX8;_bzfG5SFVI%{F^IXHFbW64D;4D9YILXieA~$gp0oKb< zAWyW69$0K3*N2E-wUIGI1OgNU(i=e#7E3~g;A#sb8yjPgTny5qV|vOlP_Kc;VxazD zb`H!oCCR_>+9Yi9Y%ZH1Ll#Jd)Xs_>6OlU`*_(ZFi!hgn4)wqu9GfoB9%=>GSDSTF zLQbwEBt?%+=eArn7UYLgGkn8OEyQ@j4-jIt)>J4bgCpZpGY~Pgi3tlmYvm?0?s8Z( zDT*V6Xm9&^hPu@!tWP7MeZ2rBo#Zr9O(L+Y14orQoU2|8DK$lqajYH!w;~u(ap9M= znTC?=Fl6BkW#jBnA|#BEC&IeOUg^2U^Wj$7XU$$&4oi?glx-ZVLr@8SkK=6OZ~0aZ zILM7Cx9JT1G2{oh-ToV&xP83_9BQ+5EJ^#E)!+wEKq2?*4%@TO*Q~& z@Ia%o1pCGj`oxc+PfJaa>;bgd!uTFUGY5m&3Z4v?UVLFpAZiTpMKLCjofC*9NChsg zAajk(vRleir3rbCfpiyzvI{2$4nXF*8 zg%GKne)li(+o+p@Q3kPD2YKW#gw#Q7Spuwj$JdMW)PQND?q%R)a$ zhF=v%dP)ak#bpa)dI)3}m2z>fUzG|FTL4!!;IpYZTW~`5a0}Dn80gabOJZKct}viv zbYHe0wS}NeZ=+mm4qtZN6e*$QZEie9x)Nn9s1NlbCbMi+sf+2;)JUPYAk~LL$!uSg z#L~KOqLqnuWVo__EK_hup=>xRpvw+IKh=bzkd_h60&1q#z+#tV3&zrr8YyEJ3;oTp z>SS$J>Y~A_vy<0J8WuLHy17z_F)Jd;Zik{3YoY(a{60x3HXB7zI0idWKy z>gwB0w8LV{1+Un$5A2S8I53hafhZ%rVEW!tabct%fAp6`r^|f+RhPP_t!zJp1_Y4! z3F2eaCkpr>7#Qd{md8nx64EP1YT)f+8n>oVD`<_$LWJI}K2^tBHh*v*Cg`#KcH5oy z^Gs<34+S06@5bIj*((xTFMeOHAXUO9O~v|>>wtO|AwS$Rk<1gxk;-EIEs4Y&smzZ7 zRYWM{_9JjG^^3gVR24#75B|2!Yro|u?ZBdn+HMv$rFQ!e;}4i!?ld;rby)f(2?;UU zB9G~C;IPyKmyP7WX`ROnfnlZUZE_Y^%q(E|!6(Hlx#jm#+HE(vM7+vgZ2v^W#OqjG zzDjHZ>NQL-<0|J6Y!u{La1(Ht(j1#=kK>*sqqTuTP|I?{q5fLNR7a1vw#OHseD2O#m#<=b`YYj*Q0Ea+e_O0zhTHIIm}5q}@y( z(dijQ$QeB6AgDJuh8wC{z)I#BPcn`v%bk-qQeC*_AVt_vNun5_t9s>MMZU8pNRcNC zID!zy`@%v#co8sRVR%vN&b6$FSYj_1UA?DSo!DcA^$69WdLF?a#G~e6Vu}cAwhG0I zL8qhK+W@f&ZhkldP6`aV%Yr*(ylgK7D+pRzfQTM1A4!q>vUiEgA;p{tAMBStb?3%Q zWFU~``%1&GZ?pZThT38rsy_mmeZ@$rPfwRwA9AS8*Mu!CLJ=NeSSa0a8`&NN*Tavk zR)?>P+bdWK&}5CIXx3jh^4 zk78hMOV|!IB+5I12wCAe9>*=UWLCshqAS*kf~jjOYS6>9z4lTx5NNI!&9(w6GCDM!nK@CA?Auy+v`0OD4iDx)y~iV2W2K zR?e07YU*?f1u;z_!veM}Thc&&ak{(++v?HdVGa}Q4?jNVEuS+YQ5aY#JPl;+wKg9$wg$&k%O=5uH|%l>V_AmSD4 zDe?`XNJZ>ScKQ|(h%vwnp>vFYPJOynfa|5kU&L9`q5#4IfkKO^pcVk9w=6kIRKjS) znI}1$W9Us;G~}}u{EKR12-mYJFurivaApQuUWU0~8<5mm&?ty}mPESpd)%20yBaWN z;7kxX-Z1TCu!|L_=clq+wxisJAz%VCU2Nd;W{TJtsZ$~dhmGL2AP68qZ8fM6whCsn z(h!FLq%cA_Z+o{}By(BhmN9O9Xan~@WJl&RrP*9>Nmyn(ht{~qxeN(*1R2bX7sF4Z zBx!ba+Gz_T5um#2hMk*^qEYdy?bt;JgcE^m+#iTxuKb^ddD>Cko{R@f$7hr)y9R*-hL(L6M^NMcs*R$`K|Ra4R+DKNSN}@ekWA+W#ftt1VU^9M;89A3)UefAvRusc4uWPB{0-T|qk7^4nWD7Iff+gEBPx?@V zGOY%56bDFz0bpq2SRuS+0Y5Krl6IJNp^3wl5VIB!+@v-{mwA?@nK7ldXgDE*2nUiu z970Jsr~x5S2&+Q1FfPJwn(DHjY|nb{gY}Mkg^ciQ{!QB1H?0o&joWi7O5qs~g(iz_ zTr9Am5|O52BGQmXM4k);(iMB++Lcbq^8RhG8_#|em zJZvF$8j+<|c|zcwg+qw-G*_8 zKU6g8pR~s|G0;K*yrj2?g}}f^`xb kKh+nKe7KE$X#kP+fbk!hAJW&1FJ|cUrF#}DVCp55N7wU*Yw$iu{TZRzeIVw3QFh{U$EF?qWZ@wPhYy9jr?_#%v+ zX;Dk&mf@IANXbO7P-)C+K3)lw9)W|9=tUAu8?31xlnm%%UD7%WEj`ivs61AiM{PV5 zJi@vN?nd!02pY3!QDs@xEVZ~)Xb&32D=hFXQ48=8$pyuNT1mt>U^dRl{ctiXW>Xy_ zDLxKO22?C0BqA|8lqS#9&gnSKyC?J3#x?Sv7ioi_Dx#o>wBno+bZ9$AiJVCli-7)^VuNJ2D#)JLR=q%b#TJX2}S zX*rFNq}3}mQc7z%)l$F+AK1DT6)&Ejcf7OdsHHs#v8y()u#7US&gqs4s;&K5CqA4% zdzm`4;_MB?(iKenOWHpX7vIL(!QnMoS0aRrc~I7XNUSluNF?i6prJH5N@;~Fg4L$@ zOpC%a+vf^raK}t*jI`2*W-09D`1Zz}B%xv|8>W#rC1MEoQaG~?V*ppRRWwJ;6Bhf3 zz6gyO9)-vl?p>IolG9LUFjg%smpN z;LZqE(Lw+Zzl9QUHO);36r^8WI6X8?rGF%9wzPAeil+inrGg35ksU*Gx!r~4RM?~u zCFbHfX%o7*pfu9RZE-KHzzLpin5UJ!2&3OM3ToBah!+am#Ovy;r>gKK9b?dLt1=!b z5x~e4iJH}f5uTC4%4us8$v$o7X`~Vmtrxr698BCP0xzJ0ptNP>8iWIqW=CiT#2mPt z+efKhVevAQ8HgWzp?U9d<%h?LDApU|nqk!Jv>ZmUl$}6Df)yRQVd<#mRsRhO@C;>1 zT!~u?v3__0$uLNA*k!Il%&a8g=O{=PD^dY-ckm-Zk4%%_(H1*yO4}H$tm78T7Ni@9 zRt#x+BUZ&4o~?n&dVL)2Tby3rau&z1$PP$AORwEKUqOp>LQh0^mth_kl*b-GV=Pqg z1KEyf(Vgs!KJ=QItBQB#f-rJ~wz5pk)v^Q^lIoh`8bf0teV%3RG$zQ4crq=>iIs1!-U_!866bPLn&4iRBBf7Dgh~2)ZQy7{%lpZj5?8Rw{x(2apcEo z{0PmqFv!8H>6rnD?Wb(MzMT6)1j36==b?^Y#kisZ8pt?BI%Q%N20J?hx6zeVNnEE zVp(FhsPf*fn_^!0Hlj@joTWzz-|6_n7|}}1j*+P_N~l?BB>LN1iiVtGYCJmk)`T`z7jb;aldx@}54pe&wy>|@Cs_@zDpP0=X`%=x%pSaH zQ5J8Ju)CW4$*Kh?1nWbk*BlWCk9ts!=r#le&=;w6<2>Gk&u%#rUPgtW*8H-UzSz^vcEVb5rb6F&02G& zbD@!rQ-X*{CBmvQ8HVi=BHXcf!Sg*wQk=!GBSz$n=6grsut zw(6Le!WBffYf3bpA-e}JN?Pn=0Ufx&<+WqhL9|I~6{w9Nd$-Fd z5AHLBwLhi#TG^#YwqZa?K-3E2I<5iw1LaCgpwcGVbwI@IY}xhQE;uqtp+94WbQCm; zgtF7g&Np+_FpSNn31cVm#Y>7?FY&m2gt{`*cG=Ns6W|xO@q`0>HI4dvXn!=-hEkN5 zB47a;{3+vllic#sW0T_rU`;#0SOk-qv*x@#gQ>qHCX`z}BOz(4?`w`rxERun(IK=k zMO03D|3-Jf5;?P8$+YOB@p$)OU@Mm)E%!2B)>l6ywtQ!FBh#oB_O(WufD-z|tsZ$J zTT~h28o~%ufGP;iNIP$B1cA%a2yPTnVupe?y%C24f4rB54IKX1*M5=Y(8@lFg>AZ~(1raAzOgPY+aK zxJsi>vCUA;;@Y7iQ6+url>NA{I@u|0Bj9$q{MFWB5i5RBTBSHnQOQcIw}Ll&H*C@d;Um!& zhN_dJ_$`ufdhglU&1r%&Oxa6N9%e!5@L+$bzgMo#PoNxg${Z%CL_u$)d95Y^9x^zR z+IlrHB@DCRt^f#=Y}QG#>6^j?7HrsjC82^qUYvU+9CfthgVZ}k&TeO00Z{*MGXaRYeqEnL{%wv z0s2W=q7WM|Bw|NEq6f*qfubI!>_w=g8qjIdM!O+^jD>AjlLu7GADo)SZNs=U>m+x= zQP^24ot<)C6ol>v0#8?;Us$aq9=~+L+BMNY142> zTS_4{IWvalf3aI67FgO{7?lwMRfv5qwczv4tSjc!k~Au zaBLf9k_#otgXL)XC_1TU76=;(bTDjig`kMy4A^dc?s|ay)EZEU=*EK%9u#rMgrK|8 zm9{&IRELN4^NzgUkO%saQ-_$jm~V*+29+Ig!EM1M+$%u833hG~d7&qjOpQ~w`?z`H zYW>ySpy?-QM%T|=M>9koARL_J+oRZ zF}_=E>%@XkqTVqCIUztH97QiwD$=?+aZ?<}+uk5oMqey73ek~CFZn2UZm!>tv!@rl zlMMEA{j)XzBY@dt0gyIogn5rbxjzW~%fzLdn&8ARJD;FB@CMM}? zWxEjWdIH)u8_*hWP5Fo;6{aSRpF7VB^+3EYC14dbC)PxTnpzjd51-9 z_(U8Is0eT?Uyg>CZi6Ji&{_$-^QB5=HdZr$puN5&QF|F-M#uvxnvMmrTqUR$g}^T3 zW1|{Ek|eI;6A{vFnL#Fx!2Z&#RvPMS`#U)#)Q!7L7WYZ67%s6pLGgwz*h;Yh%t-6x za^roT=v5@zU3?zr)bc$k*i^)PTzS0sZV7LxQixwKsff9z{Vu4cTZjrufJ_@_m(Y3- z7n)`y*uQnsX=e_IpL9xVa+Ri65sCyW(13-=!WyMBm6fRp-4d%zCKy~QDP)7Ni7wQX z)~riq(our}x*iygtS@) zh!$7+hK%`P)Z@d1fsEN~pP^%=@fDVkgw~Q6fj$$^##>2|DfLTEzli>l*P+6p(WI01<_Zfu>akl}RoSw- z)T@AjVR$KdI-RvxftqD{w_mC$VSB086zpRje$UQ$-DPXR4QV0wxLqq7-9p7CJUclQ zk1SJV{K&+3Zy4YK$esuGs ziQEB=0?U;X4RNy}RbfT~g<&0Rq9kRPfx%6-0U`ifTec}YEp`BHgs?$sn%1(^EhIU{ z`!N^r7n|^gmX%PVV{MF?RJ^;C;F+W6PsFkw6t2E_c)wg~NaACTOb4BUJj#OBlWDwP zyc}c((KHrPr2uyss3$vVA)XVj$Y+;&O>)3|p#+LG`N22nr!F!y6cf%gT>!`z6G90I zcx(=Di8_Le)4TOR3kz<|;nHYZ-p8Pl?tdXrf1TwMBK40ey`y%3Es$)!3k0Qi!gs`pe*fF zn93GP?4l`VOa*N>gEtfwl!mrJHp99~U5qvO~!<0~hm*y(1oa`ZXEpD4xI%4ZQ za(kgX9bRho{G2r6@DaWaN*gM2KUD!?VG`vMLl$qDmp5S8tf8Xbbd}y(Q>7SmPDG{Y zKpJ%O$QoF~d7;g=lCnEXVMrK%m-YZQl$r5~M5iac-KqWl!~4M?kvctbC(#*_(E&>D zBN+vbW7jp)wydXJV|9haAtIL6Fa$#La7a~fxbi!_kQgde3y4FE#L^n_obAhzWbe46 zCA&n+B+F2pukIKlZIxRxrnUf)82kkKlERb2tDsaWZq*sxqSjH2n=h7jL2ePSyOpP^ zt;7zock1ws)DY3Jz25TfVHgHV69>5T=J=+gdjTPc(BL7Jue-TjE>;hcvP!~^52CMh z)Nqn@iG$m6#Yk^y80r#RkLr>kbz{I}+mXXgY=cEZYfNNEDubp8l^vB$m|L;QtW29X zEY5coDIE-`z9w^IdL2u+47ZNlC1X?NSRRzIyfQB>sAZS;wvKEKAZ#@@3@Rh;AXoeF zmpa2DwZ*>0O_y0Tgcj3XIU{XBvDuvz3FBt4$`~aU2Hmv{1FdG>Ln|^Vv9YxHP$zF) zo2-)%yEc_35LS{~y82F4Kj<3?S9ANNbt2DM@c8I9K zPNuoiys#1`KEqP{K}mRu^`_>uL?I_<=R%ucP^x9*S}Bd~M3};Kf(!HMEk2MN8vu&P`@t$x?xuXq=j+oJ5fF9Z+g0qf zs&S{wFZUIp<_CB{9f?s!*ReEAqU7zMlLht5l9$5b>$Cb}eb+!LF=F=e&W^b`Ih*V} z3%;6y!~v4FBw9@`QK_&K{g$$RXDB31_IOl7gkZ8B+yXfeqls55m{mrS^EwjJzi3ck zF)H$Qs$`*Wv#b0a%=4%vi3pw!8|}Ixk z_HV^+%V%2KSZ6}kCt%-E^4y`BG zofGZCcF_L}XWPX$-8E%2xC^7Zd?ieDC8%73;dr=GZD{u*(I4!*BRLl)uGA)x5KW>m z2)@PcD_A>A2zQ|@9?t7C6CS&-RlYQ)om-J6#5GNa8-&6zJL7>v(#^fn?r(A%=AVLpYIVTbYVEQHU)PWW=voC}6aVS^A$R>Lgi z6EN_~(~YTeSf*E1I|-MK4vv~WNm_OcfNgp8-il3dCq?vB?EafX_Fb<% zta|(55=E+mT7}T}OZ!10FB3alggcJ>xnAB>T~I+HF_*z*m<9MA;hO~DlIw{NMV{Gm zs&M}o!ad?6s=y8Xb61rO#f6}^zQcIDhRhra3??M!C=`wtS_rkk%%S>3EgN__(Oo~S ztf7-P>SDisZ~%c)i4Mr=)Jv^`O?bh_g)3NvmS)q$-4Hnu2 zo-posFYKt{8eO#-Maf!ONQ}zDcrc-YSc?C2UAxSa;2b+rI@V;VecFa@O%mOBgr)f+-0f{&ilgW$0pU39`hT zT{Ky%$-7<}bp!$3=nkv%-#cQ~yy6?Wt>rdKKyX7Z%sSQOVu5+-5LmpG1EQlYBzBTw zvKV0U+M_7v^t35d$h^Ms8v>%k zL&HJ_c~OHkY&)vSVZAMtQDYP{?P(@~-9yj?yK0d^5jMDUa75iQFu^WWtlk!coH?qf zvEV_6rK4yT{VW`tIcW$>&zzOIsk5V-crmS{^@u5VWO;{l^Lw04uP#(-xR4>uB8+4m zVp@sdM-*+7e15ZviemI@bOrF>d6qCsA8BKG#MG`w30--bFS>Iq#>J*qprE4WC>=99 z5LsfgGq{q4yIbV1t!@gyXGdM71c5wDfQcZanIz;A<(Z6DkwFBnDiXXdUhmFMnhXWU zrk@>C<&h2s52R9N=lzgjfrIC)vn0-0y!EtsUOQ($*b*ol$AMzPM#v&Tao4EFnHqBB z+)J3yHZSBIi9~9m0~$$F$Wa}1il~-AP6kmN*ImfMv*C+T;_fpX-%aoC(sC%Vo%BVK zb7GFO+S$D?9^yfs=kQ?lL+xt-z81D?YvT%nT^o`+MQGis z1dc3iXCFkiyrv1$y3-$3pNbp;tEB{owT?zh2Fvq}sUs~^0^+3!hKduDofhogSP6#% zM_ij|>|XP3+N7BsZc;q7<`<9mHp;c;@MYIc72w2Hp?d46f`^WmwZ^JgvOBCgAC$5& z7XXKV3vX{qaZ)SMxIvg7KV_lhRSijB3?~ z_98mFSRsnd<@N0ilyYNBEPB*v$lEg@&XW-%sgP~g)vZ)~lQ%~uL}Aws=owW0kG)e^ zuQIyJzbJLKR>;nQGTEmdltW102WHE1s>t5nBBNS3Bse2!E$*S)CaEF4C+ z-OT~g+g)yjV~shLhBy;ZM6gnTwU2V=`mA2inrPN%w1*Ae00yNu7>A*0*Uxt;WGbojgI-9+HH& z!x9&Gc3#aTeZdZn#7u$oIz#6OB($>?ucTh-0q@GC#OY~~$S%AX-MN?QoVY!eyziCz zPG3xj?6Em^&fBexn@OY3(yrg`S$>%Swv#~{4QQmFLf9{2?Eq_JMeG%btkc!)4Z}Zr zsT3=WnP|o?C9OJl(qcP8-+A-V3pC<__vkk;!Ws#X#{$-xA;77KSrBrm3~6En!r1#V z7N$2JMXgY5g1$J)y`^4{Pz;^43huPCGZfyoFI*?w6~6spJUS5|opovVH;M&}*rmEy zTWDeE3IaAo4dvO}Z`T%afbixv3=p|JWGO2#ty2`fS6~3HO}^hWtX317;WEDBa2NF4 zx#uFPBaFwXZCc;1gG{*xQPaUd?L$45HOsP0f^*>blvMvqk5EE%hujdqEH^D$N-xV< zSHMJRek}UtS2#p+5!He6=F!BvE})|c#?9rIls-Gr?VY+@s9iB-hi!FC7@dtN(=Soc&kuz z2I+2H-?YwI){s~HdiPqB&F3sl!VDq=Q=Ug#9195fb`nZI+}nIRy{cQDYwdC5fXCB) zRBT!QqN@1n*?uic#5G$~_0{pts0mFFz&3H_(l`Uom=tcc_SFkdUn})&OsBdOVNtDZ z%`Rhb;VEOy!p?&hjMNw_YZ^k6lEY@V0y!)c^{I(|td)-aSe?A+6z~c09(O8g*I~$T zf}LLlqtPJpbSsTT)olg8a=k+;3Y;+8NS;`NWxLS=qt(>-*_ zlR+qtBW{X=Q<%m(g;R=nWun&HSP3QE(kKdbKB)MWAR^w;as@ugeMe4#P*iJfTHfEo zru%e!*m}RCr1tuGf_p7|*ijs-5*In0yyO~`CJsyCyzHQu=ezU}6aG3(d>5C-drfCL zR@Ee~QM(!;druKj+LCdl#!D_!TXVn#(D?xVzZfq>M@0Pg$_1qqUOu5=3x3YRNz$@r1EPbyN`3 z)`Qrx$4eBhI@4$$kvspQY4Gf+1-uSdeipwOwlfP$A0hWTv}tULZv;yT1*h~w>-*N{<@0a=Sp!K_fE6HT~WFDsG^Jv3{<>fc zdIy&w4!8NDH&OWzK=$hn;>vrMmL$(i#QD`uu{evUzx0#~BDFawh{9HxUhWX@N54w- zQ9%*t#wa=>TGk|%7OC-S7i<(+3+98CHu>XqTnn34D_b(FX4Y%kb+dxk3a86I%p<_y9w>4-gv@x#(gX+skCrU zXu{!r)w0q4>(M)P?TGcXm2C^XB#jQGZCaW`sgk&p*hH(6E!k0H@_xoAQagxIOT)^D zlcnXF-DuftKw&$5hu@7x41BW_PwNx*xxBhVlJ`NaUTGs^CRk~o$no-#X=#>ee3>Y| z*6LZ?vB~K=UgjvIw;MmZ^FKr`k0dWgi8CUx*U-l(P0m$Y=oi&Qrb4f3>Iro>3a9I{ zlaS1~^aoV}KA*L|yh+=7XM4N*w~j+nCPVsS1Vz<=O?^wVi$QGd?fEfInnH4JheACi z+vA%Wc1E&2?WsDNEzXYPj;>jv;&NM;i74+ee;}p*T(MFE?XTzL;o;bsM59i2K1r;O zXp<(EKBy(ve5E8Wu0o|6IWJK~7@C99=v2EzVDY=eZ`-*&2}jGGZVKk6$%N=t0xkhf zt6Z>*S|+Ag&rv05T;PQc5M(wyiP(*6$$1j9ZN#M$?H=a;r~S4V#2 zdqD$mg5=tteg|HO3)sPSGkRs(wcBmWi4z2Ty#glJmebl0#`n)^QNjib?M+QLHyv}< zOZ%tVqKjVVJ&B;jZ+K^OVre95&HQ;{#WDU>EmuwqJE3}5gu1q~-m#bLY78BbT)Zyr zxIoG?85jCdyXoyLIjHICMA+In{k*0zTI@9wQOXIsZBtFtZf08CcDpU zU%}aH$E?i>Jl&((AF}9pYDPK^hE2y}?^DD9)mmaL^8ybWbH`l$G|qD&Vo^eIE6J=} zjgF>dPa{4PS(7Sb(}d9W-!5-461jd3-{_-;`?#GvURy4jUQiVT9%6jj&ppKQ|`t2Zfi|VQ1_lQ%8(! zk#gE;zcDlJoHj^E4j1g$e1Sl6bX!MP{6v#13Ff)IZAc7t3&kHTcA3qDp_rD$`Vt8S zTy~t5pjx8kKpOv~?fd3H!ckYSiwmM+IV1eG}8Tx0;$LkVuhd!NuxZT!WbDI_^Y;w_TJuQFV!z zGNB%Tuky$a;w4JuIRrHEP9=7@P{%WNvU`pmh+a&9$ zL2axTS@hsPSoCVbY|GD%tlKnQU=n95T>v4t$!81ixAY;IS3@^xJ2WAyIUhGo4SJ1+ zMP?*!AgHq(+n2OCz|oP{wGBnRv-Yzw?SC{rf@}gyqWv7z-QhW{QW!xMt!z(C4fSGW z8riK%tD1nsZD3zp)N?pTmGW3=D)VJ`BotNv`s5vKN6i;B z+;+xyk4yBp&q{MSGh?8YM;FL<=;b~R{Z_bz>?Vt?1J@M8o;bz>3#@MltLyqJU zKm#J?X1m%_LEw1@ci$zmY6BnlH1nK8?Q6ZBTUqa=Etzy_tRKS){QR!G8T(kqNLbI_ zl|cbJ;E7h3vft}v7gd%#Ip+=oO*+DuoD}CR6iy_hT+3u%x3j$o+2%KISQ0F<3jgOqpX=~l_(1}8ZtfV_KBvFi*#2Oo0Z$cR%2x7l zC2Fb-PcbgKcCeooKd^n5DucDD$DPMj8FN}kalU=JA@PLlKn%$XMg(Zhl$h87>-;;> z8xLr__bQT!{@>O+#{7Vew!ZoMeneZJu!n#lcTBnH60ALrN#QEbI0_z;W2Dm_bu}OM zR&kt0C3K<-9<7;`BZ4+v0{f4}UR}Y-r6OPxXR}>MzTwN&{Y^Jd)j!hxiUupSPL{Vc zFJbD;8=>PpSmJA|L9Zh?G^-%iiZfB28n zb9=EyA@rPZsQ=P36uacWa-*)k4B10%IRWR@1@Stz92CP9Q+(ZAF7M3M%^p9kS(BtM zMS6j`WI>rWV>gkZ!Qrtnr5$bHg?=m(Zh-!Rc&@~PbijQ-Q$f!1r%tO{&J7!O_x0+A zCOQR5{ww8!PM%+(Ur5yQnk1-?VojnDZ>Y?{33b0o_S2^jlmvMcO}HxJuq1Pwnw_GD z5M?CfqqHbzLRN46l6V6}(K~k#r*FI2p~P;Hy~U;1CueFhQrW}exAYTy_b|S1-9yRM zH!rK@BGurU5g-O=Vt^QGsG`#?#CO=G5-rPNkHk(h-9Hr2&L{+ zORITMS^bm7;D5ixCf{hlOOku)AW7#*BqeE&a3s&#E__Hjdy6Lf^7jdtJKv&@f)ojF zRf)V#yrW9g3jp^3;d6e9!F0R7jmIR?L9*JuwaNz5EtDk8F2n0DvW0M=>yUSMR_>^n z&N;R`>o)>SfXYh`HM-tQWVo00-(Q;HRC8cQ+ z%bT4Z*xobh*~GB^Pi=yApuGaTm%ODYjc9621bnl(iGXnwiCem=0N7`910=_(LVeT21%pduIyV{7qK4Z&3 zSq$UsESX=;wJQ7rKfBg3IM=^_4Eu@j>!soGvKbQl0b*IPHw#ECfEjKOl4QYyei0cm z#JV&pxxm4Q?n2-mS+U&z&_E0VHPfnc)RL)YCe{+R(^h@)G32jR-T)Ji!ZNS3`r(hF?eYx3se1 z{&&qm{sz&lW3H;WbJ*3mq$ohU6@{lmvPc|qP}WK-vX{ifZb&Lv|FG|}F&^pk>yG{v zcfWXQx(UY5b_PnQW2)mn-^jZkn?QeXHwf{#O!FxH)HbS)TlMSw_TvMz&GS13Dae^AIu$Ez-t($to!R!^2RT*7CC>g@Eyu<{F1cw}b;)d(c0ee9P=f-1{EVfMR zlPm&jErJT-B~^zaXY}88lW33_<=EOU@(j3stt-YHkpuY*hNKKTj{`aP$17gu2<`|@ z&=LrezOKS{m@4WajwoV`HW+thX)R>(B`drmzVe-jNZv$xv3+DQMQoH*4D&57j{^a- z0lAQO4p2$?*mcv?Pv>KZwMMwbjE~Kb3thYU=y9`#UJ!g)FYM!&XY<8^9`+Eq5rlhK zID~ES7#Z;Eme2hAVYT>cLj^*S=lojEJE6ysp0%X=sBw|D3D<2)Cm>T~s2oz0&?Xn` zNv_U*ujh1)Da$(49Jaoi-D5tNMPE+p(Yt~1OjW#`L5flSpwO*?mz5b*fkn&WyuQCA zelXdxpZ0}2%DoS}&nlDXExGp)m*;pAm~jbLOf&3J+?~)5YbecxzD#1>7CV^%OY20U zXfAA|I=hz4N$H(O3e}?-iko+J%0pTF9{ds}>VxEfOSYIBDX5F+ib^%EamUij>R zPivhLnjYyKC*u>xdJBm1BLZY^UCFfT+7+e}T9gOO3oihb40F~!q9h-Tn|ws~bs_-x zXk+P;4KLHKGllkbg|-K8DV-Zsbcy&m1m={NILD*w*4s`$_L$sYS!sdabL@YbD$9qH z5Oug-XWH6VYQ#=~9c%_$iNc#1g=q0<$*?Yxu-i-R&A9To?c02y#+sTaTUD_k%i)g( zV$_mEi*1sAmC^qU^GC>^G8$;jE5U!KyjPHq(UZH?C4D?<=Z$Y{a}r(J4Nb4Awz}v2 zu>#K_VP&ullX%sli!WbhcP!6YQ+i)9obU5PYW zau?(T6jLiLH-DXSxwKT!Cy>*ddO3WSPdGtMaB-{M?-*g_L|HiSyHV*Zex)Y7(=qUs z9oXgv^$n@A5B`asy0r_o0sSw?e7DOR56)eNpO6BNJ-{13g5N;E$eqgcBKeBl0M_iw z$fuJb8eG0L z+qK8dnU@> zcpmAbTAQL@Dw~)9>&HBO=36?@HDU_}GVLWmx**x5A)_L>AlRXLA%bUkhdZfp=!-~c zGBawuxn4uo({|EMj*&l78rv*v&@u0#vQL->XQ7gCR`&ZavFS?De=_t8E1_u|i9K6^ zso^`a%rtR?VtDZ;1C zC5W-i!?~0mE1m*~+kHNeqHWbl#`5Bu3x==Q+G@&%_P05N_{#?Iz12I*-+hA_r$*|z z|L;QPd*<91Zm4LP#rrCClR-M{*s9W9FUnIK+Al|9CUB0ob9=w;l!t7)hJdJ;u^Jib zn`fKVM}&1D*Q+zD;eHKnU?K970TW%#DY9YX05o(+syizUV`abWJmtx+K+Kh03e( z=D#`UW`Tyq>lW7RY+LrT`4%0t%6-i}-N77D&CCFCcRMOAY`{oo>x(}b2x>XBtxrhG zp|hVmsKxYkU8*_VM4i^**qzI`B)d>K0cL?ID#m@5}P;gspOv(*0(9 z)iMgD-KM_iUeAxv)$IAHbC%Y@7+MOmQDc7@OEoZFXuU?{bW4;>{Wx>MqPA!a)Ane* z#F(LxCjG%vFz0gbBfc(p*iIExyCvB*pnRhNpExd5ZO#LZLD^ zaG&Md!r?^xhU{V3|@|vD~9=Q%GNOH@4jSgm%4{Lte29VAl8sh|SB3 z2*!X3)pT;wa5HVy>c^0&SN)#KR6+EofNNL--wx-YBKoaJ5jn8Uj2gP>JIn>N8^I}o z_S&EvI=uG<+|iiW9(6Vqj_zS|Tq{Po*nvZ8w7#)z3ATG=Sz~}Hlrd#!MSv}V98Q@+ z0dnR)COP{S_|sHv5w4lM5{KJJQ^un8-5njGT7;~$UeeV83eOR(?b;+s9i=8o``C+W zn6o~x7=pu8C6T(?3P_t})(Vwp?`JBlVg z40yrczibt8KSNTr#t5OPi4Hqxl}%e)q?CQx)`lTt!D;Cv3FdtnVg*&3?K!d>Jy{mV;RfNs2rtP0_npL zcQXiUPVmi5I;upSA{cAt0sw!4fCeV3y5%8j43)-|U9WDJt-9@qO_Pe&v1xEM^a7o~ zo+-073DJ0QBKJ*fT-eeTb~nFleLVBG&h>Yyh7|WqNJZf8{e1}59tn`f_86G9=T&xD z{Wk^R-Tn0^N0^|XKlCn2g*X#)m@VCQGNS9%zv5XCdR&2{E?dq2XI=PxrY{x1+R|Ve zG0cse3&*!z1LcZvja;>L=Ha+3ZTu8Fw#fJr%_+vG@ ztFmHMQ8@eQ^!E>EKcD^h!-um!evg*yB;x$`$M2^fet7>s-+qN6;1yD6{|SCO{o(vS z-=F@*bHvx{5eZ&C8cdj6gke`k>rzLQYKE*a!r-1N$>s9J%Zxqp&u%Y)&DXbSfsXY4 zm6J@9cLdu;NM4ow_SRnL4B#jQ+;#?ABJNzM|jO&qGc}kuDmr z>*$Rz%r~_?`&>Yul$x5p#S)=g@Fi6ywM^*KUwHBrSBlc!Slhdcme3~=Peg+yv^W?1 zbTi-1j4~#3+ZoVzwo}%i+)R|BPSJ`>8>exC9Q2cre@Pao_|M8_ca+}aE%%BoPM#~y z_3(H{TqnoTue*2*gv~2siYyd6>rNzpNo5Shd!sD2{~4d zZRuDm$8LGIY$^XtHM#47AE|ijoEW%-Ns%q5rQEEMbW;}h@RHvy*eeC1SGI=+;hBYQ zbPs!7C*-!thhH1hpcirp9?eK&S8rf|K9q>AqO0mGnjH|WL#o<7x(f4QH)ODNa?g)x zI3uyZWF~&(NQb{0i8ciIb9!{!bl zmIr2|-XlYfUGsIU5viCQpU)sPqIU1$L-LpX8dhUnkbld>3kn19ZJ2$j-@{n;3P(1` zHuly?WV%znxluNUNp==-nQ`2ld={*ps;10a0>Qu&UOwiC^LIag{;UMzalv3{kHxu| zO6w1k%06qM=fK8i>`Hiv?eZem0~DT>A~1rpARue$AY`P?v&$@im8pAKJw4JgjLH5C zamDGX%vD8jk4E;dnc**TVM4=JQl%j^%yc{4i^ALjQ8cY@73b#^r)2y^XE)yn2no_Jv6g1^7VNyzS3F{< z7O&LrXjnPt3rKJVDzpRh z0R4z(8`yOK9>H@-JnFi38zb}d3H`0j&D=$(mTCl?&Od!=v)v7wL%ACdz8>$nZb1OM z&?FM)-sS`=zw6igD4XirUQgBlgzUqJGfR&*!LT)$GpUiKKAltth6UU*+r+pIX18Ka zmcRnU;1PH!m9_#r8uxzs>f?HCvxEED_wPTjbGx2Fa5(heDaOgLNFsoS51iH83jO$_ z2bq;Pnxv|X?9!H5RB=LRW)lgFi6_G1jE%!$=D<}rmCwbp>BvSdk?DKQM#{2}(;Gj; zj_~?KV^m4q)&d)1NMOMQo^P;ysXQG|sN*rpCwP@|*9e^g1moM{+)#sBPqZX7m_xS70&GdwI znmWb``qtDj8uP`f!z|ni_N?hhHAvi_O5ZXH9w+S7l9xOvRi!{<9alDoybtQgk4qJcg#SU~LJqtcnjdc%I(bgO(mT~X1SfHQKC(hp>TE$k zhW+y__(c85G8T4*QSF60Ey?HxsjgB;N(_5=&KS?$AAQ{n`t05{XJ(McV6;7mU0%)U zP^D{zQFuM}tkIMdig!!K@dXZJ3a<1BYp-;&R@*Kq_~fSmS4b@8fulap8b>IpZF_J>kv$j3(ssm2B{-DC!<}kqq6d?!C&e zv7u-qz~Fy7R4yg*X;5wydZq0fdK5&jK`1qzfgW4jv63V0c5f~3+*z)u+KraF9?5ng zxhtep6)rN%Wn}SF0|snFRj6DQ|Fr~^v$lx6j@BeegD878tVauGZEODymn}r}`$-MRaZ%D?W5i4%6+K{SnD0ckUU@oB{OYwMPgW~p5 zTJ=}LEEb#VIQ9nkh9ge+-FS-u|(2j4E4|)pL{XC9bBJo zhrejW%3fr@iFv|SS-T|o|5zXbF1#x=Kb5y+cdG{8s(5T!%xkCNKW1I#OK=-al=e75 z<0#$jsAbs`Lq?~&Zl?WG>+nZU+B9xM9-xDVvSFT#(wgRt8E|d52tU_Pd*A(FeQBnQ zz4*DZ{8%N3<6U*m?_~5`P^s7pE*+_(DVG?^piKC$ z!%!1)J{5CgZ=ILn^mtEI-Rvv3Y$?1wNLiqzpg34ED-Wqf)%b+D)X&usZ8P_<;%03qv?H99b$sNDiLy znd;7>PsdYKG_tWH(G()%L2EhY zv_NUILD9O?h7y-B?Kn@gIA^p$#QVT_=N%)?U<^w71&dJ~kgBX5ALcg(QLIT(X)D#& z6>!_IgjPP(3MKS~R?ZjT;$3aJ>!(L(lOXuqY)_p_z+vCs3RRomZrW|%gv{xE!Lk2Mv_XwrE`PeabH z;QwK|+|sLXN6FfUyZ63jarzzRMrA2O893f_1)-071Y$ieb=B*k;^PXqW*5;C$(AJ@ z57*pgv1d^48D&uBAvqI70?nmx8*kE6-HiXGWxL0a3{7lUqf!;A`c1`Ia6EU)78;hr z3JO&PHE4^+%$rjk$%UY<9!7?T%n`Mk-7ho42j)Lw*eoAJYux>%R}l1`olYF4s%W11 zR4#Mq=`oeN2v5g;TL!OnO%GCQQNPAh?1J1?eX->46N`pG0)xF|K;uEz?z0~zks2Se zr9Hll7Hf2ScWT)Z|BOy5TjQ}&Gcd}ZuD+wy>oxI3<|y0EF$Rsv05dtBT;C6GLdFa0 zw0`AQ5kt%J|?+O+E6rF#lU($w}GIhWD8ot><@0IY3aGQQqcEHsEadZ(ju}+qWyZ#eiij!w=PY zj0ZYy`$)GQ=YSVlR$>E{u=G_5#{IsSo#1e^cFLb;LXc11bd7n`=T*B#zu4G>Y zR#@~_e&pw~Ur)VWx3CJMNqje^pO5DShkP3JSwYnSe3~g0HR|Bwvx4K8sb(6}HD#oe z&6eo6>Iw0k89tBJg}Au{Ts%%$a)kcz*hxyOD)#To@wX zQ}9x>K3x}^aSq9jx&U8eg^yt>(ABAr1&q^!=i1wQX67=nR6Qw;ptGem7F0Ky(KWk3 z2!lgQ4EquR_~V$38{af+aJ3nHP5Q3=!i225(H0g>>wpbC#eX2Iz81xXXaxNm>4Y%K zVGo<3Dl*ABd>{;;Q#^*+9L#YIt9=IQUNV{E_M>)fG)+k>X1BL-?xpJGLP9obIVinbaX|$+-i_GX1lV-ivjamoF&wR0mB#6 z$J|Z+L%;F^>@tlm2;zdSJitD%9u00myh6G27`3qdhe7>b#NPp2JC1Y19_jAm81u$R zc~kU8*w-=&Zy1!c*?8Dz)@F18Di&5u=On=>c zIu7rrxEgS+BFkUy_VQ;d*x6P?c7OgvjR?Xt#HVK5%wzS}oRpb#%+a}JvKyC{ z$!M+3{5v3*^vXx?xorHiP=Y@XLJ4Z*lgF{-YVUdv(N;#h$o>8D2Dmp0T)Ouzmh;(n z3v(lyv8a!RrNUub4;07$B6Iu|CU%@tA9t!yd3x1+Y=)k+fUK7J7z0_r`oHuNbD>!w>aiowR$@mU<-x#6<_++Tql%IH+we=~RCt|k*a zubn&Qz1TdMzvruHLhSVBq?H3T&)Dy{KVG?O4B6oxN|B6*zBFO_>A(MtF!kR&s{Fpc z4<#D2BaxL+9!AOi;twI8(vP~Y$JUP>o##>A>GC|CRF#j*0}%uvoDtzqgyaUH6o<$d zHN^4N?1LZ})P|kG+ZWF%gg>fgn3VO|b`I2Gm%F!lyt{K8qb{(un@U~BY@CG*gA?3S z;SOhmk>oO9%@*Q$gC}9djao{W80VYts2wPrpfU^MI@qBZuele?>HE#6H4|WNzsAsz z^AdMjB*S^FUte9bjNVTIFZ>NHyo%EI1K{|P+d7n7nY3bTWs)<{0=@GcaHZjVVi@(_M>obt5PB4O*&otle zFW>&LF5d&6+InX0)u}KA*0&Mq)-cJtw=~4fWBLHxWVK%btYWpH?Zd{l%_2rbmS4<% z(71xxCpxw6g@$xg4^DtgBlu&oq;-c#b^Y-&Q@ULZD_~QUoP-T4n7oEJbMhc6pSvoJZov%a=gRhZ&l%kY7}~YwUyukbuK(Jqb#mH{2!Dz3 zhQ2Piu23;=@zS>wt9Ja35H+;yNac5hK=yrNOP%3ZSQ3J(x6Q<>Ste*tuV3n2`OINm z3ihKq0a%XLobNl%W4leqD?V+EN9sEU9B~FAvFGVC)NZJ&EA9g!H-4vZNgsoh0#tPr z@?#w&OqIZDE|Bghon)V%?sh`T{FNtu;B`F}>PMk-jPBKM=?Ps~kr}VaG|#$@o%o#r zhiFIX*zF&7c7jz6I6bi0Q^iQqr1y%oI*9DD=%^M}XvEn1+y;xGN-=@K-cx@|IP(ax z&vLG-$4kPajY{RPqN6GHa0WLCE~tTh!^H6)!380oi_!9f(=N-~o5%`hiCJMa!2OAi zN#xE9xBY^fw`d9c(E)4?Z)VNETV%T=$}H8rZgp z$?#db?az}4p3sv~5Y8eK} zkD0#{>EBiqgm1zVRfxi4Q^K{Q83sad^ZXoo^83A@J4;>p+ipwj2MV+Am)HM3*W9j| z4<#lBV^**V1l}%VLRNJd92W9ayzE}pTv>uE(I%7DI&o~o#U_<@UmEPZAum;DrjR{?{YK?~W3KR&d$F6!VQYxi(` zu}xuXnae5M&^Eg0WwH^F4`MPY9aAm<#gPVX)jE|_8|+A#CS#2(3fM{bPSHP~jogpN z`!fVuPwr~&0b4<*qoF2-R7hR_sX+)bWf)1Xp?sfZC@2L20FeoW*HoaGIBHMdGp`=Z zz>A53u?z%k2fKc%akp2xj~gr(tqo?4aQT_2l-!_4r}I(AeZ;pLu$oV`+&=JuF1EG} z(o_3F=A1n&Hm_*V97sUF(BBOiL8!x0PX!kWUKQ)6RNErPLSqjzlOGhq+~hec(EUZJ z=<*9H2F;J=96z2X5^tvauYC4t!{93S=J=VdWL>Da3^z~@nX$kR2cb}nLJXrHIn>J5 zNoDr0IaeUXuNMRsQ7%S@OHrdWTe7>1>`Q5Bsz)HmgLd+&0B^AHl>T%%lvm9<77ji) zT5kKm^3kTfe#OO?ko*SUCN1S14vd^GelSGw2?@&dZ=aw)AuNt|j5lYM1D*SEM!WIv z|M}bL`?DYIVY-CM2fz&=RJqZQ*K3w2NcwHbYp_;(abQFu<0zqJDEpHdq-!PibAnRm z?4~v$XY6_C{$sxcb!pvn$ej@}!Oj#;YbrHjcT1>X7Lpkz)>{gvN=hv0?T`3b>@(u0 zd#Ry)Zk-WNH^ww94OakMhxCEJTiC(;m)`U@cShN2mxj!Ayu}J>X<-(~bWNfxd&o4u zzz%`%+t3;~r!Gn$T=)f}XDq+mY5fMN`&>cKxriS;_ylD(?Q&3N-!ng-OYj!%D>I(I zoUdct)#CGol`E=YgZ2a!CWfC^OX~Yyyq+@QbzgS1>aJyV7{YjxYElwS$_sF$`=x(v zqpp~W?-4qLoEWKUDP&ViA01}t0ux4$_&yIGHkrm_A9K(f$29Z?DGvwIgIb=WC5hv) z(v^cUftX#!Op{}KP^%cbXNa$#ee@1`lbzT>s4E&Ojh9WVnRGCP!vLAF2@U3CH>D;n zKGVO6O6g?n$iA+D6Y2YASKevtCE8F_e`8a`w6_y=X~8o7WE@^ zf4cA0A;LUb*1HQI$u#w5{+C9rXf*!v3zHCSCF<1g7{SG^Wx4Gn=d}Cn2CBbk7%*0G`er$a5j%C zf)7;`G?&PJsaqt|tY5nGS14m%*Q6lJQz;0_D0DXUV;Yhf51$t}6^py5+h^o-4V@WF zHdt`X>-r^)QkK?X#x)&jq*~k#5m0n`bWz8$+kEw0g<9y{(QEv8&mkdO{9FoTAyM&s z7Ok|N9ZQ&}m6oa^HHojdghjKlxsGw&IruFF@}*JzD>oE zHc({evX^1@1B-yM1AFG1#cEY!J#vY=(h-Z*EU+3Gq?XcPp0|(lYs`U<)pFBNt6b`O z>$eS0+%6ES`F3j@^vFkT2Q3ChIZF6yb=sJWL`gKTE;$F)0|7d&WT|xlO`moJMa4k%fN)2bl^!8dj7b+7K&q!QA-vp>0lTe(s%+;- z)Dzg{&<_L0_3iR+EAk5PYnUH2@5V^+9t)~pGa8Px=5VltT{o;$wMms~>G0`^*;Ov_ zt92la=Dh38dm8{^a-sz`qh$m9a9H{&v5WZ2`+I9Rz=fa?FKc+mDhVjM5xtwR<Pnf79*0iI=2#`dNk3)Y396+YOOGLGrG(_FHR;}9o8p~v z*b>*S$wbY86&BHno4vvM^>%rEo$RouB1shR?(5afR&0@MD9>t;R-d8j5Mbate2S2* z*4UPLMPnI}p8YjQ@x%>zi}PsJ4B3P7OjuVLIhyTjB&B9G_(nzxXtLg}8$3*VWQd}c zBrb%@14&lOgMC`u)YF8cc%~sn($d==Mq+7O=-sqfge`U;BUY5;NenCCt*?E{3Ytbk zDrY`%bcINjVFaPRRBLq6DS1+%#LcafW`lhL5 z(Rh5+W&QbQtnxse{Q&-}_nr#iKHs!g_noiGC}aVjAI|Dxd8^&7r3k!_5<{pX%!H8W zkeN89HhUE0z>9t6i1qnDo?kK&$?>H=!0uR~91Ta`LU#NIB+QcsZg0UyG!3hrZt~;0 z>KO<&@8I^hYilf~(IBN<2!46(L`KGm@FPwb>O$@q>0V@K%u?w9RFsN>0V)s{pUTScI(OGJi5 zEH_~wK+bGpvRlWFPBS-~WagH2_TLcHC<|)}+a5M$E&FycgL1h?X0lQYTe1x1TKJ2X z4hRyxnkL4D`u%f9R(AW#%n+>nsYyv8PL!V>u9uqt%?l~2%bX|thEKir<~q+r(s05U z=$Ra(axhRd1+VUho?lbRaILbOCO-{pxXJH|l`KJadQ7&Hz3%4W;)dljVm|)9uOa)s zAeoL?w0^Ip{73GO)N>84^q>_#R~BCT3m!?oPA99JB)e9avS^di4W_MEvvAgXV40Nu=?3sdi4qge$N;X&*w>8)Q)|nG41)wh zgb(jQqW)}JF=ZQP%&|b#&u0;<*r10g7yja&k=OSbIPYl3!ZGBzsp7pmV6f+F1amEk zc(W0)GMl$2Ug}N8%D;&EYU(%WfQYXhW=O4X?Jc3~f|571q|fiant#CVpS(v zLY!(>;X5%Vc7K#wqjo2TI-ww+3?w9JEcB zSHfH*jX+Y4g`OCzoDvY4n^k$uOqI0#s9to;WkN@y=<&giIG1SQQ`|{asU#2{v<#oG z+U=?-1n<2kx5`&`k3Hhe^ePniX&f|$n5QGvFYm(^N`oT+r4GnFGYO3!A#Ii}hrT_H ztYpQP+lP0#*_{2!7&b)tPY1FLiR_}4tb^LjJouZSZ>xKaZmAlBiW^vS$aFR}AxT%Q zJft$U;b@0ssK(!-6zW(c$|)SiJbGfc`U74*&0tnM_qec}C1mEw@?DvpP=9|UT&=jzQalV? zN4lzcaZ>Tng7rP#vkTfzzbDG%hM*pk%+Uhy3;!c&5;ejgWb#8V?8UY8B)a8I%zsVY z0-(Kz7t|u}eV#C3Rj+3yC(7&d_m3aZnn8a{SJ*>T4y;ce5)4b|yUx5w(#^`{0<3d0 zVJcHu^4km^O4G^_MQywkGaP|;)x~Og`L2GB3H@MvtIw;{Ky)9XT9U+WjH*_hRJgXM zOmn91vmn>(E@#aO198Z&SR**70oj$dtYcG_j;#UV+s8RU%TtDlpEMg9W&8_Mk{FTn z#z!RSt@wrv!=@}&DD4Pa^i1%cY-3q?&imkx{joHP&hHKOjYk?AhZZMgDjLMqs+qJ- zbnIE_em2$aE!u4~B5K4FuHJ%IF!^hmAU5}l(`$(P)FS}{1-BD-I0e<4nP!V;Wt%mB zWn^I&xXzJx(Zax5^aC{RX=DZ(;2Xdf<@c1%!Xu4^liC+c*5^|SEDO51u!cSQ_AK@K z$O%#xwekP)AxDA|hnN`z1*4*3EZHBqX;)G&RRf#uNQg@2##{SD$gRlfKmPISuRnZ< z%`f^pcYB7&cO-s;Oh z@GLA6xp}zF&Y8`NIW-YaWbZVngjeRu6cd*#J1k@iRf_;;N|$m|HY2XCADPX4`FW)@ z*FA#`Yc~)eBi~?rD|*@|!|GMqp0HpXn(dn)n!melwL<%FO8mB!v_1e+8GC?VE8W<8 zyl^j-i~$>&N@9^SqR14)oIzO6rvr-!l|jy!58>`K-zj+Gn;*w7E6j4c+*$;j1S!yl^ILeGyD>t0O)6Nj5%VgB$1H8C!(;T!|F zrf;l6uA3?GYeg7$jhXm3eKpb399TBL*Im7P9pGyf+NibvQpURNksqh&s1&KhtCems zp6C<;J+b805)AR&RO-`$KUu@zj#gL|0Opfje}zAT3-D%GY3>N^FcTg=@M#ksdVQqh zJtS5FEh6dO5Vu*ky6tdFv(lmNhdq6BOB&Ky%*U|3$m7+%9Lfwg{|pxGNrcW!yCQ^JN|02#sLyt4Es^if5cnfqZ$%s+P{XJj{3k z*S1vj*;dK9A6SXd0H3csM3X3ZOhC^?D^;L>vKru$Mz#8#hG{x|xm`t!T^r2de!Zr_ z6c@Q4=DQc1eR=z4_U6@W1CB^(b)h1VDK^03wK2kmDSY?tGgOt_ zU!W}rw+vsrTi+@5X1~-D#G*jD71+8jhf0Owav&2X$jV!vmaq?;$l4Fq&3}d6dD{ER ze`5Vr-v{6+SN~`L6s8?m`tGavfJ&DwUNeCu)yI?ORPG?8h>l_)*dAG-wR(s`Fbb7| z4^bJyqJcbum^>@}kH{@5awsP#vBP1$`;gcH-Ef_+Mowl%KAr28;Xx<8$yeziIfCAw z1Jr~|ZDf^&g4I%|_(Y3%cj|uJQ0;E<06n9CATK1$ewX!6dO&{sE*|4B-C$wx6lz})WUAQRxeY`ligA)F>1vM5sFsj*TbwE z6ActqjtAm(o61r#ZvC4#W0%U!jteKfDM#bK&TU6H!F4sThvhCz;&k>5 zN8avtYFTv3c7#$qbp_i0c6xsP!+)Hf#~7(HG&eH)j82@j#@iwFbshECJacXTnqIM6Mdjmd#M=`bIl4{%U z9dY`p&pu!AE7NlnlkaJ?)9R@yG{O!r%Bjd#x8v8D+m5kE(W7OV4O&V(nw zt2eZe5I`Wi0{6}9#cI_4wp4q^h9Bh38x9(i~ow zUW=k4U3-4-cH`n&U7G2Df8>!e8O4(AV$e{@EI-%PfP&sDC*FHmfxsN0m>34$*NA0) z*+k}Rua`t7F<&)Xjxlp42FUFK;`Tn#_!ys2!0JTL-C)PMu%55BWnddNvbXKB`c+HQD9 zw=yhMEl44)4DFQCFltHbY?(nj{(dZElue6;WJxA+$ugPc#ut4jpWwla&}p&1ai(p6NO#<&8qyO!{5xeFhR^; z5tKwLYb@WCoRw8qe9n$$aS3&4PqNsCQ943fW?BHKqxt-Nc1wyWUD}6CliHn4?ZHCSAfayr#4V>%y_2X&mO&gs_)X^F~%2onP9t)7-J7n+o01DGWgd z5HUujb6v$MAHxXiimYHL*Jsr6E%yg?theiOPDnYs{#4&m_!%I#c_~p%yx<(&H^@PT z9}{*)XU0Nvpp6q%9u8NVcfZ5{t0%&|T`On3>Yc$2v71;!N z@%65Lv#s+`t{l&kuT`~5^Wo>ii9X|ZYkh8*G2>lX7{s9S_0W>+aS#TdZRo{OZj@sK6gt5?c-R+5uM^l-edg7b1*@f>J z8+J{BaUOrZ^GGOL7iabFg*xbnf|H-azXq`W>`KLVJI;obw>}V!-~nPv+|Sm%<^REl zP;jB7nm2nSB2&RYHNGIp?xR4U=QEajRe;}G2t$I8B_{t?_LG?y$E5>>QjBL)JiRypEKmwl9Z_Ovh+0m#0&pNN3BoChp-SrMD-z9u`iexv z?2)ZRVL(d5KMqo&iE!V|FWp2GV>sm%BGMSCQ}&E1nE6-B@`Vp#v~UmO7)8RdZEl@m zX$)p4z?elNhcNItW_LS`N?Lxvbf7-s*-Nff(luK$VdN~<&2`$F`PGu9FmLFVT=qk{ zC^rJGNOp~X+mUGy>}13LC4Lj*H&<30;D#ny z*~i-u$Bx8mJRVxtdJD7OT6H@(cl~~qeFGp%^>~BC1&)Y7wP502Gk2`CT0smomcXT# z?|==F%Q||0T>re~EsY}^pRUGGz=#qz)SxyyIK;vb>G~5K@iT!bikc~SOVB&^Nkgb> zkSD8I@zE_Vo-;DiSPk#-d)?!sXbM~hf@V#`Ve_5AylcvgzB28_;fQ~83SnV&9$i^6 zyi~P`Pc~?+hvM$=@y)1rkzMqH*>;>N^}9HOu4cD5eXDB!wf2+7NRp#TFQueAWn;96 zI&BO*MNEubs%Fha*B++k zb^pFTQ#D(PVjl$X6oad5u$xa7uy&(Dl|;ubyLh-9-Bk3Ib&P9U4}s|{lw;Y9N0yS_ zDtqbI=VIeS+4AxP52&RxY5F;lM&vh6>RONoV)kfwO%CSexF^g~w87dq0q%unzr_Bn z8MNCy+3OU=c!M3NT9ZHB?V%~VqDtKKX7ktT?vYL*&@GsqUsw}NjzXSkW720Xhj7TjSZa1I76Wg-R<*1

A?ZSimh@?W7YL-mF&GivZ`Y@-OYB*-qvb!*75O|B`2P$<7U?L z?HRpP#N@PKiMW-R{2Q(vROSne6B=$_k;(M@@`gnoG^~T~|94yOh+{8m_$?>r7STR;7J*`sq6{P8ex+S_GVa`dgfc(QgE*&A00tLTil!tOfXRoF{6*8ZNKM<&4G8jVQy&jH+i>dHP}Z z&r_PiIr;;_+UX&R0`s9fQq6bV8E=jWjhOMrIfVr$Y(uTPUFs;m74ZBG3}+6yB-pA; ztAt;kapR_Xx=!ErMg+5b{qcT&_bLBk536TgMf)P`{cP2ku+ONM3MuJxe_E(;%N(Tf zI69Y4qu*mzKXUek1c4de+%bRSYGoMyFotsP*96n2ln;l-!MDnp=%TR{O#22{oJ3;y z0JuJb=m%UAPXI)suuOnSk9sFZpjkM!V1do;tU%BLE?bmhec=(=@j*h-(3)&G9qWlj zVdDD9J07O=1=%Qo4M#1;VsidRu21E>i|hIAUqMB&{Z-c|&)J4cK+Jj4hUCo~Qq-yy zBiS0`33dz$n#?wY|TQhahhheujL*Vb@OCOV5n2E|^#RM6tjQn0nW zI17yIK|ASxb!DHA*N85bWEh5uM?e`0(`S&}{o+*$^>CBJci6!2Z|4-n3>#ViJl;J`6cSe<0DG}sYi5=~@_znBTvW!Quqvf!CYirJjDJr3g7K&eP zKhH2FTdQS;Jv2J`r6!U35%LrzKpz??!p1 zLwBWH`SSW|5gTHZp6E6lt0~l~*2jg62WPW5TCzmmg`2 zfMH~NO(dBXi#^!v7IUe2X;XCr*W+?X%n|DjiO-8sF!pib5`akP#V`A^W^33(7>3m3&z%R_da2i7_iloqXx4 zb6K4U8~RIBQo{H4$5p@NJkI|7gyoBAwKmbIGJ~fC*-9uCZ?_EYtC_s%D<=l0KDPY0 zw^dfoJR_!HlJen1{CpCV2{o@QOyEAyKj2#6V`E3!3J9v+vmPi}L`6d4LzLmL+@VaR z-j(ZC&5ZfEmME+B)~AQX@_g*mH_~mqga~>~soaigB7y~y{XsuB`$XOIUT*Qx(J8Q9 zG0jlDB6Ya-p79&&+w<5mQ1KKF$61sUCT*ab_!8@L6zaDE2COV379GCxF=Cn#Cv;_m zjQytoschtP{OVOY>`wu#ux7=kaJCfTEfM(q^L*ti4onEVz!9xsVq*(k7yvU3gWXOw zp9svSR%JFD_()hf$eK z3xMh(kULM)z+Slvn>3ELEja8_qUGOb?-u79n@kWP!Ek!D8^_c0@1k_@)QPrtrtL{a z*u8YEO3!n>9duZhJm4Jh&j36(n&&RT8{;7Gw18JF0qbR6zyp`7k3#J-=ydwCKBjul zRwVXT!LGsPd}EKlEyB+H`tH-*K9$H}Zdgq`+&-ZzDyp!M4<0dGO+!Y+@53@3p2UQx zZEZ8BsD?E}lY|pv?w2&faO}*hr8pe=A6Ah7a5eWH(#D0i^uNVEsk*qzR`X6|Pxj4h zJ0A-qQ;!l;V7%F}&8#P85kU4D=+^FRo1PZQ)15_fQhqQ)i47Xby$XKd;Bvm6Fc}TO z4F%3r@d$b>-ciMQ1K%HRSARb&XT(esY#ab&p2th5Ja~;F8_<{$(1=IWy2$qmSFbsI&6>l2+@Qd(r>O6{p$cPrYO@7K zGvs6#3f8pCz>?V;c`61>cOz@+C9hI&uDmLnA07on26L|F=hl_%4s~5nM_a2CB0L6*#F95KUM`A=3@rv0Gg=jrge0No%ReJ*c!hG#SdU|!Z@>VA`0kufOL`tBCu6jE45iQ4!k|}0s`#m}4 z{b`^6QoFaLxw%JsIaxV@a%+38D*{z!zJD zmd@+$`r&?V`~bV`(dE{OuF|+b970ROXqZ(Tg&{lBSC?7DcCyDY4dy1#Yn1$!-&Q&04NAgLUhZL&pz{&I2vQI+kn#lUFWflf|v z_Gkw3VR~u@ym>{|aYsICz$^DL0?BvV`IA0JB*I>fXd_liiP4^j!CRkShEjqxdR3tY z49M+oL=&-@tFwpg)mxX9kmxtQf>rj+a!;P;&kh;j^A)gdfCpU66VvGw zS9%#|@64kfGSaz|Og!3_&vEX|aXL99`0F8NYowK#MNgY>oz4?7(lY(yy|JAcCeDW}%7{57Wb5BfgP3g8cMkB2t`Z%|YnshzLJZ9c+=`^0`A!a*u}-JXftY{I0cU;g z)o~;d9>1}(YeHvFUREY$ePuzZ4u&&N6FT`q)d&awt?mx#(KXyDRsl0$dckDj>VQk5 z>M_~A@?M_~=R3OWPSX%F;C8#Z!xE}NF0XSC7=iROQ`j&*zmr*yn}F4z&T;^o5`ZzR zClx~WE0YR4J0OUWu9>|s#%`{B$L8iPFoT!ER${VUM`MvWX~wfHI}_Dc;(OuLmZF;i zOv#3j3hQAKIlQ;pe)o_A;D$8=3>cO)J8+Ni|qbsX!{p{g45pcKLq84zAG3j5RC zx*2O1!q&KY&9R5P3YoM|F1_gd0tY4V2kS$5aXji3lg?+)Y|* zxK>B&?9;Gd{rI4BgmM*T?UT;MQ7&${F&ZPizv9JFq5g6a845;a@n!BH2h~V;QcHHx zmdO~VMLV~TM<&G`-CbBIN21Yj$9l~LPhjqFrqzzR3wKXQ>1XnW+0Q>&w8nSU(Zu&x z^K0gi$3%^R{Q@`)`!%!XK!2|xQM;lI6eRF9T!9tC&8#N)&N$Rneri*^K#$ICH2{O< zA6MH?%e7J8q}wF<-D zs!93hvtP%X28QvN#Z9fav6UnE_8~OA%vDV_IUI)EF%S?wJ?EE^d^NzAf(2oQU3Bfq zYye`JxjW$?3cn(pukgJ_Z8ESkbl}P;m?}42SuZH@kG}o*HwGmrp#M(By|?v|6*+&H zZ$t%aqI=lH!wX>2<}+-Ks9qo93-1#6I@tYp-P(hf*adi!>}Wk5UG6Loy|X? zH1OwPr2$@~b{w4{!DU`>;~A9uQ#O-Rern_LH6cZoHd>^3O(96};YkV3hhx*;dQ0}q za>Q$*c;pAQ{9N7bWQv+(nlhV)*XT*C!{_6kLP>V!0|>IF*tWE}%UbmF!vQ^p%87bl~t?VulOsaTysj?__Q@B0@LE+;ADHlGl!w?i^n12dY?( zl^@K|Q$55vrMi-GCX)3Q3G3oT&Y;U_BbRjm;8b}KIeEsI zZ5N+rqfYh1;=WPr#YlFdF8^op%HI=vacE$DXz5bJE9~jJI$#~_wlWIKo0O_Y>&m7< zC8^Lam1UmI9+}#&#VfO`M;2LXMnajp73V#5ljf@sZN8CH^rgCCp3N3_R*>}eguusU z=jU80o5w#%^uMX>92 zFmZ}AeHte7XwC6TI_(wM^>Dt-=~~J#iSu!8Rc>Ri)GEKUtoG?awH`OL>+6l$>;ZHa zy7ez#5SANyHvk=SzZ8F)6%-siFoe%5ulVH+4vIUVz?IH-*9;wMX)%bBT!=GgrUMN3bF4ZWbUnp^`Of63@y7 z&9ApUqBtJ!8>!dX@s0XVljE-FH@d?{3^ACY0dw}%R1AL9b<7k5P)oQzz z6EL~vS9;5RX-jG#F$C|YFp}SE)RU%Uvo1`#2IUe|tIm)fW1U?cALn8W>xW|<-jTyk zLXD{H35!*7pp)Id;2wgYps!2#?ZK$uoVqz4BM%w~D?QsEc5zS;ElfAiEJEOH0qJ4>Ld2DqfzBW_? zMEb~w1+kTCiZ+2GPK#8k&$3s>e)78CJta$`Vwh&TsKdvM(O7DA{=E*9MiEu82=DuF zr`0j|+4JW!Hry%Nv8VDY+$VCZCZ!sH9CK2SGW?LU#A)*RVA?N=xQ<(NZGUo&y$&Mj zmifq}q~;MeP9=Yg@6EP>DR=J4lHl=1uJpTuHQ{x-XydS0r8CCgQfyE^3Zs-BFwzqK z@=;cK2&(oZoIQ1>difz|a$p%rxQRAUVpQ51VD7HN37SV9l4@HaPNsZ(+lWdq`E(Ob zqfB6^)w~tQt5?8Wg-wKoc;Deb5g={vZOH3~4@rY7XM9X;NOk)j6I7srh@Qj@)z}zE z!T^Fu#5viBMycv>*f-D8v)B>ub!LG7xZ|!t_3YglJBbtlX*rQ>LWer;wrDA`RUk0g z>DL7U0zz^YxRFwJk-co_xG?Ser4jXb#6G*(xZ=+s>8{p3!|rbVc=9|==D=XI#LW#L zL5Wf@taW7>29rNHv~h(yYj9H_NQ~X9&Qum@$lqswSqW1(ANsfdLNvlYk77k>!u}OC zJ$f{pa#=^ta_OfY)A@9U;G^DFADm^+B0;7_?vfb8-?E?&-(RfP*GA39Y0kF)z*&*E z`VW;R<&&4z6sdJcqLLW!ZhkT^Jz%Al&4^R2Ti7`wVSxIZVc6gyzY&d@Q@p|uFxn6d zx73QphN>lLWj`7(?&Z4VOe5yjOpbTC3}4-Q zR(8EQ8xUToXncA~8I@%Q$(b(z{vW^o`ojkceE8rFz%$RdcgpMF1ql?m%yNGK&lC5O z!fuSs-qnchvELE16FkR*PgH;jbJO=tkt}xasV?ILd-U7rM*P3g$(Vyx-a{ea4sf_? zV=BoxVfBHG{{syMYM~_BIAf-uzPVk^63oiEkeI3^!`!2kbV8`#mQC+fIqBUG?@q;F zCtm9@fZo~I|5adsEOanGNNbRisjT{pRlH?a%Frz158JS7Cq#SLhmAp1pJyK^%lFJfOWJ?qI^_nzDmm%DZTsK~%Zo(?R&{Y>uB_Dpqc`;x)jqRqC@@}+eXydccYRbp% zfJK(b?t@&TzgOJf{8$T>+$uqq>3t@&i!U>ie>4bK>c|r+a8$^vW9TK6?G~(IPPt=9 z0ma<+zf&R;Ezt8%!nh5DC*6ZAYA?Woe<0cnO?lc8rg=){iYHzX((3JHcrX9N!fhV1 zOERiMU|w5ClZz8XRh9d*S2#O8j|`CPOL%^p>}Ahytl=FTob2D5dck`iCe&XtV=`8a zeav^`Kw0fb+cuE;qhk(LP?P@maC8V0LpbL~#im=i4)tj$1nNk9P8tC=>$-5Lq-~cs z{y?CtHa-3N;_4acOl@}dw6Ggq;Ff4stG(?(O4R7`vPav_Tie&lz=aTkJ|O4>s9L4l zC~)i<=n^F#TlDBmEhXYt6lXr2zLRzkW2oGw|9QW>!~ClOB!lEcAfhtSbPo1B&dagd z>>i0YcXmJT9FY{R)wtv0gY)&h?G&X9lj91Nr7$IAb@jE>j+8~n>YBP8ULNDuc`EBl za)nKEIrZul@N_NUwOi1$8+m*ju*>`<1&ttbeD(P;LFH$}%&9m`U*`;FSDS_X}-imdOtmUf; zyuz>hqz>XcHr(8-wr^f>M^+zXoX^(Guzom37siN&AOmrb8pqY7EY=u#-f!6j_e?Y* z*@Uk6TxCGTZj|sGP5dko!auliu;X`q|kpe|~}# zBDy+qtk6HY(W|JZ71`O*PE7{u?7+!OO=pTYW_{mk|Ef8MagyXrR=qXE7zs@+uMEBZ zG)B8zrqQochr`mUL!xsNOpY|H&QzJm`omP~p{fC}D`k`A8Mt}@5_U!1Y*lajhvjN! zk@wQ?i2G!xu2URaFW|<-wJtJ)TW77W?eK{k?LU1(LX7YdeF<2v<4n}e)HY)vgOh!* z%4rgfQl|n}bju_#g4nQk3xzsg%2)$~9gYn^kL0-g?^7LEiSXH~L$~_|O>MSiz?)l& z%WLxlC18Ad5*dx4nWD-=-o@ZvNUjnr%$6nqz2XQh?R z!-bVrhS?zV_L&u;WKe>umfhTw`nO9{vnN6#K6>a@_Vi|R@xV}OMIMpwRv=j7CcmBj zaQ>g~Pk%f8{R2rOzeM9y!`FU@4eC-vvtNu@<4j+r|8DzF2L)>QDMGcmKWjKGWA9b*8!4DUH-R zGO(64kLXU{u!l)gmHFq|c`|y6^LEKE$#WWRdu*TsyMfZ66;>YY$!P;xtZbY1N>GBh zWoIScDZ41XHA_4;)DhW{mt!tptP|0_7jsrpP?S4rLQ@4a?7e)#fT&qMZN$41h8wHojrM2#l|uohVZ5jAG;W2i}AslrjXePvAf;6opMe6HWjRva<#|g2jyVm&AhXdZXU=5Uzi>P z0Yh&h%Q(Q&$=~V`iDvDqR3o;5>VU_4jLz2?fAP7kc8y{MQ#(p)TFV@{tn0jnJX}<+ zqI~Oj_h)rm(suJ2b%EtIN0KF%K&>nHj&zOI(uq%D%lAkvK6F9zbU6Zj?r(L>T2Bm_}G zpk`ds0xfRF_3v;qmg2v|}5&B?62zC)Hc0b|kG|Or{bIqyl6ZrnH zf&BQC*`jhc>qjc~f!VLeda<*7#)4j^F)v+UoomLf-TGn#dDBd~z&JhJK1!EO8i^R_ zmNCul#y{^<8+wI!eB>%TIx(rS5zvwx$L)M0$oZx69yz7qr?#{|Y-0(-PDIPTo!{Ss zA1j{mn0>0ymJtwPn(s9cY)H>13XK36Me}UImalgP{FptnAE1+H_VdlwBFh{HSo>#} zRQW}nq{GBQpdS`nL6Gs_4O7x+Ttz&sJ_T}Qfk1^b4JL&uFZX}=Q8Ph2;_Rl}Arwxz}EUI6+CS7q(VM z7tUhLrYhX_wFqNY<)TW{imsZI*>2{^Y56AJ6jgMFw$3ir6g&unHM*nli7KAW)Y{y* z0xQw9kfmohQ_w5tbt_NxP~@vMtOx+tZ`O!I{LzsH$tK0xt}2=QBZUHswA$RxZ}V2l z#~c?K>)tC)yI-KuGjRw&T^2z?%?>DA$J3~%m8dZguCRxC-9vJQ=5OQT*3PD&_g zMI{a8e%b&YRc2-60IyrgX>(R)%VNtSmiFE_A{57gs(bfcN$;0(>emkx^j1EbvyNKXf_>E>H+ zHTNcdw%Wc|VHVNf&aEyLV{+NPW?NdE#@wD@e=Dx z^f;qd5gaFvL$zSl8jabGjv7gjeN@h3adof=dNDeY3a3o zEoK&Q&U+wwW#@A|-N-<+MEM1 + .rodata : + { + *(.rodata*) + . = ALIGN(0x4); + __erodata = .; + } > MEM1 + .data : + { + . = ALIGN(0x4); + __data_start__ = .; + *(.data*) + *(.eh_frame*) + . = ALIGN(0x4); + __data_end__ = .; + } >MEM2 AT > MEM1 + .bss : + { + . = ALIGN(0x4); + __bss_start__ = .; + *(.bss) + . = ALIGN(0x4); + __bss_end__ = .; + *.(COMMON) + } >MEM2 +}