From 412c128fb05d8e9b67dcc17a14fe3e78a6924441 Mon Sep 17 00:00:00 2001 From: Joseph Rahmeh Date: Thu, 20 Jun 2019 09:50:50 -0700 Subject: [PATCH] Removed duplicate declaration of finished for Verilator. --- testbench/tb_top.sv | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/testbench/tb_top.sv b/testbench/tb_top.sv index 77d3089..217d942 100644 --- a/testbench/tb_top.sv +++ b/testbench/tb_top.sv @@ -22,6 +22,7 @@ module tb_top ( input logic core_clk, input logic reset_l, output finished); `ifndef VERILATOR logic reset_l; logic core_clk; + logic finished; `endif logic nmi_int; @@ -100,7 +101,6 @@ module tb_top ( input logic core_clk, input logic reset_l, output finished); logic [31:0] cycleCnt ; logic mailbox_data_val; - logic finished; wire dma_hready_out;