Update dmi_jtag_to_core_sync.v

Follow syntax used in internal repository.
This commit is contained in:
jrahmeh 2020-02-24 15:00:46 -06:00 committed by GitHub
parent 2a1d9be0c2
commit cb5a7a141d
No known key found for this signature in database
GPG Key ID: 4AEE18F83AFDEB23
1 changed files with 2 additions and 2 deletions

View File

@ -48,8 +48,8 @@ module dmi_jtag_to_core_sync (
// synchronizers
always @ ( posedge clk or negedge rst_n) begin
if(!rst_n) begin
rden <= 0;
wren <= 0;
rden <= 3'b0;
wren <= 3'b0;
end
else begin
rden <= {rden[1:0], rd_en};