From e0f77ceead5758b33582a570505a195ec0a47259 Mon Sep 17 00:00:00 2001 From: colin Date: Sun, 27 Feb 2022 15:39:45 +0000 Subject: [PATCH] Add configuration to flash by ecpdap. --- fpga/99-cmsis-dap.rules | 2 ++ fpga/Readme.md | 16 ++++++++++++++++ fpga/blink/Makefile | 6 ++++-- 3 files changed, 22 insertions(+), 2 deletions(-) create mode 100644 fpga/99-cmsis-dap.rules diff --git a/fpga/99-cmsis-dap.rules b/fpga/99-cmsis-dap.rules new file mode 100644 index 0000000..6abf1d8 --- /dev/null +++ b/fpga/99-cmsis-dap.rules @@ -0,0 +1,2 @@ +# Allow access to all CMSIS-DAP compatible adapters +ATTRS{product}=="*CMSIS-DAP*", MODE="660", GROUP="plugdev", TAG+="uaccess" \ No newline at end of file diff --git a/fpga/Readme.md b/fpga/Readme.md index 883fa89..caacad0 100644 --- a/fpga/Readme.md +++ b/fpga/Readme.md @@ -6,6 +6,22 @@ sudo apt-get install build-essential clang bison flex libreadline-dev gawk tcl-dev libffi-dev git graphviz xdot pkg-config python3 libboost-system-dev libboost-python-dev libboost-filesystem-dev zlib1g-dev build-essential libboost-system-dev libboost-thread-dev libboost-program-options-dev libboost-test-dev libboost-iostreams-dev python3.9-dev libboost-iostreams-dev libeigen3-dev libudev-dev cmake python3-pip gcc gdb autoconf automake libtool libusb-dev libusb-1.0-0-dev python2.7-dev ``` +## install ecpdap for configuration to the flash + +```bash +curl https://sh.rustup.rs -sSf | sh # install catgo +cargo install ecpdap # install ecpdap +# Run ecpdap help for detailed usage. + +# install CMSIS-DAP probes driver +sudo cp 99-cmsis-dap.rules /etc/udev/rules.d +sudo udevadm control --reload + +ecpdap flash write xxx.bit # Write configuration to the flash +ecpdap program xxx.bit # Configure with xxx.svf +ecpdap flash erase # Erase flash +``` + ## install verilator form source to use new version ``` diff --git a/fpga/blink/Makefile b/fpga/blink/Makefile index b932d9e..fd53d5e 100644 --- a/fpga/blink/Makefile +++ b/fpga/blink/Makefile @@ -16,8 +16,10 @@ $(TARGET).bit: $(TARGET).cfg ${TARGET}.svf : ${TARGET}.bit prog: ${TARGET}.svf - # openFPGALoader -c digilent_hs2 $(TARGET).bit - ./dapprog ${TARGET}.svf + ./dapprog blink.svf + +flash: ${TARGET}.bit + ecpdap flash write blink.bit clean: rm -f *.svf *.bit *.config *.ys *.json