module bram( input clk, input re, input we, input [DEPTH-1:0] addr_rd, input [DEPTH-1:0] addr_wr, output logic [WIDTH-1:0] data_rd, input [WIDTH-1:0] data_wr ); parameter WIDTH=8; parameter DEPTH=8; parameter SIZE=(1<