abstractaccelerator/VexRiscv/fpga/Makefile

26 lines
420 B
Makefile

TARGET=soc
all: clean ${TARGET}.svf
$(TARGET).cfg:
./synth.sh
$(TARGET).bit: $(TARGET).cfg
ecppack --svf gen/${TARGET}.svf gen/$< gen/$@
${TARGET}.svf : ${TARGET}.bit
prog: ${TARGET}.svf
./dapprog gen/${TARGET}.svf
clean:
rm -rf gen
openocd:
openocd -f jlink.cfg -c "set MURAX_CPU0_YAML cpu0.yaml" -f murax.cfg
gdb:
/opt/riscv/bin/riscv64-unknown-elf-gdb -x gdbinit ./hello_world.elf
.PHONY: prog clean