abstractaccelerator/VexRiscv/sim/murax.cfg

35 lines
696 B
INI

adapter driver remote_bitbang
remote_bitbang host localhost
remote_bitbang port 44853
set _ENDIAN little
set _TAP_TYPE 1234
if { [info exists CPUTAPID] } {
set _CPUTAPID $CPUTAPID
} else {
# set useful default
set _CPUTAPID 0x10001fff
}
adapter speed 800
adapter srst delay 260
jtag_ntrst_delay 250
set _CHIPNAME fpga_spinal
jtag newtap $_CHIPNAME bridge -expected-id $_CPUTAPID -irlen 4 -ircapture 0x1 -irmask 0xF
target create $_CHIPNAME.cpu0 vexriscv -endian $_ENDIAN -chain-position $_CHIPNAME.bridge -coreid 0 -dbgbase 0xF00F0000
vexriscv readWaitCycles 12
vexriscv cpuConfigFile $MURAX_CPU0_YAML
poll_period 50
init
#echo "Halting processor"
soft_reset_halt
sleep 1000