picorv32/scripts/quartus/synth_system.sdc

2 lines
43 B
Plaintext
Raw Permalink Normal View History

2016-08-31 13:54:14 +08:00
create_clock -period 10.00 [get_ports clk]