picorv32/scripts/vivado/Makefile

11 lines
230 B
Makefile
Raw Normal View History

2015-06-09 18:45:45 +08:00
VIVADO = /opt/Xilinx/Vivado/2014.4/bin/vivado
help:
@echo "Usage: make {synth_speed|synth_area|synth_soc}"
synth_%:
$(VIVADO) -nojournal -log $@.log -mode batch -source $@.tcl
rm -rf .Xil fsm_encoding.os synth_*.backup.log