picorv32/scripts/quartus/synth_speed.xdc

2 lines
42 B
Plaintext
Raw Normal View History

2016-08-30 12:12:08 +08:00
create_clock -period 2.50 [get_ports clk]