picorv32/scripts/vivado/synth_area.tcl

12 lines
201 B
Tcl
Raw Normal View History

2015-06-09 18:45:45 +08:00
read_verilog ../../picorv32.v
read_verilog synth_area_top.v
2015-06-09 18:45:45 +08:00
read_xdc synth_area.xdc
synth_design -part xc7k70t-fbg676 -top top_large
opt_design -resynth_seq_area
2015-06-09 18:45:45 +08:00
report_utilization
2015-06-27 05:41:13 +08:00
# report_timing
2015-06-09 18:45:45 +08:00