picorv32/scripts/vivado/synth_speed.tcl

14 lines
197 B
Tcl
Raw Normal View History

2015-06-09 18:45:45 +08:00
read_verilog ../../picorv32.v
read_xdc synth_speed.xdc
2015-06-27 05:41:13 +08:00
synth_design -part xc7k70t-fbg676 -top picorv32_axi
2015-06-09 18:45:45 +08:00
opt_design
place_design
2015-06-28 05:05:00 +08:00
phys_opt_design
2015-06-09 18:45:45 +08:00
route_design
report_utilization
report_timing