picorv32/scripts/yosys-cmp/vivado.tcl

4 lines
97 B
Tcl
Raw Normal View History

2015-10-30 21:25:04 +08:00
read_verilog ../../picorv32.v
synth_design -part xc7k70t-fbg676 -top picorv32
report_utilization