diff --git a/scripts/icestorm/Makefile b/scripts/icestorm/Makefile index 2740da0..cd3b018 100644 --- a/scripts/icestorm/Makefile +++ b/scripts/icestorm/Makefile @@ -4,7 +4,7 @@ TOOLCHAIN_PREFIX = riscv32-unknown-elf- all: example.bin firmware.elf: firmware.S firmware.c firmware.lds - $(TOOLCHAIN_PREFIX)gcc -Os -m32 -ffreestanding -nostdlib -o firmware.elf firmware.S firmware.c \ + $(TOOLCHAIN_PREFIX)gcc -Os -ffreestanding -nostdlib -o firmware.elf firmware.S firmware.c \ --std=gnu99 -Wl,-Bstatic,-T,firmware.lds,-Map,firmware.map,--strip-debug -lgcc chmod -x firmware.elf diff --git a/scripts/quartus/Makefile b/scripts/quartus/Makefile index 8b994e0..c644609 100644 --- a/scripts/quartus/Makefile +++ b/scripts/quartus/Makefile @@ -37,7 +37,7 @@ sim_system: firmware.hex system_tb.v system.v ../../picorv32.v ./system_tb firmware.hex: firmware.S firmware.c firmware.lds - $(TOOLCHAIN_PREFIX)gcc -Os -m32 -ffreestanding -nostdlib -o firmware.elf firmware.S firmware.c \ + $(TOOLCHAIN_PREFIX)gcc -Os -ffreestanding -nostdlib -o firmware.elf firmware.S firmware.c \ --std=gnu99 -Wl,-Bstatic,-T,firmware.lds,-Map,firmware.map,--strip-debug -lgcc $(TOOLCHAIN_PREFIX)objcopy -O binary firmware.elf firmware.bin python3 ../../firmware/makehex.py firmware.bin 4096 > firmware.hex diff --git a/scripts/vivado/Makefile b/scripts/vivado/Makefile index b1a89b9..d825e16 100644 --- a/scripts/vivado/Makefile +++ b/scripts/vivado/Makefile @@ -41,7 +41,7 @@ sim_system: $(XELAB) -L unifast_ver -L unisims_ver -R system_tb glbl firmware.hex: firmware.S firmware.c firmware.lds - $(TOOLCHAIN_PREFIX)gcc -Os -m32 -ffreestanding -nostdlib -o firmware.elf firmware.S firmware.c \ + $(TOOLCHAIN_PREFIX)gcc -Os -ffreestanding -nostdlib -o firmware.elf firmware.S firmware.c \ --std=gnu99 -Wl,-Bstatic,-T,firmware.lds,-Map,firmware.map,--strip-debug -lgcc $(TOOLCHAIN_PREFIX)objcopy -O binary firmware.elf firmware.bin python3 ../../firmware/makehex.py firmware.bin 4096 > firmware.hex