Minor scripts/icestorm changes

This commit is contained in:
Clifford Wolf 2015-10-08 11:58:59 +02:00
parent 39b01ae24f
commit a1b0d36432
3 changed files with 3 additions and 14 deletions

View File

@ -1,5 +1,5 @@
TOOLCHAIN_PREFIX = riscv64-unknown-elf-
TOOLCHAIN_PREFIX = riscv32-unknown-elf-
all: example.bin

View File

@ -1,3 +1,4 @@
set_io clk J3
set_io LED0 B5
set_io LED1 B4
set_io LED2 A2
@ -6,9 +7,3 @@ set_io LED4 C5
set_io LED5 C4
set_io LED6 B3
set_io LED7 C3
# on-board oscillator (12.0 MHz)
set_io clk_pin J3
# external clock on PIO1_01 (pin 4 on 40 pin header)
#set_io clk_pin R15

View File

@ -1,15 +1,9 @@
`timescale 1 ns / 1 ps
module top (
input clk_pin,
input clk,
output reg LED0, LED1, LED2, LED3, LED4, LED5, LED6, LED7
);
// -------------------------------
// Clock Buffer
wire clk = clk_pin;
// -------------------------------
// Reset Generator