Removed unnecessary "jal" complexity

This commit is contained in:
Clifford Wolf 2015-06-09 07:40:30 +02:00
parent 0257d2cb08
commit bb7f500489
1 changed files with 1 additions and 4 deletions

View File

@ -512,10 +512,7 @@ module picorv32 #(
$display("DECODE: 0x%08x jal", current_pc);
`endif
mem_do_rinst <= 1;
if (latched_is_lu || latched_is_lh || latched_is_lb)
reg_next_pc <= current_pc + decoded_imm;
else
reg_next_pc <= current_pc + decoded_imm_uj;
reg_next_pc <= current_pc + decoded_imm_uj;
latched_branch <= 1;
end else begin
mem_do_rinst <= 0;