From d711ce527eaa8965a1a530f020230db84da0437e Mon Sep 17 00:00:00 2001 From: Austin Seipp Date: Fri, 11 Jan 2019 15:07:49 -0600 Subject: [PATCH] scripts/icestorm: add 'timing' target This dumps a simple IceTime report for the bitstream; we fix the device package to CT256, corresponding to the HX8K. Signed-off-by: Austin Seipp --- scripts/icestorm/Makefile | 6 ++++-- 1 file changed, 4 insertions(+), 2 deletions(-) diff --git a/scripts/icestorm/Makefile b/scripts/icestorm/Makefile index e2771b1..7ead63c 100644 --- a/scripts/icestorm/Makefile +++ b/scripts/icestorm/Makefile @@ -1,4 +1,3 @@ - TOOLCHAIN_PREFIX = riscv32-unknown-elf- all: example.bin @@ -60,6 +59,9 @@ route_sim_vcd: route_tb.vvp prog_sram: example.bin iceprog -S $< +timing: example.asc example.pcf + icetime -c 12 -tmd hx8k -P ct256 -p example.pcf -t $< + view: example.vcd gtkwave $< example.gtkw @@ -69,5 +71,5 @@ clean: rm -f example_tb.vvp synth_tb.vvp route_tb.vvp example.vcd .PHONY: all prog_sram view clean -.PHONY: example_sim synth_sim route_sim +.PHONY: example_sim synth_sim route_sim timing .PHONY: example_sim_vcd synth_sim_vcd route_sim_vcd