Two minor bugfixes

This commit is contained in:
Clifford Wolf 2016-09-06 19:58:03 +02:00
parent 7f946d0f84
commit da37498191
1 changed files with 2 additions and 2 deletions

View File

@ -1494,7 +1494,7 @@ module picorv32 #(
timer <= cpuregs_rs1;
cpu_state <= cpu_state_fetch;
end
is_lb_lh_lw_lbu_lhu: begin
is_lb_lh_lw_lbu_lhu && !instr_trap: begin
`debug($display("LD_RS1: %2d 0x%08x", decoded_rs1, cpuregs_rs1);)
reg_op1 <= cpuregs_rs1;
cpu_state <= cpu_state_ldmem;
@ -1525,7 +1525,7 @@ module picorv32 #(
reg_op2 <= cpuregs_rs2;
(* parallel_case *)
case (1'b1)
is_sb_sh_sw: begin
is_sb_sh_sw && !instr_trap: begin
cpu_state <= cpu_state_stmem;
mem_do_rinst <= 1;
end