picorv32/scripts/quartus/synth_area.sdc

2 lines
43 B
Tcl

create_clock -period 20.00 [get_ports clk]