picorv32/scripts
Clifford Wolf 1a664f9b97 Changed chip package in vivado examples 2015-06-26 10:46:51 +02:00
..
icestorm Added simple icestorm script (not a real example yet) 2015-06-22 11:35:48 +02:00
vivado Changed chip package in vivado examples 2015-06-26 10:46:51 +02:00
yosys Added osu018 yosys synthesis script 2015-06-08 09:31:56 +02:00