picorv32/scripts/vivado/synth_speed.xdc

2 lines
42 B
Tcl

create_clock -period 2.50 [get_ports clk]