picorv32/scripts/quartus/synth_speed.sdc

2 lines
41 B
Tcl

create_clock -period 2.5 [get_ports clk]