picorv32/scripts/vivado
Clifford Wolf 31588b871e Update evaluation results to Vivado 2017.3 2017-10-21 02:54:19 +02:00
..
.gitignore Vivado "system" example 2015-07-09 02:48:14 +02:00
Makefile Update evaluation results to Vivado 2017.3 2017-10-21 02:54:19 +02:00
firmware.S RISC-V ISA 2.1 now calls "sbreak" officially "ebreak" 2016-06-06 10:46:52 +02:00
firmware.c Improved firmware for vivado "system" example 2015-07-16 11:11:45 +02:00
firmware.lds Vivado "system" example 2015-07-09 02:48:14 +02:00
synth_area.tcl Enabled report_timing in vivado synth_area scripts 2015-07-08 10:16:10 +02:00
synth_area.xdc Makefile for Vivado scripts 2015-06-09 12:45:45 +02:00
synth_area_large.tcl Updated evaluation 2015-07-08 22:31:03 +02:00
synth_area_regular.tcl Updated evaluation 2015-07-08 22:31:03 +02:00
synth_area_small.tcl Updated evaluation 2015-07-08 22:31:03 +02:00
synth_area_top.v Added BARREL_SHIFTER config parameter 2016-04-12 17:30:31 +02:00
synth_speed.tcl Added resource utilization to xilinx eval 2015-06-28 13:51:37 +02:00
synth_speed.xdc Updated vivado scripts 2015-06-26 23:41:13 +02:00
synth_system.tcl Vivado "system" example 2015-07-09 02:48:14 +02:00
synth_system.xdc Vivado "system" example 2015-07-09 02:48:14 +02:00
system.v Improved vivado "system" example 2015-07-12 22:59:21 +02:00
system_tb.v Improved vivado "system" example 2015-07-12 22:59:21 +02:00
table.sh Update vivado evaluations 2017-07-20 09:56:30 +02:00
tabtest.sh Update vivado evaluations 2017-07-20 09:56:30 +02:00
tabtest.v Updated evaluation 2015-07-08 22:31:03 +02:00