quasar/lsu_dccm_ctl.fir

2253 lines
144 KiB
Plaintext
Raw Normal View History

2020-12-22 18:24:39 +08:00
;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10
circuit lsu_dccm_ctl :
extmodule gated_latch :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_1 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_1 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_1 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_2 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_2 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_2 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
extmodule gated_latch_3 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_3 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_3 @[lib.scala 334:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 335:14]
clkhdr.CK <= io.clk @[lib.scala 336:18]
clkhdr.EN <= io.en @[lib.scala 337:18]
clkhdr.SE <= io.scan_mode @[lib.scala 338:18]
module lsu_dccm_ctl :
input clock : Clock
input reset : AsyncReset
output io : {flip clk_override : UInt<1>, flip lsu_c2_m_clk : Clock, flip lsu_c2_r_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_c1_r_clk : Clock, flip lsu_store_c1_r_clk : Clock, flip lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip addr_in_dccm_d : UInt<1>, flip addr_in_dccm_m : UInt<1>, flip addr_in_dccm_r : UInt<1>, flip addr_in_pic_d : UInt<1>, flip addr_in_pic_m : UInt<1>, flip addr_in_pic_r : UInt<1>, flip lsu_raw_fwd_lo_r : UInt<1>, flip lsu_raw_fwd_hi_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip lsu_addr_d : UInt<32>, flip lsu_addr_m : UInt<16>, flip lsu_addr_r : UInt<32>, flip end_addr_d : UInt<16>, flip end_addr_m : UInt<16>, flip end_addr_r : UInt<16>, flip stbuf_reqvld_any : UInt<1>, flip stbuf_addr_any : UInt<16>, flip stbuf_data_any : UInt<32>, flip stbuf_ecc_any : UInt<7>, flip stbuf_fwddata_hi_m : UInt<32>, flip stbuf_fwddata_lo_m : UInt<32>, flip stbuf_fwdbyteen_lo_m : UInt<4>, flip stbuf_fwdbyteen_hi_m : UInt<4>, dccm_rdata_hi_r : UInt<32>, dccm_rdata_lo_r : UInt<32>, dccm_data_ecc_hi_r : UInt<7>, dccm_data_ecc_lo_r : UInt<7>, lsu_ld_data_r : UInt<32>, lsu_ld_data_corr_r : UInt<32>, flip lsu_double_ecc_error_r : UInt<1>, flip single_ecc_error_hi_r : UInt<1>, flip single_ecc_error_lo_r : UInt<1>, flip sec_data_hi_r : UInt<32>, flip sec_data_lo_r : UInt<32>, flip sec_data_hi_r_ff : UInt<32>, flip sec_data_lo_r_ff : UInt<32>, flip sec_data_ecc_hi_r_ff : UInt<7>, flip sec_data_ecc_lo_r_ff : UInt<7>, dccm_rdata_hi_m : UInt<32>, dccm_rdata_lo_m : UInt<32>, dccm_data_ecc_hi_m : UInt<7>, dccm_data_ecc_lo_m : UInt<7>, lsu_ld_data_m : UInt<32>, flip lsu_double_ecc_error_m : UInt<1>, flip sec_data_hi_m : UInt<32>, flip sec_data_lo_m : UInt<32>, flip store_data_m : UInt<32>, flip dma_dccm_wen : UInt<1>, flip dma_pic_wen : UInt<1>, flip dma_mem_tag_m : UInt<3>, flip dma_dccm_wdata_lo : UInt<32>, flip dma_dccm_wdata_hi : UInt<32>, flip dma_dccm_wdata_ecc_hi : UInt<7>, flip dma_dccm_wdata_ecc_lo : UInt<7>, store_data_hi_r : UInt<32>, store_data_lo_r : UInt<32>, store_datafn_hi_r : UInt<32>, store_datafn_lo_r : UInt<32>, store_data_r : UInt<32>, ld_single_ecc_error_r : UInt<1>, ld_single_ecc_error_r_ff : UInt<1>, picm_mask_data_m : UInt<32>, lsu_stbuf_commit_any : UInt<1>, lsu_dccm_rden_m : UInt<1>, lsu_dccm_rden_r : UInt<1>, dma_dccm_ctl : {flip dma_mem_addr : UInt<32>, flip dma_mem_wdata : UInt<64>, dccm_dma_rvalid : UInt<1>, dccm_dma_ecc_error : UInt<1>, dccm_dma_rtag : UInt<3>, dccm_dma_rdata : UInt<64>}, flip dccm : {flip wren : UInt<1>, flip rden : UInt<1>, flip wr_addr_lo : UInt<16>, flip wr_addr_hi : UInt<16>, flip rd_addr_lo : UInt<16>, flip rd_addr_hi : UInt<16>, flip wr_data_lo : UInt<39>, flip wr_data_hi : UInt<39>, rd_data_lo : UInt<39>, rd_data_hi : UInt<39>}, lsu_pic : {picm_wren : UInt<1>, picm_rden : UInt<1>, picm_mken : UInt<1>, picm_rdaddr : UInt<32>, picm_wraddr : UInt<32>, picm_wr_data : UInt<32>, flip picm_rd_data : UInt<32>}, flip scan_mode : UInt<1>}
node picm_rd_data_m = cat(io.lsu_pic.picm_rd_data, io.lsu_pic.picm_rd_data) @[Cat.scala 29:58]
node dccm_rdata_corr_r = cat(io.sec_data_hi_r, io.sec_data_lo_r) @[Cat.scala 29:58]
node dccm_rdata_corr_m = cat(io.sec_data_hi_m, io.sec_data_lo_m) @[Cat.scala 29:58]
node dccm_rdata_r = cat(io.dccm_rdata_hi_r, io.dccm_rdata_lo_r) @[Cat.scala 29:58]
node dccm_rdata_m = cat(io.dccm_rdata_hi_m, io.dccm_rdata_lo_m) @[Cat.scala 29:58]
wire lsu_rdata_r : UInt<64>
lsu_rdata_r <= UInt<1>("h00")
wire lsu_rdata_m : UInt<64>
lsu_rdata_m <= UInt<1>("h00")
wire lsu_rdata_corr_r : UInt<64>
lsu_rdata_corr_r <= UInt<1>("h00")
wire lsu_rdata_corr_m : UInt<64>
lsu_rdata_corr_m <= UInt<1>("h00")
wire stbuf_fwddata_r : UInt<64>
stbuf_fwddata_r <= UInt<1>("h00")
wire stbuf_fwdbyteen_r : UInt<64>
stbuf_fwdbyteen_r <= UInt<1>("h00")
wire picm_rd_data_r_32 : UInt<32>
picm_rd_data_r_32 <= UInt<1>("h00")
wire picm_rd_data_r : UInt<64>
picm_rd_data_r <= UInt<1>("h00")
wire lsu_ld_data_corr_m : UInt<64>
lsu_ld_data_corr_m <= UInt<1>("h00")
wire stbuf_fwddata_en : UInt<1>
stbuf_fwddata_en <= UInt<1>("h00")
wire lsu_double_ecc_error_r_ff : UInt<1>
lsu_double_ecc_error_r_ff <= UInt<1>("h00")
wire ld_single_ecc_error_hi_r_ff : UInt<1>
ld_single_ecc_error_hi_r_ff <= UInt<1>("h00")
wire ld_single_ecc_error_lo_r_ff : UInt<1>
ld_single_ecc_error_lo_r_ff <= UInt<1>("h00")
wire ld_sec_addr_hi_r_ff : UInt<16>
ld_sec_addr_hi_r_ff <= UInt<1>("h00")
wire ld_sec_addr_lo_r_ff : UInt<16>
ld_sec_addr_lo_r_ff <= UInt<1>("h00")
node _T = and(io.lsu_pkt_m.valid, io.lsu_pkt_m.bits.load) @[lsu_dccm_ctl.scala 145:63]
node _T_1 = and(_T, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 145:88]
io.dma_dccm_ctl.dccm_dma_rvalid <= _T_1 @[lsu_dccm_ctl.scala 145:41]
io.dma_dccm_ctl.dccm_dma_ecc_error <= io.lsu_double_ecc_error_m @[lsu_dccm_ctl.scala 146:41]
node _T_2 = bits(io.ldst_dual_m, 0, 0) @[lib.scala 8:44]
node _T_3 = cat(lsu_rdata_corr_m, lsu_rdata_corr_m) @[Cat.scala 29:58]
node _T_4 = mux(_T_2, lsu_rdata_corr_m, _T_3) @[lsu_dccm_ctl.scala 147:47]
io.dma_dccm_ctl.dccm_dma_rdata <= _T_4 @[lsu_dccm_ctl.scala 147:41]
io.dma_dccm_ctl.dccm_dma_rtag <= io.dma_mem_tag_m @[lsu_dccm_ctl.scala 148:41]
io.dccm_rdata_lo_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 149:28]
io.dccm_rdata_hi_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 150:28]
io.dccm_data_ecc_hi_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 151:28]
io.dccm_data_ecc_lo_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 152:28]
io.lsu_ld_data_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 153:28]
node _T_5 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_6 = bits(_T_5, 0, 0) @[lsu_dccm_ctl.scala 155:134]
node _T_7 = bits(_T_6, 0, 0) @[lsu_dccm_ctl.scala 155:139]
node _T_8 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_9 = bits(_T_8, 7, 0) @[lsu_dccm_ctl.scala 155:196]
node _T_10 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232]
node _T_11 = bits(picm_rd_data_m, 7, 0) @[lsu_dccm_ctl.scala 155:253]
node _T_12 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_13 = mux(_T_12, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12]
node _T_14 = bits(dccm_rdata_corr_m, 7, 0) @[lsu_dccm_ctl.scala 155:313]
node _T_15 = and(_T_13, _T_14) @[lsu_dccm_ctl.scala 155:294]
node _T_16 = mux(_T_10, _T_11, _T_15) @[lsu_dccm_ctl.scala 155:214]
node _T_17 = mux(_T_7, _T_9, _T_16) @[lsu_dccm_ctl.scala 155:78]
node _T_18 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_19 = xor(UInt<8>("h0ff"), _T_18) @[Bitwise.scala 102:21]
node _T_20 = shr(_T_17, 4) @[Bitwise.scala 103:21]
node _T_21 = and(_T_20, _T_19) @[Bitwise.scala 103:31]
node _T_22 = bits(_T_17, 3, 0) @[Bitwise.scala 103:46]
node _T_23 = shl(_T_22, 4) @[Bitwise.scala 103:65]
node _T_24 = not(_T_19) @[Bitwise.scala 103:77]
node _T_25 = and(_T_23, _T_24) @[Bitwise.scala 103:75]
node _T_26 = or(_T_21, _T_25) @[Bitwise.scala 103:39]
node _T_27 = bits(_T_19, 5, 0) @[Bitwise.scala 102:28]
node _T_28 = shl(_T_27, 2) @[Bitwise.scala 102:47]
node _T_29 = xor(_T_19, _T_28) @[Bitwise.scala 102:21]
node _T_30 = shr(_T_26, 2) @[Bitwise.scala 103:21]
node _T_31 = and(_T_30, _T_29) @[Bitwise.scala 103:31]
node _T_32 = bits(_T_26, 5, 0) @[Bitwise.scala 103:46]
node _T_33 = shl(_T_32, 2) @[Bitwise.scala 103:65]
node _T_34 = not(_T_29) @[Bitwise.scala 103:77]
node _T_35 = and(_T_33, _T_34) @[Bitwise.scala 103:75]
node _T_36 = or(_T_31, _T_35) @[Bitwise.scala 103:39]
node _T_37 = bits(_T_29, 6, 0) @[Bitwise.scala 102:28]
node _T_38 = shl(_T_37, 1) @[Bitwise.scala 102:47]
node _T_39 = xor(_T_29, _T_38) @[Bitwise.scala 102:21]
node _T_40 = shr(_T_36, 1) @[Bitwise.scala 103:21]
node _T_41 = and(_T_40, _T_39) @[Bitwise.scala 103:31]
node _T_42 = bits(_T_36, 6, 0) @[Bitwise.scala 103:46]
node _T_43 = shl(_T_42, 1) @[Bitwise.scala 103:65]
node _T_44 = not(_T_39) @[Bitwise.scala 103:77]
node _T_45 = and(_T_43, _T_44) @[Bitwise.scala 103:75]
node _T_46 = or(_T_41, _T_45) @[Bitwise.scala 103:39]
node _T_47 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_48 = bits(_T_47, 1, 1) @[lsu_dccm_ctl.scala 155:134]
node _T_49 = bits(_T_48, 0, 0) @[lsu_dccm_ctl.scala 155:139]
node _T_50 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_51 = bits(_T_50, 15, 8) @[lsu_dccm_ctl.scala 155:196]
node _T_52 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232]
node _T_53 = bits(picm_rd_data_m, 15, 8) @[lsu_dccm_ctl.scala 155:253]
node _T_54 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_55 = mux(_T_54, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12]
node _T_56 = bits(dccm_rdata_corr_m, 15, 8) @[lsu_dccm_ctl.scala 155:313]
node _T_57 = and(_T_55, _T_56) @[lsu_dccm_ctl.scala 155:294]
node _T_58 = mux(_T_52, _T_53, _T_57) @[lsu_dccm_ctl.scala 155:214]
node _T_59 = mux(_T_49, _T_51, _T_58) @[lsu_dccm_ctl.scala 155:78]
node _T_60 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_61 = xor(UInt<8>("h0ff"), _T_60) @[Bitwise.scala 102:21]
node _T_62 = shr(_T_59, 4) @[Bitwise.scala 103:21]
node _T_63 = and(_T_62, _T_61) @[Bitwise.scala 103:31]
node _T_64 = bits(_T_59, 3, 0) @[Bitwise.scala 103:46]
node _T_65 = shl(_T_64, 4) @[Bitwise.scala 103:65]
node _T_66 = not(_T_61) @[Bitwise.scala 103:77]
node _T_67 = and(_T_65, _T_66) @[Bitwise.scala 103:75]
node _T_68 = or(_T_63, _T_67) @[Bitwise.scala 103:39]
node _T_69 = bits(_T_61, 5, 0) @[Bitwise.scala 102:28]
node _T_70 = shl(_T_69, 2) @[Bitwise.scala 102:47]
node _T_71 = xor(_T_61, _T_70) @[Bitwise.scala 102:21]
node _T_72 = shr(_T_68, 2) @[Bitwise.scala 103:21]
node _T_73 = and(_T_72, _T_71) @[Bitwise.scala 103:31]
node _T_74 = bits(_T_68, 5, 0) @[Bitwise.scala 103:46]
node _T_75 = shl(_T_74, 2) @[Bitwise.scala 103:65]
node _T_76 = not(_T_71) @[Bitwise.scala 103:77]
node _T_77 = and(_T_75, _T_76) @[Bitwise.scala 103:75]
node _T_78 = or(_T_73, _T_77) @[Bitwise.scala 103:39]
node _T_79 = bits(_T_71, 6, 0) @[Bitwise.scala 102:28]
node _T_80 = shl(_T_79, 1) @[Bitwise.scala 102:47]
node _T_81 = xor(_T_71, _T_80) @[Bitwise.scala 102:21]
node _T_82 = shr(_T_78, 1) @[Bitwise.scala 103:21]
node _T_83 = and(_T_82, _T_81) @[Bitwise.scala 103:31]
node _T_84 = bits(_T_78, 6, 0) @[Bitwise.scala 103:46]
node _T_85 = shl(_T_84, 1) @[Bitwise.scala 103:65]
node _T_86 = not(_T_81) @[Bitwise.scala 103:77]
node _T_87 = and(_T_85, _T_86) @[Bitwise.scala 103:75]
node _T_88 = or(_T_83, _T_87) @[Bitwise.scala 103:39]
node _T_89 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_90 = bits(_T_89, 2, 2) @[lsu_dccm_ctl.scala 155:134]
node _T_91 = bits(_T_90, 0, 0) @[lsu_dccm_ctl.scala 155:139]
node _T_92 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_93 = bits(_T_92, 23, 16) @[lsu_dccm_ctl.scala 155:196]
node _T_94 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232]
node _T_95 = bits(picm_rd_data_m, 23, 16) @[lsu_dccm_ctl.scala 155:253]
node _T_96 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_97 = mux(_T_96, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12]
node _T_98 = bits(dccm_rdata_corr_m, 23, 16) @[lsu_dccm_ctl.scala 155:313]
node _T_99 = and(_T_97, _T_98) @[lsu_dccm_ctl.scala 155:294]
node _T_100 = mux(_T_94, _T_95, _T_99) @[lsu_dccm_ctl.scala 155:214]
node _T_101 = mux(_T_91, _T_93, _T_100) @[lsu_dccm_ctl.scala 155:78]
node _T_102 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_103 = xor(UInt<8>("h0ff"), _T_102) @[Bitwise.scala 102:21]
node _T_104 = shr(_T_101, 4) @[Bitwise.scala 103:21]
node _T_105 = and(_T_104, _T_103) @[Bitwise.scala 103:31]
node _T_106 = bits(_T_101, 3, 0) @[Bitwise.scala 103:46]
node _T_107 = shl(_T_106, 4) @[Bitwise.scala 103:65]
node _T_108 = not(_T_103) @[Bitwise.scala 103:77]
node _T_109 = and(_T_107, _T_108) @[Bitwise.scala 103:75]
node _T_110 = or(_T_105, _T_109) @[Bitwise.scala 103:39]
node _T_111 = bits(_T_103, 5, 0) @[Bitwise.scala 102:28]
node _T_112 = shl(_T_111, 2) @[Bitwise.scala 102:47]
node _T_113 = xor(_T_103, _T_112) @[Bitwise.scala 102:21]
node _T_114 = shr(_T_110, 2) @[Bitwise.scala 103:21]
node _T_115 = and(_T_114, _T_113) @[Bitwise.scala 103:31]
node _T_116 = bits(_T_110, 5, 0) @[Bitwise.scala 103:46]
node _T_117 = shl(_T_116, 2) @[Bitwise.scala 103:65]
node _T_118 = not(_T_113) @[Bitwise.scala 103:77]
node _T_119 = and(_T_117, _T_118) @[Bitwise.scala 103:75]
node _T_120 = or(_T_115, _T_119) @[Bitwise.scala 103:39]
node _T_121 = bits(_T_113, 6, 0) @[Bitwise.scala 102:28]
node _T_122 = shl(_T_121, 1) @[Bitwise.scala 102:47]
node _T_123 = xor(_T_113, _T_122) @[Bitwise.scala 102:21]
node _T_124 = shr(_T_120, 1) @[Bitwise.scala 103:21]
node _T_125 = and(_T_124, _T_123) @[Bitwise.scala 103:31]
node _T_126 = bits(_T_120, 6, 0) @[Bitwise.scala 103:46]
node _T_127 = shl(_T_126, 1) @[Bitwise.scala 103:65]
node _T_128 = not(_T_123) @[Bitwise.scala 103:77]
node _T_129 = and(_T_127, _T_128) @[Bitwise.scala 103:75]
node _T_130 = or(_T_125, _T_129) @[Bitwise.scala 103:39]
node _T_131 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_132 = bits(_T_131, 3, 3) @[lsu_dccm_ctl.scala 155:134]
node _T_133 = bits(_T_132, 0, 0) @[lsu_dccm_ctl.scala 155:139]
node _T_134 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_135 = bits(_T_134, 31, 24) @[lsu_dccm_ctl.scala 155:196]
node _T_136 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232]
node _T_137 = bits(picm_rd_data_m, 31, 24) @[lsu_dccm_ctl.scala 155:253]
node _T_138 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_139 = mux(_T_138, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12]
node _T_140 = bits(dccm_rdata_corr_m, 31, 24) @[lsu_dccm_ctl.scala 155:313]
node _T_141 = and(_T_139, _T_140) @[lsu_dccm_ctl.scala 155:294]
node _T_142 = mux(_T_136, _T_137, _T_141) @[lsu_dccm_ctl.scala 155:214]
node _T_143 = mux(_T_133, _T_135, _T_142) @[lsu_dccm_ctl.scala 155:78]
node _T_144 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_145 = xor(UInt<8>("h0ff"), _T_144) @[Bitwise.scala 102:21]
node _T_146 = shr(_T_143, 4) @[Bitwise.scala 103:21]
node _T_147 = and(_T_146, _T_145) @[Bitwise.scala 103:31]
node _T_148 = bits(_T_143, 3, 0) @[Bitwise.scala 103:46]
node _T_149 = shl(_T_148, 4) @[Bitwise.scala 103:65]
node _T_150 = not(_T_145) @[Bitwise.scala 103:77]
node _T_151 = and(_T_149, _T_150) @[Bitwise.scala 103:75]
node _T_152 = or(_T_147, _T_151) @[Bitwise.scala 103:39]
node _T_153 = bits(_T_145, 5, 0) @[Bitwise.scala 102:28]
node _T_154 = shl(_T_153, 2) @[Bitwise.scala 102:47]
node _T_155 = xor(_T_145, _T_154) @[Bitwise.scala 102:21]
node _T_156 = shr(_T_152, 2) @[Bitwise.scala 103:21]
node _T_157 = and(_T_156, _T_155) @[Bitwise.scala 103:31]
node _T_158 = bits(_T_152, 5, 0) @[Bitwise.scala 103:46]
node _T_159 = shl(_T_158, 2) @[Bitwise.scala 103:65]
node _T_160 = not(_T_155) @[Bitwise.scala 103:77]
node _T_161 = and(_T_159, _T_160) @[Bitwise.scala 103:75]
node _T_162 = or(_T_157, _T_161) @[Bitwise.scala 103:39]
node _T_163 = bits(_T_155, 6, 0) @[Bitwise.scala 102:28]
node _T_164 = shl(_T_163, 1) @[Bitwise.scala 102:47]
node _T_165 = xor(_T_155, _T_164) @[Bitwise.scala 102:21]
node _T_166 = shr(_T_162, 1) @[Bitwise.scala 103:21]
node _T_167 = and(_T_166, _T_165) @[Bitwise.scala 103:31]
node _T_168 = bits(_T_162, 6, 0) @[Bitwise.scala 103:46]
node _T_169 = shl(_T_168, 1) @[Bitwise.scala 103:65]
node _T_170 = not(_T_165) @[Bitwise.scala 103:77]
node _T_171 = and(_T_169, _T_170) @[Bitwise.scala 103:75]
node _T_172 = or(_T_167, _T_171) @[Bitwise.scala 103:39]
node _T_173 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_174 = bits(_T_173, 4, 4) @[lsu_dccm_ctl.scala 155:134]
node _T_175 = bits(_T_174, 0, 0) @[lsu_dccm_ctl.scala 155:139]
node _T_176 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_177 = bits(_T_176, 39, 32) @[lsu_dccm_ctl.scala 155:196]
node _T_178 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232]
node _T_179 = bits(picm_rd_data_m, 39, 32) @[lsu_dccm_ctl.scala 155:253]
node _T_180 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_181 = mux(_T_180, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12]
node _T_182 = bits(dccm_rdata_corr_m, 39, 32) @[lsu_dccm_ctl.scala 155:313]
node _T_183 = and(_T_181, _T_182) @[lsu_dccm_ctl.scala 155:294]
node _T_184 = mux(_T_178, _T_179, _T_183) @[lsu_dccm_ctl.scala 155:214]
node _T_185 = mux(_T_175, _T_177, _T_184) @[lsu_dccm_ctl.scala 155:78]
node _T_186 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_187 = xor(UInt<8>("h0ff"), _T_186) @[Bitwise.scala 102:21]
node _T_188 = shr(_T_185, 4) @[Bitwise.scala 103:21]
node _T_189 = and(_T_188, _T_187) @[Bitwise.scala 103:31]
node _T_190 = bits(_T_185, 3, 0) @[Bitwise.scala 103:46]
node _T_191 = shl(_T_190, 4) @[Bitwise.scala 103:65]
node _T_192 = not(_T_187) @[Bitwise.scala 103:77]
node _T_193 = and(_T_191, _T_192) @[Bitwise.scala 103:75]
node _T_194 = or(_T_189, _T_193) @[Bitwise.scala 103:39]
node _T_195 = bits(_T_187, 5, 0) @[Bitwise.scala 102:28]
node _T_196 = shl(_T_195, 2) @[Bitwise.scala 102:47]
node _T_197 = xor(_T_187, _T_196) @[Bitwise.scala 102:21]
node _T_198 = shr(_T_194, 2) @[Bitwise.scala 103:21]
node _T_199 = and(_T_198, _T_197) @[Bitwise.scala 103:31]
node _T_200 = bits(_T_194, 5, 0) @[Bitwise.scala 103:46]
node _T_201 = shl(_T_200, 2) @[Bitwise.scala 103:65]
node _T_202 = not(_T_197) @[Bitwise.scala 103:77]
node _T_203 = and(_T_201, _T_202) @[Bitwise.scala 103:75]
node _T_204 = or(_T_199, _T_203) @[Bitwise.scala 103:39]
node _T_205 = bits(_T_197, 6, 0) @[Bitwise.scala 102:28]
node _T_206 = shl(_T_205, 1) @[Bitwise.scala 102:47]
node _T_207 = xor(_T_197, _T_206) @[Bitwise.scala 102:21]
node _T_208 = shr(_T_204, 1) @[Bitwise.scala 103:21]
node _T_209 = and(_T_208, _T_207) @[Bitwise.scala 103:31]
node _T_210 = bits(_T_204, 6, 0) @[Bitwise.scala 103:46]
node _T_211 = shl(_T_210, 1) @[Bitwise.scala 103:65]
node _T_212 = not(_T_207) @[Bitwise.scala 103:77]
node _T_213 = and(_T_211, _T_212) @[Bitwise.scala 103:75]
node _T_214 = or(_T_209, _T_213) @[Bitwise.scala 103:39]
node _T_215 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_216 = bits(_T_215, 5, 5) @[lsu_dccm_ctl.scala 155:134]
node _T_217 = bits(_T_216, 0, 0) @[lsu_dccm_ctl.scala 155:139]
node _T_218 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_219 = bits(_T_218, 47, 40) @[lsu_dccm_ctl.scala 155:196]
node _T_220 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232]
node _T_221 = bits(picm_rd_data_m, 47, 40) @[lsu_dccm_ctl.scala 155:253]
node _T_222 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_223 = mux(_T_222, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12]
node _T_224 = bits(dccm_rdata_corr_m, 47, 40) @[lsu_dccm_ctl.scala 155:313]
node _T_225 = and(_T_223, _T_224) @[lsu_dccm_ctl.scala 155:294]
node _T_226 = mux(_T_220, _T_221, _T_225) @[lsu_dccm_ctl.scala 155:214]
node _T_227 = mux(_T_217, _T_219, _T_226) @[lsu_dccm_ctl.scala 155:78]
node _T_228 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_229 = xor(UInt<8>("h0ff"), _T_228) @[Bitwise.scala 102:21]
node _T_230 = shr(_T_227, 4) @[Bitwise.scala 103:21]
node _T_231 = and(_T_230, _T_229) @[Bitwise.scala 103:31]
node _T_232 = bits(_T_227, 3, 0) @[Bitwise.scala 103:46]
node _T_233 = shl(_T_232, 4) @[Bitwise.scala 103:65]
node _T_234 = not(_T_229) @[Bitwise.scala 103:77]
node _T_235 = and(_T_233, _T_234) @[Bitwise.scala 103:75]
node _T_236 = or(_T_231, _T_235) @[Bitwise.scala 103:39]
node _T_237 = bits(_T_229, 5, 0) @[Bitwise.scala 102:28]
node _T_238 = shl(_T_237, 2) @[Bitwise.scala 102:47]
node _T_239 = xor(_T_229, _T_238) @[Bitwise.scala 102:21]
node _T_240 = shr(_T_236, 2) @[Bitwise.scala 103:21]
node _T_241 = and(_T_240, _T_239) @[Bitwise.scala 103:31]
node _T_242 = bits(_T_236, 5, 0) @[Bitwise.scala 103:46]
node _T_243 = shl(_T_242, 2) @[Bitwise.scala 103:65]
node _T_244 = not(_T_239) @[Bitwise.scala 103:77]
node _T_245 = and(_T_243, _T_244) @[Bitwise.scala 103:75]
node _T_246 = or(_T_241, _T_245) @[Bitwise.scala 103:39]
node _T_247 = bits(_T_239, 6, 0) @[Bitwise.scala 102:28]
node _T_248 = shl(_T_247, 1) @[Bitwise.scala 102:47]
node _T_249 = xor(_T_239, _T_248) @[Bitwise.scala 102:21]
node _T_250 = shr(_T_246, 1) @[Bitwise.scala 103:21]
node _T_251 = and(_T_250, _T_249) @[Bitwise.scala 103:31]
node _T_252 = bits(_T_246, 6, 0) @[Bitwise.scala 103:46]
node _T_253 = shl(_T_252, 1) @[Bitwise.scala 103:65]
node _T_254 = not(_T_249) @[Bitwise.scala 103:77]
node _T_255 = and(_T_253, _T_254) @[Bitwise.scala 103:75]
node _T_256 = or(_T_251, _T_255) @[Bitwise.scala 103:39]
node _T_257 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_258 = bits(_T_257, 6, 6) @[lsu_dccm_ctl.scala 155:134]
node _T_259 = bits(_T_258, 0, 0) @[lsu_dccm_ctl.scala 155:139]
node _T_260 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_261 = bits(_T_260, 55, 48) @[lsu_dccm_ctl.scala 155:196]
node _T_262 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232]
node _T_263 = bits(picm_rd_data_m, 55, 48) @[lsu_dccm_ctl.scala 155:253]
node _T_264 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_265 = mux(_T_264, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12]
node _T_266 = bits(dccm_rdata_corr_m, 55, 48) @[lsu_dccm_ctl.scala 155:313]
node _T_267 = and(_T_265, _T_266) @[lsu_dccm_ctl.scala 155:294]
node _T_268 = mux(_T_262, _T_263, _T_267) @[lsu_dccm_ctl.scala 155:214]
node _T_269 = mux(_T_259, _T_261, _T_268) @[lsu_dccm_ctl.scala 155:78]
node _T_270 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_271 = xor(UInt<8>("h0ff"), _T_270) @[Bitwise.scala 102:21]
node _T_272 = shr(_T_269, 4) @[Bitwise.scala 103:21]
node _T_273 = and(_T_272, _T_271) @[Bitwise.scala 103:31]
node _T_274 = bits(_T_269, 3, 0) @[Bitwise.scala 103:46]
node _T_275 = shl(_T_274, 4) @[Bitwise.scala 103:65]
node _T_276 = not(_T_271) @[Bitwise.scala 103:77]
node _T_277 = and(_T_275, _T_276) @[Bitwise.scala 103:75]
node _T_278 = or(_T_273, _T_277) @[Bitwise.scala 103:39]
node _T_279 = bits(_T_271, 5, 0) @[Bitwise.scala 102:28]
node _T_280 = shl(_T_279, 2) @[Bitwise.scala 102:47]
node _T_281 = xor(_T_271, _T_280) @[Bitwise.scala 102:21]
node _T_282 = shr(_T_278, 2) @[Bitwise.scala 103:21]
node _T_283 = and(_T_282, _T_281) @[Bitwise.scala 103:31]
node _T_284 = bits(_T_278, 5, 0) @[Bitwise.scala 103:46]
node _T_285 = shl(_T_284, 2) @[Bitwise.scala 103:65]
node _T_286 = not(_T_281) @[Bitwise.scala 103:77]
node _T_287 = and(_T_285, _T_286) @[Bitwise.scala 103:75]
node _T_288 = or(_T_283, _T_287) @[Bitwise.scala 103:39]
node _T_289 = bits(_T_281, 6, 0) @[Bitwise.scala 102:28]
node _T_290 = shl(_T_289, 1) @[Bitwise.scala 102:47]
node _T_291 = xor(_T_281, _T_290) @[Bitwise.scala 102:21]
node _T_292 = shr(_T_288, 1) @[Bitwise.scala 103:21]
node _T_293 = and(_T_292, _T_291) @[Bitwise.scala 103:31]
node _T_294 = bits(_T_288, 6, 0) @[Bitwise.scala 103:46]
node _T_295 = shl(_T_294, 1) @[Bitwise.scala 103:65]
node _T_296 = not(_T_291) @[Bitwise.scala 103:77]
node _T_297 = and(_T_295, _T_296) @[Bitwise.scala 103:75]
node _T_298 = or(_T_293, _T_297) @[Bitwise.scala 103:39]
node _T_299 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_300 = bits(_T_299, 7, 7) @[lsu_dccm_ctl.scala 155:134]
node _T_301 = bits(_T_300, 0, 0) @[lsu_dccm_ctl.scala 155:139]
node _T_302 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_303 = bits(_T_302, 63, 56) @[lsu_dccm_ctl.scala 155:196]
node _T_304 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232]
node _T_305 = bits(picm_rd_data_m, 63, 56) @[lsu_dccm_ctl.scala 155:253]
node _T_306 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_307 = mux(_T_306, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12]
node _T_308 = bits(dccm_rdata_corr_m, 63, 56) @[lsu_dccm_ctl.scala 155:313]
node _T_309 = and(_T_307, _T_308) @[lsu_dccm_ctl.scala 155:294]
node _T_310 = mux(_T_304, _T_305, _T_309) @[lsu_dccm_ctl.scala 155:214]
node _T_311 = mux(_T_301, _T_303, _T_310) @[lsu_dccm_ctl.scala 155:78]
node _T_312 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_313 = xor(UInt<8>("h0ff"), _T_312) @[Bitwise.scala 102:21]
node _T_314 = shr(_T_311, 4) @[Bitwise.scala 103:21]
node _T_315 = and(_T_314, _T_313) @[Bitwise.scala 103:31]
node _T_316 = bits(_T_311, 3, 0) @[Bitwise.scala 103:46]
node _T_317 = shl(_T_316, 4) @[Bitwise.scala 103:65]
node _T_318 = not(_T_313) @[Bitwise.scala 103:77]
node _T_319 = and(_T_317, _T_318) @[Bitwise.scala 103:75]
node _T_320 = or(_T_315, _T_319) @[Bitwise.scala 103:39]
node _T_321 = bits(_T_313, 5, 0) @[Bitwise.scala 102:28]
node _T_322 = shl(_T_321, 2) @[Bitwise.scala 102:47]
node _T_323 = xor(_T_313, _T_322) @[Bitwise.scala 102:21]
node _T_324 = shr(_T_320, 2) @[Bitwise.scala 103:21]
node _T_325 = and(_T_324, _T_323) @[Bitwise.scala 103:31]
node _T_326 = bits(_T_320, 5, 0) @[Bitwise.scala 103:46]
node _T_327 = shl(_T_326, 2) @[Bitwise.scala 103:65]
node _T_328 = not(_T_323) @[Bitwise.scala 103:77]
node _T_329 = and(_T_327, _T_328) @[Bitwise.scala 103:75]
node _T_330 = or(_T_325, _T_329) @[Bitwise.scala 103:39]
node _T_331 = bits(_T_323, 6, 0) @[Bitwise.scala 102:28]
node _T_332 = shl(_T_331, 1) @[Bitwise.scala 102:47]
node _T_333 = xor(_T_323, _T_332) @[Bitwise.scala 102:21]
node _T_334 = shr(_T_330, 1) @[Bitwise.scala 103:21]
node _T_335 = and(_T_334, _T_333) @[Bitwise.scala 103:31]
node _T_336 = bits(_T_330, 6, 0) @[Bitwise.scala 103:46]
node _T_337 = shl(_T_336, 1) @[Bitwise.scala 103:65]
node _T_338 = not(_T_333) @[Bitwise.scala 103:77]
node _T_339 = and(_T_337, _T_338) @[Bitwise.scala 103:75]
node _T_340 = or(_T_335, _T_339) @[Bitwise.scala 103:39]
wire _T_341 : UInt<8>[8] @[lsu_dccm_ctl.scala 155:62]
_T_341[0] <= _T_46 @[lsu_dccm_ctl.scala 155:62]
_T_341[1] <= _T_88 @[lsu_dccm_ctl.scala 155:62]
_T_341[2] <= _T_130 @[lsu_dccm_ctl.scala 155:62]
_T_341[3] <= _T_172 @[lsu_dccm_ctl.scala 155:62]
_T_341[4] <= _T_214 @[lsu_dccm_ctl.scala 155:62]
_T_341[5] <= _T_256 @[lsu_dccm_ctl.scala 155:62]
_T_341[6] <= _T_298 @[lsu_dccm_ctl.scala 155:62]
_T_341[7] <= _T_340 @[lsu_dccm_ctl.scala 155:62]
node _T_342 = cat(_T_341[6], _T_341[7]) @[Cat.scala 29:58]
node _T_343 = cat(_T_341[4], _T_341[5]) @[Cat.scala 29:58]
node _T_344 = cat(_T_343, _T_342) @[Cat.scala 29:58]
node _T_345 = cat(_T_341[2], _T_341[3]) @[Cat.scala 29:58]
node _T_346 = cat(_T_341[0], _T_341[1]) @[Cat.scala 29:58]
node _T_347 = cat(_T_346, _T_345) @[Cat.scala 29:58]
node _T_348 = cat(_T_347, _T_344) @[Cat.scala 29:58]
node _T_349 = shl(UInt<32>("h0ffffffff"), 32) @[Bitwise.scala 102:47]
node _T_350 = xor(UInt<64>("h0ffffffffffffffff"), _T_349) @[Bitwise.scala 102:21]
node _T_351 = shr(_T_348, 32) @[Bitwise.scala 103:21]
node _T_352 = and(_T_351, _T_350) @[Bitwise.scala 103:31]
node _T_353 = bits(_T_348, 31, 0) @[Bitwise.scala 103:46]
node _T_354 = shl(_T_353, 32) @[Bitwise.scala 103:65]
node _T_355 = not(_T_350) @[Bitwise.scala 103:77]
node _T_356 = and(_T_354, _T_355) @[Bitwise.scala 103:75]
node _T_357 = or(_T_352, _T_356) @[Bitwise.scala 103:39]
node _T_358 = bits(_T_350, 47, 0) @[Bitwise.scala 102:28]
node _T_359 = shl(_T_358, 16) @[Bitwise.scala 102:47]
node _T_360 = xor(_T_350, _T_359) @[Bitwise.scala 102:21]
node _T_361 = shr(_T_357, 16) @[Bitwise.scala 103:21]
node _T_362 = and(_T_361, _T_360) @[Bitwise.scala 103:31]
node _T_363 = bits(_T_357, 47, 0) @[Bitwise.scala 103:46]
node _T_364 = shl(_T_363, 16) @[Bitwise.scala 103:65]
node _T_365 = not(_T_360) @[Bitwise.scala 103:77]
node _T_366 = and(_T_364, _T_365) @[Bitwise.scala 103:75]
node _T_367 = or(_T_362, _T_366) @[Bitwise.scala 103:39]
node _T_368 = bits(_T_360, 55, 0) @[Bitwise.scala 102:28]
node _T_369 = shl(_T_368, 8) @[Bitwise.scala 102:47]
node _T_370 = xor(_T_360, _T_369) @[Bitwise.scala 102:21]
node _T_371 = shr(_T_367, 8) @[Bitwise.scala 103:21]
node _T_372 = and(_T_371, _T_370) @[Bitwise.scala 103:31]
node _T_373 = bits(_T_367, 55, 0) @[Bitwise.scala 103:46]
node _T_374 = shl(_T_373, 8) @[Bitwise.scala 103:65]
node _T_375 = not(_T_370) @[Bitwise.scala 103:77]
node _T_376 = and(_T_374, _T_375) @[Bitwise.scala 103:75]
node _T_377 = or(_T_372, _T_376) @[Bitwise.scala 103:39]
node _T_378 = bits(_T_370, 59, 0) @[Bitwise.scala 102:28]
node _T_379 = shl(_T_378, 4) @[Bitwise.scala 102:47]
node _T_380 = xor(_T_370, _T_379) @[Bitwise.scala 102:21]
node _T_381 = shr(_T_377, 4) @[Bitwise.scala 103:21]
node _T_382 = and(_T_381, _T_380) @[Bitwise.scala 103:31]
node _T_383 = bits(_T_377, 59, 0) @[Bitwise.scala 103:46]
node _T_384 = shl(_T_383, 4) @[Bitwise.scala 103:65]
node _T_385 = not(_T_380) @[Bitwise.scala 103:77]
node _T_386 = and(_T_384, _T_385) @[Bitwise.scala 103:75]
node _T_387 = or(_T_382, _T_386) @[Bitwise.scala 103:39]
node _T_388 = bits(_T_380, 61, 0) @[Bitwise.scala 102:28]
node _T_389 = shl(_T_388, 2) @[Bitwise.scala 102:47]
node _T_390 = xor(_T_380, _T_389) @[Bitwise.scala 102:21]
node _T_391 = shr(_T_387, 2) @[Bitwise.scala 103:21]
node _T_392 = and(_T_391, _T_390) @[Bitwise.scala 103:31]
node _T_393 = bits(_T_387, 61, 0) @[Bitwise.scala 103:46]
node _T_394 = shl(_T_393, 2) @[Bitwise.scala 103:65]
node _T_395 = not(_T_390) @[Bitwise.scala 103:77]
node _T_396 = and(_T_394, _T_395) @[Bitwise.scala 103:75]
node _T_397 = or(_T_392, _T_396) @[Bitwise.scala 103:39]
node _T_398 = bits(_T_390, 62, 0) @[Bitwise.scala 102:28]
node _T_399 = shl(_T_398, 1) @[Bitwise.scala 102:47]
node _T_400 = xor(_T_390, _T_399) @[Bitwise.scala 102:21]
node _T_401 = shr(_T_397, 1) @[Bitwise.scala 103:21]
node _T_402 = and(_T_401, _T_400) @[Bitwise.scala 103:31]
node _T_403 = bits(_T_397, 62, 0) @[Bitwise.scala 103:46]
node _T_404 = shl(_T_403, 1) @[Bitwise.scala 103:65]
node _T_405 = not(_T_400) @[Bitwise.scala 103:77]
node _T_406 = and(_T_404, _T_405) @[Bitwise.scala 103:75]
node _T_407 = or(_T_402, _T_406) @[Bitwise.scala 103:39]
lsu_rdata_corr_m <= _T_407 @[lsu_dccm_ctl.scala 155:28]
node _T_408 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_409 = bits(_T_408, 0, 0) @[lsu_dccm_ctl.scala 156:134]
node _T_410 = bits(_T_409, 0, 0) @[lsu_dccm_ctl.scala 156:139]
node _T_411 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_412 = bits(_T_411, 7, 0) @[lsu_dccm_ctl.scala 156:196]
node _T_413 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232]
node _T_414 = bits(picm_rd_data_m, 7, 0) @[lsu_dccm_ctl.scala 156:253]
node _T_415 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_416 = mux(_T_415, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12]
node _T_417 = bits(dccm_rdata_m, 7, 0) @[lsu_dccm_ctl.scala 156:308]
node _T_418 = and(_T_416, _T_417) @[lsu_dccm_ctl.scala 156:294]
node _T_419 = mux(_T_413, _T_414, _T_418) @[lsu_dccm_ctl.scala 156:214]
node _T_420 = mux(_T_410, _T_412, _T_419) @[lsu_dccm_ctl.scala 156:78]
node _T_421 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_422 = xor(UInt<8>("h0ff"), _T_421) @[Bitwise.scala 102:21]
node _T_423 = shr(_T_420, 4) @[Bitwise.scala 103:21]
node _T_424 = and(_T_423, _T_422) @[Bitwise.scala 103:31]
node _T_425 = bits(_T_420, 3, 0) @[Bitwise.scala 103:46]
node _T_426 = shl(_T_425, 4) @[Bitwise.scala 103:65]
node _T_427 = not(_T_422) @[Bitwise.scala 103:77]
node _T_428 = and(_T_426, _T_427) @[Bitwise.scala 103:75]
node _T_429 = or(_T_424, _T_428) @[Bitwise.scala 103:39]
node _T_430 = bits(_T_422, 5, 0) @[Bitwise.scala 102:28]
node _T_431 = shl(_T_430, 2) @[Bitwise.scala 102:47]
node _T_432 = xor(_T_422, _T_431) @[Bitwise.scala 102:21]
node _T_433 = shr(_T_429, 2) @[Bitwise.scala 103:21]
node _T_434 = and(_T_433, _T_432) @[Bitwise.scala 103:31]
node _T_435 = bits(_T_429, 5, 0) @[Bitwise.scala 103:46]
node _T_436 = shl(_T_435, 2) @[Bitwise.scala 103:65]
node _T_437 = not(_T_432) @[Bitwise.scala 103:77]
node _T_438 = and(_T_436, _T_437) @[Bitwise.scala 103:75]
node _T_439 = or(_T_434, _T_438) @[Bitwise.scala 103:39]
node _T_440 = bits(_T_432, 6, 0) @[Bitwise.scala 102:28]
node _T_441 = shl(_T_440, 1) @[Bitwise.scala 102:47]
node _T_442 = xor(_T_432, _T_441) @[Bitwise.scala 102:21]
node _T_443 = shr(_T_439, 1) @[Bitwise.scala 103:21]
node _T_444 = and(_T_443, _T_442) @[Bitwise.scala 103:31]
node _T_445 = bits(_T_439, 6, 0) @[Bitwise.scala 103:46]
node _T_446 = shl(_T_445, 1) @[Bitwise.scala 103:65]
node _T_447 = not(_T_442) @[Bitwise.scala 103:77]
node _T_448 = and(_T_446, _T_447) @[Bitwise.scala 103:75]
node _T_449 = or(_T_444, _T_448) @[Bitwise.scala 103:39]
node _T_450 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_451 = bits(_T_450, 1, 1) @[lsu_dccm_ctl.scala 156:134]
node _T_452 = bits(_T_451, 0, 0) @[lsu_dccm_ctl.scala 156:139]
node _T_453 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_454 = bits(_T_453, 15, 8) @[lsu_dccm_ctl.scala 156:196]
node _T_455 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232]
node _T_456 = bits(picm_rd_data_m, 15, 8) @[lsu_dccm_ctl.scala 156:253]
node _T_457 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_458 = mux(_T_457, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12]
node _T_459 = bits(dccm_rdata_m, 15, 8) @[lsu_dccm_ctl.scala 156:308]
node _T_460 = and(_T_458, _T_459) @[lsu_dccm_ctl.scala 156:294]
node _T_461 = mux(_T_455, _T_456, _T_460) @[lsu_dccm_ctl.scala 156:214]
node _T_462 = mux(_T_452, _T_454, _T_461) @[lsu_dccm_ctl.scala 156:78]
node _T_463 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_464 = xor(UInt<8>("h0ff"), _T_463) @[Bitwise.scala 102:21]
node _T_465 = shr(_T_462, 4) @[Bitwise.scala 103:21]
node _T_466 = and(_T_465, _T_464) @[Bitwise.scala 103:31]
node _T_467 = bits(_T_462, 3, 0) @[Bitwise.scala 103:46]
node _T_468 = shl(_T_467, 4) @[Bitwise.scala 103:65]
node _T_469 = not(_T_464) @[Bitwise.scala 103:77]
node _T_470 = and(_T_468, _T_469) @[Bitwise.scala 103:75]
node _T_471 = or(_T_466, _T_470) @[Bitwise.scala 103:39]
node _T_472 = bits(_T_464, 5, 0) @[Bitwise.scala 102:28]
node _T_473 = shl(_T_472, 2) @[Bitwise.scala 102:47]
node _T_474 = xor(_T_464, _T_473) @[Bitwise.scala 102:21]
node _T_475 = shr(_T_471, 2) @[Bitwise.scala 103:21]
node _T_476 = and(_T_475, _T_474) @[Bitwise.scala 103:31]
node _T_477 = bits(_T_471, 5, 0) @[Bitwise.scala 103:46]
node _T_478 = shl(_T_477, 2) @[Bitwise.scala 103:65]
node _T_479 = not(_T_474) @[Bitwise.scala 103:77]
node _T_480 = and(_T_478, _T_479) @[Bitwise.scala 103:75]
node _T_481 = or(_T_476, _T_480) @[Bitwise.scala 103:39]
node _T_482 = bits(_T_474, 6, 0) @[Bitwise.scala 102:28]
node _T_483 = shl(_T_482, 1) @[Bitwise.scala 102:47]
node _T_484 = xor(_T_474, _T_483) @[Bitwise.scala 102:21]
node _T_485 = shr(_T_481, 1) @[Bitwise.scala 103:21]
node _T_486 = and(_T_485, _T_484) @[Bitwise.scala 103:31]
node _T_487 = bits(_T_481, 6, 0) @[Bitwise.scala 103:46]
node _T_488 = shl(_T_487, 1) @[Bitwise.scala 103:65]
node _T_489 = not(_T_484) @[Bitwise.scala 103:77]
node _T_490 = and(_T_488, _T_489) @[Bitwise.scala 103:75]
node _T_491 = or(_T_486, _T_490) @[Bitwise.scala 103:39]
node _T_492 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_493 = bits(_T_492, 2, 2) @[lsu_dccm_ctl.scala 156:134]
node _T_494 = bits(_T_493, 0, 0) @[lsu_dccm_ctl.scala 156:139]
node _T_495 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_496 = bits(_T_495, 23, 16) @[lsu_dccm_ctl.scala 156:196]
node _T_497 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232]
node _T_498 = bits(picm_rd_data_m, 23, 16) @[lsu_dccm_ctl.scala 156:253]
node _T_499 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_500 = mux(_T_499, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12]
node _T_501 = bits(dccm_rdata_m, 23, 16) @[lsu_dccm_ctl.scala 156:308]
node _T_502 = and(_T_500, _T_501) @[lsu_dccm_ctl.scala 156:294]
node _T_503 = mux(_T_497, _T_498, _T_502) @[lsu_dccm_ctl.scala 156:214]
node _T_504 = mux(_T_494, _T_496, _T_503) @[lsu_dccm_ctl.scala 156:78]
node _T_505 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_506 = xor(UInt<8>("h0ff"), _T_505) @[Bitwise.scala 102:21]
node _T_507 = shr(_T_504, 4) @[Bitwise.scala 103:21]
node _T_508 = and(_T_507, _T_506) @[Bitwise.scala 103:31]
node _T_509 = bits(_T_504, 3, 0) @[Bitwise.scala 103:46]
node _T_510 = shl(_T_509, 4) @[Bitwise.scala 103:65]
node _T_511 = not(_T_506) @[Bitwise.scala 103:77]
node _T_512 = and(_T_510, _T_511) @[Bitwise.scala 103:75]
node _T_513 = or(_T_508, _T_512) @[Bitwise.scala 103:39]
node _T_514 = bits(_T_506, 5, 0) @[Bitwise.scala 102:28]
node _T_515 = shl(_T_514, 2) @[Bitwise.scala 102:47]
node _T_516 = xor(_T_506, _T_515) @[Bitwise.scala 102:21]
node _T_517 = shr(_T_513, 2) @[Bitwise.scala 103:21]
node _T_518 = and(_T_517, _T_516) @[Bitwise.scala 103:31]
node _T_519 = bits(_T_513, 5, 0) @[Bitwise.scala 103:46]
node _T_520 = shl(_T_519, 2) @[Bitwise.scala 103:65]
node _T_521 = not(_T_516) @[Bitwise.scala 103:77]
node _T_522 = and(_T_520, _T_521) @[Bitwise.scala 103:75]
node _T_523 = or(_T_518, _T_522) @[Bitwise.scala 103:39]
node _T_524 = bits(_T_516, 6, 0) @[Bitwise.scala 102:28]
node _T_525 = shl(_T_524, 1) @[Bitwise.scala 102:47]
node _T_526 = xor(_T_516, _T_525) @[Bitwise.scala 102:21]
node _T_527 = shr(_T_523, 1) @[Bitwise.scala 103:21]
node _T_528 = and(_T_527, _T_526) @[Bitwise.scala 103:31]
node _T_529 = bits(_T_523, 6, 0) @[Bitwise.scala 103:46]
node _T_530 = shl(_T_529, 1) @[Bitwise.scala 103:65]
node _T_531 = not(_T_526) @[Bitwise.scala 103:77]
node _T_532 = and(_T_530, _T_531) @[Bitwise.scala 103:75]
node _T_533 = or(_T_528, _T_532) @[Bitwise.scala 103:39]
node _T_534 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_535 = bits(_T_534, 3, 3) @[lsu_dccm_ctl.scala 156:134]
node _T_536 = bits(_T_535, 0, 0) @[lsu_dccm_ctl.scala 156:139]
node _T_537 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_538 = bits(_T_537, 31, 24) @[lsu_dccm_ctl.scala 156:196]
node _T_539 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232]
node _T_540 = bits(picm_rd_data_m, 31, 24) @[lsu_dccm_ctl.scala 156:253]
node _T_541 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_542 = mux(_T_541, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12]
node _T_543 = bits(dccm_rdata_m, 31, 24) @[lsu_dccm_ctl.scala 156:308]
node _T_544 = and(_T_542, _T_543) @[lsu_dccm_ctl.scala 156:294]
node _T_545 = mux(_T_539, _T_540, _T_544) @[lsu_dccm_ctl.scala 156:214]
node _T_546 = mux(_T_536, _T_538, _T_545) @[lsu_dccm_ctl.scala 156:78]
node _T_547 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_548 = xor(UInt<8>("h0ff"), _T_547) @[Bitwise.scala 102:21]
node _T_549 = shr(_T_546, 4) @[Bitwise.scala 103:21]
node _T_550 = and(_T_549, _T_548) @[Bitwise.scala 103:31]
node _T_551 = bits(_T_546, 3, 0) @[Bitwise.scala 103:46]
node _T_552 = shl(_T_551, 4) @[Bitwise.scala 103:65]
node _T_553 = not(_T_548) @[Bitwise.scala 103:77]
node _T_554 = and(_T_552, _T_553) @[Bitwise.scala 103:75]
node _T_555 = or(_T_550, _T_554) @[Bitwise.scala 103:39]
node _T_556 = bits(_T_548, 5, 0) @[Bitwise.scala 102:28]
node _T_557 = shl(_T_556, 2) @[Bitwise.scala 102:47]
node _T_558 = xor(_T_548, _T_557) @[Bitwise.scala 102:21]
node _T_559 = shr(_T_555, 2) @[Bitwise.scala 103:21]
node _T_560 = and(_T_559, _T_558) @[Bitwise.scala 103:31]
node _T_561 = bits(_T_555, 5, 0) @[Bitwise.scala 103:46]
node _T_562 = shl(_T_561, 2) @[Bitwise.scala 103:65]
node _T_563 = not(_T_558) @[Bitwise.scala 103:77]
node _T_564 = and(_T_562, _T_563) @[Bitwise.scala 103:75]
node _T_565 = or(_T_560, _T_564) @[Bitwise.scala 103:39]
node _T_566 = bits(_T_558, 6, 0) @[Bitwise.scala 102:28]
node _T_567 = shl(_T_566, 1) @[Bitwise.scala 102:47]
node _T_568 = xor(_T_558, _T_567) @[Bitwise.scala 102:21]
node _T_569 = shr(_T_565, 1) @[Bitwise.scala 103:21]
node _T_570 = and(_T_569, _T_568) @[Bitwise.scala 103:31]
node _T_571 = bits(_T_565, 6, 0) @[Bitwise.scala 103:46]
node _T_572 = shl(_T_571, 1) @[Bitwise.scala 103:65]
node _T_573 = not(_T_568) @[Bitwise.scala 103:77]
node _T_574 = and(_T_572, _T_573) @[Bitwise.scala 103:75]
node _T_575 = or(_T_570, _T_574) @[Bitwise.scala 103:39]
node _T_576 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_577 = bits(_T_576, 4, 4) @[lsu_dccm_ctl.scala 156:134]
node _T_578 = bits(_T_577, 0, 0) @[lsu_dccm_ctl.scala 156:139]
node _T_579 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_580 = bits(_T_579, 39, 32) @[lsu_dccm_ctl.scala 156:196]
node _T_581 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232]
node _T_582 = bits(picm_rd_data_m, 39, 32) @[lsu_dccm_ctl.scala 156:253]
node _T_583 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_584 = mux(_T_583, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12]
node _T_585 = bits(dccm_rdata_m, 39, 32) @[lsu_dccm_ctl.scala 156:308]
node _T_586 = and(_T_584, _T_585) @[lsu_dccm_ctl.scala 156:294]
node _T_587 = mux(_T_581, _T_582, _T_586) @[lsu_dccm_ctl.scala 156:214]
node _T_588 = mux(_T_578, _T_580, _T_587) @[lsu_dccm_ctl.scala 156:78]
node _T_589 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_590 = xor(UInt<8>("h0ff"), _T_589) @[Bitwise.scala 102:21]
node _T_591 = shr(_T_588, 4) @[Bitwise.scala 103:21]
node _T_592 = and(_T_591, _T_590) @[Bitwise.scala 103:31]
node _T_593 = bits(_T_588, 3, 0) @[Bitwise.scala 103:46]
node _T_594 = shl(_T_593, 4) @[Bitwise.scala 103:65]
node _T_595 = not(_T_590) @[Bitwise.scala 103:77]
node _T_596 = and(_T_594, _T_595) @[Bitwise.scala 103:75]
node _T_597 = or(_T_592, _T_596) @[Bitwise.scala 103:39]
node _T_598 = bits(_T_590, 5, 0) @[Bitwise.scala 102:28]
node _T_599 = shl(_T_598, 2) @[Bitwise.scala 102:47]
node _T_600 = xor(_T_590, _T_599) @[Bitwise.scala 102:21]
node _T_601 = shr(_T_597, 2) @[Bitwise.scala 103:21]
node _T_602 = and(_T_601, _T_600) @[Bitwise.scala 103:31]
node _T_603 = bits(_T_597, 5, 0) @[Bitwise.scala 103:46]
node _T_604 = shl(_T_603, 2) @[Bitwise.scala 103:65]
node _T_605 = not(_T_600) @[Bitwise.scala 103:77]
node _T_606 = and(_T_604, _T_605) @[Bitwise.scala 103:75]
node _T_607 = or(_T_602, _T_606) @[Bitwise.scala 103:39]
node _T_608 = bits(_T_600, 6, 0) @[Bitwise.scala 102:28]
node _T_609 = shl(_T_608, 1) @[Bitwise.scala 102:47]
node _T_610 = xor(_T_600, _T_609) @[Bitwise.scala 102:21]
node _T_611 = shr(_T_607, 1) @[Bitwise.scala 103:21]
node _T_612 = and(_T_611, _T_610) @[Bitwise.scala 103:31]
node _T_613 = bits(_T_607, 6, 0) @[Bitwise.scala 103:46]
node _T_614 = shl(_T_613, 1) @[Bitwise.scala 103:65]
node _T_615 = not(_T_610) @[Bitwise.scala 103:77]
node _T_616 = and(_T_614, _T_615) @[Bitwise.scala 103:75]
node _T_617 = or(_T_612, _T_616) @[Bitwise.scala 103:39]
node _T_618 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_619 = bits(_T_618, 5, 5) @[lsu_dccm_ctl.scala 156:134]
node _T_620 = bits(_T_619, 0, 0) @[lsu_dccm_ctl.scala 156:139]
node _T_621 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_622 = bits(_T_621, 47, 40) @[lsu_dccm_ctl.scala 156:196]
node _T_623 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232]
node _T_624 = bits(picm_rd_data_m, 47, 40) @[lsu_dccm_ctl.scala 156:253]
node _T_625 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_626 = mux(_T_625, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12]
node _T_627 = bits(dccm_rdata_m, 47, 40) @[lsu_dccm_ctl.scala 156:308]
node _T_628 = and(_T_626, _T_627) @[lsu_dccm_ctl.scala 156:294]
node _T_629 = mux(_T_623, _T_624, _T_628) @[lsu_dccm_ctl.scala 156:214]
node _T_630 = mux(_T_620, _T_622, _T_629) @[lsu_dccm_ctl.scala 156:78]
node _T_631 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_632 = xor(UInt<8>("h0ff"), _T_631) @[Bitwise.scala 102:21]
node _T_633 = shr(_T_630, 4) @[Bitwise.scala 103:21]
node _T_634 = and(_T_633, _T_632) @[Bitwise.scala 103:31]
node _T_635 = bits(_T_630, 3, 0) @[Bitwise.scala 103:46]
node _T_636 = shl(_T_635, 4) @[Bitwise.scala 103:65]
node _T_637 = not(_T_632) @[Bitwise.scala 103:77]
node _T_638 = and(_T_636, _T_637) @[Bitwise.scala 103:75]
node _T_639 = or(_T_634, _T_638) @[Bitwise.scala 103:39]
node _T_640 = bits(_T_632, 5, 0) @[Bitwise.scala 102:28]
node _T_641 = shl(_T_640, 2) @[Bitwise.scala 102:47]
node _T_642 = xor(_T_632, _T_641) @[Bitwise.scala 102:21]
node _T_643 = shr(_T_639, 2) @[Bitwise.scala 103:21]
node _T_644 = and(_T_643, _T_642) @[Bitwise.scala 103:31]
node _T_645 = bits(_T_639, 5, 0) @[Bitwise.scala 103:46]
node _T_646 = shl(_T_645, 2) @[Bitwise.scala 103:65]
node _T_647 = not(_T_642) @[Bitwise.scala 103:77]
node _T_648 = and(_T_646, _T_647) @[Bitwise.scala 103:75]
node _T_649 = or(_T_644, _T_648) @[Bitwise.scala 103:39]
node _T_650 = bits(_T_642, 6, 0) @[Bitwise.scala 102:28]
node _T_651 = shl(_T_650, 1) @[Bitwise.scala 102:47]
node _T_652 = xor(_T_642, _T_651) @[Bitwise.scala 102:21]
node _T_653 = shr(_T_649, 1) @[Bitwise.scala 103:21]
node _T_654 = and(_T_653, _T_652) @[Bitwise.scala 103:31]
node _T_655 = bits(_T_649, 6, 0) @[Bitwise.scala 103:46]
node _T_656 = shl(_T_655, 1) @[Bitwise.scala 103:65]
node _T_657 = not(_T_652) @[Bitwise.scala 103:77]
node _T_658 = and(_T_656, _T_657) @[Bitwise.scala 103:75]
node _T_659 = or(_T_654, _T_658) @[Bitwise.scala 103:39]
node _T_660 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_661 = bits(_T_660, 6, 6) @[lsu_dccm_ctl.scala 156:134]
node _T_662 = bits(_T_661, 0, 0) @[lsu_dccm_ctl.scala 156:139]
node _T_663 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_664 = bits(_T_663, 55, 48) @[lsu_dccm_ctl.scala 156:196]
node _T_665 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232]
node _T_666 = bits(picm_rd_data_m, 55, 48) @[lsu_dccm_ctl.scala 156:253]
node _T_667 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_668 = mux(_T_667, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12]
node _T_669 = bits(dccm_rdata_m, 55, 48) @[lsu_dccm_ctl.scala 156:308]
node _T_670 = and(_T_668, _T_669) @[lsu_dccm_ctl.scala 156:294]
node _T_671 = mux(_T_665, _T_666, _T_670) @[lsu_dccm_ctl.scala 156:214]
node _T_672 = mux(_T_662, _T_664, _T_671) @[lsu_dccm_ctl.scala 156:78]
node _T_673 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_674 = xor(UInt<8>("h0ff"), _T_673) @[Bitwise.scala 102:21]
node _T_675 = shr(_T_672, 4) @[Bitwise.scala 103:21]
node _T_676 = and(_T_675, _T_674) @[Bitwise.scala 103:31]
node _T_677 = bits(_T_672, 3, 0) @[Bitwise.scala 103:46]
node _T_678 = shl(_T_677, 4) @[Bitwise.scala 103:65]
node _T_679 = not(_T_674) @[Bitwise.scala 103:77]
node _T_680 = and(_T_678, _T_679) @[Bitwise.scala 103:75]
node _T_681 = or(_T_676, _T_680) @[Bitwise.scala 103:39]
node _T_682 = bits(_T_674, 5, 0) @[Bitwise.scala 102:28]
node _T_683 = shl(_T_682, 2) @[Bitwise.scala 102:47]
node _T_684 = xor(_T_674, _T_683) @[Bitwise.scala 102:21]
node _T_685 = shr(_T_681, 2) @[Bitwise.scala 103:21]
node _T_686 = and(_T_685, _T_684) @[Bitwise.scala 103:31]
node _T_687 = bits(_T_681, 5, 0) @[Bitwise.scala 103:46]
node _T_688 = shl(_T_687, 2) @[Bitwise.scala 103:65]
node _T_689 = not(_T_684) @[Bitwise.scala 103:77]
node _T_690 = and(_T_688, _T_689) @[Bitwise.scala 103:75]
node _T_691 = or(_T_686, _T_690) @[Bitwise.scala 103:39]
node _T_692 = bits(_T_684, 6, 0) @[Bitwise.scala 102:28]
node _T_693 = shl(_T_692, 1) @[Bitwise.scala 102:47]
node _T_694 = xor(_T_684, _T_693) @[Bitwise.scala 102:21]
node _T_695 = shr(_T_691, 1) @[Bitwise.scala 103:21]
node _T_696 = and(_T_695, _T_694) @[Bitwise.scala 103:31]
node _T_697 = bits(_T_691, 6, 0) @[Bitwise.scala 103:46]
node _T_698 = shl(_T_697, 1) @[Bitwise.scala 103:65]
node _T_699 = not(_T_694) @[Bitwise.scala 103:77]
node _T_700 = and(_T_698, _T_699) @[Bitwise.scala 103:75]
node _T_701 = or(_T_696, _T_700) @[Bitwise.scala 103:39]
node _T_702 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_703 = bits(_T_702, 7, 7) @[lsu_dccm_ctl.scala 156:134]
node _T_704 = bits(_T_703, 0, 0) @[lsu_dccm_ctl.scala 156:139]
node _T_705 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_706 = bits(_T_705, 63, 56) @[lsu_dccm_ctl.scala 156:196]
node _T_707 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232]
node _T_708 = bits(picm_rd_data_m, 63, 56) @[lsu_dccm_ctl.scala 156:253]
node _T_709 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15]
node _T_710 = mux(_T_709, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12]
node _T_711 = bits(dccm_rdata_m, 63, 56) @[lsu_dccm_ctl.scala 156:308]
node _T_712 = and(_T_710, _T_711) @[lsu_dccm_ctl.scala 156:294]
node _T_713 = mux(_T_707, _T_708, _T_712) @[lsu_dccm_ctl.scala 156:214]
node _T_714 = mux(_T_704, _T_706, _T_713) @[lsu_dccm_ctl.scala 156:78]
node _T_715 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_716 = xor(UInt<8>("h0ff"), _T_715) @[Bitwise.scala 102:21]
node _T_717 = shr(_T_714, 4) @[Bitwise.scala 103:21]
node _T_718 = and(_T_717, _T_716) @[Bitwise.scala 103:31]
node _T_719 = bits(_T_714, 3, 0) @[Bitwise.scala 103:46]
node _T_720 = shl(_T_719, 4) @[Bitwise.scala 103:65]
node _T_721 = not(_T_716) @[Bitwise.scala 103:77]
node _T_722 = and(_T_720, _T_721) @[Bitwise.scala 103:75]
node _T_723 = or(_T_718, _T_722) @[Bitwise.scala 103:39]
node _T_724 = bits(_T_716, 5, 0) @[Bitwise.scala 102:28]
node _T_725 = shl(_T_724, 2) @[Bitwise.scala 102:47]
node _T_726 = xor(_T_716, _T_725) @[Bitwise.scala 102:21]
node _T_727 = shr(_T_723, 2) @[Bitwise.scala 103:21]
node _T_728 = and(_T_727, _T_726) @[Bitwise.scala 103:31]
node _T_729 = bits(_T_723, 5, 0) @[Bitwise.scala 103:46]
node _T_730 = shl(_T_729, 2) @[Bitwise.scala 103:65]
node _T_731 = not(_T_726) @[Bitwise.scala 103:77]
node _T_732 = and(_T_730, _T_731) @[Bitwise.scala 103:75]
node _T_733 = or(_T_728, _T_732) @[Bitwise.scala 103:39]
node _T_734 = bits(_T_726, 6, 0) @[Bitwise.scala 102:28]
node _T_735 = shl(_T_734, 1) @[Bitwise.scala 102:47]
node _T_736 = xor(_T_726, _T_735) @[Bitwise.scala 102:21]
node _T_737 = shr(_T_733, 1) @[Bitwise.scala 103:21]
node _T_738 = and(_T_737, _T_736) @[Bitwise.scala 103:31]
node _T_739 = bits(_T_733, 6, 0) @[Bitwise.scala 103:46]
node _T_740 = shl(_T_739, 1) @[Bitwise.scala 103:65]
node _T_741 = not(_T_736) @[Bitwise.scala 103:77]
node _T_742 = and(_T_740, _T_741) @[Bitwise.scala 103:75]
node _T_743 = or(_T_738, _T_742) @[Bitwise.scala 103:39]
wire _T_744 : UInt<8>[8] @[lsu_dccm_ctl.scala 156:62]
_T_744[0] <= _T_449 @[lsu_dccm_ctl.scala 156:62]
_T_744[1] <= _T_491 @[lsu_dccm_ctl.scala 156:62]
_T_744[2] <= _T_533 @[lsu_dccm_ctl.scala 156:62]
_T_744[3] <= _T_575 @[lsu_dccm_ctl.scala 156:62]
_T_744[4] <= _T_617 @[lsu_dccm_ctl.scala 156:62]
_T_744[5] <= _T_659 @[lsu_dccm_ctl.scala 156:62]
_T_744[6] <= _T_701 @[lsu_dccm_ctl.scala 156:62]
_T_744[7] <= _T_743 @[lsu_dccm_ctl.scala 156:62]
node _T_745 = cat(_T_744[6], _T_744[7]) @[Cat.scala 29:58]
node _T_746 = cat(_T_744[4], _T_744[5]) @[Cat.scala 29:58]
node _T_747 = cat(_T_746, _T_745) @[Cat.scala 29:58]
node _T_748 = cat(_T_744[2], _T_744[3]) @[Cat.scala 29:58]
node _T_749 = cat(_T_744[0], _T_744[1]) @[Cat.scala 29:58]
node _T_750 = cat(_T_749, _T_748) @[Cat.scala 29:58]
node _T_751 = cat(_T_750, _T_747) @[Cat.scala 29:58]
node _T_752 = shl(UInt<32>("h0ffffffff"), 32) @[Bitwise.scala 102:47]
node _T_753 = xor(UInt<64>("h0ffffffffffffffff"), _T_752) @[Bitwise.scala 102:21]
node _T_754 = shr(_T_751, 32) @[Bitwise.scala 103:21]
node _T_755 = and(_T_754, _T_753) @[Bitwise.scala 103:31]
node _T_756 = bits(_T_751, 31, 0) @[Bitwise.scala 103:46]
node _T_757 = shl(_T_756, 32) @[Bitwise.scala 103:65]
node _T_758 = not(_T_753) @[Bitwise.scala 103:77]
node _T_759 = and(_T_757, _T_758) @[Bitwise.scala 103:75]
node _T_760 = or(_T_755, _T_759) @[Bitwise.scala 103:39]
node _T_761 = bits(_T_753, 47, 0) @[Bitwise.scala 102:28]
node _T_762 = shl(_T_761, 16) @[Bitwise.scala 102:47]
node _T_763 = xor(_T_753, _T_762) @[Bitwise.scala 102:21]
node _T_764 = shr(_T_760, 16) @[Bitwise.scala 103:21]
node _T_765 = and(_T_764, _T_763) @[Bitwise.scala 103:31]
node _T_766 = bits(_T_760, 47, 0) @[Bitwise.scala 103:46]
node _T_767 = shl(_T_766, 16) @[Bitwise.scala 103:65]
node _T_768 = not(_T_763) @[Bitwise.scala 103:77]
node _T_769 = and(_T_767, _T_768) @[Bitwise.scala 103:75]
node _T_770 = or(_T_765, _T_769) @[Bitwise.scala 103:39]
node _T_771 = bits(_T_763, 55, 0) @[Bitwise.scala 102:28]
node _T_772 = shl(_T_771, 8) @[Bitwise.scala 102:47]
node _T_773 = xor(_T_763, _T_772) @[Bitwise.scala 102:21]
node _T_774 = shr(_T_770, 8) @[Bitwise.scala 103:21]
node _T_775 = and(_T_774, _T_773) @[Bitwise.scala 103:31]
node _T_776 = bits(_T_770, 55, 0) @[Bitwise.scala 103:46]
node _T_777 = shl(_T_776, 8) @[Bitwise.scala 103:65]
node _T_778 = not(_T_773) @[Bitwise.scala 103:77]
node _T_779 = and(_T_777, _T_778) @[Bitwise.scala 103:75]
node _T_780 = or(_T_775, _T_779) @[Bitwise.scala 103:39]
node _T_781 = bits(_T_773, 59, 0) @[Bitwise.scala 102:28]
node _T_782 = shl(_T_781, 4) @[Bitwise.scala 102:47]
node _T_783 = xor(_T_773, _T_782) @[Bitwise.scala 102:21]
node _T_784 = shr(_T_780, 4) @[Bitwise.scala 103:21]
node _T_785 = and(_T_784, _T_783) @[Bitwise.scala 103:31]
node _T_786 = bits(_T_780, 59, 0) @[Bitwise.scala 103:46]
node _T_787 = shl(_T_786, 4) @[Bitwise.scala 103:65]
node _T_788 = not(_T_783) @[Bitwise.scala 103:77]
node _T_789 = and(_T_787, _T_788) @[Bitwise.scala 103:75]
node _T_790 = or(_T_785, _T_789) @[Bitwise.scala 103:39]
node _T_791 = bits(_T_783, 61, 0) @[Bitwise.scala 102:28]
node _T_792 = shl(_T_791, 2) @[Bitwise.scala 102:47]
node _T_793 = xor(_T_783, _T_792) @[Bitwise.scala 102:21]
node _T_794 = shr(_T_790, 2) @[Bitwise.scala 103:21]
node _T_795 = and(_T_794, _T_793) @[Bitwise.scala 103:31]
node _T_796 = bits(_T_790, 61, 0) @[Bitwise.scala 103:46]
node _T_797 = shl(_T_796, 2) @[Bitwise.scala 103:65]
node _T_798 = not(_T_793) @[Bitwise.scala 103:77]
node _T_799 = and(_T_797, _T_798) @[Bitwise.scala 103:75]
node _T_800 = or(_T_795, _T_799) @[Bitwise.scala 103:39]
node _T_801 = bits(_T_793, 62, 0) @[Bitwise.scala 102:28]
node _T_802 = shl(_T_801, 1) @[Bitwise.scala 102:47]
node _T_803 = xor(_T_793, _T_802) @[Bitwise.scala 102:21]
node _T_804 = shr(_T_800, 1) @[Bitwise.scala 103:21]
node _T_805 = and(_T_804, _T_803) @[Bitwise.scala 103:31]
node _T_806 = bits(_T_800, 62, 0) @[Bitwise.scala 103:46]
node _T_807 = shl(_T_806, 1) @[Bitwise.scala 103:65]
node _T_808 = not(_T_803) @[Bitwise.scala 103:77]
node _T_809 = and(_T_807, _T_808) @[Bitwise.scala 103:75]
node _T_810 = or(_T_805, _T_809) @[Bitwise.scala 103:39]
lsu_rdata_m <= _T_810 @[lsu_dccm_ctl.scala 156:28]
node _T_811 = and(io.lsu_pkt_m.valid, io.lsu_pkt_m.bits.load) @[lsu_dccm_ctl.scala 157:78]
node _T_812 = or(io.addr_in_pic_m, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 157:123]
node _T_813 = and(_T_811, _T_812) @[lsu_dccm_ctl.scala 157:103]
node _T_814 = or(_T_813, io.clk_override) @[lsu_dccm_ctl.scala 157:145]
node _T_815 = bits(_T_814, 0, 0) @[lib.scala 8:44]
node _T_816 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44]
inst rvclkhdr of rvclkhdr @[lib.scala 368:23]
rvclkhdr.clock <= clock
rvclkhdr.reset <= reset
rvclkhdr.io.clk <= clock @[lib.scala 370:18]
rvclkhdr.io.en <= _T_815 @[lib.scala 371:17]
rvclkhdr.io.scan_mode <= _T_816 @[lib.scala 372:24]
reg _T_817 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16]
_T_817 <= lsu_ld_data_corr_m @[lib.scala 374:16]
io.lsu_ld_data_corr_r <= _T_817 @[lsu_dccm_ctl.scala 157:28]
node _T_818 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 158:63]
node _T_819 = mul(UInt<4>("h08"), _T_818) @[lsu_dccm_ctl.scala 158:49]
node _T_820 = dshr(lsu_rdata_m, _T_819) @[lsu_dccm_ctl.scala 158:43]
io.lsu_ld_data_m <= _T_820 @[lsu_dccm_ctl.scala 158:28]
node _T_821 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 159:68]
node _T_822 = mul(UInt<4>("h08"), _T_821) @[lsu_dccm_ctl.scala 159:54]
node _T_823 = dshr(lsu_rdata_corr_m, _T_822) @[lsu_dccm_ctl.scala 159:48]
lsu_ld_data_corr_m <= _T_823 @[lsu_dccm_ctl.scala 159:28]
node _T_824 = bits(io.lsu_addr_d, 15, 2) @[lsu_dccm_ctl.scala 163:44]
node _T_825 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 163:77]
node _T_826 = eq(_T_824, _T_825) @[lsu_dccm_ctl.scala 163:60]
node _T_827 = bits(io.end_addr_d, 15, 2) @[lsu_dccm_ctl.scala 163:117]
node _T_828 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 163:150]
node _T_829 = eq(_T_827, _T_828) @[lsu_dccm_ctl.scala 163:133]
node _T_830 = or(_T_826, _T_829) @[lsu_dccm_ctl.scala 163:101]
node _T_831 = and(_T_830, io.lsu_pkt_d.valid) @[lsu_dccm_ctl.scala 163:175]
node _T_832 = and(_T_831, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 163:196]
node _T_833 = and(_T_832, io.lsu_pkt_d.bits.dma) @[lsu_dccm_ctl.scala 163:222]
node _T_834 = and(_T_833, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 163:246]
node _T_835 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 164:21]
node _T_836 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 164:54]
node _T_837 = eq(_T_835, _T_836) @[lsu_dccm_ctl.scala 164:37]
node _T_838 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 164:94]
node _T_839 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 164:127]
node _T_840 = eq(_T_838, _T_839) @[lsu_dccm_ctl.scala 164:110]
node _T_841 = or(_T_837, _T_840) @[lsu_dccm_ctl.scala 164:78]
node _T_842 = and(_T_841, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 164:152]
node _T_843 = and(_T_842, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 164:173]
node _T_844 = and(_T_843, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 164:199]
node _T_845 = and(_T_844, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 164:223]
node kill_ecc_corr_lo_r = or(_T_834, _T_845) @[lsu_dccm_ctl.scala 163:267]
node _T_846 = bits(io.lsu_addr_d, 15, 2) @[lsu_dccm_ctl.scala 166:44]
node _T_847 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 166:77]
node _T_848 = eq(_T_846, _T_847) @[lsu_dccm_ctl.scala 166:60]
node _T_849 = bits(io.end_addr_d, 15, 2) @[lsu_dccm_ctl.scala 166:117]
node _T_850 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 166:150]
node _T_851 = eq(_T_849, _T_850) @[lsu_dccm_ctl.scala 166:133]
node _T_852 = or(_T_848, _T_851) @[lsu_dccm_ctl.scala 166:101]
node _T_853 = and(_T_852, io.lsu_pkt_d.valid) @[lsu_dccm_ctl.scala 166:175]
node _T_854 = and(_T_853, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 166:196]
node _T_855 = and(_T_854, io.lsu_pkt_d.bits.dma) @[lsu_dccm_ctl.scala 166:222]
node _T_856 = and(_T_855, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 166:246]
node _T_857 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 167:21]
node _T_858 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 167:54]
node _T_859 = eq(_T_857, _T_858) @[lsu_dccm_ctl.scala 167:37]
node _T_860 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 167:94]
node _T_861 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 167:127]
node _T_862 = eq(_T_860, _T_861) @[lsu_dccm_ctl.scala 167:110]
node _T_863 = or(_T_859, _T_862) @[lsu_dccm_ctl.scala 167:78]
node _T_864 = and(_T_863, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 167:152]
node _T_865 = and(_T_864, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 167:173]
node _T_866 = and(_T_865, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 167:199]
node _T_867 = and(_T_866, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 167:223]
node kill_ecc_corr_hi_r = or(_T_856, _T_867) @[lsu_dccm_ctl.scala 166:267]
node _T_868 = and(io.lsu_pkt_r.bits.load, io.single_ecc_error_lo_r) @[lsu_dccm_ctl.scala 169:60]
node _T_869 = eq(io.lsu_raw_fwd_lo_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 169:89]
node ld_single_ecc_error_lo_r = and(_T_868, _T_869) @[lsu_dccm_ctl.scala 169:87]
node _T_870 = and(io.lsu_pkt_r.bits.load, io.single_ecc_error_hi_r) @[lsu_dccm_ctl.scala 170:60]
node _T_871 = eq(io.lsu_raw_fwd_hi_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 170:89]
node ld_single_ecc_error_hi_r = and(_T_870, _T_871) @[lsu_dccm_ctl.scala 170:87]
node _T_872 = or(ld_single_ecc_error_lo_r, ld_single_ecc_error_hi_r) @[lsu_dccm_ctl.scala 171:63]
node _T_873 = eq(io.lsu_double_ecc_error_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 171:93]
node _T_874 = and(_T_872, _T_873) @[lsu_dccm_ctl.scala 171:91]
io.ld_single_ecc_error_r <= _T_874 @[lsu_dccm_ctl.scala 171:34]
node _T_875 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_dccm_ctl.scala 172:81]
node _T_876 = and(ld_single_ecc_error_lo_r, _T_875) @[lsu_dccm_ctl.scala 172:62]
node _T_877 = eq(kill_ecc_corr_lo_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 172:108]
node ld_single_ecc_error_lo_r_ns = and(_T_876, _T_877) @[lsu_dccm_ctl.scala 172:106]
node _T_878 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_dccm_ctl.scala 173:81]
node _T_879 = and(ld_single_ecc_error_hi_r, _T_878) @[lsu_dccm_ctl.scala 173:62]
node _T_880 = eq(kill_ecc_corr_hi_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 173:108]
node ld_single_ecc_error_hi_r_ns = and(_T_879, _T_880) @[lsu_dccm_ctl.scala 173:106]
node _T_881 = or(io.lsu_pkt_d.bits.word, io.lsu_pkt_d.bits.dword) @[lsu_dccm_ctl.scala 175:125]
node _T_882 = eq(_T_881, UInt<1>("h00")) @[lsu_dccm_ctl.scala 175:100]
node _T_883 = bits(io.lsu_addr_d, 1, 0) @[lsu_dccm_ctl.scala 175:168]
node _T_884 = neq(_T_883, UInt<2>("h00")) @[lsu_dccm_ctl.scala 175:174]
node _T_885 = or(_T_882, _T_884) @[lsu_dccm_ctl.scala 175:152]
node _T_886 = and(io.lsu_pkt_d.bits.store, _T_885) @[lsu_dccm_ctl.scala 175:97]
node _T_887 = or(io.lsu_pkt_d.bits.load, _T_886) @[lsu_dccm_ctl.scala 175:70]
node _T_888 = and(io.lsu_pkt_d.valid, _T_887) @[lsu_dccm_ctl.scala 175:44]
node lsu_dccm_rden_d = and(_T_888, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 175:191]
node _T_889 = or(ld_single_ecc_error_lo_r_ff, ld_single_ecc_error_hi_r_ff) @[lsu_dccm_ctl.scala 178:63]
node _T_890 = eq(lsu_double_ecc_error_r_ff, UInt<1>("h00")) @[lsu_dccm_ctl.scala 178:96]
node _T_891 = and(_T_889, _T_890) @[lsu_dccm_ctl.scala 178:94]
io.ld_single_ecc_error_r_ff <= _T_891 @[lsu_dccm_ctl.scala 178:31]
node _T_892 = or(lsu_dccm_rden_d, io.dma_dccm_wen) @[lsu_dccm_ctl.scala 179:75]
node _T_893 = or(_T_892, io.ld_single_ecc_error_r_ff) @[lsu_dccm_ctl.scala 179:93]
node _T_894 = eq(_T_893, UInt<1>("h00")) @[lsu_dccm_ctl.scala 179:57]
node _T_895 = bits(io.stbuf_addr_any, 3, 2) @[lsu_dccm_ctl.scala 180:44]
node _T_896 = bits(io.lsu_addr_d, 3, 2) @[lsu_dccm_ctl.scala 180:112]
node _T_897 = eq(_T_895, _T_896) @[lsu_dccm_ctl.scala 180:95]
node _T_898 = bits(io.stbuf_addr_any, 3, 2) @[lsu_dccm_ctl.scala 181:25]
node _T_899 = bits(io.end_addr_d, 3, 2) @[lsu_dccm_ctl.scala 181:93]
node _T_900 = eq(_T_898, _T_899) @[lsu_dccm_ctl.scala 181:76]
node _T_901 = or(_T_897, _T_900) @[lsu_dccm_ctl.scala 180:171]
node _T_902 = eq(_T_901, UInt<1>("h00")) @[lsu_dccm_ctl.scala 180:24]
node _T_903 = and(lsu_dccm_rden_d, _T_902) @[lsu_dccm_ctl.scala 180:22]
node _T_904 = or(_T_894, _T_903) @[lsu_dccm_ctl.scala 179:124]
node _T_905 = and(io.stbuf_reqvld_any, _T_904) @[lsu_dccm_ctl.scala 179:54]
io.lsu_stbuf_commit_any <= _T_905 @[lsu_dccm_ctl.scala 179:31]
node _T_906 = or(io.dma_dccm_wen, io.lsu_stbuf_commit_any) @[lsu_dccm_ctl.scala 185:41]
node _T_907 = or(_T_906, io.ld_single_ecc_error_r_ff) @[lsu_dccm_ctl.scala 185:67]
io.dccm.wren <= _T_907 @[lsu_dccm_ctl.scala 185:22]
node _T_908 = and(lsu_dccm_rden_d, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 186:41]
io.dccm.rden <= _T_908 @[lsu_dccm_ctl.scala 186:22]
node _T_909 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 188:57]
node _T_910 = eq(ld_single_ecc_error_lo_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 189:36]
node _T_911 = bits(ld_sec_addr_lo_r_ff, 15, 0) @[lsu_dccm_ctl.scala 189:62]
node _T_912 = bits(ld_sec_addr_hi_r_ff, 15, 0) @[lsu_dccm_ctl.scala 189:97]
node _T_913 = mux(_T_910, _T_911, _T_912) @[lsu_dccm_ctl.scala 189:8]
node _T_914 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 190:25]
node _T_915 = bits(io.lsu_addr_d, 15, 0) @[lsu_dccm_ctl.scala 190:45]
node _T_916 = bits(io.stbuf_addr_any, 15, 0) @[lsu_dccm_ctl.scala 190:78]
node _T_917 = mux(_T_914, _T_915, _T_916) @[lsu_dccm_ctl.scala 190:8]
node _T_918 = mux(_T_909, _T_913, _T_917) @[lsu_dccm_ctl.scala 188:28]
io.dccm.wr_addr_lo <= _T_918 @[lsu_dccm_ctl.scala 188:22]
node _T_919 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 192:57]
node _T_920 = eq(ld_single_ecc_error_hi_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 193:36]
node _T_921 = bits(ld_sec_addr_hi_r_ff, 15, 0) @[lsu_dccm_ctl.scala 193:63]
node _T_922 = bits(ld_sec_addr_lo_r_ff, 15, 0) @[lsu_dccm_ctl.scala 193:99]
node _T_923 = mux(_T_920, _T_921, _T_922) @[lsu_dccm_ctl.scala 193:8]
node _T_924 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 194:25]
node _T_925 = bits(io.end_addr_d, 15, 0) @[lsu_dccm_ctl.scala 194:46]
node _T_926 = bits(io.stbuf_addr_any, 15, 0) @[lsu_dccm_ctl.scala 194:79]
node _T_927 = mux(_T_924, _T_925, _T_926) @[lsu_dccm_ctl.scala 194:8]
node _T_928 = mux(_T_919, _T_923, _T_927) @[lsu_dccm_ctl.scala 192:28]
io.dccm.wr_addr_hi <= _T_928 @[lsu_dccm_ctl.scala 192:22]
node _T_929 = bits(io.lsu_addr_d, 15, 0) @[lsu_dccm_ctl.scala 196:38]
io.dccm.rd_addr_lo <= _T_929 @[lsu_dccm_ctl.scala 196:22]
node _T_930 = bits(io.end_addr_d, 15, 0) @[lsu_dccm_ctl.scala 197:38]
io.dccm.rd_addr_hi <= _T_930 @[lsu_dccm_ctl.scala 197:22]
node _T_931 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 199:57]
node _T_932 = eq(ld_single_ecc_error_lo_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 200:36]
node _T_933 = bits(io.sec_data_ecc_lo_r_ff, 6, 0) @[lsu_dccm_ctl.scala 200:70]
node _T_934 = bits(io.sec_data_lo_r_ff, 31, 0) @[lsu_dccm_ctl.scala 200:110]
node _T_935 = cat(_T_933, _T_934) @[Cat.scala 29:58]
node _T_936 = bits(io.sec_data_ecc_hi_r_ff, 6, 0) @[lsu_dccm_ctl.scala 201:34]
node _T_937 = bits(io.sec_data_hi_r_ff, 31, 0) @[lsu_dccm_ctl.scala 201:74]
node _T_938 = cat(_T_936, _T_937) @[Cat.scala 29:58]
node _T_939 = mux(_T_932, _T_935, _T_938) @[lsu_dccm_ctl.scala 200:8]
node _T_940 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 202:25]
node _T_941 = bits(io.dma_dccm_wdata_ecc_lo, 6, 0) @[lsu_dccm_ctl.scala 202:60]
node _T_942 = bits(io.dma_dccm_wdata_lo, 31, 0) @[lsu_dccm_ctl.scala 202:101]
node _T_943 = cat(_T_941, _T_942) @[Cat.scala 29:58]
node _T_944 = bits(io.stbuf_ecc_any, 6, 0) @[lsu_dccm_ctl.scala 203:27]
node _T_945 = bits(io.stbuf_data_any, 31, 0) @[lsu_dccm_ctl.scala 203:65]
node _T_946 = cat(_T_944, _T_945) @[Cat.scala 29:58]
node _T_947 = mux(_T_940, _T_943, _T_946) @[lsu_dccm_ctl.scala 202:8]
node _T_948 = mux(_T_931, _T_939, _T_947) @[lsu_dccm_ctl.scala 199:28]
io.dccm.wr_data_lo <= _T_948 @[lsu_dccm_ctl.scala 199:22]
node _T_949 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 205:57]
node _T_950 = eq(ld_single_ecc_error_hi_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 206:36]
node _T_951 = bits(io.sec_data_ecc_hi_r_ff, 6, 0) @[lsu_dccm_ctl.scala 206:71]
node _T_952 = bits(io.sec_data_hi_r_ff, 31, 0) @[lsu_dccm_ctl.scala 206:111]
node _T_953 = cat(_T_951, _T_952) @[Cat.scala 29:58]
node _T_954 = bits(io.sec_data_ecc_lo_r_ff, 6, 0) @[lsu_dccm_ctl.scala 207:34]
node _T_955 = bits(io.sec_data_lo_r_ff, 31, 0) @[lsu_dccm_ctl.scala 207:74]
node _T_956 = cat(_T_954, _T_955) @[Cat.scala 29:58]
node _T_957 = mux(_T_950, _T_953, _T_956) @[lsu_dccm_ctl.scala 206:8]
node _T_958 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 208:25]
node _T_959 = bits(io.dma_dccm_wdata_ecc_hi, 6, 0) @[lsu_dccm_ctl.scala 208:61]
node _T_960 = bits(io.dma_dccm_wdata_hi, 31, 0) @[lsu_dccm_ctl.scala 208:102]
node _T_961 = cat(_T_959, _T_960) @[Cat.scala 29:58]
node _T_962 = bits(io.stbuf_ecc_any, 6, 0) @[lsu_dccm_ctl.scala 209:27]
node _T_963 = bits(io.stbuf_data_any, 31, 0) @[lsu_dccm_ctl.scala 209:65]
node _T_964 = cat(_T_962, _T_963) @[Cat.scala 29:58]
node _T_965 = mux(_T_958, _T_961, _T_964) @[lsu_dccm_ctl.scala 208:8]
node _T_966 = mux(_T_949, _T_957, _T_965) @[lsu_dccm_ctl.scala 205:28]
io.dccm.wr_data_hi <= _T_966 @[lsu_dccm_ctl.scala 205:22]
node _T_967 = bits(io.lsu_pkt_m.bits.store, 0, 0) @[Bitwise.scala 72:15]
node _T_968 = mux(_T_967, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_969 = bits(io.lsu_pkt_m.bits.by, 0, 0) @[Bitwise.scala 72:15]
node _T_970 = mux(_T_969, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_971 = and(_T_970, UInt<4>("h01")) @[lsu_dccm_ctl.scala 212:94]
node _T_972 = bits(io.lsu_pkt_m.bits.half, 0, 0) @[Bitwise.scala 72:15]
node _T_973 = mux(_T_972, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_974 = and(_T_973, UInt<4>("h03")) @[lsu_dccm_ctl.scala 213:38]
node _T_975 = or(_T_971, _T_974) @[lsu_dccm_ctl.scala 212:107]
node _T_976 = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15]
node _T_977 = mux(_T_976, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_978 = and(_T_977, UInt<4>("h0f")) @[lsu_dccm_ctl.scala 214:38]
node _T_979 = or(_T_975, _T_978) @[lsu_dccm_ctl.scala 213:51]
node store_byteen_m = and(_T_968, _T_979) @[lsu_dccm_ctl.scala 212:58]
node _T_980 = bits(io.lsu_pkt_r.bits.store, 0, 0) @[Bitwise.scala 72:15]
node _T_981 = mux(_T_980, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_982 = bits(io.lsu_pkt_r.bits.by, 0, 0) @[Bitwise.scala 72:15]
node _T_983 = mux(_T_982, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_984 = and(_T_983, UInt<4>("h01")) @[lsu_dccm_ctl.scala 216:94]
node _T_985 = bits(io.lsu_pkt_r.bits.half, 0, 0) @[Bitwise.scala 72:15]
node _T_986 = mux(_T_985, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_987 = and(_T_986, UInt<4>("h03")) @[lsu_dccm_ctl.scala 217:38]
node _T_988 = or(_T_984, _T_987) @[lsu_dccm_ctl.scala 216:107]
node _T_989 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[Bitwise.scala 72:15]
node _T_990 = mux(_T_989, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_991 = and(_T_990, UInt<4>("h0f")) @[lsu_dccm_ctl.scala 218:38]
node _T_992 = or(_T_988, _T_991) @[lsu_dccm_ctl.scala 217:51]
node store_byteen_r = and(_T_981, _T_992) @[lsu_dccm_ctl.scala 216:58]
wire store_byteen_ext_m : UInt<8>
store_byteen_ext_m <= UInt<1>("h00")
node _T_993 = bits(store_byteen_m, 3, 0) @[lsu_dccm_ctl.scala 220:39]
node _T_994 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 220:61]
node _T_995 = dshl(_T_993, _T_994) @[lsu_dccm_ctl.scala 220:45]
store_byteen_ext_m <= _T_995 @[lsu_dccm_ctl.scala 220:22]
wire store_byteen_ext_r : UInt<8>
store_byteen_ext_r <= UInt<1>("h00")
node _T_996 = bits(store_byteen_r, 3, 0) @[lsu_dccm_ctl.scala 222:39]
node _T_997 = bits(io.lsu_addr_r, 1, 0) @[lsu_dccm_ctl.scala 222:61]
node _T_998 = dshl(_T_996, _T_997) @[lsu_dccm_ctl.scala 222:45]
store_byteen_ext_r <= _T_998 @[lsu_dccm_ctl.scala 222:22]
node _T_999 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 225:51]
node _T_1000 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 225:84]
node _T_1001 = eq(_T_999, _T_1000) @[lsu_dccm_ctl.scala 225:67]
node dccm_wr_bypass_d_m_lo = and(_T_1001, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 225:101]
node _T_1002 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 226:51]
node _T_1003 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 226:84]
node _T_1004 = eq(_T_1002, _T_1003) @[lsu_dccm_ctl.scala 226:67]
node dccm_wr_bypass_d_m_hi = and(_T_1004, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 226:101]
node _T_1005 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 228:51]
node _T_1006 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 228:84]
node _T_1007 = eq(_T_1005, _T_1006) @[lsu_dccm_ctl.scala 228:67]
node dccm_wr_bypass_d_r_lo = and(_T_1007, io.addr_in_dccm_r) @[lsu_dccm_ctl.scala 228:101]
node _T_1008 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 229:51]
node _T_1009 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 229:84]
node _T_1010 = eq(_T_1008, _T_1009) @[lsu_dccm_ctl.scala 229:67]
node dccm_wr_bypass_d_r_hi = and(_T_1010, io.addr_in_dccm_r) @[lsu_dccm_ctl.scala 229:101]
wire dccm_wr_bypass_d_m_hi_Q : UInt<1>
dccm_wr_bypass_d_m_hi_Q <= UInt<1>("h00")
wire dccm_wr_bypass_d_m_lo_Q : UInt<1>
dccm_wr_bypass_d_m_lo_Q <= UInt<1>("h00")
wire dccm_wren_Q : UInt<1>
dccm_wren_Q <= UInt<1>("h00")
wire dccm_wr_data_Q : UInt<32>
dccm_wr_data_Q <= UInt<32>("h00")
wire store_data_pre_r : UInt<64>
store_data_pre_r <= UInt<64>("h00")
wire store_data_pre_hi_r : UInt<32>
store_data_pre_hi_r <= UInt<32>("h00")
wire store_data_pre_lo_r : UInt<32>
store_data_pre_lo_r <= UInt<32>("h00")
wire store_data_pre_m : UInt<64>
store_data_pre_m <= UInt<64>("h00")
wire store_data_hi_m : UInt<32>
store_data_hi_m <= UInt<32>("h00")
wire store_data_lo_m : UInt<32>
store_data_lo_m <= UInt<32>("h00")
node _T_1011 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_1012 = bits(io.store_data_m, 31, 0) @[lsu_dccm_ctl.scala 258:64]
node _T_1013 = cat(_T_1011, _T_1012) @[Cat.scala 29:58]
node _T_1014 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 258:92]
node _T_1015 = mul(UInt<4>("h08"), _T_1014) @[lsu_dccm_ctl.scala 258:78]
node _T_1016 = dshl(_T_1013, _T_1015) @[lsu_dccm_ctl.scala 258:72]
store_data_pre_m <= _T_1016 @[lsu_dccm_ctl.scala 258:29]
node _T_1017 = bits(store_data_pre_m, 63, 32) @[lsu_dccm_ctl.scala 259:48]
store_data_hi_m <= _T_1017 @[lsu_dccm_ctl.scala 259:29]
node _T_1018 = bits(store_data_pre_m, 31, 0) @[lsu_dccm_ctl.scala 260:48]
store_data_lo_m <= _T_1018 @[lsu_dccm_ctl.scala 260:29]
node _T_1019 = bits(store_byteen_ext_m, 0, 0) @[lsu_dccm_ctl.scala 261:139]
node _T_1020 = bits(_T_1019, 0, 0) @[lsu_dccm_ctl.scala 261:143]
node _T_1021 = bits(store_data_lo_m, 7, 0) @[lsu_dccm_ctl.scala 261:167]
node _T_1022 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 261:211]
node _T_1023 = bits(_T_1022, 0, 0) @[lsu_dccm_ctl.scala 261:237]
node _T_1024 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 261:262]
node _T_1025 = bits(io.sec_data_lo_m, 7, 0) @[lsu_dccm_ctl.scala 261:292]
node _T_1026 = mux(_T_1023, _T_1024, _T_1025) @[lsu_dccm_ctl.scala 261:185]
node _T_1027 = mux(_T_1020, _T_1021, _T_1026) @[lsu_dccm_ctl.scala 261:120]
node _T_1028 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1029 = xor(UInt<8>("h0ff"), _T_1028) @[Bitwise.scala 102:21]
node _T_1030 = shr(_T_1027, 4) @[Bitwise.scala 103:21]
node _T_1031 = and(_T_1030, _T_1029) @[Bitwise.scala 103:31]
node _T_1032 = bits(_T_1027, 3, 0) @[Bitwise.scala 103:46]
node _T_1033 = shl(_T_1032, 4) @[Bitwise.scala 103:65]
node _T_1034 = not(_T_1029) @[Bitwise.scala 103:77]
node _T_1035 = and(_T_1033, _T_1034) @[Bitwise.scala 103:75]
node _T_1036 = or(_T_1031, _T_1035) @[Bitwise.scala 103:39]
node _T_1037 = bits(_T_1029, 5, 0) @[Bitwise.scala 102:28]
node _T_1038 = shl(_T_1037, 2) @[Bitwise.scala 102:47]
node _T_1039 = xor(_T_1029, _T_1038) @[Bitwise.scala 102:21]
node _T_1040 = shr(_T_1036, 2) @[Bitwise.scala 103:21]
node _T_1041 = and(_T_1040, _T_1039) @[Bitwise.scala 103:31]
node _T_1042 = bits(_T_1036, 5, 0) @[Bitwise.scala 103:46]
node _T_1043 = shl(_T_1042, 2) @[Bitwise.scala 103:65]
node _T_1044 = not(_T_1039) @[Bitwise.scala 103:77]
node _T_1045 = and(_T_1043, _T_1044) @[Bitwise.scala 103:75]
node _T_1046 = or(_T_1041, _T_1045) @[Bitwise.scala 103:39]
node _T_1047 = bits(_T_1039, 6, 0) @[Bitwise.scala 102:28]
node _T_1048 = shl(_T_1047, 1) @[Bitwise.scala 102:47]
node _T_1049 = xor(_T_1039, _T_1048) @[Bitwise.scala 102:21]
node _T_1050 = shr(_T_1046, 1) @[Bitwise.scala 103:21]
node _T_1051 = and(_T_1050, _T_1049) @[Bitwise.scala 103:31]
node _T_1052 = bits(_T_1046, 6, 0) @[Bitwise.scala 103:46]
node _T_1053 = shl(_T_1052, 1) @[Bitwise.scala 103:65]
node _T_1054 = not(_T_1049) @[Bitwise.scala 103:77]
node _T_1055 = and(_T_1053, _T_1054) @[Bitwise.scala 103:75]
node _T_1056 = or(_T_1051, _T_1055) @[Bitwise.scala 103:39]
node _T_1057 = bits(store_byteen_ext_m, 1, 1) @[lsu_dccm_ctl.scala 261:139]
node _T_1058 = bits(_T_1057, 0, 0) @[lsu_dccm_ctl.scala 261:143]
node _T_1059 = bits(store_data_lo_m, 15, 8) @[lsu_dccm_ctl.scala 261:167]
node _T_1060 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 261:211]
node _T_1061 = bits(_T_1060, 0, 0) @[lsu_dccm_ctl.scala 261:237]
node _T_1062 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 261:262]
node _T_1063 = bits(io.sec_data_lo_m, 15, 8) @[lsu_dccm_ctl.scala 261:292]
node _T_1064 = mux(_T_1061, _T_1062, _T_1063) @[lsu_dccm_ctl.scala 261:185]
node _T_1065 = mux(_T_1058, _T_1059, _T_1064) @[lsu_dccm_ctl.scala 261:120]
node _T_1066 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1067 = xor(UInt<8>("h0ff"), _T_1066) @[Bitwise.scala 102:21]
node _T_1068 = shr(_T_1065, 4) @[Bitwise.scala 103:21]
node _T_1069 = and(_T_1068, _T_1067) @[Bitwise.scala 103:31]
node _T_1070 = bits(_T_1065, 3, 0) @[Bitwise.scala 103:46]
node _T_1071 = shl(_T_1070, 4) @[Bitwise.scala 103:65]
node _T_1072 = not(_T_1067) @[Bitwise.scala 103:77]
node _T_1073 = and(_T_1071, _T_1072) @[Bitwise.scala 103:75]
node _T_1074 = or(_T_1069, _T_1073) @[Bitwise.scala 103:39]
node _T_1075 = bits(_T_1067, 5, 0) @[Bitwise.scala 102:28]
node _T_1076 = shl(_T_1075, 2) @[Bitwise.scala 102:47]
node _T_1077 = xor(_T_1067, _T_1076) @[Bitwise.scala 102:21]
node _T_1078 = shr(_T_1074, 2) @[Bitwise.scala 103:21]
node _T_1079 = and(_T_1078, _T_1077) @[Bitwise.scala 103:31]
node _T_1080 = bits(_T_1074, 5, 0) @[Bitwise.scala 103:46]
node _T_1081 = shl(_T_1080, 2) @[Bitwise.scala 103:65]
node _T_1082 = not(_T_1077) @[Bitwise.scala 103:77]
node _T_1083 = and(_T_1081, _T_1082) @[Bitwise.scala 103:75]
node _T_1084 = or(_T_1079, _T_1083) @[Bitwise.scala 103:39]
node _T_1085 = bits(_T_1077, 6, 0) @[Bitwise.scala 102:28]
node _T_1086 = shl(_T_1085, 1) @[Bitwise.scala 102:47]
node _T_1087 = xor(_T_1077, _T_1086) @[Bitwise.scala 102:21]
node _T_1088 = shr(_T_1084, 1) @[Bitwise.scala 103:21]
node _T_1089 = and(_T_1088, _T_1087) @[Bitwise.scala 103:31]
node _T_1090 = bits(_T_1084, 6, 0) @[Bitwise.scala 103:46]
node _T_1091 = shl(_T_1090, 1) @[Bitwise.scala 103:65]
node _T_1092 = not(_T_1087) @[Bitwise.scala 103:77]
node _T_1093 = and(_T_1091, _T_1092) @[Bitwise.scala 103:75]
node _T_1094 = or(_T_1089, _T_1093) @[Bitwise.scala 103:39]
node _T_1095 = bits(store_byteen_ext_m, 2, 2) @[lsu_dccm_ctl.scala 261:139]
node _T_1096 = bits(_T_1095, 0, 0) @[lsu_dccm_ctl.scala 261:143]
node _T_1097 = bits(store_data_lo_m, 23, 16) @[lsu_dccm_ctl.scala 261:167]
node _T_1098 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 261:211]
node _T_1099 = bits(_T_1098, 0, 0) @[lsu_dccm_ctl.scala 261:237]
node _T_1100 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 261:262]
node _T_1101 = bits(io.sec_data_lo_m, 23, 16) @[lsu_dccm_ctl.scala 261:292]
node _T_1102 = mux(_T_1099, _T_1100, _T_1101) @[lsu_dccm_ctl.scala 261:185]
node _T_1103 = mux(_T_1096, _T_1097, _T_1102) @[lsu_dccm_ctl.scala 261:120]
node _T_1104 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1105 = xor(UInt<8>("h0ff"), _T_1104) @[Bitwise.scala 102:21]
node _T_1106 = shr(_T_1103, 4) @[Bitwise.scala 103:21]
node _T_1107 = and(_T_1106, _T_1105) @[Bitwise.scala 103:31]
node _T_1108 = bits(_T_1103, 3, 0) @[Bitwise.scala 103:46]
node _T_1109 = shl(_T_1108, 4) @[Bitwise.scala 103:65]
node _T_1110 = not(_T_1105) @[Bitwise.scala 103:77]
node _T_1111 = and(_T_1109, _T_1110) @[Bitwise.scala 103:75]
node _T_1112 = or(_T_1107, _T_1111) @[Bitwise.scala 103:39]
node _T_1113 = bits(_T_1105, 5, 0) @[Bitwise.scala 102:28]
node _T_1114 = shl(_T_1113, 2) @[Bitwise.scala 102:47]
node _T_1115 = xor(_T_1105, _T_1114) @[Bitwise.scala 102:21]
node _T_1116 = shr(_T_1112, 2) @[Bitwise.scala 103:21]
node _T_1117 = and(_T_1116, _T_1115) @[Bitwise.scala 103:31]
node _T_1118 = bits(_T_1112, 5, 0) @[Bitwise.scala 103:46]
node _T_1119 = shl(_T_1118, 2) @[Bitwise.scala 103:65]
node _T_1120 = not(_T_1115) @[Bitwise.scala 103:77]
node _T_1121 = and(_T_1119, _T_1120) @[Bitwise.scala 103:75]
node _T_1122 = or(_T_1117, _T_1121) @[Bitwise.scala 103:39]
node _T_1123 = bits(_T_1115, 6, 0) @[Bitwise.scala 102:28]
node _T_1124 = shl(_T_1123, 1) @[Bitwise.scala 102:47]
node _T_1125 = xor(_T_1115, _T_1124) @[Bitwise.scala 102:21]
node _T_1126 = shr(_T_1122, 1) @[Bitwise.scala 103:21]
node _T_1127 = and(_T_1126, _T_1125) @[Bitwise.scala 103:31]
node _T_1128 = bits(_T_1122, 6, 0) @[Bitwise.scala 103:46]
node _T_1129 = shl(_T_1128, 1) @[Bitwise.scala 103:65]
node _T_1130 = not(_T_1125) @[Bitwise.scala 103:77]
node _T_1131 = and(_T_1129, _T_1130) @[Bitwise.scala 103:75]
node _T_1132 = or(_T_1127, _T_1131) @[Bitwise.scala 103:39]
node _T_1133 = bits(store_byteen_ext_m, 3, 3) @[lsu_dccm_ctl.scala 261:139]
node _T_1134 = bits(_T_1133, 0, 0) @[lsu_dccm_ctl.scala 261:143]
node _T_1135 = bits(store_data_lo_m, 31, 24) @[lsu_dccm_ctl.scala 261:167]
node _T_1136 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 261:211]
node _T_1137 = bits(_T_1136, 0, 0) @[lsu_dccm_ctl.scala 261:237]
node _T_1138 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 261:262]
node _T_1139 = bits(io.sec_data_lo_m, 31, 24) @[lsu_dccm_ctl.scala 261:292]
node _T_1140 = mux(_T_1137, _T_1138, _T_1139) @[lsu_dccm_ctl.scala 261:185]
node _T_1141 = mux(_T_1134, _T_1135, _T_1140) @[lsu_dccm_ctl.scala 261:120]
node _T_1142 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1143 = xor(UInt<8>("h0ff"), _T_1142) @[Bitwise.scala 102:21]
node _T_1144 = shr(_T_1141, 4) @[Bitwise.scala 103:21]
node _T_1145 = and(_T_1144, _T_1143) @[Bitwise.scala 103:31]
node _T_1146 = bits(_T_1141, 3, 0) @[Bitwise.scala 103:46]
node _T_1147 = shl(_T_1146, 4) @[Bitwise.scala 103:65]
node _T_1148 = not(_T_1143) @[Bitwise.scala 103:77]
node _T_1149 = and(_T_1147, _T_1148) @[Bitwise.scala 103:75]
node _T_1150 = or(_T_1145, _T_1149) @[Bitwise.scala 103:39]
node _T_1151 = bits(_T_1143, 5, 0) @[Bitwise.scala 102:28]
node _T_1152 = shl(_T_1151, 2) @[Bitwise.scala 102:47]
node _T_1153 = xor(_T_1143, _T_1152) @[Bitwise.scala 102:21]
node _T_1154 = shr(_T_1150, 2) @[Bitwise.scala 103:21]
node _T_1155 = and(_T_1154, _T_1153) @[Bitwise.scala 103:31]
node _T_1156 = bits(_T_1150, 5, 0) @[Bitwise.scala 103:46]
node _T_1157 = shl(_T_1156, 2) @[Bitwise.scala 103:65]
node _T_1158 = not(_T_1153) @[Bitwise.scala 103:77]
node _T_1159 = and(_T_1157, _T_1158) @[Bitwise.scala 103:75]
node _T_1160 = or(_T_1155, _T_1159) @[Bitwise.scala 103:39]
node _T_1161 = bits(_T_1153, 6, 0) @[Bitwise.scala 102:28]
node _T_1162 = shl(_T_1161, 1) @[Bitwise.scala 102:47]
node _T_1163 = xor(_T_1153, _T_1162) @[Bitwise.scala 102:21]
node _T_1164 = shr(_T_1160, 1) @[Bitwise.scala 103:21]
node _T_1165 = and(_T_1164, _T_1163) @[Bitwise.scala 103:31]
node _T_1166 = bits(_T_1160, 6, 0) @[Bitwise.scala 103:46]
node _T_1167 = shl(_T_1166, 1) @[Bitwise.scala 103:65]
node _T_1168 = not(_T_1163) @[Bitwise.scala 103:77]
node _T_1169 = and(_T_1167, _T_1168) @[Bitwise.scala 103:75]
node _T_1170 = or(_T_1165, _T_1169) @[Bitwise.scala 103:39]
wire _T_1171 : UInt<8>[4] @[lsu_dccm_ctl.scala 261:104]
_T_1171[0] <= _T_1056 @[lsu_dccm_ctl.scala 261:104]
_T_1171[1] <= _T_1094 @[lsu_dccm_ctl.scala 261:104]
_T_1171[2] <= _T_1132 @[lsu_dccm_ctl.scala 261:104]
_T_1171[3] <= _T_1170 @[lsu_dccm_ctl.scala 261:104]
node _T_1172 = cat(_T_1171[2], _T_1171[3]) @[Cat.scala 29:58]
node _T_1173 = cat(_T_1171[0], _T_1171[1]) @[Cat.scala 29:58]
node _T_1174 = cat(_T_1173, _T_1172) @[Cat.scala 29:58]
node _T_1175 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47]
node _T_1176 = xor(UInt<32>("h0ffffffff"), _T_1175) @[Bitwise.scala 102:21]
node _T_1177 = shr(_T_1174, 16) @[Bitwise.scala 103:21]
node _T_1178 = and(_T_1177, _T_1176) @[Bitwise.scala 103:31]
node _T_1179 = bits(_T_1174, 15, 0) @[Bitwise.scala 103:46]
node _T_1180 = shl(_T_1179, 16) @[Bitwise.scala 103:65]
node _T_1181 = not(_T_1176) @[Bitwise.scala 103:77]
node _T_1182 = and(_T_1180, _T_1181) @[Bitwise.scala 103:75]
node _T_1183 = or(_T_1178, _T_1182) @[Bitwise.scala 103:39]
node _T_1184 = bits(_T_1176, 23, 0) @[Bitwise.scala 102:28]
node _T_1185 = shl(_T_1184, 8) @[Bitwise.scala 102:47]
node _T_1186 = xor(_T_1176, _T_1185) @[Bitwise.scala 102:21]
node _T_1187 = shr(_T_1183, 8) @[Bitwise.scala 103:21]
node _T_1188 = and(_T_1187, _T_1186) @[Bitwise.scala 103:31]
node _T_1189 = bits(_T_1183, 23, 0) @[Bitwise.scala 103:46]
node _T_1190 = shl(_T_1189, 8) @[Bitwise.scala 103:65]
node _T_1191 = not(_T_1186) @[Bitwise.scala 103:77]
node _T_1192 = and(_T_1190, _T_1191) @[Bitwise.scala 103:75]
node _T_1193 = or(_T_1188, _T_1192) @[Bitwise.scala 103:39]
node _T_1194 = bits(_T_1186, 27, 0) @[Bitwise.scala 102:28]
node _T_1195 = shl(_T_1194, 4) @[Bitwise.scala 102:47]
node _T_1196 = xor(_T_1186, _T_1195) @[Bitwise.scala 102:21]
node _T_1197 = shr(_T_1193, 4) @[Bitwise.scala 103:21]
node _T_1198 = and(_T_1197, _T_1196) @[Bitwise.scala 103:31]
node _T_1199 = bits(_T_1193, 27, 0) @[Bitwise.scala 103:46]
node _T_1200 = shl(_T_1199, 4) @[Bitwise.scala 103:65]
node _T_1201 = not(_T_1196) @[Bitwise.scala 103:77]
node _T_1202 = and(_T_1200, _T_1201) @[Bitwise.scala 103:75]
node _T_1203 = or(_T_1198, _T_1202) @[Bitwise.scala 103:39]
node _T_1204 = bits(_T_1196, 29, 0) @[Bitwise.scala 102:28]
node _T_1205 = shl(_T_1204, 2) @[Bitwise.scala 102:47]
node _T_1206 = xor(_T_1196, _T_1205) @[Bitwise.scala 102:21]
node _T_1207 = shr(_T_1203, 2) @[Bitwise.scala 103:21]
node _T_1208 = and(_T_1207, _T_1206) @[Bitwise.scala 103:31]
node _T_1209 = bits(_T_1203, 29, 0) @[Bitwise.scala 103:46]
node _T_1210 = shl(_T_1209, 2) @[Bitwise.scala 103:65]
node _T_1211 = not(_T_1206) @[Bitwise.scala 103:77]
node _T_1212 = and(_T_1210, _T_1211) @[Bitwise.scala 103:75]
node _T_1213 = or(_T_1208, _T_1212) @[Bitwise.scala 103:39]
node _T_1214 = bits(_T_1206, 30, 0) @[Bitwise.scala 102:28]
node _T_1215 = shl(_T_1214, 1) @[Bitwise.scala 102:47]
node _T_1216 = xor(_T_1206, _T_1215) @[Bitwise.scala 102:21]
node _T_1217 = shr(_T_1213, 1) @[Bitwise.scala 103:21]
node _T_1218 = and(_T_1217, _T_1216) @[Bitwise.scala 103:31]
node _T_1219 = bits(_T_1213, 30, 0) @[Bitwise.scala 103:46]
node _T_1220 = shl(_T_1219, 1) @[Bitwise.scala 103:65]
node _T_1221 = not(_T_1216) @[Bitwise.scala 103:77]
node _T_1222 = and(_T_1220, _T_1221) @[Bitwise.scala 103:75]
node _T_1223 = or(_T_1218, _T_1222) @[Bitwise.scala 103:39]
reg _T_1224 : UInt, io.lsu_store_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 261:72]
_T_1224 <= _T_1223 @[lsu_dccm_ctl.scala 261:72]
io.store_data_lo_r <= _T_1224 @[lsu_dccm_ctl.scala 261:29]
node _T_1225 = bits(store_byteen_ext_m, 4, 4) @[lsu_dccm_ctl.scala 262:105]
node _T_1226 = bits(_T_1225, 0, 0) @[lsu_dccm_ctl.scala 262:111]
node _T_1227 = bits(store_data_hi_m, 7, 0) @[lsu_dccm_ctl.scala 262:133]
node _T_1228 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 262:177]
node _T_1229 = bits(_T_1228, 0, 0) @[lsu_dccm_ctl.scala 262:203]
node _T_1230 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 262:228]
node _T_1231 = bits(io.sec_data_hi_m, 7, 0) @[lsu_dccm_ctl.scala 262:258]
node _T_1232 = mux(_T_1229, _T_1230, _T_1231) @[lsu_dccm_ctl.scala 262:151]
node _T_1233 = mux(_T_1226, _T_1227, _T_1232) @[lsu_dccm_ctl.scala 262:86]
node _T_1234 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1235 = xor(UInt<8>("h0ff"), _T_1234) @[Bitwise.scala 102:21]
node _T_1236 = shr(_T_1233, 4) @[Bitwise.scala 103:21]
node _T_1237 = and(_T_1236, _T_1235) @[Bitwise.scala 103:31]
node _T_1238 = bits(_T_1233, 3, 0) @[Bitwise.scala 103:46]
node _T_1239 = shl(_T_1238, 4) @[Bitwise.scala 103:65]
node _T_1240 = not(_T_1235) @[Bitwise.scala 103:77]
node _T_1241 = and(_T_1239, _T_1240) @[Bitwise.scala 103:75]
node _T_1242 = or(_T_1237, _T_1241) @[Bitwise.scala 103:39]
node _T_1243 = bits(_T_1235, 5, 0) @[Bitwise.scala 102:28]
node _T_1244 = shl(_T_1243, 2) @[Bitwise.scala 102:47]
node _T_1245 = xor(_T_1235, _T_1244) @[Bitwise.scala 102:21]
node _T_1246 = shr(_T_1242, 2) @[Bitwise.scala 103:21]
node _T_1247 = and(_T_1246, _T_1245) @[Bitwise.scala 103:31]
node _T_1248 = bits(_T_1242, 5, 0) @[Bitwise.scala 103:46]
node _T_1249 = shl(_T_1248, 2) @[Bitwise.scala 103:65]
node _T_1250 = not(_T_1245) @[Bitwise.scala 103:77]
node _T_1251 = and(_T_1249, _T_1250) @[Bitwise.scala 103:75]
node _T_1252 = or(_T_1247, _T_1251) @[Bitwise.scala 103:39]
node _T_1253 = bits(_T_1245, 6, 0) @[Bitwise.scala 102:28]
node _T_1254 = shl(_T_1253, 1) @[Bitwise.scala 102:47]
node _T_1255 = xor(_T_1245, _T_1254) @[Bitwise.scala 102:21]
node _T_1256 = shr(_T_1252, 1) @[Bitwise.scala 103:21]
node _T_1257 = and(_T_1256, _T_1255) @[Bitwise.scala 103:31]
node _T_1258 = bits(_T_1252, 6, 0) @[Bitwise.scala 103:46]
node _T_1259 = shl(_T_1258, 1) @[Bitwise.scala 103:65]
node _T_1260 = not(_T_1255) @[Bitwise.scala 103:77]
node _T_1261 = and(_T_1259, _T_1260) @[Bitwise.scala 103:75]
node _T_1262 = or(_T_1257, _T_1261) @[Bitwise.scala 103:39]
node _T_1263 = bits(store_byteen_ext_m, 5, 5) @[lsu_dccm_ctl.scala 262:105]
node _T_1264 = bits(_T_1263, 0, 0) @[lsu_dccm_ctl.scala 262:111]
node _T_1265 = bits(store_data_hi_m, 15, 8) @[lsu_dccm_ctl.scala 262:133]
node _T_1266 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 262:177]
node _T_1267 = bits(_T_1266, 0, 0) @[lsu_dccm_ctl.scala 262:203]
node _T_1268 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 262:228]
node _T_1269 = bits(io.sec_data_hi_m, 15, 8) @[lsu_dccm_ctl.scala 262:258]
node _T_1270 = mux(_T_1267, _T_1268, _T_1269) @[lsu_dccm_ctl.scala 262:151]
node _T_1271 = mux(_T_1264, _T_1265, _T_1270) @[lsu_dccm_ctl.scala 262:86]
node _T_1272 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1273 = xor(UInt<8>("h0ff"), _T_1272) @[Bitwise.scala 102:21]
node _T_1274 = shr(_T_1271, 4) @[Bitwise.scala 103:21]
node _T_1275 = and(_T_1274, _T_1273) @[Bitwise.scala 103:31]
node _T_1276 = bits(_T_1271, 3, 0) @[Bitwise.scala 103:46]
node _T_1277 = shl(_T_1276, 4) @[Bitwise.scala 103:65]
node _T_1278 = not(_T_1273) @[Bitwise.scala 103:77]
node _T_1279 = and(_T_1277, _T_1278) @[Bitwise.scala 103:75]
node _T_1280 = or(_T_1275, _T_1279) @[Bitwise.scala 103:39]
node _T_1281 = bits(_T_1273, 5, 0) @[Bitwise.scala 102:28]
node _T_1282 = shl(_T_1281, 2) @[Bitwise.scala 102:47]
node _T_1283 = xor(_T_1273, _T_1282) @[Bitwise.scala 102:21]
node _T_1284 = shr(_T_1280, 2) @[Bitwise.scala 103:21]
node _T_1285 = and(_T_1284, _T_1283) @[Bitwise.scala 103:31]
node _T_1286 = bits(_T_1280, 5, 0) @[Bitwise.scala 103:46]
node _T_1287 = shl(_T_1286, 2) @[Bitwise.scala 103:65]
node _T_1288 = not(_T_1283) @[Bitwise.scala 103:77]
node _T_1289 = and(_T_1287, _T_1288) @[Bitwise.scala 103:75]
node _T_1290 = or(_T_1285, _T_1289) @[Bitwise.scala 103:39]
node _T_1291 = bits(_T_1283, 6, 0) @[Bitwise.scala 102:28]
node _T_1292 = shl(_T_1291, 1) @[Bitwise.scala 102:47]
node _T_1293 = xor(_T_1283, _T_1292) @[Bitwise.scala 102:21]
node _T_1294 = shr(_T_1290, 1) @[Bitwise.scala 103:21]
node _T_1295 = and(_T_1294, _T_1293) @[Bitwise.scala 103:31]
node _T_1296 = bits(_T_1290, 6, 0) @[Bitwise.scala 103:46]
node _T_1297 = shl(_T_1296, 1) @[Bitwise.scala 103:65]
node _T_1298 = not(_T_1293) @[Bitwise.scala 103:77]
node _T_1299 = and(_T_1297, _T_1298) @[Bitwise.scala 103:75]
node _T_1300 = or(_T_1295, _T_1299) @[Bitwise.scala 103:39]
node _T_1301 = bits(store_byteen_ext_m, 6, 6) @[lsu_dccm_ctl.scala 262:105]
node _T_1302 = bits(_T_1301, 0, 0) @[lsu_dccm_ctl.scala 262:111]
node _T_1303 = bits(store_data_hi_m, 23, 16) @[lsu_dccm_ctl.scala 262:133]
node _T_1304 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 262:177]
node _T_1305 = bits(_T_1304, 0, 0) @[lsu_dccm_ctl.scala 262:203]
node _T_1306 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 262:228]
node _T_1307 = bits(io.sec_data_hi_m, 23, 16) @[lsu_dccm_ctl.scala 262:258]
node _T_1308 = mux(_T_1305, _T_1306, _T_1307) @[lsu_dccm_ctl.scala 262:151]
node _T_1309 = mux(_T_1302, _T_1303, _T_1308) @[lsu_dccm_ctl.scala 262:86]
node _T_1310 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1311 = xor(UInt<8>("h0ff"), _T_1310) @[Bitwise.scala 102:21]
node _T_1312 = shr(_T_1309, 4) @[Bitwise.scala 103:21]
node _T_1313 = and(_T_1312, _T_1311) @[Bitwise.scala 103:31]
node _T_1314 = bits(_T_1309, 3, 0) @[Bitwise.scala 103:46]
node _T_1315 = shl(_T_1314, 4) @[Bitwise.scala 103:65]
node _T_1316 = not(_T_1311) @[Bitwise.scala 103:77]
node _T_1317 = and(_T_1315, _T_1316) @[Bitwise.scala 103:75]
node _T_1318 = or(_T_1313, _T_1317) @[Bitwise.scala 103:39]
node _T_1319 = bits(_T_1311, 5, 0) @[Bitwise.scala 102:28]
node _T_1320 = shl(_T_1319, 2) @[Bitwise.scala 102:47]
node _T_1321 = xor(_T_1311, _T_1320) @[Bitwise.scala 102:21]
node _T_1322 = shr(_T_1318, 2) @[Bitwise.scala 103:21]
node _T_1323 = and(_T_1322, _T_1321) @[Bitwise.scala 103:31]
node _T_1324 = bits(_T_1318, 5, 0) @[Bitwise.scala 103:46]
node _T_1325 = shl(_T_1324, 2) @[Bitwise.scala 103:65]
node _T_1326 = not(_T_1321) @[Bitwise.scala 103:77]
node _T_1327 = and(_T_1325, _T_1326) @[Bitwise.scala 103:75]
node _T_1328 = or(_T_1323, _T_1327) @[Bitwise.scala 103:39]
node _T_1329 = bits(_T_1321, 6, 0) @[Bitwise.scala 102:28]
node _T_1330 = shl(_T_1329, 1) @[Bitwise.scala 102:47]
node _T_1331 = xor(_T_1321, _T_1330) @[Bitwise.scala 102:21]
node _T_1332 = shr(_T_1328, 1) @[Bitwise.scala 103:21]
node _T_1333 = and(_T_1332, _T_1331) @[Bitwise.scala 103:31]
node _T_1334 = bits(_T_1328, 6, 0) @[Bitwise.scala 103:46]
node _T_1335 = shl(_T_1334, 1) @[Bitwise.scala 103:65]
node _T_1336 = not(_T_1331) @[Bitwise.scala 103:77]
node _T_1337 = and(_T_1335, _T_1336) @[Bitwise.scala 103:75]
node _T_1338 = or(_T_1333, _T_1337) @[Bitwise.scala 103:39]
node _T_1339 = bits(store_byteen_ext_m, 7, 7) @[lsu_dccm_ctl.scala 262:105]
node _T_1340 = bits(_T_1339, 0, 0) @[lsu_dccm_ctl.scala 262:111]
node _T_1341 = bits(store_data_hi_m, 31, 24) @[lsu_dccm_ctl.scala 262:133]
node _T_1342 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 262:177]
node _T_1343 = bits(_T_1342, 0, 0) @[lsu_dccm_ctl.scala 262:203]
node _T_1344 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 262:228]
node _T_1345 = bits(io.sec_data_hi_m, 31, 24) @[lsu_dccm_ctl.scala 262:258]
node _T_1346 = mux(_T_1343, _T_1344, _T_1345) @[lsu_dccm_ctl.scala 262:151]
node _T_1347 = mux(_T_1340, _T_1341, _T_1346) @[lsu_dccm_ctl.scala 262:86]
node _T_1348 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1349 = xor(UInt<8>("h0ff"), _T_1348) @[Bitwise.scala 102:21]
node _T_1350 = shr(_T_1347, 4) @[Bitwise.scala 103:21]
node _T_1351 = and(_T_1350, _T_1349) @[Bitwise.scala 103:31]
node _T_1352 = bits(_T_1347, 3, 0) @[Bitwise.scala 103:46]
node _T_1353 = shl(_T_1352, 4) @[Bitwise.scala 103:65]
node _T_1354 = not(_T_1349) @[Bitwise.scala 103:77]
node _T_1355 = and(_T_1353, _T_1354) @[Bitwise.scala 103:75]
node _T_1356 = or(_T_1351, _T_1355) @[Bitwise.scala 103:39]
node _T_1357 = bits(_T_1349, 5, 0) @[Bitwise.scala 102:28]
node _T_1358 = shl(_T_1357, 2) @[Bitwise.scala 102:47]
node _T_1359 = xor(_T_1349, _T_1358) @[Bitwise.scala 102:21]
node _T_1360 = shr(_T_1356, 2) @[Bitwise.scala 103:21]
node _T_1361 = and(_T_1360, _T_1359) @[Bitwise.scala 103:31]
node _T_1362 = bits(_T_1356, 5, 0) @[Bitwise.scala 103:46]
node _T_1363 = shl(_T_1362, 2) @[Bitwise.scala 103:65]
node _T_1364 = not(_T_1359) @[Bitwise.scala 103:77]
node _T_1365 = and(_T_1363, _T_1364) @[Bitwise.scala 103:75]
node _T_1366 = or(_T_1361, _T_1365) @[Bitwise.scala 103:39]
node _T_1367 = bits(_T_1359, 6, 0) @[Bitwise.scala 102:28]
node _T_1368 = shl(_T_1367, 1) @[Bitwise.scala 102:47]
node _T_1369 = xor(_T_1359, _T_1368) @[Bitwise.scala 102:21]
node _T_1370 = shr(_T_1366, 1) @[Bitwise.scala 103:21]
node _T_1371 = and(_T_1370, _T_1369) @[Bitwise.scala 103:31]
node _T_1372 = bits(_T_1366, 6, 0) @[Bitwise.scala 103:46]
node _T_1373 = shl(_T_1372, 1) @[Bitwise.scala 103:65]
node _T_1374 = not(_T_1369) @[Bitwise.scala 103:77]
node _T_1375 = and(_T_1373, _T_1374) @[Bitwise.scala 103:75]
node _T_1376 = or(_T_1371, _T_1375) @[Bitwise.scala 103:39]
wire _T_1377 : UInt<8>[4] @[lsu_dccm_ctl.scala 262:70]
_T_1377[0] <= _T_1262 @[lsu_dccm_ctl.scala 262:70]
_T_1377[1] <= _T_1300 @[lsu_dccm_ctl.scala 262:70]
_T_1377[2] <= _T_1338 @[lsu_dccm_ctl.scala 262:70]
_T_1377[3] <= _T_1376 @[lsu_dccm_ctl.scala 262:70]
node _T_1378 = cat(_T_1377[2], _T_1377[3]) @[Cat.scala 29:58]
node _T_1379 = cat(_T_1377[0], _T_1377[1]) @[Cat.scala 29:58]
node _T_1380 = cat(_T_1379, _T_1378) @[Cat.scala 29:58]
node _T_1381 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47]
node _T_1382 = xor(UInt<32>("h0ffffffff"), _T_1381) @[Bitwise.scala 102:21]
node _T_1383 = shr(_T_1380, 16) @[Bitwise.scala 103:21]
node _T_1384 = and(_T_1383, _T_1382) @[Bitwise.scala 103:31]
node _T_1385 = bits(_T_1380, 15, 0) @[Bitwise.scala 103:46]
node _T_1386 = shl(_T_1385, 16) @[Bitwise.scala 103:65]
node _T_1387 = not(_T_1382) @[Bitwise.scala 103:77]
node _T_1388 = and(_T_1386, _T_1387) @[Bitwise.scala 103:75]
node _T_1389 = or(_T_1384, _T_1388) @[Bitwise.scala 103:39]
node _T_1390 = bits(_T_1382, 23, 0) @[Bitwise.scala 102:28]
node _T_1391 = shl(_T_1390, 8) @[Bitwise.scala 102:47]
node _T_1392 = xor(_T_1382, _T_1391) @[Bitwise.scala 102:21]
node _T_1393 = shr(_T_1389, 8) @[Bitwise.scala 103:21]
node _T_1394 = and(_T_1393, _T_1392) @[Bitwise.scala 103:31]
node _T_1395 = bits(_T_1389, 23, 0) @[Bitwise.scala 103:46]
node _T_1396 = shl(_T_1395, 8) @[Bitwise.scala 103:65]
node _T_1397 = not(_T_1392) @[Bitwise.scala 103:77]
node _T_1398 = and(_T_1396, _T_1397) @[Bitwise.scala 103:75]
node _T_1399 = or(_T_1394, _T_1398) @[Bitwise.scala 103:39]
node _T_1400 = bits(_T_1392, 27, 0) @[Bitwise.scala 102:28]
node _T_1401 = shl(_T_1400, 4) @[Bitwise.scala 102:47]
node _T_1402 = xor(_T_1392, _T_1401) @[Bitwise.scala 102:21]
node _T_1403 = shr(_T_1399, 4) @[Bitwise.scala 103:21]
node _T_1404 = and(_T_1403, _T_1402) @[Bitwise.scala 103:31]
node _T_1405 = bits(_T_1399, 27, 0) @[Bitwise.scala 103:46]
node _T_1406 = shl(_T_1405, 4) @[Bitwise.scala 103:65]
node _T_1407 = not(_T_1402) @[Bitwise.scala 103:77]
node _T_1408 = and(_T_1406, _T_1407) @[Bitwise.scala 103:75]
node _T_1409 = or(_T_1404, _T_1408) @[Bitwise.scala 103:39]
node _T_1410 = bits(_T_1402, 29, 0) @[Bitwise.scala 102:28]
node _T_1411 = shl(_T_1410, 2) @[Bitwise.scala 102:47]
node _T_1412 = xor(_T_1402, _T_1411) @[Bitwise.scala 102:21]
node _T_1413 = shr(_T_1409, 2) @[Bitwise.scala 103:21]
node _T_1414 = and(_T_1413, _T_1412) @[Bitwise.scala 103:31]
node _T_1415 = bits(_T_1409, 29, 0) @[Bitwise.scala 103:46]
node _T_1416 = shl(_T_1415, 2) @[Bitwise.scala 103:65]
node _T_1417 = not(_T_1412) @[Bitwise.scala 103:77]
node _T_1418 = and(_T_1416, _T_1417) @[Bitwise.scala 103:75]
node _T_1419 = or(_T_1414, _T_1418) @[Bitwise.scala 103:39]
node _T_1420 = bits(_T_1412, 30, 0) @[Bitwise.scala 102:28]
node _T_1421 = shl(_T_1420, 1) @[Bitwise.scala 102:47]
node _T_1422 = xor(_T_1412, _T_1421) @[Bitwise.scala 102:21]
node _T_1423 = shr(_T_1419, 1) @[Bitwise.scala 103:21]
node _T_1424 = and(_T_1423, _T_1422) @[Bitwise.scala 103:31]
node _T_1425 = bits(_T_1419, 30, 0) @[Bitwise.scala 103:46]
node _T_1426 = shl(_T_1425, 1) @[Bitwise.scala 103:65]
node _T_1427 = not(_T_1422) @[Bitwise.scala 103:77]
node _T_1428 = and(_T_1426, _T_1427) @[Bitwise.scala 103:75]
node _T_1429 = or(_T_1424, _T_1428) @[Bitwise.scala 103:39]
node _T_1430 = and(io.ldst_dual_m, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 262:295]
node _T_1431 = and(_T_1430, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 262:316]
node _T_1432 = or(_T_1431, io.clk_override) @[lsu_dccm_ctl.scala 262:343]
node _T_1433 = bits(_T_1432, 0, 0) @[lib.scala 8:44]
node _T_1434 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44]
inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 368:23]
rvclkhdr_1.clock <= clock
rvclkhdr_1.reset <= reset
rvclkhdr_1.io.clk <= clock @[lib.scala 370:18]
rvclkhdr_1.io.en <= _T_1433 @[lib.scala 371:17]
rvclkhdr_1.io.scan_mode <= _T_1434 @[lib.scala 372:24]
reg _T_1435 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16]
_T_1435 <= _T_1429 @[lib.scala 374:16]
io.store_data_hi_r <= _T_1435 @[lsu_dccm_ctl.scala 262:29]
node _T_1436 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 263:105]
node _T_1437 = bits(store_byteen_ext_r, 0, 0) @[lsu_dccm_ctl.scala 263:150]
node _T_1438 = eq(_T_1437, UInt<1>("h00")) @[lsu_dccm_ctl.scala 263:131]
node _T_1439 = and(_T_1436, _T_1438) @[lsu_dccm_ctl.scala 263:129]
node _T_1440 = bits(_T_1439, 0, 0) @[lsu_dccm_ctl.scala 263:155]
node _T_1441 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 263:179]
node _T_1442 = bits(io.store_data_lo_r, 7, 0) @[lsu_dccm_ctl.scala 263:211]
node _T_1443 = mux(_T_1440, _T_1441, _T_1442) @[lsu_dccm_ctl.scala 263:79]
node _T_1444 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1445 = xor(UInt<8>("h0ff"), _T_1444) @[Bitwise.scala 102:21]
node _T_1446 = shr(_T_1443, 4) @[Bitwise.scala 103:21]
node _T_1447 = and(_T_1446, _T_1445) @[Bitwise.scala 103:31]
node _T_1448 = bits(_T_1443, 3, 0) @[Bitwise.scala 103:46]
node _T_1449 = shl(_T_1448, 4) @[Bitwise.scala 103:65]
node _T_1450 = not(_T_1445) @[Bitwise.scala 103:77]
node _T_1451 = and(_T_1449, _T_1450) @[Bitwise.scala 103:75]
node _T_1452 = or(_T_1447, _T_1451) @[Bitwise.scala 103:39]
node _T_1453 = bits(_T_1445, 5, 0) @[Bitwise.scala 102:28]
node _T_1454 = shl(_T_1453, 2) @[Bitwise.scala 102:47]
node _T_1455 = xor(_T_1445, _T_1454) @[Bitwise.scala 102:21]
node _T_1456 = shr(_T_1452, 2) @[Bitwise.scala 103:21]
node _T_1457 = and(_T_1456, _T_1455) @[Bitwise.scala 103:31]
node _T_1458 = bits(_T_1452, 5, 0) @[Bitwise.scala 103:46]
node _T_1459 = shl(_T_1458, 2) @[Bitwise.scala 103:65]
node _T_1460 = not(_T_1455) @[Bitwise.scala 103:77]
node _T_1461 = and(_T_1459, _T_1460) @[Bitwise.scala 103:75]
node _T_1462 = or(_T_1457, _T_1461) @[Bitwise.scala 103:39]
node _T_1463 = bits(_T_1455, 6, 0) @[Bitwise.scala 102:28]
node _T_1464 = shl(_T_1463, 1) @[Bitwise.scala 102:47]
node _T_1465 = xor(_T_1455, _T_1464) @[Bitwise.scala 102:21]
node _T_1466 = shr(_T_1462, 1) @[Bitwise.scala 103:21]
node _T_1467 = and(_T_1466, _T_1465) @[Bitwise.scala 103:31]
node _T_1468 = bits(_T_1462, 6, 0) @[Bitwise.scala 103:46]
node _T_1469 = shl(_T_1468, 1) @[Bitwise.scala 103:65]
node _T_1470 = not(_T_1465) @[Bitwise.scala 103:77]
node _T_1471 = and(_T_1469, _T_1470) @[Bitwise.scala 103:75]
node _T_1472 = or(_T_1467, _T_1471) @[Bitwise.scala 103:39]
node _T_1473 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 263:105]
node _T_1474 = bits(store_byteen_ext_r, 1, 1) @[lsu_dccm_ctl.scala 263:150]
node _T_1475 = eq(_T_1474, UInt<1>("h00")) @[lsu_dccm_ctl.scala 263:131]
node _T_1476 = and(_T_1473, _T_1475) @[lsu_dccm_ctl.scala 263:129]
node _T_1477 = bits(_T_1476, 0, 0) @[lsu_dccm_ctl.scala 263:155]
node _T_1478 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 263:179]
node _T_1479 = bits(io.store_data_lo_r, 15, 8) @[lsu_dccm_ctl.scala 263:211]
node _T_1480 = mux(_T_1477, _T_1478, _T_1479) @[lsu_dccm_ctl.scala 263:79]
node _T_1481 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1482 = xor(UInt<8>("h0ff"), _T_1481) @[Bitwise.scala 102:21]
node _T_1483 = shr(_T_1480, 4) @[Bitwise.scala 103:21]
node _T_1484 = and(_T_1483, _T_1482) @[Bitwise.scala 103:31]
node _T_1485 = bits(_T_1480, 3, 0) @[Bitwise.scala 103:46]
node _T_1486 = shl(_T_1485, 4) @[Bitwise.scala 103:65]
node _T_1487 = not(_T_1482) @[Bitwise.scala 103:77]
node _T_1488 = and(_T_1486, _T_1487) @[Bitwise.scala 103:75]
node _T_1489 = or(_T_1484, _T_1488) @[Bitwise.scala 103:39]
node _T_1490 = bits(_T_1482, 5, 0) @[Bitwise.scala 102:28]
node _T_1491 = shl(_T_1490, 2) @[Bitwise.scala 102:47]
node _T_1492 = xor(_T_1482, _T_1491) @[Bitwise.scala 102:21]
node _T_1493 = shr(_T_1489, 2) @[Bitwise.scala 103:21]
node _T_1494 = and(_T_1493, _T_1492) @[Bitwise.scala 103:31]
node _T_1495 = bits(_T_1489, 5, 0) @[Bitwise.scala 103:46]
node _T_1496 = shl(_T_1495, 2) @[Bitwise.scala 103:65]
node _T_1497 = not(_T_1492) @[Bitwise.scala 103:77]
node _T_1498 = and(_T_1496, _T_1497) @[Bitwise.scala 103:75]
node _T_1499 = or(_T_1494, _T_1498) @[Bitwise.scala 103:39]
node _T_1500 = bits(_T_1492, 6, 0) @[Bitwise.scala 102:28]
node _T_1501 = shl(_T_1500, 1) @[Bitwise.scala 102:47]
node _T_1502 = xor(_T_1492, _T_1501) @[Bitwise.scala 102:21]
node _T_1503 = shr(_T_1499, 1) @[Bitwise.scala 103:21]
node _T_1504 = and(_T_1503, _T_1502) @[Bitwise.scala 103:31]
node _T_1505 = bits(_T_1499, 6, 0) @[Bitwise.scala 103:46]
node _T_1506 = shl(_T_1505, 1) @[Bitwise.scala 103:65]
node _T_1507 = not(_T_1502) @[Bitwise.scala 103:77]
node _T_1508 = and(_T_1506, _T_1507) @[Bitwise.scala 103:75]
node _T_1509 = or(_T_1504, _T_1508) @[Bitwise.scala 103:39]
node _T_1510 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 263:105]
node _T_1511 = bits(store_byteen_ext_r, 2, 2) @[lsu_dccm_ctl.scala 263:150]
node _T_1512 = eq(_T_1511, UInt<1>("h00")) @[lsu_dccm_ctl.scala 263:131]
node _T_1513 = and(_T_1510, _T_1512) @[lsu_dccm_ctl.scala 263:129]
node _T_1514 = bits(_T_1513, 0, 0) @[lsu_dccm_ctl.scala 263:155]
node _T_1515 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 263:179]
node _T_1516 = bits(io.store_data_lo_r, 23, 16) @[lsu_dccm_ctl.scala 263:211]
node _T_1517 = mux(_T_1514, _T_1515, _T_1516) @[lsu_dccm_ctl.scala 263:79]
node _T_1518 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1519 = xor(UInt<8>("h0ff"), _T_1518) @[Bitwise.scala 102:21]
node _T_1520 = shr(_T_1517, 4) @[Bitwise.scala 103:21]
node _T_1521 = and(_T_1520, _T_1519) @[Bitwise.scala 103:31]
node _T_1522 = bits(_T_1517, 3, 0) @[Bitwise.scala 103:46]
node _T_1523 = shl(_T_1522, 4) @[Bitwise.scala 103:65]
node _T_1524 = not(_T_1519) @[Bitwise.scala 103:77]
node _T_1525 = and(_T_1523, _T_1524) @[Bitwise.scala 103:75]
node _T_1526 = or(_T_1521, _T_1525) @[Bitwise.scala 103:39]
node _T_1527 = bits(_T_1519, 5, 0) @[Bitwise.scala 102:28]
node _T_1528 = shl(_T_1527, 2) @[Bitwise.scala 102:47]
node _T_1529 = xor(_T_1519, _T_1528) @[Bitwise.scala 102:21]
node _T_1530 = shr(_T_1526, 2) @[Bitwise.scala 103:21]
node _T_1531 = and(_T_1530, _T_1529) @[Bitwise.scala 103:31]
node _T_1532 = bits(_T_1526, 5, 0) @[Bitwise.scala 103:46]
node _T_1533 = shl(_T_1532, 2) @[Bitwise.scala 103:65]
node _T_1534 = not(_T_1529) @[Bitwise.scala 103:77]
node _T_1535 = and(_T_1533, _T_1534) @[Bitwise.scala 103:75]
node _T_1536 = or(_T_1531, _T_1535) @[Bitwise.scala 103:39]
node _T_1537 = bits(_T_1529, 6, 0) @[Bitwise.scala 102:28]
node _T_1538 = shl(_T_1537, 1) @[Bitwise.scala 102:47]
node _T_1539 = xor(_T_1529, _T_1538) @[Bitwise.scala 102:21]
node _T_1540 = shr(_T_1536, 1) @[Bitwise.scala 103:21]
node _T_1541 = and(_T_1540, _T_1539) @[Bitwise.scala 103:31]
node _T_1542 = bits(_T_1536, 6, 0) @[Bitwise.scala 103:46]
node _T_1543 = shl(_T_1542, 1) @[Bitwise.scala 103:65]
node _T_1544 = not(_T_1539) @[Bitwise.scala 103:77]
node _T_1545 = and(_T_1543, _T_1544) @[Bitwise.scala 103:75]
node _T_1546 = or(_T_1541, _T_1545) @[Bitwise.scala 103:39]
node _T_1547 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 263:105]
node _T_1548 = bits(store_byteen_ext_r, 3, 3) @[lsu_dccm_ctl.scala 263:150]
node _T_1549 = eq(_T_1548, UInt<1>("h00")) @[lsu_dccm_ctl.scala 263:131]
node _T_1550 = and(_T_1547, _T_1549) @[lsu_dccm_ctl.scala 263:129]
node _T_1551 = bits(_T_1550, 0, 0) @[lsu_dccm_ctl.scala 263:155]
node _T_1552 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 263:179]
node _T_1553 = bits(io.store_data_lo_r, 31, 24) @[lsu_dccm_ctl.scala 263:211]
node _T_1554 = mux(_T_1551, _T_1552, _T_1553) @[lsu_dccm_ctl.scala 263:79]
node _T_1555 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1556 = xor(UInt<8>("h0ff"), _T_1555) @[Bitwise.scala 102:21]
node _T_1557 = shr(_T_1554, 4) @[Bitwise.scala 103:21]
node _T_1558 = and(_T_1557, _T_1556) @[Bitwise.scala 103:31]
node _T_1559 = bits(_T_1554, 3, 0) @[Bitwise.scala 103:46]
node _T_1560 = shl(_T_1559, 4) @[Bitwise.scala 103:65]
node _T_1561 = not(_T_1556) @[Bitwise.scala 103:77]
node _T_1562 = and(_T_1560, _T_1561) @[Bitwise.scala 103:75]
node _T_1563 = or(_T_1558, _T_1562) @[Bitwise.scala 103:39]
node _T_1564 = bits(_T_1556, 5, 0) @[Bitwise.scala 102:28]
node _T_1565 = shl(_T_1564, 2) @[Bitwise.scala 102:47]
node _T_1566 = xor(_T_1556, _T_1565) @[Bitwise.scala 102:21]
node _T_1567 = shr(_T_1563, 2) @[Bitwise.scala 103:21]
node _T_1568 = and(_T_1567, _T_1566) @[Bitwise.scala 103:31]
node _T_1569 = bits(_T_1563, 5, 0) @[Bitwise.scala 103:46]
node _T_1570 = shl(_T_1569, 2) @[Bitwise.scala 103:65]
node _T_1571 = not(_T_1566) @[Bitwise.scala 103:77]
node _T_1572 = and(_T_1570, _T_1571) @[Bitwise.scala 103:75]
node _T_1573 = or(_T_1568, _T_1572) @[Bitwise.scala 103:39]
node _T_1574 = bits(_T_1566, 6, 0) @[Bitwise.scala 102:28]
node _T_1575 = shl(_T_1574, 1) @[Bitwise.scala 102:47]
node _T_1576 = xor(_T_1566, _T_1575) @[Bitwise.scala 102:21]
node _T_1577 = shr(_T_1573, 1) @[Bitwise.scala 103:21]
node _T_1578 = and(_T_1577, _T_1576) @[Bitwise.scala 103:31]
node _T_1579 = bits(_T_1573, 6, 0) @[Bitwise.scala 103:46]
node _T_1580 = shl(_T_1579, 1) @[Bitwise.scala 103:65]
node _T_1581 = not(_T_1576) @[Bitwise.scala 103:77]
node _T_1582 = and(_T_1580, _T_1581) @[Bitwise.scala 103:75]
node _T_1583 = or(_T_1578, _T_1582) @[Bitwise.scala 103:39]
wire _T_1584 : UInt<8>[4] @[lsu_dccm_ctl.scala 263:63]
_T_1584[0] <= _T_1472 @[lsu_dccm_ctl.scala 263:63]
_T_1584[1] <= _T_1509 @[lsu_dccm_ctl.scala 263:63]
_T_1584[2] <= _T_1546 @[lsu_dccm_ctl.scala 263:63]
_T_1584[3] <= _T_1583 @[lsu_dccm_ctl.scala 263:63]
node _T_1585 = cat(_T_1584[2], _T_1584[3]) @[Cat.scala 29:58]
node _T_1586 = cat(_T_1584[0], _T_1584[1]) @[Cat.scala 29:58]
node _T_1587 = cat(_T_1586, _T_1585) @[Cat.scala 29:58]
node _T_1588 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47]
node _T_1589 = xor(UInt<32>("h0ffffffff"), _T_1588) @[Bitwise.scala 102:21]
node _T_1590 = shr(_T_1587, 16) @[Bitwise.scala 103:21]
node _T_1591 = and(_T_1590, _T_1589) @[Bitwise.scala 103:31]
node _T_1592 = bits(_T_1587, 15, 0) @[Bitwise.scala 103:46]
node _T_1593 = shl(_T_1592, 16) @[Bitwise.scala 103:65]
node _T_1594 = not(_T_1589) @[Bitwise.scala 103:77]
node _T_1595 = and(_T_1593, _T_1594) @[Bitwise.scala 103:75]
node _T_1596 = or(_T_1591, _T_1595) @[Bitwise.scala 103:39]
node _T_1597 = bits(_T_1589, 23, 0) @[Bitwise.scala 102:28]
node _T_1598 = shl(_T_1597, 8) @[Bitwise.scala 102:47]
node _T_1599 = xor(_T_1589, _T_1598) @[Bitwise.scala 102:21]
node _T_1600 = shr(_T_1596, 8) @[Bitwise.scala 103:21]
node _T_1601 = and(_T_1600, _T_1599) @[Bitwise.scala 103:31]
node _T_1602 = bits(_T_1596, 23, 0) @[Bitwise.scala 103:46]
node _T_1603 = shl(_T_1602, 8) @[Bitwise.scala 103:65]
node _T_1604 = not(_T_1599) @[Bitwise.scala 103:77]
node _T_1605 = and(_T_1603, _T_1604) @[Bitwise.scala 103:75]
node _T_1606 = or(_T_1601, _T_1605) @[Bitwise.scala 103:39]
node _T_1607 = bits(_T_1599, 27, 0) @[Bitwise.scala 102:28]
node _T_1608 = shl(_T_1607, 4) @[Bitwise.scala 102:47]
node _T_1609 = xor(_T_1599, _T_1608) @[Bitwise.scala 102:21]
node _T_1610 = shr(_T_1606, 4) @[Bitwise.scala 103:21]
node _T_1611 = and(_T_1610, _T_1609) @[Bitwise.scala 103:31]
node _T_1612 = bits(_T_1606, 27, 0) @[Bitwise.scala 103:46]
node _T_1613 = shl(_T_1612, 4) @[Bitwise.scala 103:65]
node _T_1614 = not(_T_1609) @[Bitwise.scala 103:77]
node _T_1615 = and(_T_1613, _T_1614) @[Bitwise.scala 103:75]
node _T_1616 = or(_T_1611, _T_1615) @[Bitwise.scala 103:39]
node _T_1617 = bits(_T_1609, 29, 0) @[Bitwise.scala 102:28]
node _T_1618 = shl(_T_1617, 2) @[Bitwise.scala 102:47]
node _T_1619 = xor(_T_1609, _T_1618) @[Bitwise.scala 102:21]
node _T_1620 = shr(_T_1616, 2) @[Bitwise.scala 103:21]
node _T_1621 = and(_T_1620, _T_1619) @[Bitwise.scala 103:31]
node _T_1622 = bits(_T_1616, 29, 0) @[Bitwise.scala 103:46]
node _T_1623 = shl(_T_1622, 2) @[Bitwise.scala 103:65]
node _T_1624 = not(_T_1619) @[Bitwise.scala 103:77]
node _T_1625 = and(_T_1623, _T_1624) @[Bitwise.scala 103:75]
node _T_1626 = or(_T_1621, _T_1625) @[Bitwise.scala 103:39]
node _T_1627 = bits(_T_1619, 30, 0) @[Bitwise.scala 102:28]
node _T_1628 = shl(_T_1627, 1) @[Bitwise.scala 102:47]
node _T_1629 = xor(_T_1619, _T_1628) @[Bitwise.scala 102:21]
node _T_1630 = shr(_T_1626, 1) @[Bitwise.scala 103:21]
node _T_1631 = and(_T_1630, _T_1629) @[Bitwise.scala 103:31]
node _T_1632 = bits(_T_1626, 30, 0) @[Bitwise.scala 103:46]
node _T_1633 = shl(_T_1632, 1) @[Bitwise.scala 103:65]
node _T_1634 = not(_T_1629) @[Bitwise.scala 103:77]
node _T_1635 = and(_T_1633, _T_1634) @[Bitwise.scala 103:75]
node _T_1636 = or(_T_1631, _T_1635) @[Bitwise.scala 103:39]
io.store_datafn_lo_r <= _T_1636 @[lsu_dccm_ctl.scala 263:29]
node _T_1637 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 264:105]
node _T_1638 = bits(store_byteen_ext_r, 4, 4) @[lsu_dccm_ctl.scala 264:150]
node _T_1639 = eq(_T_1638, UInt<1>("h00")) @[lsu_dccm_ctl.scala 264:131]
node _T_1640 = and(_T_1637, _T_1639) @[lsu_dccm_ctl.scala 264:129]
node _T_1641 = bits(_T_1640, 0, 0) @[lsu_dccm_ctl.scala 264:157]
node _T_1642 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 264:181]
node _T_1643 = bits(io.store_data_hi_r, 7, 0) @[lsu_dccm_ctl.scala 264:213]
node _T_1644 = mux(_T_1641, _T_1642, _T_1643) @[lsu_dccm_ctl.scala 264:79]
node _T_1645 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1646 = xor(UInt<8>("h0ff"), _T_1645) @[Bitwise.scala 102:21]
node _T_1647 = shr(_T_1644, 4) @[Bitwise.scala 103:21]
node _T_1648 = and(_T_1647, _T_1646) @[Bitwise.scala 103:31]
node _T_1649 = bits(_T_1644, 3, 0) @[Bitwise.scala 103:46]
node _T_1650 = shl(_T_1649, 4) @[Bitwise.scala 103:65]
node _T_1651 = not(_T_1646) @[Bitwise.scala 103:77]
node _T_1652 = and(_T_1650, _T_1651) @[Bitwise.scala 103:75]
node _T_1653 = or(_T_1648, _T_1652) @[Bitwise.scala 103:39]
node _T_1654 = bits(_T_1646, 5, 0) @[Bitwise.scala 102:28]
node _T_1655 = shl(_T_1654, 2) @[Bitwise.scala 102:47]
node _T_1656 = xor(_T_1646, _T_1655) @[Bitwise.scala 102:21]
node _T_1657 = shr(_T_1653, 2) @[Bitwise.scala 103:21]
node _T_1658 = and(_T_1657, _T_1656) @[Bitwise.scala 103:31]
node _T_1659 = bits(_T_1653, 5, 0) @[Bitwise.scala 103:46]
node _T_1660 = shl(_T_1659, 2) @[Bitwise.scala 103:65]
node _T_1661 = not(_T_1656) @[Bitwise.scala 103:77]
node _T_1662 = and(_T_1660, _T_1661) @[Bitwise.scala 103:75]
node _T_1663 = or(_T_1658, _T_1662) @[Bitwise.scala 103:39]
node _T_1664 = bits(_T_1656, 6, 0) @[Bitwise.scala 102:28]
node _T_1665 = shl(_T_1664, 1) @[Bitwise.scala 102:47]
node _T_1666 = xor(_T_1656, _T_1665) @[Bitwise.scala 102:21]
node _T_1667 = shr(_T_1663, 1) @[Bitwise.scala 103:21]
node _T_1668 = and(_T_1667, _T_1666) @[Bitwise.scala 103:31]
node _T_1669 = bits(_T_1663, 6, 0) @[Bitwise.scala 103:46]
node _T_1670 = shl(_T_1669, 1) @[Bitwise.scala 103:65]
node _T_1671 = not(_T_1666) @[Bitwise.scala 103:77]
node _T_1672 = and(_T_1670, _T_1671) @[Bitwise.scala 103:75]
node _T_1673 = or(_T_1668, _T_1672) @[Bitwise.scala 103:39]
node _T_1674 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 264:105]
node _T_1675 = bits(store_byteen_ext_r, 5, 5) @[lsu_dccm_ctl.scala 264:150]
node _T_1676 = eq(_T_1675, UInt<1>("h00")) @[lsu_dccm_ctl.scala 264:131]
node _T_1677 = and(_T_1674, _T_1676) @[lsu_dccm_ctl.scala 264:129]
node _T_1678 = bits(_T_1677, 0, 0) @[lsu_dccm_ctl.scala 264:157]
node _T_1679 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 264:181]
node _T_1680 = bits(io.store_data_hi_r, 15, 8) @[lsu_dccm_ctl.scala 264:213]
node _T_1681 = mux(_T_1678, _T_1679, _T_1680) @[lsu_dccm_ctl.scala 264:79]
node _T_1682 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1683 = xor(UInt<8>("h0ff"), _T_1682) @[Bitwise.scala 102:21]
node _T_1684 = shr(_T_1681, 4) @[Bitwise.scala 103:21]
node _T_1685 = and(_T_1684, _T_1683) @[Bitwise.scala 103:31]
node _T_1686 = bits(_T_1681, 3, 0) @[Bitwise.scala 103:46]
node _T_1687 = shl(_T_1686, 4) @[Bitwise.scala 103:65]
node _T_1688 = not(_T_1683) @[Bitwise.scala 103:77]
node _T_1689 = and(_T_1687, _T_1688) @[Bitwise.scala 103:75]
node _T_1690 = or(_T_1685, _T_1689) @[Bitwise.scala 103:39]
node _T_1691 = bits(_T_1683, 5, 0) @[Bitwise.scala 102:28]
node _T_1692 = shl(_T_1691, 2) @[Bitwise.scala 102:47]
node _T_1693 = xor(_T_1683, _T_1692) @[Bitwise.scala 102:21]
node _T_1694 = shr(_T_1690, 2) @[Bitwise.scala 103:21]
node _T_1695 = and(_T_1694, _T_1693) @[Bitwise.scala 103:31]
node _T_1696 = bits(_T_1690, 5, 0) @[Bitwise.scala 103:46]
node _T_1697 = shl(_T_1696, 2) @[Bitwise.scala 103:65]
node _T_1698 = not(_T_1693) @[Bitwise.scala 103:77]
node _T_1699 = and(_T_1697, _T_1698) @[Bitwise.scala 103:75]
node _T_1700 = or(_T_1695, _T_1699) @[Bitwise.scala 103:39]
node _T_1701 = bits(_T_1693, 6, 0) @[Bitwise.scala 102:28]
node _T_1702 = shl(_T_1701, 1) @[Bitwise.scala 102:47]
node _T_1703 = xor(_T_1693, _T_1702) @[Bitwise.scala 102:21]
node _T_1704 = shr(_T_1700, 1) @[Bitwise.scala 103:21]
node _T_1705 = and(_T_1704, _T_1703) @[Bitwise.scala 103:31]
node _T_1706 = bits(_T_1700, 6, 0) @[Bitwise.scala 103:46]
node _T_1707 = shl(_T_1706, 1) @[Bitwise.scala 103:65]
node _T_1708 = not(_T_1703) @[Bitwise.scala 103:77]
node _T_1709 = and(_T_1707, _T_1708) @[Bitwise.scala 103:75]
node _T_1710 = or(_T_1705, _T_1709) @[Bitwise.scala 103:39]
node _T_1711 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 264:105]
node _T_1712 = bits(store_byteen_ext_r, 6, 6) @[lsu_dccm_ctl.scala 264:150]
node _T_1713 = eq(_T_1712, UInt<1>("h00")) @[lsu_dccm_ctl.scala 264:131]
node _T_1714 = and(_T_1711, _T_1713) @[lsu_dccm_ctl.scala 264:129]
node _T_1715 = bits(_T_1714, 0, 0) @[lsu_dccm_ctl.scala 264:157]
node _T_1716 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 264:181]
node _T_1717 = bits(io.store_data_hi_r, 23, 16) @[lsu_dccm_ctl.scala 264:213]
node _T_1718 = mux(_T_1715, _T_1716, _T_1717) @[lsu_dccm_ctl.scala 264:79]
node _T_1719 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1720 = xor(UInt<8>("h0ff"), _T_1719) @[Bitwise.scala 102:21]
node _T_1721 = shr(_T_1718, 4) @[Bitwise.scala 103:21]
node _T_1722 = and(_T_1721, _T_1720) @[Bitwise.scala 103:31]
node _T_1723 = bits(_T_1718, 3, 0) @[Bitwise.scala 103:46]
node _T_1724 = shl(_T_1723, 4) @[Bitwise.scala 103:65]
node _T_1725 = not(_T_1720) @[Bitwise.scala 103:77]
node _T_1726 = and(_T_1724, _T_1725) @[Bitwise.scala 103:75]
node _T_1727 = or(_T_1722, _T_1726) @[Bitwise.scala 103:39]
node _T_1728 = bits(_T_1720, 5, 0) @[Bitwise.scala 102:28]
node _T_1729 = shl(_T_1728, 2) @[Bitwise.scala 102:47]
node _T_1730 = xor(_T_1720, _T_1729) @[Bitwise.scala 102:21]
node _T_1731 = shr(_T_1727, 2) @[Bitwise.scala 103:21]
node _T_1732 = and(_T_1731, _T_1730) @[Bitwise.scala 103:31]
node _T_1733 = bits(_T_1727, 5, 0) @[Bitwise.scala 103:46]
node _T_1734 = shl(_T_1733, 2) @[Bitwise.scala 103:65]
node _T_1735 = not(_T_1730) @[Bitwise.scala 103:77]
node _T_1736 = and(_T_1734, _T_1735) @[Bitwise.scala 103:75]
node _T_1737 = or(_T_1732, _T_1736) @[Bitwise.scala 103:39]
node _T_1738 = bits(_T_1730, 6, 0) @[Bitwise.scala 102:28]
node _T_1739 = shl(_T_1738, 1) @[Bitwise.scala 102:47]
node _T_1740 = xor(_T_1730, _T_1739) @[Bitwise.scala 102:21]
node _T_1741 = shr(_T_1737, 1) @[Bitwise.scala 103:21]
node _T_1742 = and(_T_1741, _T_1740) @[Bitwise.scala 103:31]
node _T_1743 = bits(_T_1737, 6, 0) @[Bitwise.scala 103:46]
node _T_1744 = shl(_T_1743, 1) @[Bitwise.scala 103:65]
node _T_1745 = not(_T_1740) @[Bitwise.scala 103:77]
node _T_1746 = and(_T_1744, _T_1745) @[Bitwise.scala 103:75]
node _T_1747 = or(_T_1742, _T_1746) @[Bitwise.scala 103:39]
node _T_1748 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 264:105]
node _T_1749 = bits(store_byteen_ext_r, 7, 7) @[lsu_dccm_ctl.scala 264:150]
node _T_1750 = eq(_T_1749, UInt<1>("h00")) @[lsu_dccm_ctl.scala 264:131]
node _T_1751 = and(_T_1748, _T_1750) @[lsu_dccm_ctl.scala 264:129]
node _T_1752 = bits(_T_1751, 0, 0) @[lsu_dccm_ctl.scala 264:157]
node _T_1753 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 264:181]
node _T_1754 = bits(io.store_data_hi_r, 31, 24) @[lsu_dccm_ctl.scala 264:213]
node _T_1755 = mux(_T_1752, _T_1753, _T_1754) @[lsu_dccm_ctl.scala 264:79]
node _T_1756 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1757 = xor(UInt<8>("h0ff"), _T_1756) @[Bitwise.scala 102:21]
node _T_1758 = shr(_T_1755, 4) @[Bitwise.scala 103:21]
node _T_1759 = and(_T_1758, _T_1757) @[Bitwise.scala 103:31]
node _T_1760 = bits(_T_1755, 3, 0) @[Bitwise.scala 103:46]
node _T_1761 = shl(_T_1760, 4) @[Bitwise.scala 103:65]
node _T_1762 = not(_T_1757) @[Bitwise.scala 103:77]
node _T_1763 = and(_T_1761, _T_1762) @[Bitwise.scala 103:75]
node _T_1764 = or(_T_1759, _T_1763) @[Bitwise.scala 103:39]
node _T_1765 = bits(_T_1757, 5, 0) @[Bitwise.scala 102:28]
node _T_1766 = shl(_T_1765, 2) @[Bitwise.scala 102:47]
node _T_1767 = xor(_T_1757, _T_1766) @[Bitwise.scala 102:21]
node _T_1768 = shr(_T_1764, 2) @[Bitwise.scala 103:21]
node _T_1769 = and(_T_1768, _T_1767) @[Bitwise.scala 103:31]
node _T_1770 = bits(_T_1764, 5, 0) @[Bitwise.scala 103:46]
node _T_1771 = shl(_T_1770, 2) @[Bitwise.scala 103:65]
node _T_1772 = not(_T_1767) @[Bitwise.scala 103:77]
node _T_1773 = and(_T_1771, _T_1772) @[Bitwise.scala 103:75]
node _T_1774 = or(_T_1769, _T_1773) @[Bitwise.scala 103:39]
node _T_1775 = bits(_T_1767, 6, 0) @[Bitwise.scala 102:28]
node _T_1776 = shl(_T_1775, 1) @[Bitwise.scala 102:47]
node _T_1777 = xor(_T_1767, _T_1776) @[Bitwise.scala 102:21]
node _T_1778 = shr(_T_1774, 1) @[Bitwise.scala 103:21]
node _T_1779 = and(_T_1778, _T_1777) @[Bitwise.scala 103:31]
node _T_1780 = bits(_T_1774, 6, 0) @[Bitwise.scala 103:46]
node _T_1781 = shl(_T_1780, 1) @[Bitwise.scala 103:65]
node _T_1782 = not(_T_1777) @[Bitwise.scala 103:77]
node _T_1783 = and(_T_1781, _T_1782) @[Bitwise.scala 103:75]
node _T_1784 = or(_T_1779, _T_1783) @[Bitwise.scala 103:39]
wire _T_1785 : UInt<8>[4] @[lsu_dccm_ctl.scala 264:63]
_T_1785[0] <= _T_1673 @[lsu_dccm_ctl.scala 264:63]
_T_1785[1] <= _T_1710 @[lsu_dccm_ctl.scala 264:63]
_T_1785[2] <= _T_1747 @[lsu_dccm_ctl.scala 264:63]
_T_1785[3] <= _T_1784 @[lsu_dccm_ctl.scala 264:63]
node _T_1786 = cat(_T_1785[2], _T_1785[3]) @[Cat.scala 29:58]
node _T_1787 = cat(_T_1785[0], _T_1785[1]) @[Cat.scala 29:58]
node _T_1788 = cat(_T_1787, _T_1786) @[Cat.scala 29:58]
node _T_1789 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47]
node _T_1790 = xor(UInt<32>("h0ffffffff"), _T_1789) @[Bitwise.scala 102:21]
node _T_1791 = shr(_T_1788, 16) @[Bitwise.scala 103:21]
node _T_1792 = and(_T_1791, _T_1790) @[Bitwise.scala 103:31]
node _T_1793 = bits(_T_1788, 15, 0) @[Bitwise.scala 103:46]
node _T_1794 = shl(_T_1793, 16) @[Bitwise.scala 103:65]
node _T_1795 = not(_T_1790) @[Bitwise.scala 103:77]
node _T_1796 = and(_T_1794, _T_1795) @[Bitwise.scala 103:75]
node _T_1797 = or(_T_1792, _T_1796) @[Bitwise.scala 103:39]
node _T_1798 = bits(_T_1790, 23, 0) @[Bitwise.scala 102:28]
node _T_1799 = shl(_T_1798, 8) @[Bitwise.scala 102:47]
node _T_1800 = xor(_T_1790, _T_1799) @[Bitwise.scala 102:21]
node _T_1801 = shr(_T_1797, 8) @[Bitwise.scala 103:21]
node _T_1802 = and(_T_1801, _T_1800) @[Bitwise.scala 103:31]
node _T_1803 = bits(_T_1797, 23, 0) @[Bitwise.scala 103:46]
node _T_1804 = shl(_T_1803, 8) @[Bitwise.scala 103:65]
node _T_1805 = not(_T_1800) @[Bitwise.scala 103:77]
node _T_1806 = and(_T_1804, _T_1805) @[Bitwise.scala 103:75]
node _T_1807 = or(_T_1802, _T_1806) @[Bitwise.scala 103:39]
node _T_1808 = bits(_T_1800, 27, 0) @[Bitwise.scala 102:28]
node _T_1809 = shl(_T_1808, 4) @[Bitwise.scala 102:47]
node _T_1810 = xor(_T_1800, _T_1809) @[Bitwise.scala 102:21]
node _T_1811 = shr(_T_1807, 4) @[Bitwise.scala 103:21]
node _T_1812 = and(_T_1811, _T_1810) @[Bitwise.scala 103:31]
node _T_1813 = bits(_T_1807, 27, 0) @[Bitwise.scala 103:46]
node _T_1814 = shl(_T_1813, 4) @[Bitwise.scala 103:65]
node _T_1815 = not(_T_1810) @[Bitwise.scala 103:77]
node _T_1816 = and(_T_1814, _T_1815) @[Bitwise.scala 103:75]
node _T_1817 = or(_T_1812, _T_1816) @[Bitwise.scala 103:39]
node _T_1818 = bits(_T_1810, 29, 0) @[Bitwise.scala 102:28]
node _T_1819 = shl(_T_1818, 2) @[Bitwise.scala 102:47]
node _T_1820 = xor(_T_1810, _T_1819) @[Bitwise.scala 102:21]
node _T_1821 = shr(_T_1817, 2) @[Bitwise.scala 103:21]
node _T_1822 = and(_T_1821, _T_1820) @[Bitwise.scala 103:31]
node _T_1823 = bits(_T_1817, 29, 0) @[Bitwise.scala 103:46]
node _T_1824 = shl(_T_1823, 2) @[Bitwise.scala 103:65]
node _T_1825 = not(_T_1820) @[Bitwise.scala 103:77]
node _T_1826 = and(_T_1824, _T_1825) @[Bitwise.scala 103:75]
node _T_1827 = or(_T_1822, _T_1826) @[Bitwise.scala 103:39]
node _T_1828 = bits(_T_1820, 30, 0) @[Bitwise.scala 102:28]
node _T_1829 = shl(_T_1828, 1) @[Bitwise.scala 102:47]
node _T_1830 = xor(_T_1820, _T_1829) @[Bitwise.scala 102:21]
node _T_1831 = shr(_T_1827, 1) @[Bitwise.scala 103:21]
node _T_1832 = and(_T_1831, _T_1830) @[Bitwise.scala 103:31]
node _T_1833 = bits(_T_1827, 30, 0) @[Bitwise.scala 103:46]
node _T_1834 = shl(_T_1833, 1) @[Bitwise.scala 103:65]
node _T_1835 = not(_T_1830) @[Bitwise.scala 103:77]
node _T_1836 = and(_T_1834, _T_1835) @[Bitwise.scala 103:75]
node _T_1837 = or(_T_1832, _T_1836) @[Bitwise.scala 103:39]
io.store_datafn_hi_r <= _T_1837 @[lsu_dccm_ctl.scala 264:29]
node _T_1838 = bits(io.store_data_hi_r, 31, 0) @[lsu_dccm_ctl.scala 265:55]
node _T_1839 = bits(io.store_data_lo_r, 31, 0) @[lsu_dccm_ctl.scala 265:80]
node _T_1840 = cat(_T_1838, _T_1839) @[Cat.scala 29:58]
node _T_1841 = bits(io.lsu_addr_r, 1, 0) @[lsu_dccm_ctl.scala 265:108]
node _T_1842 = mul(UInt<4>("h08"), _T_1841) @[lsu_dccm_ctl.scala 265:94]
node _T_1843 = dshr(_T_1840, _T_1842) @[lsu_dccm_ctl.scala 265:88]
node _T_1844 = bits(store_byteen_r, 0, 0) @[lsu_dccm_ctl.scala 265:174]
node _T_1845 = bits(_T_1844, 0, 0) @[Bitwise.scala 72:15]
node _T_1846 = mux(_T_1845, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1847 = bits(store_byteen_r, 1, 1) @[lsu_dccm_ctl.scala 265:174]
node _T_1848 = bits(_T_1847, 0, 0) @[Bitwise.scala 72:15]
node _T_1849 = mux(_T_1848, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1850 = bits(store_byteen_r, 2, 2) @[lsu_dccm_ctl.scala 265:174]
node _T_1851 = bits(_T_1850, 0, 0) @[Bitwise.scala 72:15]
node _T_1852 = mux(_T_1851, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1853 = bits(store_byteen_r, 3, 3) @[lsu_dccm_ctl.scala 265:174]
node _T_1854 = bits(_T_1853, 0, 0) @[Bitwise.scala 72:15]
node _T_1855 = mux(_T_1854, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
wire _T_1856 : UInt<8>[4] @[lsu_dccm_ctl.scala 265:148]
_T_1856[0] <= _T_1846 @[lsu_dccm_ctl.scala 265:148]
_T_1856[1] <= _T_1849 @[lsu_dccm_ctl.scala 265:148]
_T_1856[2] <= _T_1852 @[lsu_dccm_ctl.scala 265:148]
_T_1856[3] <= _T_1855 @[lsu_dccm_ctl.scala 265:148]
node _T_1857 = cat(_T_1856[2], _T_1856[3]) @[Cat.scala 29:58]
node _T_1858 = cat(_T_1856[0], _T_1856[1]) @[Cat.scala 29:58]
node _T_1859 = cat(_T_1858, _T_1857) @[Cat.scala 29:58]
node _T_1860 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47]
node _T_1861 = xor(UInt<32>("h0ffffffff"), _T_1860) @[Bitwise.scala 102:21]
node _T_1862 = shr(_T_1859, 16) @[Bitwise.scala 103:21]
node _T_1863 = and(_T_1862, _T_1861) @[Bitwise.scala 103:31]
node _T_1864 = bits(_T_1859, 15, 0) @[Bitwise.scala 103:46]
node _T_1865 = shl(_T_1864, 16) @[Bitwise.scala 103:65]
node _T_1866 = not(_T_1861) @[Bitwise.scala 103:77]
node _T_1867 = and(_T_1865, _T_1866) @[Bitwise.scala 103:75]
node _T_1868 = or(_T_1863, _T_1867) @[Bitwise.scala 103:39]
node _T_1869 = bits(_T_1861, 23, 0) @[Bitwise.scala 102:28]
node _T_1870 = shl(_T_1869, 8) @[Bitwise.scala 102:47]
node _T_1871 = xor(_T_1861, _T_1870) @[Bitwise.scala 102:21]
node _T_1872 = shr(_T_1868, 8) @[Bitwise.scala 103:21]
node _T_1873 = and(_T_1872, _T_1871) @[Bitwise.scala 103:31]
node _T_1874 = bits(_T_1868, 23, 0) @[Bitwise.scala 103:46]
node _T_1875 = shl(_T_1874, 8) @[Bitwise.scala 103:65]
node _T_1876 = not(_T_1871) @[Bitwise.scala 103:77]
node _T_1877 = and(_T_1875, _T_1876) @[Bitwise.scala 103:75]
node _T_1878 = or(_T_1873, _T_1877) @[Bitwise.scala 103:39]
node _T_1879 = bits(_T_1871, 27, 0) @[Bitwise.scala 102:28]
node _T_1880 = shl(_T_1879, 4) @[Bitwise.scala 102:47]
node _T_1881 = xor(_T_1871, _T_1880) @[Bitwise.scala 102:21]
node _T_1882 = shr(_T_1878, 4) @[Bitwise.scala 103:21]
node _T_1883 = and(_T_1882, _T_1881) @[Bitwise.scala 103:31]
node _T_1884 = bits(_T_1878, 27, 0) @[Bitwise.scala 103:46]
node _T_1885 = shl(_T_1884, 4) @[Bitwise.scala 103:65]
node _T_1886 = not(_T_1881) @[Bitwise.scala 103:77]
node _T_1887 = and(_T_1885, _T_1886) @[Bitwise.scala 103:75]
node _T_1888 = or(_T_1883, _T_1887) @[Bitwise.scala 103:39]
node _T_1889 = bits(_T_1881, 29, 0) @[Bitwise.scala 102:28]
node _T_1890 = shl(_T_1889, 2) @[Bitwise.scala 102:47]
node _T_1891 = xor(_T_1881, _T_1890) @[Bitwise.scala 102:21]
node _T_1892 = shr(_T_1888, 2) @[Bitwise.scala 103:21]
node _T_1893 = and(_T_1892, _T_1891) @[Bitwise.scala 103:31]
node _T_1894 = bits(_T_1888, 29, 0) @[Bitwise.scala 103:46]
node _T_1895 = shl(_T_1894, 2) @[Bitwise.scala 103:65]
node _T_1896 = not(_T_1891) @[Bitwise.scala 103:77]
node _T_1897 = and(_T_1895, _T_1896) @[Bitwise.scala 103:75]
node _T_1898 = or(_T_1893, _T_1897) @[Bitwise.scala 103:39]
node _T_1899 = bits(_T_1891, 30, 0) @[Bitwise.scala 102:28]
node _T_1900 = shl(_T_1899, 1) @[Bitwise.scala 102:47]
node _T_1901 = xor(_T_1891, _T_1900) @[Bitwise.scala 102:21]
node _T_1902 = shr(_T_1898, 1) @[Bitwise.scala 103:21]
node _T_1903 = and(_T_1902, _T_1901) @[Bitwise.scala 103:31]
node _T_1904 = bits(_T_1898, 30, 0) @[Bitwise.scala 103:46]
node _T_1905 = shl(_T_1904, 1) @[Bitwise.scala 103:65]
node _T_1906 = not(_T_1901) @[Bitwise.scala 103:77]
node _T_1907 = and(_T_1905, _T_1906) @[Bitwise.scala 103:75]
node _T_1908 = or(_T_1903, _T_1907) @[Bitwise.scala 103:39]
node _T_1909 = and(_T_1843, _T_1908) @[lsu_dccm_ctl.scala 265:115]
io.store_data_r <= _T_1909 @[lsu_dccm_ctl.scala 265:29]
node _T_1910 = bits(io.dccm.rd_data_lo, 31, 0) @[lsu_dccm_ctl.scala 267:48]
io.dccm_rdata_lo_m <= _T_1910 @[lsu_dccm_ctl.scala 267:27]
node _T_1911 = bits(io.dccm.rd_data_hi, 31, 0) @[lsu_dccm_ctl.scala 268:48]
io.dccm_rdata_hi_m <= _T_1911 @[lsu_dccm_ctl.scala 268:27]
node _T_1912 = bits(io.dccm.rd_data_lo, 38, 32) @[lsu_dccm_ctl.scala 269:48]
io.dccm_data_ecc_lo_m <= _T_1912 @[lsu_dccm_ctl.scala 269:27]
node _T_1913 = bits(io.dccm.rd_data_hi, 38, 32) @[lsu_dccm_ctl.scala 270:48]
io.dccm_data_ecc_hi_m <= _T_1913 @[lsu_dccm_ctl.scala 270:27]
node _T_1914 = and(io.lsu_pkt_r.valid, io.lsu_pkt_r.bits.store) @[lsu_dccm_ctl.scala 272:58]
node _T_1915 = and(_T_1914, io.addr_in_pic_r) @[lsu_dccm_ctl.scala 272:84]
node _T_1916 = and(_T_1915, io.lsu_commit_r) @[lsu_dccm_ctl.scala 272:103]
node _T_1917 = or(_T_1916, io.dma_pic_wen) @[lsu_dccm_ctl.scala 272:122]
io.lsu_pic.picm_wren <= _T_1917 @[lsu_dccm_ctl.scala 272:35]
node _T_1918 = and(io.lsu_pkt_d.valid, io.lsu_pkt_d.bits.load) @[lsu_dccm_ctl.scala 273:58]
node _T_1919 = and(_T_1918, io.addr_in_pic_d) @[lsu_dccm_ctl.scala 273:84]
io.lsu_pic.picm_rden <= _T_1919 @[lsu_dccm_ctl.scala 273:35]
node _T_1920 = and(io.lsu_pkt_d.valid, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 274:58]
node _T_1921 = and(_T_1920, io.addr_in_pic_d) @[lsu_dccm_ctl.scala 274:84]
io.lsu_pic.picm_mken <= _T_1921 @[lsu_dccm_ctl.scala 274:35]
node _T_1922 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12]
node _T_1923 = bits(io.lsu_addr_d, 14, 0) @[lsu_dccm_ctl.scala 275:103]
node _T_1924 = cat(_T_1922, _T_1923) @[Cat.scala 29:58]
node _T_1925 = or(UInt<32>("h0f00c0000"), _T_1924) @[lsu_dccm_ctl.scala 275:62]
io.lsu_pic.picm_rdaddr <= _T_1925 @[lsu_dccm_ctl.scala 275:35]
node _T_1926 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12]
node _T_1927 = bits(io.dma_pic_wen, 0, 0) @[lsu_dccm_ctl.scala 276:109]
node _T_1928 = bits(io.dma_dccm_ctl.dma_mem_addr, 14, 0) @[lsu_dccm_ctl.scala 276:144]
node _T_1929 = bits(io.lsu_addr_r, 14, 0) @[lsu_dccm_ctl.scala 276:172]
node _T_1930 = mux(_T_1927, _T_1928, _T_1929) @[lsu_dccm_ctl.scala 276:93]
node _T_1931 = cat(_T_1926, _T_1930) @[Cat.scala 29:58]
node _T_1932 = or(UInt<32>("h0f00c0000"), _T_1931) @[lsu_dccm_ctl.scala 276:62]
io.lsu_pic.picm_wraddr <= _T_1932 @[lsu_dccm_ctl.scala 276:35]
node _T_1933 = bits(picm_rd_data_m, 31, 0) @[lsu_dccm_ctl.scala 277:44]
io.picm_mask_data_m <= _T_1933 @[lsu_dccm_ctl.scala 277:27]
node _T_1934 = bits(io.dma_pic_wen, 0, 0) @[lsu_dccm_ctl.scala 278:57]
node _T_1935 = bits(io.dma_dccm_ctl.dma_mem_wdata, 31, 0) @[lsu_dccm_ctl.scala 278:93]
node _T_1936 = bits(io.store_datafn_lo_r, 31, 0) @[lsu_dccm_ctl.scala 278:120]
node _T_1937 = mux(_T_1934, _T_1935, _T_1936) @[lsu_dccm_ctl.scala 278:41]
io.lsu_pic.picm_wr_data <= _T_1937 @[lsu_dccm_ctl.scala 278:35]
reg _T_1938 : UInt, io.lsu_c2_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 280:61]
_T_1938 <= lsu_dccm_rden_d @[lsu_dccm_ctl.scala 280:61]
io.lsu_dccm_rden_m <= _T_1938 @[lsu_dccm_ctl.scala 280:24]
reg _T_1939 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 281:61]
_T_1939 <= io.lsu_dccm_rden_m @[lsu_dccm_ctl.scala 281:61]
io.lsu_dccm_rden_r <= _T_1939 @[lsu_dccm_ctl.scala 281:24]
reg _T_1940 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 282:73]
_T_1940 <= io.lsu_double_ecc_error_r @[lsu_dccm_ctl.scala 282:73]
lsu_double_ecc_error_r_ff <= _T_1940 @[lsu_dccm_ctl.scala 282:33]
reg _T_1941 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 283:73]
_T_1941 <= ld_single_ecc_error_hi_r_ns @[lsu_dccm_ctl.scala 283:73]
ld_single_ecc_error_hi_r_ff <= _T_1941 @[lsu_dccm_ctl.scala 283:33]
reg _T_1942 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 284:73]
_T_1942 <= ld_single_ecc_error_lo_r_ns @[lsu_dccm_ctl.scala 284:73]
ld_single_ecc_error_lo_r_ff <= _T_1942 @[lsu_dccm_ctl.scala 284:33]
node _T_1943 = bits(io.end_addr_r, 15, 0) @[lsu_dccm_ctl.scala 285:48]
node _T_1944 = or(io.ld_single_ecc_error_r, io.clk_override) @[lsu_dccm_ctl.scala 285:90]
node _T_1945 = bits(_T_1944, 0, 0) @[lib.scala 8:44]
node _T_1946 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 285:128]
inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 368:23]
rvclkhdr_2.clock <= clock
rvclkhdr_2.reset <= reset
rvclkhdr_2.io.clk <= clock @[lib.scala 370:18]
rvclkhdr_2.io.en <= _T_1945 @[lib.scala 371:17]
rvclkhdr_2.io.scan_mode <= _T_1946 @[lib.scala 372:24]
reg _T_1947 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16]
_T_1947 <= _T_1943 @[lib.scala 374:16]
ld_sec_addr_hi_r_ff <= _T_1947 @[lsu_dccm_ctl.scala 285:25]
node _T_1948 = bits(io.lsu_addr_r, 15, 0) @[lsu_dccm_ctl.scala 286:48]
node _T_1949 = or(io.ld_single_ecc_error_r, io.clk_override) @[lsu_dccm_ctl.scala 286:90]
node _T_1950 = bits(_T_1949, 0, 0) @[lib.scala 8:44]
node _T_1951 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 286:128]
inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 368:23]
rvclkhdr_3.clock <= clock
rvclkhdr_3.reset <= reset
rvclkhdr_3.io.clk <= clock @[lib.scala 370:18]
rvclkhdr_3.io.en <= _T_1950 @[lib.scala 371:17]
rvclkhdr_3.io.scan_mode <= _T_1951 @[lib.scala 372:24]
reg _T_1952 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16]
_T_1952 <= _T_1948 @[lib.scala 374:16]
ld_sec_addr_lo_r_ff <= _T_1952 @[lsu_dccm_ctl.scala 286:25]