quasar/src/main/scala/ifu/el2_ifu_bp_ctl.scala

19 lines
392 B
Scala
Raw Normal View History

2020-09-07 16:25:23 +08:00
package ifu
2020-09-07 16:27:29 +08:00
import lib._
import chisel3._
import chisel3.util._
2020-09-07 16:25:23 +08:00
2020-09-07 16:27:29 +08:00
class el2_ifu_bp_ctl extends Module with el2_lib {
val io = IO (new Bundle {
val in = Input(UInt(32.W))
2020-09-08 13:00:45 +08:00
val in2 = Input(UInt(32.W))
2020-09-07 16:27:29 +08:00
val out = Output(UInt())
})
2020-09-08 13:00:45 +08:00
io.out := el2_btb_ghr_hash(io.in,io.in2)
2020-09-07 16:27:29 +08:00
}
object ifu extends App {
println((new chisel3.stage.ChiselStage).emitVerilog(new el2_ifu_bp_ctl()))
2020-09-07 16:25:23 +08:00
}