quasar/verif/LEC/setup_files/BB_input_pins_2.0.fms

747 lines
98 KiB
Plaintext
Raw Normal View History

2021-03-29 21:34:16 +08:00
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/clk i:/WORK/quasar_wrapper/mem/clk
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_clk_override i:/WORK/quasar_wrapper/mem/dccm_clk_override
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[0]\[BC1] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_BC1_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[0]\[BC2] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_BC2_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[0]\[DS] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_DS_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[0]\[LS] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_LS_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[0]\[RME] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_RME_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[0]\[RM][0] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_RM_0[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[0]\[RM][1] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_RM_0[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[0]\[RM][2] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_RM_0[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[0]\[RM][3] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_RM_0[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[0]\[SD] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_SD_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[0]\[TEST1] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_TEST1_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[0]\[TEST_RNM] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_TEST_RNM_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[1]\[BC1] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_BC1_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[1]\[BC2] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_BC2_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[1]\[DS] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_DS_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[1]\[LS] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_LS_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[1]\[RME] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_RME_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[1]\[RM][0] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_RM_1[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[1]\[RM][1] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_RM_1[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[1]\[RM][2] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_RM_1[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[1]\[RM][3] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_RM_1[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[1]\[SD] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_SD_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[1]\[TEST1] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_TEST1_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[1]\[TEST_RNM] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_TEST_RNM_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[2]\[BC1] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_BC1_2
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[2]\[BC2] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_BC2_2
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[2]\[DS] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_DS_2
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[2]\[LS] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_LS_2
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[2]\[RME] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_RME_2
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[2]\[RM][0] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_RM_2[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[2]\[RM][1] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_RM_2[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[2]\[RM][2] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_RM_2[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[2]\[RM][3] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_RM_2[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[2]\[SD] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_SD_2
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[2]\[TEST1] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_TEST1_2
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[2]\[TEST_RNM] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_TEST_RNM_2
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[3]\[BC1] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_BC1_3
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[3]\[BC2] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_BC2_3
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[3]\[DS] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_DS_3
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[3]\[LS] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_LS_3
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[3]\[RME] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_RME_3
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[3]\[RM][0] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_RM_3[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[3]\[RM][1] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_RM_3[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[3]\[RM][2] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_RM_3[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[3]\[RM][3] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_RM_3[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[3]\[SD] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_SD_3
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[3]\[TEST1] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_TEST1_3
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_ext_in_pkt[3]\[TEST_RNM] i:/WORK/quasar_wrapper/mem/dccm_ext_in_pkt_TEST_RNM_3
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_hi[0] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_hi[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_hi[10] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_hi[10]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_hi[11] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_hi[11]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_hi[12] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_hi[12]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_hi[13] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_hi[13]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_hi[14] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_hi[14]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_hi[15] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_hi[15]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_hi[1] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_hi[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_hi[2] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_hi[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_hi[3] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_hi[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_hi[4] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_hi[4]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_hi[5] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_hi[5]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_hi[6] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_hi[6]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_hi[7] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_hi[7]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_hi[8] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_hi[8]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_hi[9] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_hi[9]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_lo[0] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_lo[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_lo[10] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_lo[10]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_lo[11] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_lo[11]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_lo[12] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_lo[12]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_lo[13] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_lo[13]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_lo[14] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_lo[14]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_lo[15] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_lo[15]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_lo[1] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_lo[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_lo[2] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_lo[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_lo[3] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_lo[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_lo[4] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_lo[4]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_lo[5] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_lo[5]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_lo[6] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_lo[6]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_lo[7] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_lo[7]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_lo[8] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_lo[8]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_addr_lo[9] i:/WORK/quasar_wrapper/mem/dccm_rd_addr_lo[9]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rden i:/WORK/quasar_wrapper/mem/dccm_rden
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_hi[0] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_hi[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_hi[10] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_hi[10]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_hi[11] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_hi[11]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_hi[12] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_hi[12]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_hi[13] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_hi[13]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_hi[14] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_hi[14]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_hi[15] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_hi[15]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_hi[1] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_hi[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_hi[2] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_hi[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_hi[3] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_hi[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_hi[4] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_hi[4]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_hi[5] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_hi[5]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_hi[6] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_hi[6]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_hi[7] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_hi[7]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_hi[8] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_hi[8]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_hi[9] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_hi[9]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_lo[0] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_lo[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_lo[10] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_lo[10]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_lo[11] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_lo[11]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_lo[12] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_lo[12]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_lo[13] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_lo[13]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_lo[14] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_lo[14]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_lo[15] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_lo[15]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_lo[1] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_lo[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_lo[2] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_lo[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_lo[3] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_lo[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_lo[4] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_lo[4]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_lo[5] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_lo[5]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_lo[6] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_lo[6]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_lo[7] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_lo[7]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_lo[8] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_lo[8]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_addr_lo[9] i:/WORK/quasar_wrapper/mem/dccm_wr_addr_lo[9]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[0] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[10] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[10]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[11] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[11]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[12] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[12]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[13] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[13]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[14] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[14]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[15] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[15]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[16] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[16]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[17] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[17]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[18] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[18]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[19] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[19]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[1] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[20] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[20]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[21] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[21]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[22] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[22]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[23] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[23]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[24] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[24]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[25] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[25]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[26] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[26]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[27] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[27]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[28] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[28]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[29] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[29]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[2] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[30] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[30]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[31] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[31]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[32] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[32]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[33] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[33]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[34] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[34]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[35] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[35]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[36] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[36]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[37] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[37]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[38] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[38]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[3] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[4] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[4]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[5] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[5]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[6] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[6]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[7] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[7]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[8] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[8]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_hi[9] i:/WORK/quasar_wrapper/mem/dccm_wr_data_hi[9]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[0] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[10] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[10]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[11] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[11]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[12] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[12]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[13] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[13]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[14] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[14]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[15] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[15]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[16] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[16]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[17] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[17]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[18] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[18]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[19] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[19]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[1] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[20] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[20]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[21] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[21]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[22] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[22]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[23] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[23]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[24] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[24]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[25] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[25]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[26] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[26]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[27] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[27]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[28] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[28]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[29] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[29]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[2] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[30] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[30]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[31] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[31]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[32] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[32]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[33] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[33]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[34] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[34]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[35] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[35]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[36] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[36]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[37] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[37]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[38] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[38]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[3] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[4] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[4]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[5] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[5]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[6] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[6]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[7] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[7]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[8] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[8]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wr_data_lo[9] i:/WORK/quasar_wrapper/mem/dccm_wr_data_lo[9]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_wren i:/WORK/quasar_wrapper/mem/dccm_wren
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dec_tlu_core_ecc_disable i:/WORK/quasar_wrapper/mem/dec_tlu_core_ecc_disable
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[0][0]\[BC1] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_0_BC1_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[0][0]\[BC2] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_0_BC2_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[0][0]\[DS] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_0_DS_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[0][0]\[LS] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_0_LS_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[0][0]\[RME] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_0_RME_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[0][0]\[RM][0] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_0_RM_0[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[0][0]\[RM][1] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_0_RM_0[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[0][0]\[RM][2] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_0_RM_0[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[0][0]\[RM][3] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_0_RM_0[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[0][0]\[SD] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_0_SD_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[0][0]\[TEST1] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_0_TEST1_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[0][0]\[TEST_RNM] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_0_TEST_RNM_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[0][1]\[BC1] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_0_BC1_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[0][1]\[BC2] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_0_BC2_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[0][1]\[DS] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_0_DS_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[0][1]\[LS] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_0_LS_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[0][1]\[RME] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_0_RME_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[0][1]\[RM][0] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_0_RM_1[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[0][1]\[RM][1] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_0_RM_1[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[0][1]\[RM][2] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_0_RM_1[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[0][1]\[RM][3] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_0_RM_1[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[0][1]\[SD] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_0_SD_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[0][1]\[TEST1] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_0_TEST1_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[0][1]\[TEST_RNM] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_0_TEST_RNM_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[1][0]\[BC1] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_1_BC1_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[1][0]\[BC2] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_1_BC2_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[1][0]\[DS] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_1_DS_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[1][0]\[LS] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_1_LS_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[1][0]\[RME] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_1_RME_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[1][0]\[RM][0] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_1_RM_0[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[1][0]\[RM][1] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_1_RM_0[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[1][0]\[RM][2] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_1_RM_0[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[1][0]\[RM][3] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_1_RM_0[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[1][0]\[SD] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_1_SD_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[1][0]\[TEST1] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_1_TEST1_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[1][0]\[TEST_RNM] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_1_TEST_RNM_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[1][1]\[BC1] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_1_BC1_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[1][1]\[BC2] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_1_BC2_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[1][1]\[DS] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_1_DS_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[1][1]\[LS] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_1_LS_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[1][1]\[RME] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_1_RME_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[1][1]\[RM][0] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_1_RM_1[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[1][1]\[RM][1] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_1_RM_1[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[1][1]\[RM][2] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_1_RM_1[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[1][1]\[RM][3] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_1_RM_1[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[1][1]\[SD] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_1_SD_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[1][1]\[TEST1] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_1_TEST1_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_data_ext_in_pkt[1][1]\[TEST_RNM] i:/WORK/quasar_wrapper/mem/ic_data_ext_in_pkt_1_TEST_RNM_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_addr[10] i:/WORK/quasar_wrapper/mem/ic_debug_addr[10]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_addr[11] i:/WORK/quasar_wrapper/mem/ic_debug_addr[11]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_addr[12] i:/WORK/quasar_wrapper/mem/ic_debug_addr[12]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_addr[3] i:/WORK/quasar_wrapper/mem/ic_debug_addr[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_addr[4] i:/WORK/quasar_wrapper/mem/ic_debug_addr[4]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_addr[5] i:/WORK/quasar_wrapper/mem/ic_debug_addr[5]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_addr[6] i:/WORK/quasar_wrapper/mem/ic_debug_addr[6]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_addr[7] i:/WORK/quasar_wrapper/mem/ic_debug_addr[7]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_addr[8] i:/WORK/quasar_wrapper/mem/ic_debug_addr[8]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_addr[9] i:/WORK/quasar_wrapper/mem/ic_debug_addr[9]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_en i:/WORK/quasar_wrapper/mem/ic_debug_rd_en
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_tag_array i:/WORK/quasar_wrapper/mem/ic_debug_tag_array
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_way[0] i:/WORK/quasar_wrapper/mem/ic_debug_way[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_way[1] i:/WORK/quasar_wrapper/mem/ic_debug_way[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[0] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[10] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[10]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[11] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[11]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[12] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[12]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[13] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[13]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[14] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[14]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[15] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[15]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[16] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[16]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[17] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[17]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[18] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[18]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[19] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[19]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[1] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[20] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[20]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[21] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[21]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[22] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[22]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[23] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[23]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[24] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[24]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[25] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[25]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[26] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[26]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[27] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[27]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[28] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[28]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[29] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[29]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[2] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[30] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[30]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[31] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[31]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[32] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[32]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[33] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[33]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[34] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[34]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[35] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[35]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[36] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[36]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[37] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[37]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[38] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[38]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[39] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[39]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[3] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[40] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[40]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[41] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[41]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[42] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[42]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[43] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[43]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[44] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[44]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[45] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[45]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[46] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[46]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[47] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[47]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[48] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[48]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[49] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[49]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[4] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[4]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[50] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[50]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[51] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[51]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[52] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[52]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[53] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[53]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[54] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[54]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[55] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[55]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[56] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[56]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[57] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[57]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[58] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[58]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[59] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[59]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[5] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[5]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[60] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[60]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[61] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[61]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[62] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[62]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[63] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[63]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[64] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[64]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[65] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[65]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[66] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[66]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[67] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[67]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[68] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[68]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[69] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[69]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[6] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[6]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[70] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[70]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[7] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[7]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[8] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[8]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_data[9] i:/WORK/quasar_wrapper/mem/ic_debug_wr_data[9]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_wr_en i:/WORK/quasar_wrapper/mem/ic_debug_wr_en
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[0] i:/WORK/quasar_wrapper/mem/ic_premux_data[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[10] i:/WORK/quasar_wrapper/mem/ic_premux_data[10]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[11] i:/WORK/quasar_wrapper/mem/ic_premux_data[11]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[12] i:/WORK/quasar_wrapper/mem/ic_premux_data[12]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[13] i:/WORK/quasar_wrapper/mem/ic_premux_data[13]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[14] i:/WORK/quasar_wrapper/mem/ic_premux_data[14]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[15] i:/WORK/quasar_wrapper/mem/ic_premux_data[15]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[16] i:/WORK/quasar_wrapper/mem/ic_premux_data[16]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[17] i:/WORK/quasar_wrapper/mem/ic_premux_data[17]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[18] i:/WORK/quasar_wrapper/mem/ic_premux_data[18]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[19] i:/WORK/quasar_wrapper/mem/ic_premux_data[19]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[1] i:/WORK/quasar_wrapper/mem/ic_premux_data[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[20] i:/WORK/quasar_wrapper/mem/ic_premux_data[20]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[21] i:/WORK/quasar_wrapper/mem/ic_premux_data[21]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[22] i:/WORK/quasar_wrapper/mem/ic_premux_data[22]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[23] i:/WORK/quasar_wrapper/mem/ic_premux_data[23]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[24] i:/WORK/quasar_wrapper/mem/ic_premux_data[24]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[25] i:/WORK/quasar_wrapper/mem/ic_premux_data[25]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[26] i:/WORK/quasar_wrapper/mem/ic_premux_data[26]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[27] i:/WORK/quasar_wrapper/mem/ic_premux_data[27]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[28] i:/WORK/quasar_wrapper/mem/ic_premux_data[28]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[29] i:/WORK/quasar_wrapper/mem/ic_premux_data[29]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[2] i:/WORK/quasar_wrapper/mem/ic_premux_data[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[30] i:/WORK/quasar_wrapper/mem/ic_premux_data[30]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[31] i:/WORK/quasar_wrapper/mem/ic_premux_data[31]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[32] i:/WORK/quasar_wrapper/mem/ic_premux_data[32]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[33] i:/WORK/quasar_wrapper/mem/ic_premux_data[33]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[34] i:/WORK/quasar_wrapper/mem/ic_premux_data[34]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[35] i:/WORK/quasar_wrapper/mem/ic_premux_data[35]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[36] i:/WORK/quasar_wrapper/mem/ic_premux_data[36]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[37] i:/WORK/quasar_wrapper/mem/ic_premux_data[37]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[38] i:/WORK/quasar_wrapper/mem/ic_premux_data[38]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[39] i:/WORK/quasar_wrapper/mem/ic_premux_data[39]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[3] i:/WORK/quasar_wrapper/mem/ic_premux_data[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[40] i:/WORK/quasar_wrapper/mem/ic_premux_data[40]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[41] i:/WORK/quasar_wrapper/mem/ic_premux_data[41]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[42] i:/WORK/quasar_wrapper/mem/ic_premux_data[42]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[43] i:/WORK/quasar_wrapper/mem/ic_premux_data[43]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[44] i:/WORK/quasar_wrapper/mem/ic_premux_data[44]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[45] i:/WORK/quasar_wrapper/mem/ic_premux_data[45]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[46] i:/WORK/quasar_wrapper/mem/ic_premux_data[46]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[47] i:/WORK/quasar_wrapper/mem/ic_premux_data[47]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[48] i:/WORK/quasar_wrapper/mem/ic_premux_data[48]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[49] i:/WORK/quasar_wrapper/mem/ic_premux_data[49]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[4] i:/WORK/quasar_wrapper/mem/ic_premux_data[4]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[50] i:/WORK/quasar_wrapper/mem/ic_premux_data[50]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[51] i:/WORK/quasar_wrapper/mem/ic_premux_data[51]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[52] i:/WORK/quasar_wrapper/mem/ic_premux_data[52]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[53] i:/WORK/quasar_wrapper/mem/ic_premux_data[53]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[54] i:/WORK/quasar_wrapper/mem/ic_premux_data[54]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[55] i:/WORK/quasar_wrapper/mem/ic_premux_data[55]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[56] i:/WORK/quasar_wrapper/mem/ic_premux_data[56]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[57] i:/WORK/quasar_wrapper/mem/ic_premux_data[57]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[58] i:/WORK/quasar_wrapper/mem/ic_premux_data[58]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[59] i:/WORK/quasar_wrapper/mem/ic_premux_data[59]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[5] i:/WORK/quasar_wrapper/mem/ic_premux_data[5]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[60] i:/WORK/quasar_wrapper/mem/ic_premux_data[60]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[61] i:/WORK/quasar_wrapper/mem/ic_premux_data[61]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[62] i:/WORK/quasar_wrapper/mem/ic_premux_data[62]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[63] i:/WORK/quasar_wrapper/mem/ic_premux_data[63]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[6] i:/WORK/quasar_wrapper/mem/ic_premux_data[6]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[7] i:/WORK/quasar_wrapper/mem/ic_premux_data[7]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[8] i:/WORK/quasar_wrapper/mem/ic_premux_data[8]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_premux_data[9] i:/WORK/quasar_wrapper/mem/ic_premux_data[9]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_en i:/WORK/quasar_wrapper/mem/ic_rd_en
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[10] i:/WORK/quasar_wrapper/mem/ic_rw_addr[10]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[11] i:/WORK/quasar_wrapper/mem/ic_rw_addr[11]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[12] i:/WORK/quasar_wrapper/mem/ic_rw_addr[12]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[13] i:/WORK/quasar_wrapper/mem/ic_rw_addr[13]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[14] i:/WORK/quasar_wrapper/mem/ic_rw_addr[14]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[15] i:/WORK/quasar_wrapper/mem/ic_rw_addr[15]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[16] i:/WORK/quasar_wrapper/mem/ic_rw_addr[16]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[17] i:/WORK/quasar_wrapper/mem/ic_rw_addr[17]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[18] i:/WORK/quasar_wrapper/mem/ic_rw_addr[18]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[19] i:/WORK/quasar_wrapper/mem/ic_rw_addr[19]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[1] i:/WORK/quasar_wrapper/mem/ic_rw_addr[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[20] i:/WORK/quasar_wrapper/mem/ic_rw_addr[20]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[21] i:/WORK/quasar_wrapper/mem/ic_rw_addr[21]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[22] i:/WORK/quasar_wrapper/mem/ic_rw_addr[22]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[23] i:/WORK/quasar_wrapper/mem/ic_rw_addr[23]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[24] i:/WORK/quasar_wrapper/mem/ic_rw_addr[24]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[25] i:/WORK/quasar_wrapper/mem/ic_rw_addr[25]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[26] i:/WORK/quasar_wrapper/mem/ic_rw_addr[26]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[27] i:/WORK/quasar_wrapper/mem/ic_rw_addr[27]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[28] i:/WORK/quasar_wrapper/mem/ic_rw_addr[28]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[29] i:/WORK/quasar_wrapper/mem/ic_rw_addr[29]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[2] i:/WORK/quasar_wrapper/mem/ic_rw_addr[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[30] i:/WORK/quasar_wrapper/mem/ic_rw_addr[30]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[31] i:/WORK/quasar_wrapper/mem/ic_rw_addr[31]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[3] i:/WORK/quasar_wrapper/mem/ic_rw_addr[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[4] i:/WORK/quasar_wrapper/mem/ic_rw_addr[4]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[5] i:/WORK/quasar_wrapper/mem/ic_rw_addr[5]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[6] i:/WORK/quasar_wrapper/mem/ic_rw_addr[6]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[7] i:/WORK/quasar_wrapper/mem/ic_rw_addr[7]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[8] i:/WORK/quasar_wrapper/mem/ic_rw_addr[8]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rw_addr[9] i:/WORK/quasar_wrapper/mem/ic_rw_addr[9]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_sel_premux_data i:/WORK/quasar_wrapper/mem/ic_sel_premux_data
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_ext_in_pkt[0]\[BC1] i:/WORK/quasar_wrapper/mem/ic_tag_ext_in_pkt_BC1_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_ext_in_pkt[0]\[BC2] i:/WORK/quasar_wrapper/mem/ic_tag_ext_in_pkt_BC2_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_ext_in_pkt[0]\[DS] i:/WORK/quasar_wrapper/mem/ic_tag_ext_in_pkt_DS_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_ext_in_pkt[0]\[LS] i:/WORK/quasar_wrapper/mem/ic_tag_ext_in_pkt_LS_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_ext_in_pkt[0]\[RME] i:/WORK/quasar_wrapper/mem/ic_tag_ext_in_pkt_RME_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_ext_in_pkt[0]\[RM][0] i:/WORK/quasar_wrapper/mem/ic_tag_ext_in_pkt_RM_0[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_ext_in_pkt[0]\[RM][1] i:/WORK/quasar_wrapper/mem/ic_tag_ext_in_pkt_RM_1[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_ext_in_pkt[0]\[RM][2] i:/WORK/quasar_wrapper/mem/ic_tag_ext_in_pkt_RM_0[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_ext_in_pkt[0]\[RM][3] i:/WORK/quasar_wrapper/mem/ic_tag_ext_in_pkt_RM_0[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_ext_in_pkt[0]\[SD] i:/WORK/quasar_wrapper/mem/ic_tag_ext_in_pkt_SD_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_ext_in_pkt[0]\[TEST1] i:/WORK/quasar_wrapper/mem/ic_tag_ext_in_pkt_TEST1_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_ext_in_pkt[0]\[TEST_RNM] i:/WORK/quasar_wrapper/mem/ic_tag_ext_in_pkt_TEST_RNM_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_ext_in_pkt[1]\[BC1] i:/WORK/quasar_wrapper/mem/ic_tag_ext_in_pkt_BC1_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_ext_in_pkt[1]\[BC2] i:/WORK/quasar_wrapper/mem/ic_tag_ext_in_pkt_BC2_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_ext_in_pkt[1]\[DS] i:/WORK/quasar_wrapper/mem/ic_tag_ext_in_pkt_DS_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_ext_in_pkt[1]\[LS] i:/WORK/quasar_wrapper/mem/ic_tag_ext_in_pkt_LS_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_ext_in_pkt[1]\[RME] i:/WORK/quasar_wrapper/mem/ic_tag_ext_in_pkt_RME_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_ext_in_pkt[1]\[RM][0] i:/WORK/quasar_wrapper/mem/ic_tag_ext_in_pkt_RM_0[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_ext_in_pkt[1]\[RM][1] i:/WORK/quasar_wrapper/mem/ic_tag_ext_in_pkt_RM_1[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_ext_in_pkt[1]\[RM][2] i:/WORK/quasar_wrapper/mem/ic_tag_ext_in_pkt_RM_1[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_ext_in_pkt[1]\[RM][3] i:/WORK/quasar_wrapper/mem/ic_tag_ext_in_pkt_RM_1[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_ext_in_pkt[1]\[SD] i:/WORK/quasar_wrapper/mem/ic_tag_ext_in_pkt_SD_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_ext_in_pkt[1]\[TEST1] i:/WORK/quasar_wrapper/mem/ic_tag_ext_in_pkt_TEST1_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_ext_in_pkt[1]\[TEST_RNM] i:/WORK/quasar_wrapper/mem/ic_tag_ext_in_pkt_TEST_RNM_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_valid[0] i:/WORK/quasar_wrapper/mem/ic_tag_valid[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_valid[1] i:/WORK/quasar_wrapper/mem/ic_tag_valid[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][0] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][10] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[10]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][11] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[11]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][12] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[12]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][13] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[13]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][14] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[14]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][15] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[15]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][16] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[16]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][17] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[17]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][18] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[18]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][19] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[19]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][1] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][20] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[20]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][21] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[21]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][22] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[22]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][23] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[23]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][24] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[24]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][25] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[25]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][26] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[26]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][27] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[27]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][28] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[28]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][29] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[29]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][2] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][30] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[30]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][31] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[31]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][32] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[32]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][33] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[33]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][34] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[34]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][35] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[35]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][36] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[36]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][37] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[37]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][38] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[38]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][39] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[39]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][3] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][40] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[40]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][41] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[41]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][42] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[42]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][43] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[43]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][44] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[44]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][45] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[45]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][46] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[46]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][47] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[47]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][48] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[48]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][49] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[49]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][4] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[4]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][50] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[50]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][51] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[51]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][52] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[52]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][53] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[53]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][54] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[54]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][55] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[55]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][56] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[56]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][57] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[57]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][58] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[58]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][59] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[59]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][5] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[5]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][60] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[60]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][61] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[61]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][62] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[62]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][63] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[63]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][64] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[64]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][65] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[65]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][66] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[66]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][67] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[67]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][68] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[68]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][69] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[69]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][6] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[6]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][70] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[70]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][7] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[7]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][8] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[8]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[0][9] i:/WORK/quasar_wrapper/mem/ic_wr_data_0[9]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][0] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][10] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[10]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][11] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[11]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][12] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[12]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][13] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[13]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][14] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[14]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][15] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[15]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][16] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[16]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][17] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[17]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][18] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[18]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][19] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[19]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][1] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][20] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[20]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][21] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[21]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][22] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[22]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][23] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[23]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][24] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[24]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][25] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[25]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][26] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[26]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][27] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[27]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][28] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[28]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][29] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[29]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][2] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][30] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[30]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][31] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[31]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][32] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[32]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][33] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[33]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][34] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[34]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][35] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[35]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][36] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[36]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][37] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[37]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][38] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[38]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][39] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[39]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][3] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][40] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[40]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][41] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[41]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][42] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[42]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][43] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[43]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][44] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[44]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][45] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[45]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][46] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[46]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][47] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[47]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][48] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[48]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][49] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[49]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][4] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[4]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][50] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[50]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][51] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[51]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][52] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[52]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][53] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[53]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][54] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[54]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][55] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[55]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][56] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[56]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][57] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[57]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][58] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[58]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][59] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[59]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][5] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[5]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][60] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[60]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][61] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[61]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][62] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[62]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][63] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[63]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][64] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[64]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][65] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[65]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][66] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[66]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][67] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[67]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][68] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[68]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][69] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[69]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][6] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[6]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][70] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[70]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][7] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[7]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][8] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[8]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_data[1][9] i:/WORK/quasar_wrapper/mem/ic_wr_data_1[9]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_en[0] i:/WORK/quasar_wrapper/mem/ic_wr_en[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_wr_en[1] i:/WORK/quasar_wrapper/mem/ic_wr_en[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_buf_correct_ecc i:/WORK/quasar_wrapper/mem/iccm_buf_correct_ecc
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_correction_state i:/WORK/quasar_wrapper/mem/iccm_correction_state
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[0]\[BC1] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_BC1_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[0]\[BC2] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_BC1_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[0]\[DS] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_BC1_2
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[0]\[LS] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_BC1_3
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[0]\[RME] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_BC2_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[0]\[RM][0] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_RM_0[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[0]\[RM][1] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_RM_1[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[0]\[RM][2] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_RM_2[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[0]\[RM][3] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_RM_3[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[0]\[SD] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_BC2_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[0]\[TEST1] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_BC2_2
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[0]\[TEST_RNM] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_BC2_3
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[1]\[BC1] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_DS_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[1]\[BC2] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_DS_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[1]\[DS] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_DS_2
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[1]\[LS] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_DS_3
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[1]\[RME] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_LS_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[1]\[RM][0] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_RM_0[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[1]\[RM][1] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_RM_1[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[1]\[RM][2] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_RM_2[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[1]\[RM][3] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_RM_3[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[1]\[SD] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_LS_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[1]\[TEST1] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_LS_2
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[1]\[TEST_RNM] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_LS_3
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[2]\[BC1] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_RME_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[2]\[BC2] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_RME_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[2]\[DS] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_RME_2
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[2]\[LS] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_RME_3
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[2]\[RME] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_RM_0[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[2]\[RM][0] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_RM_0[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[2]\[RM][1] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_RM_1[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[2]\[RM][2] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_RM_1[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[2]\[RM][3] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_RM_2[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[2]\[SD] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_RM_2[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[2]\[TEST1] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_RM_3[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[2]\[TEST_RNM] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_RM_3[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[3]\[BC1] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_SD_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[3]\[BC2] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_SD_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[3]\[DS] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_SD_2
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[3]\[LS] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_SD_3
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[3]\[RME] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_TEST1_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[3]\[RM][0] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_TEST1_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[3]\[RM][1] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_TEST1_2
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[3]\[RM][2] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_TEST1_3
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[3]\[RM][3] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_TEST_RNM_0
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[3]\[SD] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_TEST_RNM_1
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[3]\[TEST1] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_TEST_RNM_2
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_ext_in_pkt[3]\[TEST_RNM] i:/WORK/quasar_wrapper/mem/iccm_ext_in_pkt_TEST_RNM_3
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rden i:/WORK/quasar_wrapper/mem/iccm_rden
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rw_addr[10] i:/WORK/quasar_wrapper/mem/iccm_rw_addr[10]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rw_addr[11] i:/WORK/quasar_wrapper/mem/iccm_rw_addr[11]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rw_addr[12] i:/WORK/quasar_wrapper/mem/iccm_rw_addr[12]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rw_addr[13] i:/WORK/quasar_wrapper/mem/iccm_rw_addr[13]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rw_addr[14] i:/WORK/quasar_wrapper/mem/iccm_rw_addr[14]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rw_addr[15] i:/WORK/quasar_wrapper/mem/iccm_rw_addr[15]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rw_addr[1] i:/WORK/quasar_wrapper/mem/iccm_rw_addr[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rw_addr[2] i:/WORK/quasar_wrapper/mem/iccm_rw_addr[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rw_addr[3] i:/WORK/quasar_wrapper/mem/iccm_rw_addr[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rw_addr[4] i:/WORK/quasar_wrapper/mem/iccm_rw_addr[4]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rw_addr[5] i:/WORK/quasar_wrapper/mem/iccm_rw_addr[5]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rw_addr[6] i:/WORK/quasar_wrapper/mem/iccm_rw_addr[6]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rw_addr[7] i:/WORK/quasar_wrapper/mem/iccm_rw_addr[7]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rw_addr[8] i:/WORK/quasar_wrapper/mem/iccm_rw_addr[8]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rw_addr[9] i:/WORK/quasar_wrapper/mem/iccm_rw_addr[9]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[0] i:/WORK/quasar_wrapper/mem/iccm_wr_data[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[10] i:/WORK/quasar_wrapper/mem/iccm_wr_data[10]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[11] i:/WORK/quasar_wrapper/mem/iccm_wr_data[11]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[12] i:/WORK/quasar_wrapper/mem/iccm_wr_data[12]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[13] i:/WORK/quasar_wrapper/mem/iccm_wr_data[13]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[14] i:/WORK/quasar_wrapper/mem/iccm_wr_data[14]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[15] i:/WORK/quasar_wrapper/mem/iccm_wr_data[15]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[16] i:/WORK/quasar_wrapper/mem/iccm_wr_data[16]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[17] i:/WORK/quasar_wrapper/mem/iccm_wr_data[17]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[18] i:/WORK/quasar_wrapper/mem/iccm_wr_data[18]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[19] i:/WORK/quasar_wrapper/mem/iccm_wr_data[19]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[1] i:/WORK/quasar_wrapper/mem/iccm_wr_data[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[20] i:/WORK/quasar_wrapper/mem/iccm_wr_data[20]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[21] i:/WORK/quasar_wrapper/mem/iccm_wr_data[21]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[22] i:/WORK/quasar_wrapper/mem/iccm_wr_data[22]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[23] i:/WORK/quasar_wrapper/mem/iccm_wr_data[23]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[24] i:/WORK/quasar_wrapper/mem/iccm_wr_data[24]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[25] i:/WORK/quasar_wrapper/mem/iccm_wr_data[25]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[26] i:/WORK/quasar_wrapper/mem/iccm_wr_data[26]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[27] i:/WORK/quasar_wrapper/mem/iccm_wr_data[27]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[28] i:/WORK/quasar_wrapper/mem/iccm_wr_data[28]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[29] i:/WORK/quasar_wrapper/mem/iccm_wr_data[29]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[2] i:/WORK/quasar_wrapper/mem/iccm_wr_data[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[30] i:/WORK/quasar_wrapper/mem/iccm_wr_data[30]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[31] i:/WORK/quasar_wrapper/mem/iccm_wr_data[31]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[32] i:/WORK/quasar_wrapper/mem/iccm_wr_data[32]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[33] i:/WORK/quasar_wrapper/mem/iccm_wr_data[33]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[34] i:/WORK/quasar_wrapper/mem/iccm_wr_data[34]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[35] i:/WORK/quasar_wrapper/mem/iccm_wr_data[35]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[36] i:/WORK/quasar_wrapper/mem/iccm_wr_data[36]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[37] i:/WORK/quasar_wrapper/mem/iccm_wr_data[37]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[38] i:/WORK/quasar_wrapper/mem/iccm_wr_data[38]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[39] i:/WORK/quasar_wrapper/mem/iccm_wr_data[39]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[3] i:/WORK/quasar_wrapper/mem/iccm_wr_data[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[40] i:/WORK/quasar_wrapper/mem/iccm_wr_data[40]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[41] i:/WORK/quasar_wrapper/mem/iccm_wr_data[41]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[42] i:/WORK/quasar_wrapper/mem/iccm_wr_data[42]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[43] i:/WORK/quasar_wrapper/mem/iccm_wr_data[43]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[44] i:/WORK/quasar_wrapper/mem/iccm_wr_data[44]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[45] i:/WORK/quasar_wrapper/mem/iccm_wr_data[45]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[46] i:/WORK/quasar_wrapper/mem/iccm_wr_data[46]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[47] i:/WORK/quasar_wrapper/mem/iccm_wr_data[47]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[48] i:/WORK/quasar_wrapper/mem/iccm_wr_data[48]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[49] i:/WORK/quasar_wrapper/mem/iccm_wr_data[49]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[4] i:/WORK/quasar_wrapper/mem/iccm_wr_data[4]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[50] i:/WORK/quasar_wrapper/mem/iccm_wr_data[50]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[51] i:/WORK/quasar_wrapper/mem/iccm_wr_data[51]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[52] i:/WORK/quasar_wrapper/mem/iccm_wr_data[52]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[53] i:/WORK/quasar_wrapper/mem/iccm_wr_data[53]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[54] i:/WORK/quasar_wrapper/mem/iccm_wr_data[54]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[55] i:/WORK/quasar_wrapper/mem/iccm_wr_data[55]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[56] i:/WORK/quasar_wrapper/mem/iccm_wr_data[56]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[57] i:/WORK/quasar_wrapper/mem/iccm_wr_data[57]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[58] i:/WORK/quasar_wrapper/mem/iccm_wr_data[58]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[59] i:/WORK/quasar_wrapper/mem/iccm_wr_data[59]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[5] i:/WORK/quasar_wrapper/mem/iccm_wr_data[5]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[60] i:/WORK/quasar_wrapper/mem/iccm_wr_data[60]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[61] i:/WORK/quasar_wrapper/mem/iccm_wr_data[61]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[62] i:/WORK/quasar_wrapper/mem/iccm_wr_data[62]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[63] i:/WORK/quasar_wrapper/mem/iccm_wr_data[63]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[64] i:/WORK/quasar_wrapper/mem/iccm_wr_data[64]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[65] i:/WORK/quasar_wrapper/mem/iccm_wr_data[65]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[66] i:/WORK/quasar_wrapper/mem/iccm_wr_data[66]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[67] i:/WORK/quasar_wrapper/mem/iccm_wr_data[67]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[68] i:/WORK/quasar_wrapper/mem/iccm_wr_data[68]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[69] i:/WORK/quasar_wrapper/mem/iccm_wr_data[69]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[6] i:/WORK/quasar_wrapper/mem/iccm_wr_data[6]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[70] i:/WORK/quasar_wrapper/mem/iccm_wr_data[70]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[71] i:/WORK/quasar_wrapper/mem/iccm_wr_data[71]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[72] i:/WORK/quasar_wrapper/mem/iccm_wr_data[72]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[73] i:/WORK/quasar_wrapper/mem/iccm_wr_data[73]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[74] i:/WORK/quasar_wrapper/mem/iccm_wr_data[74]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[75] i:/WORK/quasar_wrapper/mem/iccm_wr_data[75]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[76] i:/WORK/quasar_wrapper/mem/iccm_wr_data[76]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[77] i:/WORK/quasar_wrapper/mem/iccm_wr_data[77]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[7] i:/WORK/quasar_wrapper/mem/iccm_wr_data[7]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[8] i:/WORK/quasar_wrapper/mem/iccm_wr_data[8]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_data[9] i:/WORK/quasar_wrapper/mem/iccm_wr_data[9]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_size[0] i:/WORK/quasar_wrapper/mem/iccm_wr_size[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_size[1] i:/WORK/quasar_wrapper/mem/iccm_wr_size[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wr_size[2] i:/WORK/quasar_wrapper/mem/iccm_wr_size[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_wren i:/WORK/quasar_wrapper/mem/iccm_wren
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/icm_clk_override i:/WORK/quasar_wrapper/mem/icm_clk_override
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/rst_l i:/WORK/quasar_wrapper/mem/rst_l
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/scan_mode i:/WORK/quasar_wrapper/mem/scan_mode