quasar/verif/LEC/setup_files/BB_output_pins_2.0.fms

388 lines
50 KiB
Plaintext
Raw Normal View History

2021-03-29 21:34:16 +08:00
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[0] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[10] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[10]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[11] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[11]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[12] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[12]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[13] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[13]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[14] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[14]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[15] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[15]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[16] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[16]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[17] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[17]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[18] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[18]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[19] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[19]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[1] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[20] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[20]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[21] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[21]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[22] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[22]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[23] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[23]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[24] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[24]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[25] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[25]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[26] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[26]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[27] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[27]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[28] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[28]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[29] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[29]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[2] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[30] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[30]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[31] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[31]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[32] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[32]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[33] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[33]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[34] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[34]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[35] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[35]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[36] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[36]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[37] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[37]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[38] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[38]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[3] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[4] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[4]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[5] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[5]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[6] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[6]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[7] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[7]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[8] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[8]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_hi[9] i:/WORK/quasar_wrapper/mem/dccm_rd_data_hi[9]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[0] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[10] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[10]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[11] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[11]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[12] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[12]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[13] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[13]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[14] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[14]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[15] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[15]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[16] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[16]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[17] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[17]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[18] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[18]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[19] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[19]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[1] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[20] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[20]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[21] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[21]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[22] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[22]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[23] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[23]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[24] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[24]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[25] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[25]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[26] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[26]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[27] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[27]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[28] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[28]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[29] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[29]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[2] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[30] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[30]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[31] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[31]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[32] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[32]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[33] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[33]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[34] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[34]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[35] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[35]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[36] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[36]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[37] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[37]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[38] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[38]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[3] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[4] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[4]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[5] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[5]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[6] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[6]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[7] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[7]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[8] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[8]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/dccm_rd_data_lo[9] i:/WORK/quasar_wrapper/mem/dccm_rd_data_lo[9]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[0] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[10] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[10]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[11] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[11]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[12] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[12]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[13] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[13]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[14] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[14]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[15] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[15]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[16] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[16]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[17] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[17]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[18] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[18]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[19] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[19]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[1] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[20] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[20]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[21] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[21]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[22] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[22]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[23] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[23]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[24] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[24]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[25] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[25]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[26] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[26]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[27] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[27]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[28] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[28]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[29] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[29]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[2] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[30] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[30]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[31] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[31]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[32] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[32]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[33] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[33]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[34] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[34]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[35] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[35]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[36] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[36]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[37] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[37]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[38] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[38]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[39] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[39]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[3] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[40] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[40]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[41] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[41]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[42] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[42]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[43] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[43]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[44] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[44]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[45] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[45]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[46] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[46]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[47] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[47]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[48] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[48]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[49] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[49]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[4] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[4]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[50] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[50]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[51] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[51]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[52] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[52]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[53] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[53]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[54] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[54]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[55] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[55]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[56] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[56]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[57] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[57]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[58] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[58]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[59] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[59]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[5] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[5]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[60] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[60]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[61] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[61]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[62] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[62]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[63] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[63]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[64] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[64]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[65] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[65]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[66] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[66]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[67] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[67]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[68] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[68]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[69] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[69]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[6] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[6]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[70] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[70]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[7] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[7]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[8] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[8]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_debug_rd_data[9] i:/WORK/quasar_wrapper/mem/ic_debug_rd_data[9]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_eccerr[0] i:/WORK/quasar_wrapper/mem/ic_eccerr[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_eccerr[1] i:/WORK/quasar_wrapper/mem/ic_eccerr[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_parerr[0] i:/WORK/quasar_wrapper/mem/ic_parerr[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_parerr[1] i:/WORK/quasar_wrapper/mem/ic_parerr[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[0] i:/WORK/quasar_wrapper/mem/ic_rd_data[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[10] i:/WORK/quasar_wrapper/mem/ic_rd_data[10]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[11] i:/WORK/quasar_wrapper/mem/ic_rd_data[11]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[12] i:/WORK/quasar_wrapper/mem/ic_rd_data[12]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[13] i:/WORK/quasar_wrapper/mem/ic_rd_data[13]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[14] i:/WORK/quasar_wrapper/mem/ic_rd_data[14]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[15] i:/WORK/quasar_wrapper/mem/ic_rd_data[15]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[16] i:/WORK/quasar_wrapper/mem/ic_rd_data[16]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[17] i:/WORK/quasar_wrapper/mem/ic_rd_data[17]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[18] i:/WORK/quasar_wrapper/mem/ic_rd_data[18]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[19] i:/WORK/quasar_wrapper/mem/ic_rd_data[19]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[1] i:/WORK/quasar_wrapper/mem/ic_rd_data[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[20] i:/WORK/quasar_wrapper/mem/ic_rd_data[20]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[21] i:/WORK/quasar_wrapper/mem/ic_rd_data[21]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[22] i:/WORK/quasar_wrapper/mem/ic_rd_data[22]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[23] i:/WORK/quasar_wrapper/mem/ic_rd_data[23]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[24] i:/WORK/quasar_wrapper/mem/ic_rd_data[24]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[25] i:/WORK/quasar_wrapper/mem/ic_rd_data[25]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[26] i:/WORK/quasar_wrapper/mem/ic_rd_data[26]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[27] i:/WORK/quasar_wrapper/mem/ic_rd_data[27]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[28] i:/WORK/quasar_wrapper/mem/ic_rd_data[28]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[29] i:/WORK/quasar_wrapper/mem/ic_rd_data[29]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[2] i:/WORK/quasar_wrapper/mem/ic_rd_data[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[30] i:/WORK/quasar_wrapper/mem/ic_rd_data[30]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[31] i:/WORK/quasar_wrapper/mem/ic_rd_data[31]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[32] i:/WORK/quasar_wrapper/mem/ic_rd_data[32]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[33] i:/WORK/quasar_wrapper/mem/ic_rd_data[33]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[34] i:/WORK/quasar_wrapper/mem/ic_rd_data[34]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[35] i:/WORK/quasar_wrapper/mem/ic_rd_data[35]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[36] i:/WORK/quasar_wrapper/mem/ic_rd_data[36]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[37] i:/WORK/quasar_wrapper/mem/ic_rd_data[37]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[38] i:/WORK/quasar_wrapper/mem/ic_rd_data[38]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[39] i:/WORK/quasar_wrapper/mem/ic_rd_data[39]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[3] i:/WORK/quasar_wrapper/mem/ic_rd_data[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[40] i:/WORK/quasar_wrapper/mem/ic_rd_data[40]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[41] i:/WORK/quasar_wrapper/mem/ic_rd_data[41]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[42] i:/WORK/quasar_wrapper/mem/ic_rd_data[42]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[43] i:/WORK/quasar_wrapper/mem/ic_rd_data[43]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[44] i:/WORK/quasar_wrapper/mem/ic_rd_data[44]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[45] i:/WORK/quasar_wrapper/mem/ic_rd_data[45]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[46] i:/WORK/quasar_wrapper/mem/ic_rd_data[46]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[47] i:/WORK/quasar_wrapper/mem/ic_rd_data[47]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[48] i:/WORK/quasar_wrapper/mem/ic_rd_data[48]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[49] i:/WORK/quasar_wrapper/mem/ic_rd_data[49]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[4] i:/WORK/quasar_wrapper/mem/ic_rd_data[4]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[50] i:/WORK/quasar_wrapper/mem/ic_rd_data[50]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[51] i:/WORK/quasar_wrapper/mem/ic_rd_data[51]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[52] i:/WORK/quasar_wrapper/mem/ic_rd_data[52]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[53] i:/WORK/quasar_wrapper/mem/ic_rd_data[53]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[54] i:/WORK/quasar_wrapper/mem/ic_rd_data[54]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[55] i:/WORK/quasar_wrapper/mem/ic_rd_data[55]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[56] i:/WORK/quasar_wrapper/mem/ic_rd_data[56]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[57] i:/WORK/quasar_wrapper/mem/ic_rd_data[57]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[58] i:/WORK/quasar_wrapper/mem/ic_rd_data[58]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[59] i:/WORK/quasar_wrapper/mem/ic_rd_data[59]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[5] i:/WORK/quasar_wrapper/mem/ic_rd_data[5]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[60] i:/WORK/quasar_wrapper/mem/ic_rd_data[60]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[61] i:/WORK/quasar_wrapper/mem/ic_rd_data[61]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[62] i:/WORK/quasar_wrapper/mem/ic_rd_data[62]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[63] i:/WORK/quasar_wrapper/mem/ic_rd_data[63]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[6] i:/WORK/quasar_wrapper/mem/ic_rd_data[6]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[7] i:/WORK/quasar_wrapper/mem/ic_rd_data[7]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[8] i:/WORK/quasar_wrapper/mem/ic_rd_data[8]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_data[9] i:/WORK/quasar_wrapper/mem/ic_rd_data[9]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_hit[0] i:/WORK/quasar_wrapper/mem/ic_rd_hit[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_rd_hit[1] i:/WORK/quasar_wrapper/mem/ic_rd_hit[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ic_tag_perr i:/WORK/quasar_wrapper/mem/ic_tag_perr
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[0] i:/WORK/quasar_wrapper/mem/iccm_rd_data[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[10] i:/WORK/quasar_wrapper/mem/iccm_rd_data[10]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[11] i:/WORK/quasar_wrapper/mem/iccm_rd_data[11]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[12] i:/WORK/quasar_wrapper/mem/iccm_rd_data[12]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[13] i:/WORK/quasar_wrapper/mem/iccm_rd_data[13]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[14] i:/WORK/quasar_wrapper/mem/iccm_rd_data[14]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[15] i:/WORK/quasar_wrapper/mem/iccm_rd_data[15]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[16] i:/WORK/quasar_wrapper/mem/iccm_rd_data[16]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[17] i:/WORK/quasar_wrapper/mem/iccm_rd_data[17]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[18] i:/WORK/quasar_wrapper/mem/iccm_rd_data[18]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[19] i:/WORK/quasar_wrapper/mem/iccm_rd_data[19]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[1] i:/WORK/quasar_wrapper/mem/iccm_rd_data[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[20] i:/WORK/quasar_wrapper/mem/iccm_rd_data[20]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[21] i:/WORK/quasar_wrapper/mem/iccm_rd_data[21]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[22] i:/WORK/quasar_wrapper/mem/iccm_rd_data[22]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[23] i:/WORK/quasar_wrapper/mem/iccm_rd_data[23]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[24] i:/WORK/quasar_wrapper/mem/iccm_rd_data[24]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[25] i:/WORK/quasar_wrapper/mem/iccm_rd_data[25]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[26] i:/WORK/quasar_wrapper/mem/iccm_rd_data[26]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[27] i:/WORK/quasar_wrapper/mem/iccm_rd_data[27]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[28] i:/WORK/quasar_wrapper/mem/iccm_rd_data[28]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[29] i:/WORK/quasar_wrapper/mem/iccm_rd_data[29]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[2] i:/WORK/quasar_wrapper/mem/iccm_rd_data[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[30] i:/WORK/quasar_wrapper/mem/iccm_rd_data[30]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[31] i:/WORK/quasar_wrapper/mem/iccm_rd_data[31]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[32] i:/WORK/quasar_wrapper/mem/iccm_rd_data[32]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[33] i:/WORK/quasar_wrapper/mem/iccm_rd_data[33]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[34] i:/WORK/quasar_wrapper/mem/iccm_rd_data[34]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[35] i:/WORK/quasar_wrapper/mem/iccm_rd_data[35]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[36] i:/WORK/quasar_wrapper/mem/iccm_rd_data[36]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[37] i:/WORK/quasar_wrapper/mem/iccm_rd_data[37]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[38] i:/WORK/quasar_wrapper/mem/iccm_rd_data[38]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[39] i:/WORK/quasar_wrapper/mem/iccm_rd_data[39]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[3] i:/WORK/quasar_wrapper/mem/iccm_rd_data[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[40] i:/WORK/quasar_wrapper/mem/iccm_rd_data[40]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[41] i:/WORK/quasar_wrapper/mem/iccm_rd_data[41]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[42] i:/WORK/quasar_wrapper/mem/iccm_rd_data[42]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[43] i:/WORK/quasar_wrapper/mem/iccm_rd_data[43]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[44] i:/WORK/quasar_wrapper/mem/iccm_rd_data[44]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[45] i:/WORK/quasar_wrapper/mem/iccm_rd_data[45]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[46] i:/WORK/quasar_wrapper/mem/iccm_rd_data[46]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[47] i:/WORK/quasar_wrapper/mem/iccm_rd_data[47]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[48] i:/WORK/quasar_wrapper/mem/iccm_rd_data[48]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[49] i:/WORK/quasar_wrapper/mem/iccm_rd_data[49]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[4] i:/WORK/quasar_wrapper/mem/iccm_rd_data[4]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[50] i:/WORK/quasar_wrapper/mem/iccm_rd_data[50]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[51] i:/WORK/quasar_wrapper/mem/iccm_rd_data[51]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[52] i:/WORK/quasar_wrapper/mem/iccm_rd_data[52]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[53] i:/WORK/quasar_wrapper/mem/iccm_rd_data[53]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[54] i:/WORK/quasar_wrapper/mem/iccm_rd_data[54]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[55] i:/WORK/quasar_wrapper/mem/iccm_rd_data[55]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[56] i:/WORK/quasar_wrapper/mem/iccm_rd_data[56]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[57] i:/WORK/quasar_wrapper/mem/iccm_rd_data[57]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[58] i:/WORK/quasar_wrapper/mem/iccm_rd_data[58]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[59] i:/WORK/quasar_wrapper/mem/iccm_rd_data[59]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[5] i:/WORK/quasar_wrapper/mem/iccm_rd_data[5]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[60] i:/WORK/quasar_wrapper/mem/iccm_rd_data[60]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[61] i:/WORK/quasar_wrapper/mem/iccm_rd_data[61]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[62] i:/WORK/quasar_wrapper/mem/iccm_rd_data[62]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[63] i:/WORK/quasar_wrapper/mem/iccm_rd_data[63]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[6] i:/WORK/quasar_wrapper/mem/iccm_rd_data[6]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[7] i:/WORK/quasar_wrapper/mem/iccm_rd_data[7]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[8] i:/WORK/quasar_wrapper/mem/iccm_rd_data[8]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data[9] i:/WORK/quasar_wrapper/mem/iccm_rd_data[9]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[0] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[10] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[10]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[11] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[11]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[12] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[12]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[13] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[13]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[14] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[14]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[15] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[15]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[16] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[16]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[17] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[17]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[18] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[18]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[19] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[19]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[1] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[20] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[20]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[21] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[21]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[22] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[22]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[23] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[23]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[24] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[24]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[25] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[25]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[26] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[26]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[27] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[27]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[28] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[28]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[29] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[29]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[2] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[30] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[30]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[31] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[31]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[32] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[32]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[33] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[33]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[34] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[34]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[35] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[35]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[36] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[36]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[37] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[37]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[38] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[38]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[39] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[39]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[3] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[40] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[40]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[41] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[41]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[42] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[42]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[43] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[43]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[44] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[44]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[45] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[45]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[46] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[46]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[47] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[47]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[48] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[48]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[49] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[49]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[4] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[4]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[50] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[50]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[51] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[51]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[52] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[52]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[53] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[53]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[54] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[54]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[55] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[55]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[56] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[56]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[57] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[57]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[58] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[58]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[59] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[59]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[5] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[5]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[60] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[60]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[61] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[61]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[62] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[62]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[63] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[63]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[64] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[64]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[65] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[65]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[66] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[66]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[67] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[67]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[68] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[68]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[69] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[69]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[6] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[6]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[70] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[70]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[71] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[71]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[72] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[72]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[73] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[73]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[74] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[74]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[75] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[75]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[76] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[76]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[77] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[77]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[7] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[7]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[8] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[8]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/iccm_rd_data_ecc[9] i:/WORK/quasar_wrapper/mem/iccm_rd_data_ecc[9]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[0] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[0]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[10] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[10]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[11] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[11]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[12] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[12]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[13] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[13]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[14] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[14]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[15] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[15]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[16] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[16]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[17] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[17]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[18] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[18]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[19] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[19]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[1] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[1]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[20] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[20]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[21] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[21]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[22] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[22]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[23] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[23]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[24] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[24]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[25] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[25]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[2] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[2]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[3] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[3]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[4] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[4]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[5] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[5]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[6] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[6]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[7] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[7]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[8] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[8]
set_user_match -type pin -noninverted r:/WORK/el2_swerv_wrapper/mem/ictag_debug_rd_data[9] i:/WORK/quasar_wrapper/mem/ic_tag_debug_rd_data[9]