quasar/lsu.fir

15818 lines
1.0 MiB
Plaintext
Raw Normal View History

2020-12-10 19:02:23 +08:00
;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10
circuit lsu :
module lsu_addrcheck :
input clock : Clock
input reset : AsyncReset
output io : {flip lsu_c2_m_clk : Clock, flip start_addr_d : UInt<32>, flip end_addr_d : UInt<32>, flip lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip dec_tlu_mrac_ff : UInt<32>, flip rs1_region_d : UInt<4>, flip rs1_d : UInt<32>, is_sideeffects_m : UInt<1>, addr_in_dccm_d : UInt<1>, addr_in_pic_d : UInt<1>, addr_external_d : UInt<1>, access_fault_d : UInt<1>, misaligned_fault_d : UInt<1>, exc_mscause_d : UInt<4>, fir_dccm_access_error_d : UInt<1>, fir_nondccm_access_error_d : UInt<1>, flip scan_mode : UInt<1>}
node _T = bits(io.start_addr_d, 31, 28) @[lib.scala 340:27]
node start_addr_in_dccm_region_d = eq(_T, UInt<4>("h0f")) @[lib.scala 340:49]
wire start_addr_in_dccm_d : UInt<1> @[lib.scala 341:26]
node _T_1 = bits(io.start_addr_d, 31, 16) @[lib.scala 345:24]
node _T_2 = eq(_T_1, UInt<16>("h0f004")) @[lib.scala 345:39]
start_addr_in_dccm_d <= _T_2 @[lib.scala 345:16]
node _T_3 = bits(io.end_addr_d, 31, 28) @[lib.scala 340:27]
node end_addr_in_dccm_region_d = eq(_T_3, UInt<4>("h0f")) @[lib.scala 340:49]
wire end_addr_in_dccm_d : UInt<1> @[lib.scala 341:26]
node _T_4 = bits(io.end_addr_d, 31, 16) @[lib.scala 345:24]
node _T_5 = eq(_T_4, UInt<16>("h0f004")) @[lib.scala 345:39]
end_addr_in_dccm_d <= _T_5 @[lib.scala 345:16]
wire addr_in_iccm : UInt<1>
addr_in_iccm <= UInt<1>("h00")
node _T_6 = bits(io.start_addr_d, 31, 28) @[lsu_addrcheck.scala 42:37]
node _T_7 = eq(_T_6, UInt<4>("h0e")) @[lsu_addrcheck.scala 42:45]
addr_in_iccm <= _T_7 @[lsu_addrcheck.scala 42:18]
node _T_8 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 50:89]
node _T_9 = bits(_T_8, 31, 28) @[lib.scala 340:27]
node start_addr_in_pic_region_d = eq(_T_9, UInt<4>("h0f")) @[lib.scala 340:49]
wire start_addr_in_pic_d : UInt<1> @[lib.scala 341:26]
node _T_10 = bits(_T_8, 31, 15) @[lib.scala 345:24]
node _T_11 = eq(_T_10, UInt<17>("h01e018")) @[lib.scala 345:39]
start_addr_in_pic_d <= _T_11 @[lib.scala 345:16]
node _T_12 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 52:83]
node _T_13 = bits(_T_12, 31, 28) @[lib.scala 340:27]
node end_addr_in_pic_region_d = eq(_T_13, UInt<4>("h0f")) @[lib.scala 340:49]
wire end_addr_in_pic_d : UInt<1> @[lib.scala 341:26]
node _T_14 = bits(_T_12, 31, 15) @[lib.scala 345:24]
node _T_15 = eq(_T_14, UInt<17>("h01e018")) @[lib.scala 345:39]
end_addr_in_pic_d <= _T_15 @[lib.scala 345:16]
node start_addr_dccm_or_pic = or(start_addr_in_dccm_region_d, start_addr_in_pic_region_d) @[lsu_addrcheck.scala 54:60]
node _T_16 = bits(io.rs1_region_d, 3, 0) @[lsu_addrcheck.scala 55:48]
node _T_17 = eq(_T_16, UInt<4>("h0f")) @[lsu_addrcheck.scala 55:54]
node _T_18 = bits(io.rs1_region_d, 3, 0) @[lsu_addrcheck.scala 55:91]
node _T_19 = eq(_T_18, UInt<4>("h0f")) @[lsu_addrcheck.scala 55:97]
node base_reg_dccm_or_pic = or(_T_17, _T_19) @[lsu_addrcheck.scala 55:73]
node _T_20 = and(start_addr_in_dccm_d, end_addr_in_dccm_d) @[lsu_addrcheck.scala 56:57]
io.addr_in_dccm_d <= _T_20 @[lsu_addrcheck.scala 56:32]
node _T_21 = and(start_addr_in_pic_d, end_addr_in_pic_d) @[lsu_addrcheck.scala 57:56]
io.addr_in_pic_d <= _T_21 @[lsu_addrcheck.scala 57:32]
node _T_22 = or(start_addr_in_dccm_region_d, start_addr_in_pic_region_d) @[lsu_addrcheck.scala 59:63]
node _T_23 = not(_T_22) @[lsu_addrcheck.scala 59:33]
io.addr_external_d <= _T_23 @[lsu_addrcheck.scala 59:30]
node _T_24 = bits(io.start_addr_d, 31, 28) @[lsu_addrcheck.scala 60:51]
node csr_idx = cat(_T_24, UInt<1>("h01")) @[Cat.scala 29:58]
node _T_25 = dshr(io.dec_tlu_mrac_ff, csr_idx) @[lsu_addrcheck.scala 61:50]
node _T_26 = bits(_T_25, 0, 0) @[lsu_addrcheck.scala 61:50]
node _T_27 = or(start_addr_in_dccm_region_d, start_addr_in_pic_region_d) @[lsu_addrcheck.scala 61:92]
node _T_28 = or(_T_27, addr_in_iccm) @[lsu_addrcheck.scala 61:121]
node _T_29 = eq(_T_28, UInt<1>("h00")) @[lsu_addrcheck.scala 61:62]
node _T_30 = and(_T_26, _T_29) @[lsu_addrcheck.scala 61:60]
node _T_31 = and(_T_30, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 61:137]
node _T_32 = or(io.lsu_pkt_d.bits.store, io.lsu_pkt_d.bits.load) @[lsu_addrcheck.scala 61:185]
node is_sideeffects_d = and(_T_31, _T_32) @[lsu_addrcheck.scala 61:158]
node _T_33 = bits(io.start_addr_d, 1, 0) @[lsu_addrcheck.scala 62:74]
node _T_34 = eq(_T_33, UInt<1>("h00")) @[lsu_addrcheck.scala 62:80]
node _T_35 = and(io.lsu_pkt_d.bits.word, _T_34) @[lsu_addrcheck.scala 62:56]
node _T_36 = bits(io.start_addr_d, 0, 0) @[lsu_addrcheck.scala 62:134]
node _T_37 = eq(_T_36, UInt<1>("h00")) @[lsu_addrcheck.scala 62:138]
node _T_38 = and(io.lsu_pkt_d.bits.half, _T_37) @[lsu_addrcheck.scala 62:116]
node _T_39 = or(_T_35, _T_38) @[lsu_addrcheck.scala 62:90]
node is_aligned_d = or(_T_39, io.lsu_pkt_d.bits.by) @[lsu_addrcheck.scala 62:148]
node _T_40 = cat(UInt<1>("h00"), UInt<1>("h00")) @[Cat.scala 29:58]
node _T_41 = cat(UInt<1>("h00"), UInt<1>("h00")) @[Cat.scala 29:58]
node _T_42 = cat(_T_41, _T_40) @[Cat.scala 29:58]
node _T_43 = cat(UInt<1>("h01"), UInt<1>("h01")) @[Cat.scala 29:58]
node _T_44 = cat(UInt<1>("h01"), UInt<1>("h01")) @[Cat.scala 29:58]
node _T_45 = cat(_T_44, _T_43) @[Cat.scala 29:58]
node _T_46 = cat(_T_45, _T_42) @[Cat.scala 29:58]
node _T_47 = orr(_T_46) @[lsu_addrcheck.scala 66:99]
node _T_48 = eq(_T_47, UInt<1>("h00")) @[lsu_addrcheck.scala 65:33]
node _T_49 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 67:49]
node _T_50 = or(_T_49, UInt<31>("h07fffffff")) @[lsu_addrcheck.scala 67:56]
node _T_51 = or(UInt<1>("h00"), UInt<31>("h07fffffff")) @[lsu_addrcheck.scala 67:121]
node _T_52 = eq(_T_50, _T_51) @[lsu_addrcheck.scala 67:88]
node _T_53 = and(UInt<1>("h01"), _T_52) @[lsu_addrcheck.scala 67:30]
node _T_54 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 68:49]
node _T_55 = or(_T_54, UInt<30>("h03fffffff")) @[lsu_addrcheck.scala 68:56]
node _T_56 = or(UInt<32>("h0c0000000"), UInt<30>("h03fffffff")) @[lsu_addrcheck.scala 68:121]
node _T_57 = eq(_T_55, _T_56) @[lsu_addrcheck.scala 68:88]
node _T_58 = and(UInt<1>("h01"), _T_57) @[lsu_addrcheck.scala 68:30]
node _T_59 = or(_T_53, _T_58) @[lsu_addrcheck.scala 67:153]
node _T_60 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 69:49]
node _T_61 = or(_T_60, UInt<29>("h01fffffff")) @[lsu_addrcheck.scala 69:56]
node _T_62 = or(UInt<32>("h0a0000000"), UInt<29>("h01fffffff")) @[lsu_addrcheck.scala 69:121]
node _T_63 = eq(_T_61, _T_62) @[lsu_addrcheck.scala 69:88]
node _T_64 = and(UInt<1>("h01"), _T_63) @[lsu_addrcheck.scala 69:30]
node _T_65 = or(_T_59, _T_64) @[lsu_addrcheck.scala 68:153]
node _T_66 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 70:49]
node _T_67 = or(_T_66, UInt<28>("h0fffffff")) @[lsu_addrcheck.scala 70:56]
node _T_68 = or(UInt<32>("h080000000"), UInt<28>("h0fffffff")) @[lsu_addrcheck.scala 70:121]
node _T_69 = eq(_T_67, _T_68) @[lsu_addrcheck.scala 70:88]
node _T_70 = and(UInt<1>("h01"), _T_69) @[lsu_addrcheck.scala 70:30]
node _T_71 = or(_T_65, _T_70) @[lsu_addrcheck.scala 69:153]
node _T_72 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 71:49]
node _T_73 = or(_T_72, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 71:56]
node _T_74 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 71:121]
node _T_75 = eq(_T_73, _T_74) @[lsu_addrcheck.scala 71:88]
node _T_76 = and(UInt<1>("h00"), _T_75) @[lsu_addrcheck.scala 71:30]
node _T_77 = or(_T_71, _T_76) @[lsu_addrcheck.scala 70:153]
node _T_78 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 72:49]
node _T_79 = or(_T_78, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 72:56]
node _T_80 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 72:121]
node _T_81 = eq(_T_79, _T_80) @[lsu_addrcheck.scala 72:88]
node _T_82 = and(UInt<1>("h00"), _T_81) @[lsu_addrcheck.scala 72:30]
node _T_83 = or(_T_77, _T_82) @[lsu_addrcheck.scala 71:153]
node _T_84 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 73:49]
node _T_85 = or(_T_84, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 73:56]
node _T_86 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 73:121]
node _T_87 = eq(_T_85, _T_86) @[lsu_addrcheck.scala 73:88]
node _T_88 = and(UInt<1>("h00"), _T_87) @[lsu_addrcheck.scala 73:30]
node _T_89 = or(_T_83, _T_88) @[lsu_addrcheck.scala 72:153]
node _T_90 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 74:49]
node _T_91 = or(_T_90, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 74:56]
node _T_92 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 74:121]
node _T_93 = eq(_T_91, _T_92) @[lsu_addrcheck.scala 74:88]
node _T_94 = and(UInt<1>("h00"), _T_93) @[lsu_addrcheck.scala 74:30]
node _T_95 = or(_T_89, _T_94) @[lsu_addrcheck.scala 73:153]
node _T_96 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 76:48]
node _T_97 = or(_T_96, UInt<31>("h07fffffff")) @[lsu_addrcheck.scala 76:57]
node _T_98 = or(UInt<1>("h00"), UInt<31>("h07fffffff")) @[lsu_addrcheck.scala 76:122]
node _T_99 = eq(_T_97, _T_98) @[lsu_addrcheck.scala 76:89]
node _T_100 = and(UInt<1>("h01"), _T_99) @[lsu_addrcheck.scala 76:31]
node _T_101 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 77:49]
node _T_102 = or(_T_101, UInt<30>("h03fffffff")) @[lsu_addrcheck.scala 77:58]
node _T_103 = or(UInt<32>("h0c0000000"), UInt<30>("h03fffffff")) @[lsu_addrcheck.scala 77:123]
node _T_104 = eq(_T_102, _T_103) @[lsu_addrcheck.scala 77:90]
node _T_105 = and(UInt<1>("h01"), _T_104) @[lsu_addrcheck.scala 77:32]
node _T_106 = or(_T_100, _T_105) @[lsu_addrcheck.scala 76:154]
node _T_107 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 78:49]
node _T_108 = or(_T_107, UInt<29>("h01fffffff")) @[lsu_addrcheck.scala 78:58]
node _T_109 = or(UInt<32>("h0a0000000"), UInt<29>("h01fffffff")) @[lsu_addrcheck.scala 78:123]
node _T_110 = eq(_T_108, _T_109) @[lsu_addrcheck.scala 78:90]
node _T_111 = and(UInt<1>("h01"), _T_110) @[lsu_addrcheck.scala 78:32]
node _T_112 = or(_T_106, _T_111) @[lsu_addrcheck.scala 77:155]
node _T_113 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 79:49]
node _T_114 = or(_T_113, UInt<28>("h0fffffff")) @[lsu_addrcheck.scala 79:58]
node _T_115 = or(UInt<32>("h080000000"), UInt<28>("h0fffffff")) @[lsu_addrcheck.scala 79:123]
node _T_116 = eq(_T_114, _T_115) @[lsu_addrcheck.scala 79:90]
node _T_117 = and(UInt<1>("h01"), _T_116) @[lsu_addrcheck.scala 79:32]
node _T_118 = or(_T_112, _T_117) @[lsu_addrcheck.scala 78:155]
node _T_119 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 80:49]
node _T_120 = or(_T_119, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 80:58]
node _T_121 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 80:123]
node _T_122 = eq(_T_120, _T_121) @[lsu_addrcheck.scala 80:90]
node _T_123 = and(UInt<1>("h00"), _T_122) @[lsu_addrcheck.scala 80:32]
node _T_124 = or(_T_118, _T_123) @[lsu_addrcheck.scala 79:155]
node _T_125 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 81:49]
node _T_126 = or(_T_125, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 81:58]
node _T_127 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 81:123]
node _T_128 = eq(_T_126, _T_127) @[lsu_addrcheck.scala 81:90]
node _T_129 = and(UInt<1>("h00"), _T_128) @[lsu_addrcheck.scala 81:32]
node _T_130 = or(_T_124, _T_129) @[lsu_addrcheck.scala 80:155]
node _T_131 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 82:49]
node _T_132 = or(_T_131, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 82:58]
node _T_133 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 82:123]
node _T_134 = eq(_T_132, _T_133) @[lsu_addrcheck.scala 82:90]
node _T_135 = and(UInt<1>("h00"), _T_134) @[lsu_addrcheck.scala 82:32]
node _T_136 = or(_T_130, _T_135) @[lsu_addrcheck.scala 81:155]
node _T_137 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 83:49]
node _T_138 = or(_T_137, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 83:58]
node _T_139 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 83:123]
node _T_140 = eq(_T_138, _T_139) @[lsu_addrcheck.scala 83:90]
node _T_141 = and(UInt<1>("h00"), _T_140) @[lsu_addrcheck.scala 83:32]
node _T_142 = or(_T_136, _T_141) @[lsu_addrcheck.scala 82:155]
node _T_143 = and(_T_95, _T_142) @[lsu_addrcheck.scala 75:7]
node non_dccm_access_ok = or(_T_48, _T_143) @[lsu_addrcheck.scala 66:104]
node regpred_access_fault_d = xor(start_addr_dccm_or_pic, base_reg_dccm_or_pic) @[lsu_addrcheck.scala 85:57]
node _T_144 = bits(io.start_addr_d, 1, 0) @[lsu_addrcheck.scala 86:70]
node _T_145 = neq(_T_144, UInt<2>("h00")) @[lsu_addrcheck.scala 86:76]
node _T_146 = eq(io.lsu_pkt_d.bits.word, UInt<1>("h00")) @[lsu_addrcheck.scala 86:92]
node _T_147 = or(_T_145, _T_146) @[lsu_addrcheck.scala 86:90]
node picm_access_fault_d = and(io.addr_in_pic_d, _T_147) @[lsu_addrcheck.scala 86:51]
wire unmapped_access_fault_d : UInt<1>
unmapped_access_fault_d <= UInt<1>("h01")
wire mpu_access_fault_d : UInt<1>
mpu_access_fault_d <= UInt<1>("h01")
node _T_148 = or(start_addr_in_dccm_d, start_addr_in_pic_d) @[lsu_addrcheck.scala 91:87]
node _T_149 = eq(_T_148, UInt<1>("h00")) @[lsu_addrcheck.scala 91:64]
node _T_150 = and(start_addr_in_dccm_region_d, _T_149) @[lsu_addrcheck.scala 91:62]
node _T_151 = or(end_addr_in_dccm_d, end_addr_in_pic_d) @[lsu_addrcheck.scala 93:57]
node _T_152 = eq(_T_151, UInt<1>("h00")) @[lsu_addrcheck.scala 93:36]
node _T_153 = and(end_addr_in_dccm_region_d, _T_152) @[lsu_addrcheck.scala 93:34]
node _T_154 = or(_T_150, _T_153) @[lsu_addrcheck.scala 91:112]
node _T_155 = and(start_addr_in_dccm_d, end_addr_in_pic_d) @[lsu_addrcheck.scala 95:29]
node _T_156 = or(_T_154, _T_155) @[lsu_addrcheck.scala 93:85]
node _T_157 = and(start_addr_in_pic_d, end_addr_in_dccm_d) @[lsu_addrcheck.scala 97:29]
node _T_158 = or(_T_156, _T_157) @[lsu_addrcheck.scala 95:85]
unmapped_access_fault_d <= _T_158 @[lsu_addrcheck.scala 91:29]
node _T_159 = eq(start_addr_in_dccm_region_d, UInt<1>("h00")) @[lsu_addrcheck.scala 99:33]
node _T_160 = eq(non_dccm_access_ok, UInt<1>("h00")) @[lsu_addrcheck.scala 99:64]
node _T_161 = and(_T_159, _T_160) @[lsu_addrcheck.scala 99:62]
mpu_access_fault_d <= _T_161 @[lsu_addrcheck.scala 99:29]
node _T_162 = or(unmapped_access_fault_d, mpu_access_fault_d) @[lsu_addrcheck.scala 111:49]
node _T_163 = or(_T_162, picm_access_fault_d) @[lsu_addrcheck.scala 111:70]
node _T_164 = or(_T_163, regpred_access_fault_d) @[lsu_addrcheck.scala 111:92]
node _T_165 = and(_T_164, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 111:118]
node _T_166 = eq(io.lsu_pkt_d.bits.dma, UInt<1>("h00")) @[lsu_addrcheck.scala 111:141]
node _T_167 = and(_T_165, _T_166) @[lsu_addrcheck.scala 111:139]
io.access_fault_d <= _T_167 @[lsu_addrcheck.scala 111:21]
node _T_168 = bits(unmapped_access_fault_d, 0, 0) @[lsu_addrcheck.scala 112:60]
node _T_169 = bits(mpu_access_fault_d, 0, 0) @[lsu_addrcheck.scala 112:100]
node _T_170 = bits(regpred_access_fault_d, 0, 0) @[lsu_addrcheck.scala 112:144]
node _T_171 = bits(picm_access_fault_d, 0, 0) @[lsu_addrcheck.scala 112:185]
node _T_172 = mux(_T_171, UInt<4>("h06"), UInt<4>("h00")) @[lsu_addrcheck.scala 112:164]
node _T_173 = mux(_T_170, UInt<4>("h05"), _T_172) @[lsu_addrcheck.scala 112:120]
node _T_174 = mux(_T_169, UInt<4>("h03"), _T_173) @[lsu_addrcheck.scala 112:80]
node access_fault_mscause_d = mux(_T_168, UInt<4>("h02"), _T_174) @[lsu_addrcheck.scala 112:35]
node _T_175 = bits(io.start_addr_d, 31, 28) @[lsu_addrcheck.scala 113:53]
node _T_176 = bits(io.end_addr_d, 31, 28) @[lsu_addrcheck.scala 113:78]
node regcross_misaligned_fault_d = neq(_T_175, _T_176) @[lsu_addrcheck.scala 113:61]
node _T_177 = eq(is_aligned_d, UInt<1>("h00")) @[lsu_addrcheck.scala 114:59]
node sideeffect_misaligned_fault_d = and(is_sideeffects_d, _T_177) @[lsu_addrcheck.scala 114:57]
node _T_178 = and(sideeffect_misaligned_fault_d, io.addr_external_d) @[lsu_addrcheck.scala 115:90]
node _T_179 = or(regcross_misaligned_fault_d, _T_178) @[lsu_addrcheck.scala 115:57]
node _T_180 = and(_T_179, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 115:113]
node _T_181 = eq(io.lsu_pkt_d.bits.dma, UInt<1>("h00")) @[lsu_addrcheck.scala 115:136]
node _T_182 = and(_T_180, _T_181) @[lsu_addrcheck.scala 115:134]
io.misaligned_fault_d <= _T_182 @[lsu_addrcheck.scala 115:25]
node _T_183 = bits(sideeffect_misaligned_fault_d, 0, 0) @[lsu_addrcheck.scala 116:111]
node _T_184 = mux(_T_183, UInt<4>("h01"), UInt<4>("h00")) @[lsu_addrcheck.scala 116:80]
node misaligned_fault_mscause_d = mux(regcross_misaligned_fault_d, UInt<4>("h02"), _T_184) @[lsu_addrcheck.scala 116:39]
node _T_185 = bits(io.misaligned_fault_d, 0, 0) @[lsu_addrcheck.scala 117:50]
node _T_186 = bits(misaligned_fault_mscause_d, 3, 0) @[lsu_addrcheck.scala 117:84]
node _T_187 = bits(access_fault_mscause_d, 3, 0) @[lsu_addrcheck.scala 117:113]
node _T_188 = mux(_T_185, _T_186, _T_187) @[lsu_addrcheck.scala 117:27]
io.exc_mscause_d <= _T_188 @[lsu_addrcheck.scala 117:21]
node _T_189 = eq(start_addr_in_dccm_d, UInt<1>("h00")) @[lsu_addrcheck.scala 118:66]
node _T_190 = and(start_addr_in_dccm_region_d, _T_189) @[lsu_addrcheck.scala 118:64]
node _T_191 = eq(end_addr_in_dccm_d, UInt<1>("h00")) @[lsu_addrcheck.scala 118:120]
node _T_192 = and(end_addr_in_dccm_region_d, _T_191) @[lsu_addrcheck.scala 118:118]
node _T_193 = or(_T_190, _T_192) @[lsu_addrcheck.scala 118:88]
node _T_194 = and(_T_193, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 118:142]
node _T_195 = and(_T_194, io.lsu_pkt_d.bits.fast_int) @[lsu_addrcheck.scala 118:163]
io.fir_dccm_access_error_d <= _T_195 @[lsu_addrcheck.scala 118:31]
node _T_196 = and(start_addr_in_dccm_region_d, end_addr_in_dccm_region_d) @[lsu_addrcheck.scala 119:66]
node _T_197 = eq(_T_196, UInt<1>("h00")) @[lsu_addrcheck.scala 119:36]
node _T_198 = and(_T_197, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 119:95]
node _T_199 = and(_T_198, io.lsu_pkt_d.bits.fast_int) @[lsu_addrcheck.scala 119:116]
io.fir_nondccm_access_error_d <= _T_199 @[lsu_addrcheck.scala 119:33]
reg _T_200 : UInt<1>, io.lsu_c2_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_addrcheck.scala 121:60]
_T_200 <= is_sideeffects_d @[lsu_addrcheck.scala 121:60]
io.is_sideeffects_m <= _T_200 @[lsu_addrcheck.scala 121:50]
module lsu_lsc_ctl :
input clock : Clock
input reset : AsyncReset
output io : {flip lsu_c1_m_clk : Clock, flip lsu_c1_r_clk : Clock, flip lsu_c2_m_clk : Clock, flip lsu_c2_r_clk : Clock, flip lsu_store_c1_m_clk : Clock, flip lsu_ld_data_r : UInt<32>, flip lsu_ld_data_corr_r : UInt<32>, flip lsu_single_ecc_error_r : UInt<1>, flip lsu_double_ecc_error_r : UInt<1>, flip lsu_ld_data_m : UInt<32>, flip lsu_single_ecc_error_m : UInt<1>, flip lsu_double_ecc_error_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, lsu_exu : {flip exu_lsu_rs1_d : UInt<32>, flip exu_lsu_rs2_d : UInt<32>}, flip lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip dec_lsu_valid_raw_d : UInt<1>, flip dec_lsu_offset_d : UInt<12>, flip picm_mask_data_m : UInt<32>, flip bus_read_data_m : UInt<32>, lsu_result_m : UInt<32>, lsu_result_corr_r : UInt<32>, lsu_addr_d : UInt<32>, lsu_addr_m : UInt<32>, lsu_addr_r : UInt<32>, end_addr_d : UInt<32>, end_addr_m : UInt<32>, end_addr_r : UInt<32>, store_data_m : UInt<32>, flip dec_tlu_mrac_ff : UInt<32>, lsu_exc_m : UInt<1>, is_sideeffects_m : UInt<1>, lsu_commit_r : UInt<1>, lsu_single_ecc_error_incr : UInt<1>, lsu_error_pkt_r : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}}, lsu_fir_addr : UInt<31>, lsu_fir_error : UInt<2>, addr_in_dccm_d : UInt<1>, addr_in_dccm_m : UInt<1>, addr_in_dccm_r : UInt<1>, addr_in_pic_d : UInt<1>, addr_in_pic_m : UInt<1>, addr_in_pic_r : UInt<1>, addr_external_m : UInt<1>, dma_lsc_ctl : {flip dma_dccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>}, lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip scan_mode : UInt<1>}
wire dma_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[lsu_lsc_ctl.scala 90:29]
wire lsu_pkt_m_in : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[lsu_lsc_ctl.scala 91:29]
wire lsu_pkt_r_in : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[lsu_lsc_ctl.scala 92:29]
wire lsu_error_pkt_m : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}} @[lsu_lsc_ctl.scala 93:29]
node _T = bits(io.dec_lsu_valid_raw_d, 0, 0) @[lsu_lsc_ctl.scala 95:52]
node lsu_rs1_d = mux(_T, io.lsu_exu.exu_lsu_rs1_d, io.dma_lsc_ctl.dma_mem_addr) @[lsu_lsc_ctl.scala 95:28]
node _T_1 = bits(io.dec_lsu_offset_d, 11, 0) @[lsu_lsc_ctl.scala 96:44]
node _T_2 = bits(io.dec_lsu_valid_raw_d, 0, 0) @[Bitwise.scala 72:15]
node _T_3 = mux(_T_2, UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12]
node lsu_offset_d = and(_T_1, _T_3) @[lsu_lsc_ctl.scala 96:51]
node _T_4 = bits(io.lsu_pkt_d.bits.load_ldst_bypass_d, 0, 0) @[lsu_lsc_ctl.scala 99:66]
node rs1_d = mux(_T_4, io.lsu_result_m, lsu_rs1_d) @[lsu_lsc_ctl.scala 99:28]
node _T_5 = bits(rs1_d, 11, 0) @[lib.scala 76:31]
node _T_6 = cat(UInt<1>("h00"), _T_5) @[Cat.scala 29:58]
node _T_7 = bits(lsu_offset_d, 11, 0) @[lib.scala 76:60]
node _T_8 = cat(UInt<1>("h00"), _T_7) @[Cat.scala 29:58]
node _T_9 = add(_T_6, _T_8) @[lib.scala 76:39]
node _T_10 = tail(_T_9, 1) @[lib.scala 76:39]
node _T_11 = bits(lsu_offset_d, 11, 11) @[lib.scala 77:41]
node _T_12 = bits(_T_10, 12, 12) @[lib.scala 77:50]
node _T_13 = xor(_T_11, _T_12) @[lib.scala 77:46]
node _T_14 = not(_T_13) @[lib.scala 77:33]
node _T_15 = bits(_T_14, 0, 0) @[Bitwise.scala 72:15]
node _T_16 = mux(_T_15, UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12]
node _T_17 = bits(rs1_d, 31, 12) @[lib.scala 77:63]
node _T_18 = and(_T_16, _T_17) @[lib.scala 77:58]
node _T_19 = bits(lsu_offset_d, 11, 11) @[lib.scala 78:25]
node _T_20 = not(_T_19) @[lib.scala 78:18]
node _T_21 = bits(_T_10, 12, 12) @[lib.scala 78:34]
node _T_22 = and(_T_20, _T_21) @[lib.scala 78:30]
node _T_23 = bits(_T_22, 0, 0) @[Bitwise.scala 72:15]
node _T_24 = mux(_T_23, UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12]
node _T_25 = bits(rs1_d, 31, 12) @[lib.scala 78:47]
node _T_26 = add(_T_25, UInt<1>("h01")) @[lib.scala 78:54]
node _T_27 = tail(_T_26, 1) @[lib.scala 78:54]
node _T_28 = and(_T_24, _T_27) @[lib.scala 78:41]
node _T_29 = or(_T_18, _T_28) @[lib.scala 77:72]
node _T_30 = bits(lsu_offset_d, 11, 11) @[lib.scala 79:24]
node _T_31 = bits(_T_10, 12, 12) @[lib.scala 79:34]
node _T_32 = not(_T_31) @[lib.scala 79:31]
node _T_33 = and(_T_30, _T_32) @[lib.scala 79:29]
node _T_34 = bits(_T_33, 0, 0) @[Bitwise.scala 72:15]
node _T_35 = mux(_T_34, UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12]
node _T_36 = bits(rs1_d, 31, 12) @[lib.scala 79:47]
node _T_37 = sub(_T_36, UInt<1>("h01")) @[lib.scala 79:54]
node _T_38 = tail(_T_37, 1) @[lib.scala 79:54]
node _T_39 = and(_T_35, _T_38) @[lib.scala 79:41]
node _T_40 = or(_T_29, _T_39) @[lib.scala 78:61]
node _T_41 = bits(_T_10, 11, 0) @[lib.scala 80:22]
node full_addr_d = cat(_T_40, _T_41) @[Cat.scala 29:58]
node _T_42 = bits(io.lsu_pkt_d.bits.half, 0, 0) @[Bitwise.scala 72:15]
node _T_43 = mux(_T_42, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12]
node _T_44 = and(_T_43, UInt<3>("h01")) @[lsu_lsc_ctl.scala 104:58]
node _T_45 = bits(io.lsu_pkt_d.bits.word, 0, 0) @[Bitwise.scala 72:15]
node _T_46 = mux(_T_45, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12]
node _T_47 = and(_T_46, UInt<3>("h03")) @[lsu_lsc_ctl.scala 105:40]
node _T_48 = or(_T_44, _T_47) @[lsu_lsc_ctl.scala 104:70]
node _T_49 = bits(io.lsu_pkt_d.bits.dword, 0, 0) @[Bitwise.scala 72:15]
node _T_50 = mux(_T_49, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12]
node _T_51 = and(_T_50, UInt<3>("h07")) @[lsu_lsc_ctl.scala 106:40]
node addr_offset_d = or(_T_48, _T_51) @[lsu_lsc_ctl.scala 105:52]
node _T_52 = bits(lsu_offset_d, 11, 11) @[lsu_lsc_ctl.scala 108:39]
node _T_53 = bits(lsu_offset_d, 11, 0) @[lsu_lsc_ctl.scala 108:52]
node _T_54 = cat(_T_52, _T_53) @[Cat.scala 29:58]
node _T_55 = mux(UInt<1>("h00"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12]
node _T_56 = bits(addr_offset_d, 2, 0) @[lsu_lsc_ctl.scala 108:91]
node _T_57 = cat(_T_55, _T_56) @[Cat.scala 29:58]
node _T_58 = add(_T_54, _T_57) @[lsu_lsc_ctl.scala 108:60]
node end_addr_offset_d = tail(_T_58, 1) @[lsu_lsc_ctl.scala 108:60]
node _T_59 = bits(rs1_d, 31, 0) @[lsu_lsc_ctl.scala 109:32]
node _T_60 = bits(end_addr_offset_d, 12, 12) @[lsu_lsc_ctl.scala 109:70]
node _T_61 = bits(_T_60, 0, 0) @[Bitwise.scala 72:15]
node _T_62 = mux(_T_61, UInt<19>("h07ffff"), UInt<19>("h00")) @[Bitwise.scala 72:12]
node _T_63 = bits(end_addr_offset_d, 12, 0) @[lsu_lsc_ctl.scala 109:93]
node _T_64 = cat(_T_62, _T_63) @[Cat.scala 29:58]
node _T_65 = add(_T_59, _T_64) @[lsu_lsc_ctl.scala 109:39]
node full_end_addr_d = tail(_T_65, 1) @[lsu_lsc_ctl.scala 109:39]
io.end_addr_d <= full_end_addr_d @[lsu_lsc_ctl.scala 110:24]
inst addrcheck of lsu_addrcheck @[lsu_lsc_ctl.scala 113:25]
addrcheck.clock <= clock
addrcheck.reset <= reset
addrcheck.io.lsu_c2_m_clk <= io.lsu_c2_m_clk @[lsu_lsc_ctl.scala 115:42]
addrcheck.io.start_addr_d <= full_addr_d @[lsu_lsc_ctl.scala 117:42]
addrcheck.io.end_addr_d <= full_end_addr_d @[lsu_lsc_ctl.scala 118:42]
addrcheck.io.lsu_pkt_d.bits.store_data_bypass_m <= io.lsu_pkt_d.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 119:42]
addrcheck.io.lsu_pkt_d.bits.load_ldst_bypass_d <= io.lsu_pkt_d.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 119:42]
addrcheck.io.lsu_pkt_d.bits.store_data_bypass_d <= io.lsu_pkt_d.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 119:42]
addrcheck.io.lsu_pkt_d.bits.dma <= io.lsu_pkt_d.bits.dma @[lsu_lsc_ctl.scala 119:42]
addrcheck.io.lsu_pkt_d.bits.unsign <= io.lsu_pkt_d.bits.unsign @[lsu_lsc_ctl.scala 119:42]
addrcheck.io.lsu_pkt_d.bits.store <= io.lsu_pkt_d.bits.store @[lsu_lsc_ctl.scala 119:42]
addrcheck.io.lsu_pkt_d.bits.load <= io.lsu_pkt_d.bits.load @[lsu_lsc_ctl.scala 119:42]
addrcheck.io.lsu_pkt_d.bits.dword <= io.lsu_pkt_d.bits.dword @[lsu_lsc_ctl.scala 119:42]
addrcheck.io.lsu_pkt_d.bits.word <= io.lsu_pkt_d.bits.word @[lsu_lsc_ctl.scala 119:42]
addrcheck.io.lsu_pkt_d.bits.half <= io.lsu_pkt_d.bits.half @[lsu_lsc_ctl.scala 119:42]
addrcheck.io.lsu_pkt_d.bits.by <= io.lsu_pkt_d.bits.by @[lsu_lsc_ctl.scala 119:42]
addrcheck.io.lsu_pkt_d.bits.fast_int <= io.lsu_pkt_d.bits.fast_int @[lsu_lsc_ctl.scala 119:42]
addrcheck.io.lsu_pkt_d.valid <= io.lsu_pkt_d.valid @[lsu_lsc_ctl.scala 119:42]
addrcheck.io.dec_tlu_mrac_ff <= io.dec_tlu_mrac_ff @[lsu_lsc_ctl.scala 120:42]
node _T_66 = bits(rs1_d, 31, 28) @[lsu_lsc_ctl.scala 121:50]
addrcheck.io.rs1_region_d <= _T_66 @[lsu_lsc_ctl.scala 121:42]
addrcheck.io.rs1_d <= rs1_d @[lsu_lsc_ctl.scala 122:42]
io.is_sideeffects_m <= addrcheck.io.is_sideeffects_m @[lsu_lsc_ctl.scala 123:42]
io.addr_in_dccm_d <= addrcheck.io.addr_in_dccm_d @[lsu_lsc_ctl.scala 124:42]
io.addr_in_pic_d <= addrcheck.io.addr_in_pic_d @[lsu_lsc_ctl.scala 125:42]
addrcheck.io.scan_mode <= io.scan_mode @[lsu_lsc_ctl.scala 132:42]
wire exc_mscause_r : UInt<4>
exc_mscause_r <= UInt<4>("h00")
wire fir_dccm_access_error_r : UInt<1>
fir_dccm_access_error_r <= UInt<1>("h00")
wire fir_nondccm_access_error_r : UInt<1>
fir_nondccm_access_error_r <= UInt<1>("h00")
wire access_fault_r : UInt<1>
access_fault_r <= UInt<1>("h00")
wire misaligned_fault_r : UInt<1>
misaligned_fault_r <= UInt<1>("h00")
wire lsu_fir_error_m : UInt<2>
lsu_fir_error_m <= UInt<2>("h00")
wire fir_dccm_access_error_m : UInt<1>
fir_dccm_access_error_m <= UInt<1>("h00")
wire fir_nondccm_access_error_m : UInt<1>
fir_nondccm_access_error_m <= UInt<1>("h00")
reg access_fault_m : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 144:75]
access_fault_m <= addrcheck.io.access_fault_d @[lsu_lsc_ctl.scala 144:75]
reg misaligned_fault_m : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 145:75]
misaligned_fault_m <= addrcheck.io.misaligned_fault_d @[lsu_lsc_ctl.scala 145:75]
reg exc_mscause_m : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 146:75]
exc_mscause_m <= addrcheck.io.exc_mscause_d @[lsu_lsc_ctl.scala 146:75]
reg _T_67 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 147:75]
_T_67 <= addrcheck.io.fir_dccm_access_error_d @[lsu_lsc_ctl.scala 147:75]
fir_dccm_access_error_m <= _T_67 @[lsu_lsc_ctl.scala 147:38]
reg _T_68 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 148:75]
_T_68 <= addrcheck.io.fir_nondccm_access_error_d @[lsu_lsc_ctl.scala 148:75]
fir_nondccm_access_error_m <= _T_68 @[lsu_lsc_ctl.scala 148:38]
node _T_69 = or(access_fault_m, misaligned_fault_m) @[lsu_lsc_ctl.scala 150:34]
io.lsu_exc_m <= _T_69 @[lsu_lsc_ctl.scala 150:16]
node _T_70 = eq(io.lsu_double_ecc_error_r, UInt<1>("h00")) @[lsu_lsc_ctl.scala 151:64]
node _T_71 = and(io.lsu_single_ecc_error_r, _T_70) @[lsu_lsc_ctl.scala 151:62]
node _T_72 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_lsc_ctl.scala 151:111]
node _T_73 = and(_T_71, _T_72) @[lsu_lsc_ctl.scala 151:92]
node _T_74 = and(_T_73, io.lsu_pkt_r.valid) @[lsu_lsc_ctl.scala 151:136]
io.lsu_single_ecc_error_incr <= _T_74 @[lsu_lsc_ctl.scala 151:32]
node _T_75 = or(access_fault_m, misaligned_fault_m) @[lsu_lsc_ctl.scala 173:46]
node _T_76 = or(_T_75, io.lsu_double_ecc_error_m) @[lsu_lsc_ctl.scala 173:67]
node _T_77 = and(_T_76, io.lsu_pkt_m.valid) @[lsu_lsc_ctl.scala 173:96]
node _T_78 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 173:119]
node _T_79 = and(_T_77, _T_78) @[lsu_lsc_ctl.scala 173:117]
node _T_80 = eq(io.lsu_pkt_m.bits.fast_int, UInt<1>("h00")) @[lsu_lsc_ctl.scala 173:144]
node _T_81 = and(_T_79, _T_80) @[lsu_lsc_ctl.scala 173:142]
node _T_82 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_lsc_ctl.scala 173:174]
node _T_83 = and(_T_81, _T_82) @[lsu_lsc_ctl.scala 173:172]
lsu_error_pkt_m.valid <= _T_83 @[lsu_lsc_ctl.scala 173:27]
node _T_84 = eq(lsu_error_pkt_m.valid, UInt<1>("h00")) @[lsu_lsc_ctl.scala 174:75]
node _T_85 = and(io.lsu_single_ecc_error_m, _T_84) @[lsu_lsc_ctl.scala 174:73]
node _T_86 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 174:101]
node _T_87 = and(_T_85, _T_86) @[lsu_lsc_ctl.scala 174:99]
lsu_error_pkt_m.bits.single_ecc_error <= _T_87 @[lsu_lsc_ctl.scala 174:43]
lsu_error_pkt_m.bits.inst_type <= io.lsu_pkt_m.bits.store @[lsu_lsc_ctl.scala 175:43]
node _T_88 = not(misaligned_fault_m) @[lsu_lsc_ctl.scala 176:46]
lsu_error_pkt_m.bits.exc_type <= _T_88 @[lsu_lsc_ctl.scala 176:43]
node _T_89 = eq(misaligned_fault_m, UInt<1>("h00")) @[lsu_lsc_ctl.scala 177:80]
node _T_90 = and(io.lsu_double_ecc_error_m, _T_89) @[lsu_lsc_ctl.scala 177:78]
node _T_91 = eq(access_fault_m, UInt<1>("h00")) @[lsu_lsc_ctl.scala 177:102]
node _T_92 = and(_T_90, _T_91) @[lsu_lsc_ctl.scala 177:100]
node _T_93 = eq(_T_92, UInt<1>("h01")) @[lsu_lsc_ctl.scala 177:118]
node _T_94 = bits(exc_mscause_m, 3, 0) @[lsu_lsc_ctl.scala 177:149]
node _T_95 = mux(_T_93, UInt<4>("h01"), _T_94) @[lsu_lsc_ctl.scala 177:49]
lsu_error_pkt_m.bits.mscause <= _T_95 @[lsu_lsc_ctl.scala 177:43]
node _T_96 = bits(io.lsu_addr_m, 31, 0) @[lsu_lsc_ctl.scala 178:59]
lsu_error_pkt_m.bits.addr <= _T_96 @[lsu_lsc_ctl.scala 178:43]
node _T_97 = bits(fir_nondccm_access_error_m, 0, 0) @[lsu_lsc_ctl.scala 179:72]
node _T_98 = bits(fir_dccm_access_error_m, 0, 0) @[lsu_lsc_ctl.scala 179:117]
node _T_99 = and(io.lsu_pkt_m.bits.fast_int, io.lsu_double_ecc_error_m) @[lsu_lsc_ctl.scala 179:166]
node _T_100 = bits(_T_99, 0, 0) @[lsu_lsc_ctl.scala 179:195]
node _T_101 = mux(_T_100, UInt<2>("h01"), UInt<2>("h00")) @[lsu_lsc_ctl.scala 179:137]
node _T_102 = mux(_T_98, UInt<2>("h02"), _T_101) @[lsu_lsc_ctl.scala 179:92]
node _T_103 = mux(_T_97, UInt<2>("h03"), _T_102) @[lsu_lsc_ctl.scala 179:44]
lsu_fir_error_m <= _T_103 @[lsu_lsc_ctl.scala 179:38]
wire _T_104 : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}} @[lsu_lsc_ctl.scala 180:104]
_T_104.bits.addr <= UInt<32>("h00") @[lsu_lsc_ctl.scala 180:104]
_T_104.bits.mscause <= UInt<4>("h00") @[lsu_lsc_ctl.scala 180:104]
_T_104.bits.exc_type <= UInt<1>("h00") @[lsu_lsc_ctl.scala 180:104]
_T_104.bits.inst_type <= UInt<1>("h00") @[lsu_lsc_ctl.scala 180:104]
_T_104.bits.single_ecc_error <= UInt<1>("h00") @[lsu_lsc_ctl.scala 180:104]
_T_104.valid <= UInt<1>("h00") @[lsu_lsc_ctl.scala 180:104]
reg _T_105 : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}}, io.lsu_c2_r_clk with : (reset => (reset, _T_104)) @[lsu_lsc_ctl.scala 180:75]
_T_105.bits.addr <= lsu_error_pkt_m.bits.addr @[lsu_lsc_ctl.scala 180:75]
_T_105.bits.mscause <= lsu_error_pkt_m.bits.mscause @[lsu_lsc_ctl.scala 180:75]
_T_105.bits.exc_type <= lsu_error_pkt_m.bits.exc_type @[lsu_lsc_ctl.scala 180:75]
_T_105.bits.inst_type <= lsu_error_pkt_m.bits.inst_type @[lsu_lsc_ctl.scala 180:75]
_T_105.bits.single_ecc_error <= lsu_error_pkt_m.bits.single_ecc_error @[lsu_lsc_ctl.scala 180:75]
_T_105.valid <= lsu_error_pkt_m.valid @[lsu_lsc_ctl.scala 180:75]
io.lsu_error_pkt_r.bits.addr <= _T_105.bits.addr @[lsu_lsc_ctl.scala 180:38]
io.lsu_error_pkt_r.bits.mscause <= _T_105.bits.mscause @[lsu_lsc_ctl.scala 180:38]
io.lsu_error_pkt_r.bits.exc_type <= _T_105.bits.exc_type @[lsu_lsc_ctl.scala 180:38]
io.lsu_error_pkt_r.bits.inst_type <= _T_105.bits.inst_type @[lsu_lsc_ctl.scala 180:38]
io.lsu_error_pkt_r.bits.single_ecc_error <= _T_105.bits.single_ecc_error @[lsu_lsc_ctl.scala 180:38]
io.lsu_error_pkt_r.valid <= _T_105.valid @[lsu_lsc_ctl.scala 180:38]
reg _T_106 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 181:75]
_T_106 <= lsu_fir_error_m @[lsu_lsc_ctl.scala 181:75]
io.lsu_fir_error <= _T_106 @[lsu_lsc_ctl.scala 181:38]
dma_pkt_d.bits.unsign <= UInt<1>("h00") @[lsu_lsc_ctl.scala 183:27]
dma_pkt_d.bits.fast_int <= UInt<1>("h00") @[lsu_lsc_ctl.scala 184:27]
dma_pkt_d.valid <= io.dma_lsc_ctl.dma_dccm_req @[lsu_lsc_ctl.scala 185:22]
dma_pkt_d.bits.dma <= UInt<1>("h01") @[lsu_lsc_ctl.scala 186:27]
dma_pkt_d.bits.store <= io.dma_lsc_ctl.dma_mem_write @[lsu_lsc_ctl.scala 187:27]
node _T_107 = not(io.dma_lsc_ctl.dma_mem_write) @[lsu_lsc_ctl.scala 188:30]
dma_pkt_d.bits.load <= _T_107 @[lsu_lsc_ctl.scala 188:27]
node _T_108 = bits(io.dma_lsc_ctl.dma_mem_sz, 2, 0) @[lsu_lsc_ctl.scala 189:56]
node _T_109 = eq(_T_108, UInt<3>("h00")) @[lsu_lsc_ctl.scala 189:62]
dma_pkt_d.bits.by <= _T_109 @[lsu_lsc_ctl.scala 189:27]
node _T_110 = bits(io.dma_lsc_ctl.dma_mem_sz, 2, 0) @[lsu_lsc_ctl.scala 190:56]
node _T_111 = eq(_T_110, UInt<3>("h01")) @[lsu_lsc_ctl.scala 190:62]
dma_pkt_d.bits.half <= _T_111 @[lsu_lsc_ctl.scala 190:27]
node _T_112 = bits(io.dma_lsc_ctl.dma_mem_sz, 2, 0) @[lsu_lsc_ctl.scala 191:56]
node _T_113 = eq(_T_112, UInt<3>("h02")) @[lsu_lsc_ctl.scala 191:62]
dma_pkt_d.bits.word <= _T_113 @[lsu_lsc_ctl.scala 191:27]
node _T_114 = bits(io.dma_lsc_ctl.dma_mem_sz, 2, 0) @[lsu_lsc_ctl.scala 192:56]
node _T_115 = eq(_T_114, UInt<3>("h03")) @[lsu_lsc_ctl.scala 192:62]
dma_pkt_d.bits.dword <= _T_115 @[lsu_lsc_ctl.scala 192:27]
dma_pkt_d.bits.store_data_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 193:39]
dma_pkt_d.bits.load_ldst_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 194:39]
dma_pkt_d.bits.store_data_bypass_m <= UInt<1>("h00") @[lsu_lsc_ctl.scala 195:39]
wire lsu_ld_datafn_r : UInt<32>
lsu_ld_datafn_r <= UInt<32>("h00")
wire lsu_ld_datafn_corr_r : UInt<32>
lsu_ld_datafn_corr_r <= UInt<32>("h00")
wire lsu_ld_datafn_m : UInt<32>
lsu_ld_datafn_m <= UInt<32>("h00")
node _T_116 = bits(io.dec_lsu_valid_raw_d, 0, 0) @[lsu_lsc_ctl.scala 201:50]
node _T_117 = mux(_T_116, io.lsu_p, dma_pkt_d) @[lsu_lsc_ctl.scala 201:26]
io.lsu_pkt_d.bits.store_data_bypass_m <= _T_117.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 201:20]
io.lsu_pkt_d.bits.load_ldst_bypass_d <= _T_117.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 201:20]
io.lsu_pkt_d.bits.store_data_bypass_d <= _T_117.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 201:20]
io.lsu_pkt_d.bits.dma <= _T_117.bits.dma @[lsu_lsc_ctl.scala 201:20]
io.lsu_pkt_d.bits.unsign <= _T_117.bits.unsign @[lsu_lsc_ctl.scala 201:20]
io.lsu_pkt_d.bits.store <= _T_117.bits.store @[lsu_lsc_ctl.scala 201:20]
io.lsu_pkt_d.bits.load <= _T_117.bits.load @[lsu_lsc_ctl.scala 201:20]
io.lsu_pkt_d.bits.dword <= _T_117.bits.dword @[lsu_lsc_ctl.scala 201:20]
io.lsu_pkt_d.bits.word <= _T_117.bits.word @[lsu_lsc_ctl.scala 201:20]
io.lsu_pkt_d.bits.half <= _T_117.bits.half @[lsu_lsc_ctl.scala 201:20]
io.lsu_pkt_d.bits.by <= _T_117.bits.by @[lsu_lsc_ctl.scala 201:20]
io.lsu_pkt_d.bits.fast_int <= _T_117.bits.fast_int @[lsu_lsc_ctl.scala 201:20]
io.lsu_pkt_d.valid <= _T_117.valid @[lsu_lsc_ctl.scala 201:20]
lsu_pkt_m_in.bits.store_data_bypass_m <= io.lsu_pkt_d.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 202:20]
lsu_pkt_m_in.bits.load_ldst_bypass_d <= io.lsu_pkt_d.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 202:20]
lsu_pkt_m_in.bits.store_data_bypass_d <= io.lsu_pkt_d.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 202:20]
lsu_pkt_m_in.bits.dma <= io.lsu_pkt_d.bits.dma @[lsu_lsc_ctl.scala 202:20]
lsu_pkt_m_in.bits.unsign <= io.lsu_pkt_d.bits.unsign @[lsu_lsc_ctl.scala 202:20]
lsu_pkt_m_in.bits.store <= io.lsu_pkt_d.bits.store @[lsu_lsc_ctl.scala 202:20]
lsu_pkt_m_in.bits.load <= io.lsu_pkt_d.bits.load @[lsu_lsc_ctl.scala 202:20]
lsu_pkt_m_in.bits.dword <= io.lsu_pkt_d.bits.dword @[lsu_lsc_ctl.scala 202:20]
lsu_pkt_m_in.bits.word <= io.lsu_pkt_d.bits.word @[lsu_lsc_ctl.scala 202:20]
lsu_pkt_m_in.bits.half <= io.lsu_pkt_d.bits.half @[lsu_lsc_ctl.scala 202:20]
lsu_pkt_m_in.bits.by <= io.lsu_pkt_d.bits.by @[lsu_lsc_ctl.scala 202:20]
lsu_pkt_m_in.bits.fast_int <= io.lsu_pkt_d.bits.fast_int @[lsu_lsc_ctl.scala 202:20]
lsu_pkt_m_in.valid <= io.lsu_pkt_d.valid @[lsu_lsc_ctl.scala 202:20]
lsu_pkt_r_in.bits.store_data_bypass_m <= io.lsu_pkt_m.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 203:20]
lsu_pkt_r_in.bits.load_ldst_bypass_d <= io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 203:20]
lsu_pkt_r_in.bits.store_data_bypass_d <= io.lsu_pkt_m.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 203:20]
lsu_pkt_r_in.bits.dma <= io.lsu_pkt_m.bits.dma @[lsu_lsc_ctl.scala 203:20]
lsu_pkt_r_in.bits.unsign <= io.lsu_pkt_m.bits.unsign @[lsu_lsc_ctl.scala 203:20]
lsu_pkt_r_in.bits.store <= io.lsu_pkt_m.bits.store @[lsu_lsc_ctl.scala 203:20]
lsu_pkt_r_in.bits.load <= io.lsu_pkt_m.bits.load @[lsu_lsc_ctl.scala 203:20]
lsu_pkt_r_in.bits.dword <= io.lsu_pkt_m.bits.dword @[lsu_lsc_ctl.scala 203:20]
lsu_pkt_r_in.bits.word <= io.lsu_pkt_m.bits.word @[lsu_lsc_ctl.scala 203:20]
lsu_pkt_r_in.bits.half <= io.lsu_pkt_m.bits.half @[lsu_lsc_ctl.scala 203:20]
lsu_pkt_r_in.bits.by <= io.lsu_pkt_m.bits.by @[lsu_lsc_ctl.scala 203:20]
lsu_pkt_r_in.bits.fast_int <= io.lsu_pkt_m.bits.fast_int @[lsu_lsc_ctl.scala 203:20]
lsu_pkt_r_in.valid <= io.lsu_pkt_m.valid @[lsu_lsc_ctl.scala 203:20]
node _T_118 = eq(io.lsu_p.bits.fast_int, UInt<1>("h00")) @[lsu_lsc_ctl.scala 205:64]
node _T_119 = and(io.flush_m_up, _T_118) @[lsu_lsc_ctl.scala 205:61]
node _T_120 = eq(_T_119, UInt<1>("h00")) @[lsu_lsc_ctl.scala 205:45]
node _T_121 = and(io.lsu_p.valid, _T_120) @[lsu_lsc_ctl.scala 205:43]
node _T_122 = or(_T_121, io.dma_lsc_ctl.dma_dccm_req) @[lsu_lsc_ctl.scala 205:90]
io.lsu_pkt_d.valid <= _T_122 @[lsu_lsc_ctl.scala 205:24]
node _T_123 = eq(io.lsu_pkt_d.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 206:68]
node _T_124 = and(io.flush_m_up, _T_123) @[lsu_lsc_ctl.scala 206:65]
node _T_125 = eq(_T_124, UInt<1>("h00")) @[lsu_lsc_ctl.scala 206:49]
node _T_126 = and(io.lsu_pkt_d.valid, _T_125) @[lsu_lsc_ctl.scala 206:47]
lsu_pkt_m_in.valid <= _T_126 @[lsu_lsc_ctl.scala 206:24]
node _T_127 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 207:68]
node _T_128 = and(io.flush_m_up, _T_127) @[lsu_lsc_ctl.scala 207:65]
node _T_129 = eq(_T_128, UInt<1>("h00")) @[lsu_lsc_ctl.scala 207:49]
node _T_130 = and(io.lsu_pkt_m.valid, _T_129) @[lsu_lsc_ctl.scala 207:47]
lsu_pkt_r_in.valid <= _T_130 @[lsu_lsc_ctl.scala 207:24]
wire _T_131 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[lsu_lsc_ctl.scala 209:91]
_T_131.bits.store_data_bypass_m <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91]
_T_131.bits.load_ldst_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91]
_T_131.bits.store_data_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91]
_T_131.bits.dma <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91]
_T_131.bits.unsign <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91]
_T_131.bits.store <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91]
_T_131.bits.load <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91]
_T_131.bits.dword <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91]
_T_131.bits.word <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91]
_T_131.bits.half <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91]
_T_131.bits.by <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91]
_T_131.bits.fast_int <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91]
_T_131.valid <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91]
reg _T_132 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, io.lsu_c1_m_clk with : (reset => (reset, _T_131)) @[lsu_lsc_ctl.scala 209:65]
_T_132.bits.store_data_bypass_m <= lsu_pkt_m_in.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 209:65]
_T_132.bits.load_ldst_bypass_d <= lsu_pkt_m_in.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 209:65]
_T_132.bits.store_data_bypass_d <= lsu_pkt_m_in.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 209:65]
_T_132.bits.dma <= lsu_pkt_m_in.bits.dma @[lsu_lsc_ctl.scala 209:65]
_T_132.bits.unsign <= lsu_pkt_m_in.bits.unsign @[lsu_lsc_ctl.scala 209:65]
_T_132.bits.store <= lsu_pkt_m_in.bits.store @[lsu_lsc_ctl.scala 209:65]
_T_132.bits.load <= lsu_pkt_m_in.bits.load @[lsu_lsc_ctl.scala 209:65]
_T_132.bits.dword <= lsu_pkt_m_in.bits.dword @[lsu_lsc_ctl.scala 209:65]
_T_132.bits.word <= lsu_pkt_m_in.bits.word @[lsu_lsc_ctl.scala 209:65]
_T_132.bits.half <= lsu_pkt_m_in.bits.half @[lsu_lsc_ctl.scala 209:65]
_T_132.bits.by <= lsu_pkt_m_in.bits.by @[lsu_lsc_ctl.scala 209:65]
_T_132.bits.fast_int <= lsu_pkt_m_in.bits.fast_int @[lsu_lsc_ctl.scala 209:65]
_T_132.valid <= lsu_pkt_m_in.valid @[lsu_lsc_ctl.scala 209:65]
io.lsu_pkt_m.bits.store_data_bypass_m <= _T_132.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 209:28]
io.lsu_pkt_m.bits.load_ldst_bypass_d <= _T_132.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 209:28]
io.lsu_pkt_m.bits.store_data_bypass_d <= _T_132.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 209:28]
io.lsu_pkt_m.bits.dma <= _T_132.bits.dma @[lsu_lsc_ctl.scala 209:28]
io.lsu_pkt_m.bits.unsign <= _T_132.bits.unsign @[lsu_lsc_ctl.scala 209:28]
io.lsu_pkt_m.bits.store <= _T_132.bits.store @[lsu_lsc_ctl.scala 209:28]
io.lsu_pkt_m.bits.load <= _T_132.bits.load @[lsu_lsc_ctl.scala 209:28]
io.lsu_pkt_m.bits.dword <= _T_132.bits.dword @[lsu_lsc_ctl.scala 209:28]
io.lsu_pkt_m.bits.word <= _T_132.bits.word @[lsu_lsc_ctl.scala 209:28]
io.lsu_pkt_m.bits.half <= _T_132.bits.half @[lsu_lsc_ctl.scala 209:28]
io.lsu_pkt_m.bits.by <= _T_132.bits.by @[lsu_lsc_ctl.scala 209:28]
io.lsu_pkt_m.bits.fast_int <= _T_132.bits.fast_int @[lsu_lsc_ctl.scala 209:28]
io.lsu_pkt_m.valid <= _T_132.valid @[lsu_lsc_ctl.scala 209:28]
wire _T_133 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[lsu_lsc_ctl.scala 210:91]
_T_133.bits.store_data_bypass_m <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91]
_T_133.bits.load_ldst_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91]
_T_133.bits.store_data_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91]
_T_133.bits.dma <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91]
_T_133.bits.unsign <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91]
_T_133.bits.store <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91]
_T_133.bits.load <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91]
_T_133.bits.dword <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91]
_T_133.bits.word <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91]
_T_133.bits.half <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91]
_T_133.bits.by <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91]
_T_133.bits.fast_int <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91]
_T_133.valid <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91]
reg _T_134 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, io.lsu_c1_r_clk with : (reset => (reset, _T_133)) @[lsu_lsc_ctl.scala 210:65]
_T_134.bits.store_data_bypass_m <= lsu_pkt_r_in.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 210:65]
_T_134.bits.load_ldst_bypass_d <= lsu_pkt_r_in.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 210:65]
_T_134.bits.store_data_bypass_d <= lsu_pkt_r_in.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 210:65]
_T_134.bits.dma <= lsu_pkt_r_in.bits.dma @[lsu_lsc_ctl.scala 210:65]
_T_134.bits.unsign <= lsu_pkt_r_in.bits.unsign @[lsu_lsc_ctl.scala 210:65]
_T_134.bits.store <= lsu_pkt_r_in.bits.store @[lsu_lsc_ctl.scala 210:65]
_T_134.bits.load <= lsu_pkt_r_in.bits.load @[lsu_lsc_ctl.scala 210:65]
_T_134.bits.dword <= lsu_pkt_r_in.bits.dword @[lsu_lsc_ctl.scala 210:65]
_T_134.bits.word <= lsu_pkt_r_in.bits.word @[lsu_lsc_ctl.scala 210:65]
_T_134.bits.half <= lsu_pkt_r_in.bits.half @[lsu_lsc_ctl.scala 210:65]
_T_134.bits.by <= lsu_pkt_r_in.bits.by @[lsu_lsc_ctl.scala 210:65]
_T_134.bits.fast_int <= lsu_pkt_r_in.bits.fast_int @[lsu_lsc_ctl.scala 210:65]
_T_134.valid <= lsu_pkt_r_in.valid @[lsu_lsc_ctl.scala 210:65]
io.lsu_pkt_r.bits.store_data_bypass_m <= _T_134.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 210:28]
io.lsu_pkt_r.bits.load_ldst_bypass_d <= _T_134.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 210:28]
io.lsu_pkt_r.bits.store_data_bypass_d <= _T_134.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 210:28]
io.lsu_pkt_r.bits.dma <= _T_134.bits.dma @[lsu_lsc_ctl.scala 210:28]
io.lsu_pkt_r.bits.unsign <= _T_134.bits.unsign @[lsu_lsc_ctl.scala 210:28]
io.lsu_pkt_r.bits.store <= _T_134.bits.store @[lsu_lsc_ctl.scala 210:28]
io.lsu_pkt_r.bits.load <= _T_134.bits.load @[lsu_lsc_ctl.scala 210:28]
io.lsu_pkt_r.bits.dword <= _T_134.bits.dword @[lsu_lsc_ctl.scala 210:28]
io.lsu_pkt_r.bits.word <= _T_134.bits.word @[lsu_lsc_ctl.scala 210:28]
io.lsu_pkt_r.bits.half <= _T_134.bits.half @[lsu_lsc_ctl.scala 210:28]
io.lsu_pkt_r.bits.by <= _T_134.bits.by @[lsu_lsc_ctl.scala 210:28]
io.lsu_pkt_r.bits.fast_int <= _T_134.bits.fast_int @[lsu_lsc_ctl.scala 210:28]
io.lsu_pkt_r.valid <= _T_134.valid @[lsu_lsc_ctl.scala 210:28]
reg _T_135 : UInt<1>, io.lsu_c2_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 211:65]
_T_135 <= lsu_pkt_m_in.valid @[lsu_lsc_ctl.scala 211:65]
io.lsu_pkt_m.valid <= _T_135 @[lsu_lsc_ctl.scala 211:28]
reg _T_136 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 212:65]
_T_136 <= lsu_pkt_r_in.valid @[lsu_lsc_ctl.scala 212:65]
io.lsu_pkt_r.valid <= _T_136 @[lsu_lsc_ctl.scala 212:28]
node _T_137 = bits(io.dma_lsc_ctl.dma_mem_wdata, 63, 0) @[lsu_lsc_ctl.scala 214:59]
node _T_138 = bits(io.dma_lsc_ctl.dma_mem_addr, 2, 0) @[lsu_lsc_ctl.scala 214:100]
node _T_139 = cat(_T_138, UInt<3>("h00")) @[Cat.scala 29:58]
node dma_mem_wdata_shifted = dshr(_T_137, _T_139) @[lsu_lsc_ctl.scala 214:66]
node _T_140 = bits(io.dma_lsc_ctl.dma_dccm_req, 0, 0) @[lsu_lsc_ctl.scala 215:63]
node _T_141 = bits(dma_mem_wdata_shifted, 31, 0) @[lsu_lsc_ctl.scala 215:91]
node _T_142 = bits(io.lsu_exu.exu_lsu_rs2_d, 31, 0) @[lsu_lsc_ctl.scala 215:122]
node store_data_d = mux(_T_140, _T_141, _T_142) @[lsu_lsc_ctl.scala 215:34]
node _T_143 = bits(io.lsu_pkt_d.bits.store_data_bypass_d, 0, 0) @[lsu_lsc_ctl.scala 216:73]
node _T_144 = bits(io.lsu_result_m, 31, 0) @[lsu_lsc_ctl.scala 216:95]
node _T_145 = bits(store_data_d, 31, 0) @[lsu_lsc_ctl.scala 216:114]
node store_data_m_in = mux(_T_143, _T_144, _T_145) @[lsu_lsc_ctl.scala 216:34]
reg store_data_pre_m : UInt, io.lsu_store_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 218:72]
store_data_pre_m <= store_data_m_in @[lsu_lsc_ctl.scala 218:72]
reg _T_146 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 219:62]
_T_146 <= io.lsu_addr_d @[lsu_lsc_ctl.scala 219:62]
io.lsu_addr_m <= _T_146 @[lsu_lsc_ctl.scala 219:24]
reg _T_147 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 220:62]
_T_147 <= io.lsu_addr_m @[lsu_lsc_ctl.scala 220:62]
io.lsu_addr_r <= _T_147 @[lsu_lsc_ctl.scala 220:24]
reg _T_148 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 221:62]
_T_148 <= io.end_addr_d @[lsu_lsc_ctl.scala 221:62]
io.end_addr_m <= _T_148 @[lsu_lsc_ctl.scala 221:24]
reg _T_149 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 222:62]
_T_149 <= io.end_addr_m @[lsu_lsc_ctl.scala 222:62]
io.end_addr_r <= _T_149 @[lsu_lsc_ctl.scala 222:24]
reg _T_150 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 223:62]
_T_150 <= io.addr_in_dccm_d @[lsu_lsc_ctl.scala 223:62]
io.addr_in_dccm_m <= _T_150 @[lsu_lsc_ctl.scala 223:24]
reg _T_151 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 224:62]
_T_151 <= io.addr_in_dccm_m @[lsu_lsc_ctl.scala 224:62]
io.addr_in_dccm_r <= _T_151 @[lsu_lsc_ctl.scala 224:24]
reg _T_152 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 225:62]
_T_152 <= io.addr_in_pic_d @[lsu_lsc_ctl.scala 225:62]
io.addr_in_pic_m <= _T_152 @[lsu_lsc_ctl.scala 225:24]
reg _T_153 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 226:62]
_T_153 <= io.addr_in_pic_m @[lsu_lsc_ctl.scala 226:62]
io.addr_in_pic_r <= _T_153 @[lsu_lsc_ctl.scala 226:24]
reg _T_154 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 227:62]
_T_154 <= addrcheck.io.addr_external_d @[lsu_lsc_ctl.scala 227:62]
io.addr_external_m <= _T_154 @[lsu_lsc_ctl.scala 227:24]
reg addr_external_r : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 228:66]
addr_external_r <= io.addr_external_m @[lsu_lsc_ctl.scala 228:66]
reg bus_read_data_r : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 229:66]
bus_read_data_r <= io.bus_read_data_m @[lsu_lsc_ctl.scala 229:66]
node _T_155 = bits(io.lsu_ld_data_corr_r, 31, 1) @[lsu_lsc_ctl.scala 231:52]
io.lsu_fir_addr <= _T_155 @[lsu_lsc_ctl.scala 231:28]
io.lsu_addr_d <= full_addr_d @[lsu_lsc_ctl.scala 233:28]
node _T_156 = or(io.lsu_pkt_r.bits.store, io.lsu_pkt_r.bits.load) @[lsu_lsc_ctl.scala 235:68]
node _T_157 = and(io.lsu_pkt_r.valid, _T_156) @[lsu_lsc_ctl.scala 235:41]
node _T_158 = eq(io.flush_r, UInt<1>("h00")) @[lsu_lsc_ctl.scala 235:96]
node _T_159 = and(_T_157, _T_158) @[lsu_lsc_ctl.scala 235:94]
node _T_160 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 235:110]
node _T_161 = and(_T_159, _T_160) @[lsu_lsc_ctl.scala 235:108]
io.lsu_commit_r <= _T_161 @[lsu_lsc_ctl.scala 235:19]
node _T_162 = bits(io.picm_mask_data_m, 31, 0) @[lsu_lsc_ctl.scala 236:52]
node _T_163 = eq(io.addr_in_pic_m, UInt<1>("h00")) @[lsu_lsc_ctl.scala 236:69]
node _T_164 = bits(_T_163, 0, 0) @[Bitwise.scala 72:15]
node _T_165 = mux(_T_164, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_166 = or(_T_162, _T_165) @[lsu_lsc_ctl.scala 236:59]
node _T_167 = bits(io.lsu_pkt_m.bits.store_data_bypass_m, 0, 0) @[lsu_lsc_ctl.scala 236:133]
node _T_168 = mux(_T_167, io.lsu_result_m, store_data_pre_m) @[lsu_lsc_ctl.scala 236:94]
node _T_169 = and(_T_166, _T_168) @[lsu_lsc_ctl.scala 236:89]
io.store_data_m <= _T_169 @[lsu_lsc_ctl.scala 236:29]
node _T_170 = bits(io.addr_external_m, 0, 0) @[lsu_lsc_ctl.scala 257:53]
node _T_171 = mux(_T_170, io.bus_read_data_m, io.lsu_ld_data_m) @[lsu_lsc_ctl.scala 257:33]
lsu_ld_datafn_m <= _T_171 @[lsu_lsc_ctl.scala 257:27]
node _T_172 = eq(addr_external_r, UInt<1>("h01")) @[lsu_lsc_ctl.scala 258:49]
node _T_173 = mux(_T_172, bus_read_data_r, io.lsu_ld_data_corr_r) @[lsu_lsc_ctl.scala 258:33]
lsu_ld_datafn_corr_r <= _T_173 @[lsu_lsc_ctl.scala 258:27]
node _T_174 = and(io.lsu_pkt_m.bits.unsign, io.lsu_pkt_m.bits.by) @[lsu_lsc_ctl.scala 259:66]
node _T_175 = bits(_T_174, 0, 0) @[Bitwise.scala 72:15]
node _T_176 = mux(_T_175, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_177 = bits(lsu_ld_datafn_m, 7, 0) @[lsu_lsc_ctl.scala 259:125]
node _T_178 = cat(UInt<24>("h00"), _T_177) @[Cat.scala 29:58]
node _T_179 = and(_T_176, _T_178) @[lsu_lsc_ctl.scala 259:94]
node _T_180 = and(io.lsu_pkt_m.bits.unsign, io.lsu_pkt_m.bits.half) @[lsu_lsc_ctl.scala 260:43]
node _T_181 = bits(_T_180, 0, 0) @[Bitwise.scala 72:15]
node _T_182 = mux(_T_181, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_183 = bits(lsu_ld_datafn_m, 15, 0) @[lsu_lsc_ctl.scala 260:102]
node _T_184 = cat(UInt<16>("h00"), _T_183) @[Cat.scala 29:58]
node _T_185 = and(_T_182, _T_184) @[lsu_lsc_ctl.scala 260:71]
node _T_186 = or(_T_179, _T_185) @[lsu_lsc_ctl.scala 259:133]
node _T_187 = eq(io.lsu_pkt_m.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 261:17]
node _T_188 = and(_T_187, io.lsu_pkt_m.bits.by) @[lsu_lsc_ctl.scala 261:43]
node _T_189 = bits(_T_188, 0, 0) @[Bitwise.scala 72:15]
node _T_190 = mux(_T_189, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_191 = bits(lsu_ld_datafn_m, 7, 7) @[lsu_lsc_ctl.scala 261:102]
node _T_192 = bits(_T_191, 0, 0) @[Bitwise.scala 72:15]
node _T_193 = mux(_T_192, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12]
node _T_194 = bits(lsu_ld_datafn_m, 7, 0) @[lsu_lsc_ctl.scala 261:125]
node _T_195 = cat(_T_193, _T_194) @[Cat.scala 29:58]
node _T_196 = and(_T_190, _T_195) @[lsu_lsc_ctl.scala 261:71]
node _T_197 = or(_T_186, _T_196) @[lsu_lsc_ctl.scala 260:114]
node _T_198 = eq(io.lsu_pkt_m.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 262:17]
node _T_199 = and(_T_198, io.lsu_pkt_m.bits.half) @[lsu_lsc_ctl.scala 262:43]
node _T_200 = bits(_T_199, 0, 0) @[Bitwise.scala 72:15]
node _T_201 = mux(_T_200, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_202 = bits(lsu_ld_datafn_m, 15, 15) @[lsu_lsc_ctl.scala 262:101]
node _T_203 = bits(_T_202, 0, 0) @[Bitwise.scala 72:15]
node _T_204 = mux(_T_203, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12]
node _T_205 = bits(lsu_ld_datafn_m, 15, 0) @[lsu_lsc_ctl.scala 262:125]
node _T_206 = cat(_T_204, _T_205) @[Cat.scala 29:58]
node _T_207 = and(_T_201, _T_206) @[lsu_lsc_ctl.scala 262:71]
node _T_208 = or(_T_197, _T_207) @[lsu_lsc_ctl.scala 261:134]
node _T_209 = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15]
node _T_210 = mux(_T_209, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_211 = bits(lsu_ld_datafn_m, 31, 0) @[lsu_lsc_ctl.scala 263:60]
node _T_212 = and(_T_210, _T_211) @[lsu_lsc_ctl.scala 263:43]
node _T_213 = or(_T_208, _T_212) @[lsu_lsc_ctl.scala 262:134]
io.lsu_result_m <= _T_213 @[lsu_lsc_ctl.scala 259:27]
node _T_214 = and(io.lsu_pkt_r.bits.unsign, io.lsu_pkt_r.bits.by) @[lsu_lsc_ctl.scala 264:66]
node _T_215 = bits(_T_214, 0, 0) @[Bitwise.scala 72:15]
node _T_216 = mux(_T_215, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_217 = bits(lsu_ld_datafn_corr_r, 7, 0) @[lsu_lsc_ctl.scala 264:130]
node _T_218 = cat(UInt<24>("h00"), _T_217) @[Cat.scala 29:58]
node _T_219 = and(_T_216, _T_218) @[lsu_lsc_ctl.scala 264:94]
node _T_220 = and(io.lsu_pkt_r.bits.unsign, io.lsu_pkt_r.bits.half) @[lsu_lsc_ctl.scala 265:43]
node _T_221 = bits(_T_220, 0, 0) @[Bitwise.scala 72:15]
node _T_222 = mux(_T_221, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_223 = bits(lsu_ld_datafn_corr_r, 15, 0) @[lsu_lsc_ctl.scala 265:107]
node _T_224 = cat(UInt<16>("h00"), _T_223) @[Cat.scala 29:58]
node _T_225 = and(_T_222, _T_224) @[lsu_lsc_ctl.scala 265:71]
node _T_226 = or(_T_219, _T_225) @[lsu_lsc_ctl.scala 264:138]
node _T_227 = eq(io.lsu_pkt_r.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 266:17]
node _T_228 = and(_T_227, io.lsu_pkt_r.bits.by) @[lsu_lsc_ctl.scala 266:43]
node _T_229 = bits(_T_228, 0, 0) @[Bitwise.scala 72:15]
node _T_230 = mux(_T_229, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_231 = bits(lsu_ld_datafn_corr_r, 7, 7) @[lsu_lsc_ctl.scala 266:107]
node _T_232 = bits(_T_231, 0, 0) @[Bitwise.scala 72:15]
node _T_233 = mux(_T_232, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12]
node _T_234 = bits(lsu_ld_datafn_corr_r, 7, 0) @[lsu_lsc_ctl.scala 266:135]
node _T_235 = cat(_T_233, _T_234) @[Cat.scala 29:58]
node _T_236 = and(_T_230, _T_235) @[lsu_lsc_ctl.scala 266:71]
node _T_237 = or(_T_226, _T_236) @[lsu_lsc_ctl.scala 265:119]
node _T_238 = eq(io.lsu_pkt_r.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 267:17]
node _T_239 = and(_T_238, io.lsu_pkt_r.bits.half) @[lsu_lsc_ctl.scala 267:43]
node _T_240 = bits(_T_239, 0, 0) @[Bitwise.scala 72:15]
node _T_241 = mux(_T_240, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_242 = bits(lsu_ld_datafn_corr_r, 15, 15) @[lsu_lsc_ctl.scala 267:106]
node _T_243 = bits(_T_242, 0, 0) @[Bitwise.scala 72:15]
node _T_244 = mux(_T_243, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12]
node _T_245 = bits(lsu_ld_datafn_corr_r, 15, 0) @[lsu_lsc_ctl.scala 267:135]
node _T_246 = cat(_T_244, _T_245) @[Cat.scala 29:58]
node _T_247 = and(_T_241, _T_246) @[lsu_lsc_ctl.scala 267:71]
node _T_248 = or(_T_237, _T_247) @[lsu_lsc_ctl.scala 266:144]
node _T_249 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[Bitwise.scala 72:15]
node _T_250 = mux(_T_249, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_251 = bits(lsu_ld_datafn_corr_r, 31, 0) @[lsu_lsc_ctl.scala 268:65]
node _T_252 = and(_T_250, _T_251) @[lsu_lsc_ctl.scala 268:43]
node _T_253 = or(_T_248, _T_252) @[lsu_lsc_ctl.scala 267:144]
io.lsu_result_corr_r <= _T_253 @[lsu_lsc_ctl.scala 264:27]
extmodule gated_latch :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_1 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_1 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_1 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
module lsu_dccm_ctl :
input clock : Clock
input reset : AsyncReset
output io : {flip lsu_c2_m_clk : Clock, flip lsu_c2_r_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_c1_r_clk : Clock, flip lsu_store_c1_r_clk : Clock, flip lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip addr_in_dccm_d : UInt<1>, flip addr_in_dccm_m : UInt<1>, flip addr_in_dccm_r : UInt<1>, flip addr_in_pic_d : UInt<1>, flip addr_in_pic_m : UInt<1>, flip addr_in_pic_r : UInt<1>, flip lsu_raw_fwd_lo_r : UInt<1>, flip lsu_raw_fwd_hi_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip lsu_addr_d : UInt<32>, flip lsu_addr_m : UInt<16>, flip lsu_addr_r : UInt<32>, flip end_addr_d : UInt<16>, flip end_addr_m : UInt<16>, flip end_addr_r : UInt<16>, flip stbuf_reqvld_any : UInt<1>, flip stbuf_addr_any : UInt<16>, flip stbuf_data_any : UInt<32>, flip stbuf_ecc_any : UInt<7>, flip stbuf_fwddata_hi_m : UInt<32>, flip stbuf_fwddata_lo_m : UInt<32>, flip stbuf_fwdbyteen_lo_m : UInt<4>, flip stbuf_fwdbyteen_hi_m : UInt<4>, dccm_rdata_hi_r : UInt<32>, dccm_rdata_lo_r : UInt<32>, dccm_data_ecc_hi_r : UInt<7>, dccm_data_ecc_lo_r : UInt<7>, lsu_ld_data_r : UInt<32>, lsu_ld_data_corr_r : UInt<32>, flip lsu_double_ecc_error_r : UInt<1>, flip single_ecc_error_hi_r : UInt<1>, flip single_ecc_error_lo_r : UInt<1>, flip sec_data_hi_r : UInt<32>, flip sec_data_lo_r : UInt<32>, flip sec_data_hi_r_ff : UInt<32>, flip sec_data_lo_r_ff : UInt<32>, flip sec_data_ecc_hi_r_ff : UInt<7>, flip sec_data_ecc_lo_r_ff : UInt<7>, dccm_rdata_hi_m : UInt<32>, dccm_rdata_lo_m : UInt<32>, dccm_data_ecc_hi_m : UInt<7>, dccm_data_ecc_lo_m : UInt<7>, lsu_ld_data_m : UInt<32>, flip lsu_double_ecc_error_m : UInt<1>, flip sec_data_hi_m : UInt<32>, flip sec_data_lo_m : UInt<32>, flip store_data_m : UInt<32>, flip dma_dccm_wen : UInt<1>, flip dma_pic_wen : UInt<1>, flip dma_mem_tag_m : UInt<3>, flip dma_dccm_wdata_lo : UInt<32>, flip dma_dccm_wdata_hi : UInt<32>, flip dma_dccm_wdata_ecc_hi : UInt<7>, flip dma_dccm_wdata_ecc_lo : UInt<7>, store_data_hi_r : UInt<32>, store_data_lo_r : UInt<32>, store_datafn_hi_r : UInt<32>, store_datafn_lo_r : UInt<32>, store_data_r : UInt<32>, ld_single_ecc_error_r : UInt<1>, ld_single_ecc_error_r_ff : UInt<1>, picm_mask_data_m : UInt<32>, lsu_stbuf_commit_any : UInt<1>, lsu_dccm_rden_m : UInt<1>, lsu_dccm_rden_r : UInt<1>, dma_dccm_ctl : {flip dma_mem_addr : UInt<32>, flip dma_mem_wdata : UInt<64>, dccm_dma_rvalid : UInt<1>, dccm_dma_ecc_error : UInt<1>, dccm_dma_rtag : UInt<3>, dccm_dma_rdata : UInt<64>}, flip dccm : {flip wren : UInt<1>, flip rden : UInt<1>, flip wr_addr_lo : UInt<16>, flip wr_addr_hi : UInt<16>, flip rd_addr_lo : UInt<16>, flip rd_addr_hi : UInt<16>, flip wr_data_lo : UInt<39>, flip wr_data_hi : UInt<39>, rd_data_lo : UInt<39>, rd_data_hi : UInt<39>}, lsu_pic : {picm_wren : UInt<1>, picm_rden : UInt<1>, picm_mken : UInt<1>, picm_rdaddr : UInt<32>, picm_wraddr : UInt<32>, picm_wr_data : UInt<32>, flip picm_rd_data : UInt<32>}, flip scan_mode : UInt<1>}
node picm_rd_data_m = cat(io.lsu_pic.picm_rd_data, io.lsu_pic.picm_rd_data) @[Cat.scala 29:58]
node dccm_rdata_corr_r = cat(io.sec_data_hi_r, io.sec_data_lo_r) @[Cat.scala 29:58]
node dccm_rdata_corr_m = cat(io.sec_data_hi_m, io.sec_data_lo_m) @[Cat.scala 29:58]
node dccm_rdata_r = cat(io.dccm_rdata_hi_r, io.dccm_rdata_lo_r) @[Cat.scala 29:58]
node dccm_rdata_m = cat(io.dccm_rdata_hi_m, io.dccm_rdata_lo_m) @[Cat.scala 29:58]
wire lsu_rdata_r : UInt<64>
lsu_rdata_r <= UInt<1>("h00")
wire lsu_rdata_m : UInt<64>
lsu_rdata_m <= UInt<1>("h00")
wire lsu_rdata_corr_r : UInt<64>
lsu_rdata_corr_r <= UInt<1>("h00")
wire lsu_rdata_corr_m : UInt<64>
lsu_rdata_corr_m <= UInt<1>("h00")
wire stbuf_fwddata_r : UInt<64>
stbuf_fwddata_r <= UInt<1>("h00")
wire stbuf_fwdbyteen_r : UInt<64>
stbuf_fwdbyteen_r <= UInt<1>("h00")
wire picm_rd_data_r_32 : UInt<32>
picm_rd_data_r_32 <= UInt<1>("h00")
wire picm_rd_data_r : UInt<64>
picm_rd_data_r <= UInt<1>("h00")
wire lsu_ld_data_corr_m : UInt<64>
lsu_ld_data_corr_m <= UInt<1>("h00")
node _T = and(io.lsu_pkt_m.valid, io.lsu_pkt_m.bits.load) @[lsu_dccm_ctl.scala 137:63]
node _T_1 = and(_T, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 137:88]
io.dma_dccm_ctl.dccm_dma_rvalid <= _T_1 @[lsu_dccm_ctl.scala 137:41]
io.dma_dccm_ctl.dccm_dma_ecc_error <= io.lsu_double_ecc_error_m @[lsu_dccm_ctl.scala 138:41]
io.dma_dccm_ctl.dccm_dma_rdata <= lsu_rdata_corr_m @[lsu_dccm_ctl.scala 139:41]
io.dma_dccm_ctl.dccm_dma_rtag <= io.dma_mem_tag_m @[lsu_dccm_ctl.scala 140:41]
io.dccm_rdata_lo_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 141:28]
io.dccm_rdata_hi_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 142:28]
io.dccm_data_ecc_hi_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 143:28]
io.dccm_data_ecc_lo_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 144:28]
io.lsu_ld_data_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 145:28]
reg _T_2 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 147:65]
_T_2 <= lsu_ld_data_corr_m @[lsu_dccm_ctl.scala 147:65]
io.lsu_ld_data_corr_r <= _T_2 @[lsu_dccm_ctl.scala 147:28]
node _T_3 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_4 = bits(_T_3, 0, 0) @[lsu_dccm_ctl.scala 148:134]
node _T_5 = bits(_T_4, 0, 0) @[lsu_dccm_ctl.scala 148:139]
node _T_6 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_7 = bits(_T_6, 7, 0) @[lsu_dccm_ctl.scala 148:196]
node _T_8 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 148:231]
node _T_9 = bits(picm_rd_data_m, 7, 0) @[lsu_dccm_ctl.scala 148:252]
node _T_10 = bits(dccm_rdata_corr_m, 7, 0) @[lsu_dccm_ctl.scala 148:283]
node _T_11 = mux(_T_8, _T_9, _T_10) @[lsu_dccm_ctl.scala 148:213]
node _T_12 = mux(_T_5, _T_7, _T_11) @[lsu_dccm_ctl.scala 148:78]
node _T_13 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_14 = xor(UInt<8>("h0ff"), _T_13) @[Bitwise.scala 102:21]
node _T_15 = shr(_T_12, 4) @[Bitwise.scala 103:21]
node _T_16 = and(_T_15, _T_14) @[Bitwise.scala 103:31]
node _T_17 = bits(_T_12, 3, 0) @[Bitwise.scala 103:46]
node _T_18 = shl(_T_17, 4) @[Bitwise.scala 103:65]
node _T_19 = not(_T_14) @[Bitwise.scala 103:77]
node _T_20 = and(_T_18, _T_19) @[Bitwise.scala 103:75]
node _T_21 = or(_T_16, _T_20) @[Bitwise.scala 103:39]
node _T_22 = bits(_T_14, 5, 0) @[Bitwise.scala 102:28]
node _T_23 = shl(_T_22, 2) @[Bitwise.scala 102:47]
node _T_24 = xor(_T_14, _T_23) @[Bitwise.scala 102:21]
node _T_25 = shr(_T_21, 2) @[Bitwise.scala 103:21]
node _T_26 = and(_T_25, _T_24) @[Bitwise.scala 103:31]
node _T_27 = bits(_T_21, 5, 0) @[Bitwise.scala 103:46]
node _T_28 = shl(_T_27, 2) @[Bitwise.scala 103:65]
node _T_29 = not(_T_24) @[Bitwise.scala 103:77]
node _T_30 = and(_T_28, _T_29) @[Bitwise.scala 103:75]
node _T_31 = or(_T_26, _T_30) @[Bitwise.scala 103:39]
node _T_32 = bits(_T_24, 6, 0) @[Bitwise.scala 102:28]
node _T_33 = shl(_T_32, 1) @[Bitwise.scala 102:47]
node _T_34 = xor(_T_24, _T_33) @[Bitwise.scala 102:21]
node _T_35 = shr(_T_31, 1) @[Bitwise.scala 103:21]
node _T_36 = and(_T_35, _T_34) @[Bitwise.scala 103:31]
node _T_37 = bits(_T_31, 6, 0) @[Bitwise.scala 103:46]
node _T_38 = shl(_T_37, 1) @[Bitwise.scala 103:65]
node _T_39 = not(_T_34) @[Bitwise.scala 103:77]
node _T_40 = and(_T_38, _T_39) @[Bitwise.scala 103:75]
node _T_41 = or(_T_36, _T_40) @[Bitwise.scala 103:39]
node _T_42 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_43 = bits(_T_42, 1, 1) @[lsu_dccm_ctl.scala 148:134]
node _T_44 = bits(_T_43, 0, 0) @[lsu_dccm_ctl.scala 148:139]
node _T_45 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_46 = bits(_T_45, 15, 8) @[lsu_dccm_ctl.scala 148:196]
node _T_47 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 148:231]
node _T_48 = bits(picm_rd_data_m, 15, 8) @[lsu_dccm_ctl.scala 148:252]
node _T_49 = bits(dccm_rdata_corr_m, 15, 8) @[lsu_dccm_ctl.scala 148:283]
node _T_50 = mux(_T_47, _T_48, _T_49) @[lsu_dccm_ctl.scala 148:213]
node _T_51 = mux(_T_44, _T_46, _T_50) @[lsu_dccm_ctl.scala 148:78]
node _T_52 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_53 = xor(UInt<8>("h0ff"), _T_52) @[Bitwise.scala 102:21]
node _T_54 = shr(_T_51, 4) @[Bitwise.scala 103:21]
node _T_55 = and(_T_54, _T_53) @[Bitwise.scala 103:31]
node _T_56 = bits(_T_51, 3, 0) @[Bitwise.scala 103:46]
node _T_57 = shl(_T_56, 4) @[Bitwise.scala 103:65]
node _T_58 = not(_T_53) @[Bitwise.scala 103:77]
node _T_59 = and(_T_57, _T_58) @[Bitwise.scala 103:75]
node _T_60 = or(_T_55, _T_59) @[Bitwise.scala 103:39]
node _T_61 = bits(_T_53, 5, 0) @[Bitwise.scala 102:28]
node _T_62 = shl(_T_61, 2) @[Bitwise.scala 102:47]
node _T_63 = xor(_T_53, _T_62) @[Bitwise.scala 102:21]
node _T_64 = shr(_T_60, 2) @[Bitwise.scala 103:21]
node _T_65 = and(_T_64, _T_63) @[Bitwise.scala 103:31]
node _T_66 = bits(_T_60, 5, 0) @[Bitwise.scala 103:46]
node _T_67 = shl(_T_66, 2) @[Bitwise.scala 103:65]
node _T_68 = not(_T_63) @[Bitwise.scala 103:77]
node _T_69 = and(_T_67, _T_68) @[Bitwise.scala 103:75]
node _T_70 = or(_T_65, _T_69) @[Bitwise.scala 103:39]
node _T_71 = bits(_T_63, 6, 0) @[Bitwise.scala 102:28]
node _T_72 = shl(_T_71, 1) @[Bitwise.scala 102:47]
node _T_73 = xor(_T_63, _T_72) @[Bitwise.scala 102:21]
node _T_74 = shr(_T_70, 1) @[Bitwise.scala 103:21]
node _T_75 = and(_T_74, _T_73) @[Bitwise.scala 103:31]
node _T_76 = bits(_T_70, 6, 0) @[Bitwise.scala 103:46]
node _T_77 = shl(_T_76, 1) @[Bitwise.scala 103:65]
node _T_78 = not(_T_73) @[Bitwise.scala 103:77]
node _T_79 = and(_T_77, _T_78) @[Bitwise.scala 103:75]
node _T_80 = or(_T_75, _T_79) @[Bitwise.scala 103:39]
node _T_81 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_82 = bits(_T_81, 2, 2) @[lsu_dccm_ctl.scala 148:134]
node _T_83 = bits(_T_82, 0, 0) @[lsu_dccm_ctl.scala 148:139]
node _T_84 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_85 = bits(_T_84, 23, 16) @[lsu_dccm_ctl.scala 148:196]
node _T_86 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 148:231]
node _T_87 = bits(picm_rd_data_m, 23, 16) @[lsu_dccm_ctl.scala 148:252]
node _T_88 = bits(dccm_rdata_corr_m, 23, 16) @[lsu_dccm_ctl.scala 148:283]
node _T_89 = mux(_T_86, _T_87, _T_88) @[lsu_dccm_ctl.scala 148:213]
node _T_90 = mux(_T_83, _T_85, _T_89) @[lsu_dccm_ctl.scala 148:78]
node _T_91 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_92 = xor(UInt<8>("h0ff"), _T_91) @[Bitwise.scala 102:21]
node _T_93 = shr(_T_90, 4) @[Bitwise.scala 103:21]
node _T_94 = and(_T_93, _T_92) @[Bitwise.scala 103:31]
node _T_95 = bits(_T_90, 3, 0) @[Bitwise.scala 103:46]
node _T_96 = shl(_T_95, 4) @[Bitwise.scala 103:65]
node _T_97 = not(_T_92) @[Bitwise.scala 103:77]
node _T_98 = and(_T_96, _T_97) @[Bitwise.scala 103:75]
node _T_99 = or(_T_94, _T_98) @[Bitwise.scala 103:39]
node _T_100 = bits(_T_92, 5, 0) @[Bitwise.scala 102:28]
node _T_101 = shl(_T_100, 2) @[Bitwise.scala 102:47]
node _T_102 = xor(_T_92, _T_101) @[Bitwise.scala 102:21]
node _T_103 = shr(_T_99, 2) @[Bitwise.scala 103:21]
node _T_104 = and(_T_103, _T_102) @[Bitwise.scala 103:31]
node _T_105 = bits(_T_99, 5, 0) @[Bitwise.scala 103:46]
node _T_106 = shl(_T_105, 2) @[Bitwise.scala 103:65]
node _T_107 = not(_T_102) @[Bitwise.scala 103:77]
node _T_108 = and(_T_106, _T_107) @[Bitwise.scala 103:75]
node _T_109 = or(_T_104, _T_108) @[Bitwise.scala 103:39]
node _T_110 = bits(_T_102, 6, 0) @[Bitwise.scala 102:28]
node _T_111 = shl(_T_110, 1) @[Bitwise.scala 102:47]
node _T_112 = xor(_T_102, _T_111) @[Bitwise.scala 102:21]
node _T_113 = shr(_T_109, 1) @[Bitwise.scala 103:21]
node _T_114 = and(_T_113, _T_112) @[Bitwise.scala 103:31]
node _T_115 = bits(_T_109, 6, 0) @[Bitwise.scala 103:46]
node _T_116 = shl(_T_115, 1) @[Bitwise.scala 103:65]
node _T_117 = not(_T_112) @[Bitwise.scala 103:77]
node _T_118 = and(_T_116, _T_117) @[Bitwise.scala 103:75]
node _T_119 = or(_T_114, _T_118) @[Bitwise.scala 103:39]
node _T_120 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_121 = bits(_T_120, 3, 3) @[lsu_dccm_ctl.scala 148:134]
node _T_122 = bits(_T_121, 0, 0) @[lsu_dccm_ctl.scala 148:139]
node _T_123 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_124 = bits(_T_123, 31, 24) @[lsu_dccm_ctl.scala 148:196]
node _T_125 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 148:231]
node _T_126 = bits(picm_rd_data_m, 31, 24) @[lsu_dccm_ctl.scala 148:252]
node _T_127 = bits(dccm_rdata_corr_m, 31, 24) @[lsu_dccm_ctl.scala 148:283]
node _T_128 = mux(_T_125, _T_126, _T_127) @[lsu_dccm_ctl.scala 148:213]
node _T_129 = mux(_T_122, _T_124, _T_128) @[lsu_dccm_ctl.scala 148:78]
node _T_130 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_131 = xor(UInt<8>("h0ff"), _T_130) @[Bitwise.scala 102:21]
node _T_132 = shr(_T_129, 4) @[Bitwise.scala 103:21]
node _T_133 = and(_T_132, _T_131) @[Bitwise.scala 103:31]
node _T_134 = bits(_T_129, 3, 0) @[Bitwise.scala 103:46]
node _T_135 = shl(_T_134, 4) @[Bitwise.scala 103:65]
node _T_136 = not(_T_131) @[Bitwise.scala 103:77]
node _T_137 = and(_T_135, _T_136) @[Bitwise.scala 103:75]
node _T_138 = or(_T_133, _T_137) @[Bitwise.scala 103:39]
node _T_139 = bits(_T_131, 5, 0) @[Bitwise.scala 102:28]
node _T_140 = shl(_T_139, 2) @[Bitwise.scala 102:47]
node _T_141 = xor(_T_131, _T_140) @[Bitwise.scala 102:21]
node _T_142 = shr(_T_138, 2) @[Bitwise.scala 103:21]
node _T_143 = and(_T_142, _T_141) @[Bitwise.scala 103:31]
node _T_144 = bits(_T_138, 5, 0) @[Bitwise.scala 103:46]
node _T_145 = shl(_T_144, 2) @[Bitwise.scala 103:65]
node _T_146 = not(_T_141) @[Bitwise.scala 103:77]
node _T_147 = and(_T_145, _T_146) @[Bitwise.scala 103:75]
node _T_148 = or(_T_143, _T_147) @[Bitwise.scala 103:39]
node _T_149 = bits(_T_141, 6, 0) @[Bitwise.scala 102:28]
node _T_150 = shl(_T_149, 1) @[Bitwise.scala 102:47]
node _T_151 = xor(_T_141, _T_150) @[Bitwise.scala 102:21]
node _T_152 = shr(_T_148, 1) @[Bitwise.scala 103:21]
node _T_153 = and(_T_152, _T_151) @[Bitwise.scala 103:31]
node _T_154 = bits(_T_148, 6, 0) @[Bitwise.scala 103:46]
node _T_155 = shl(_T_154, 1) @[Bitwise.scala 103:65]
node _T_156 = not(_T_151) @[Bitwise.scala 103:77]
node _T_157 = and(_T_155, _T_156) @[Bitwise.scala 103:75]
node _T_158 = or(_T_153, _T_157) @[Bitwise.scala 103:39]
node _T_159 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_160 = bits(_T_159, 4, 4) @[lsu_dccm_ctl.scala 148:134]
node _T_161 = bits(_T_160, 0, 0) @[lsu_dccm_ctl.scala 148:139]
node _T_162 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_163 = bits(_T_162, 39, 32) @[lsu_dccm_ctl.scala 148:196]
node _T_164 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 148:231]
node _T_165 = bits(picm_rd_data_m, 39, 32) @[lsu_dccm_ctl.scala 148:252]
node _T_166 = bits(dccm_rdata_corr_m, 39, 32) @[lsu_dccm_ctl.scala 148:283]
node _T_167 = mux(_T_164, _T_165, _T_166) @[lsu_dccm_ctl.scala 148:213]
node _T_168 = mux(_T_161, _T_163, _T_167) @[lsu_dccm_ctl.scala 148:78]
node _T_169 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_170 = xor(UInt<8>("h0ff"), _T_169) @[Bitwise.scala 102:21]
node _T_171 = shr(_T_168, 4) @[Bitwise.scala 103:21]
node _T_172 = and(_T_171, _T_170) @[Bitwise.scala 103:31]
node _T_173 = bits(_T_168, 3, 0) @[Bitwise.scala 103:46]
node _T_174 = shl(_T_173, 4) @[Bitwise.scala 103:65]
node _T_175 = not(_T_170) @[Bitwise.scala 103:77]
node _T_176 = and(_T_174, _T_175) @[Bitwise.scala 103:75]
node _T_177 = or(_T_172, _T_176) @[Bitwise.scala 103:39]
node _T_178 = bits(_T_170, 5, 0) @[Bitwise.scala 102:28]
node _T_179 = shl(_T_178, 2) @[Bitwise.scala 102:47]
node _T_180 = xor(_T_170, _T_179) @[Bitwise.scala 102:21]
node _T_181 = shr(_T_177, 2) @[Bitwise.scala 103:21]
node _T_182 = and(_T_181, _T_180) @[Bitwise.scala 103:31]
node _T_183 = bits(_T_177, 5, 0) @[Bitwise.scala 103:46]
node _T_184 = shl(_T_183, 2) @[Bitwise.scala 103:65]
node _T_185 = not(_T_180) @[Bitwise.scala 103:77]
node _T_186 = and(_T_184, _T_185) @[Bitwise.scala 103:75]
node _T_187 = or(_T_182, _T_186) @[Bitwise.scala 103:39]
node _T_188 = bits(_T_180, 6, 0) @[Bitwise.scala 102:28]
node _T_189 = shl(_T_188, 1) @[Bitwise.scala 102:47]
node _T_190 = xor(_T_180, _T_189) @[Bitwise.scala 102:21]
node _T_191 = shr(_T_187, 1) @[Bitwise.scala 103:21]
node _T_192 = and(_T_191, _T_190) @[Bitwise.scala 103:31]
node _T_193 = bits(_T_187, 6, 0) @[Bitwise.scala 103:46]
node _T_194 = shl(_T_193, 1) @[Bitwise.scala 103:65]
node _T_195 = not(_T_190) @[Bitwise.scala 103:77]
node _T_196 = and(_T_194, _T_195) @[Bitwise.scala 103:75]
node _T_197 = or(_T_192, _T_196) @[Bitwise.scala 103:39]
node _T_198 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_199 = bits(_T_198, 5, 5) @[lsu_dccm_ctl.scala 148:134]
node _T_200 = bits(_T_199, 0, 0) @[lsu_dccm_ctl.scala 148:139]
node _T_201 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_202 = bits(_T_201, 47, 40) @[lsu_dccm_ctl.scala 148:196]
node _T_203 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 148:231]
node _T_204 = bits(picm_rd_data_m, 47, 40) @[lsu_dccm_ctl.scala 148:252]
node _T_205 = bits(dccm_rdata_corr_m, 47, 40) @[lsu_dccm_ctl.scala 148:283]
node _T_206 = mux(_T_203, _T_204, _T_205) @[lsu_dccm_ctl.scala 148:213]
node _T_207 = mux(_T_200, _T_202, _T_206) @[lsu_dccm_ctl.scala 148:78]
node _T_208 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_209 = xor(UInt<8>("h0ff"), _T_208) @[Bitwise.scala 102:21]
node _T_210 = shr(_T_207, 4) @[Bitwise.scala 103:21]
node _T_211 = and(_T_210, _T_209) @[Bitwise.scala 103:31]
node _T_212 = bits(_T_207, 3, 0) @[Bitwise.scala 103:46]
node _T_213 = shl(_T_212, 4) @[Bitwise.scala 103:65]
node _T_214 = not(_T_209) @[Bitwise.scala 103:77]
node _T_215 = and(_T_213, _T_214) @[Bitwise.scala 103:75]
node _T_216 = or(_T_211, _T_215) @[Bitwise.scala 103:39]
node _T_217 = bits(_T_209, 5, 0) @[Bitwise.scala 102:28]
node _T_218 = shl(_T_217, 2) @[Bitwise.scala 102:47]
node _T_219 = xor(_T_209, _T_218) @[Bitwise.scala 102:21]
node _T_220 = shr(_T_216, 2) @[Bitwise.scala 103:21]
node _T_221 = and(_T_220, _T_219) @[Bitwise.scala 103:31]
node _T_222 = bits(_T_216, 5, 0) @[Bitwise.scala 103:46]
node _T_223 = shl(_T_222, 2) @[Bitwise.scala 103:65]
node _T_224 = not(_T_219) @[Bitwise.scala 103:77]
node _T_225 = and(_T_223, _T_224) @[Bitwise.scala 103:75]
node _T_226 = or(_T_221, _T_225) @[Bitwise.scala 103:39]
node _T_227 = bits(_T_219, 6, 0) @[Bitwise.scala 102:28]
node _T_228 = shl(_T_227, 1) @[Bitwise.scala 102:47]
node _T_229 = xor(_T_219, _T_228) @[Bitwise.scala 102:21]
node _T_230 = shr(_T_226, 1) @[Bitwise.scala 103:21]
node _T_231 = and(_T_230, _T_229) @[Bitwise.scala 103:31]
node _T_232 = bits(_T_226, 6, 0) @[Bitwise.scala 103:46]
node _T_233 = shl(_T_232, 1) @[Bitwise.scala 103:65]
node _T_234 = not(_T_229) @[Bitwise.scala 103:77]
node _T_235 = and(_T_233, _T_234) @[Bitwise.scala 103:75]
node _T_236 = or(_T_231, _T_235) @[Bitwise.scala 103:39]
node _T_237 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_238 = bits(_T_237, 6, 6) @[lsu_dccm_ctl.scala 148:134]
node _T_239 = bits(_T_238, 0, 0) @[lsu_dccm_ctl.scala 148:139]
node _T_240 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_241 = bits(_T_240, 55, 48) @[lsu_dccm_ctl.scala 148:196]
node _T_242 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 148:231]
node _T_243 = bits(picm_rd_data_m, 55, 48) @[lsu_dccm_ctl.scala 148:252]
node _T_244 = bits(dccm_rdata_corr_m, 55, 48) @[lsu_dccm_ctl.scala 148:283]
node _T_245 = mux(_T_242, _T_243, _T_244) @[lsu_dccm_ctl.scala 148:213]
node _T_246 = mux(_T_239, _T_241, _T_245) @[lsu_dccm_ctl.scala 148:78]
node _T_247 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_248 = xor(UInt<8>("h0ff"), _T_247) @[Bitwise.scala 102:21]
node _T_249 = shr(_T_246, 4) @[Bitwise.scala 103:21]
node _T_250 = and(_T_249, _T_248) @[Bitwise.scala 103:31]
node _T_251 = bits(_T_246, 3, 0) @[Bitwise.scala 103:46]
node _T_252 = shl(_T_251, 4) @[Bitwise.scala 103:65]
node _T_253 = not(_T_248) @[Bitwise.scala 103:77]
node _T_254 = and(_T_252, _T_253) @[Bitwise.scala 103:75]
node _T_255 = or(_T_250, _T_254) @[Bitwise.scala 103:39]
node _T_256 = bits(_T_248, 5, 0) @[Bitwise.scala 102:28]
node _T_257 = shl(_T_256, 2) @[Bitwise.scala 102:47]
node _T_258 = xor(_T_248, _T_257) @[Bitwise.scala 102:21]
node _T_259 = shr(_T_255, 2) @[Bitwise.scala 103:21]
node _T_260 = and(_T_259, _T_258) @[Bitwise.scala 103:31]
node _T_261 = bits(_T_255, 5, 0) @[Bitwise.scala 103:46]
node _T_262 = shl(_T_261, 2) @[Bitwise.scala 103:65]
node _T_263 = not(_T_258) @[Bitwise.scala 103:77]
node _T_264 = and(_T_262, _T_263) @[Bitwise.scala 103:75]
node _T_265 = or(_T_260, _T_264) @[Bitwise.scala 103:39]
node _T_266 = bits(_T_258, 6, 0) @[Bitwise.scala 102:28]
node _T_267 = shl(_T_266, 1) @[Bitwise.scala 102:47]
node _T_268 = xor(_T_258, _T_267) @[Bitwise.scala 102:21]
node _T_269 = shr(_T_265, 1) @[Bitwise.scala 103:21]
node _T_270 = and(_T_269, _T_268) @[Bitwise.scala 103:31]
node _T_271 = bits(_T_265, 6, 0) @[Bitwise.scala 103:46]
node _T_272 = shl(_T_271, 1) @[Bitwise.scala 103:65]
node _T_273 = not(_T_268) @[Bitwise.scala 103:77]
node _T_274 = and(_T_272, _T_273) @[Bitwise.scala 103:75]
node _T_275 = or(_T_270, _T_274) @[Bitwise.scala 103:39]
node _T_276 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_277 = bits(_T_276, 7, 7) @[lsu_dccm_ctl.scala 148:134]
node _T_278 = bits(_T_277, 0, 0) @[lsu_dccm_ctl.scala 148:139]
node _T_279 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_280 = bits(_T_279, 63, 56) @[lsu_dccm_ctl.scala 148:196]
node _T_281 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 148:231]
node _T_282 = bits(picm_rd_data_m, 63, 56) @[lsu_dccm_ctl.scala 148:252]
node _T_283 = bits(dccm_rdata_corr_m, 63, 56) @[lsu_dccm_ctl.scala 148:283]
node _T_284 = mux(_T_281, _T_282, _T_283) @[lsu_dccm_ctl.scala 148:213]
node _T_285 = mux(_T_278, _T_280, _T_284) @[lsu_dccm_ctl.scala 148:78]
node _T_286 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_287 = xor(UInt<8>("h0ff"), _T_286) @[Bitwise.scala 102:21]
node _T_288 = shr(_T_285, 4) @[Bitwise.scala 103:21]
node _T_289 = and(_T_288, _T_287) @[Bitwise.scala 103:31]
node _T_290 = bits(_T_285, 3, 0) @[Bitwise.scala 103:46]
node _T_291 = shl(_T_290, 4) @[Bitwise.scala 103:65]
node _T_292 = not(_T_287) @[Bitwise.scala 103:77]
node _T_293 = and(_T_291, _T_292) @[Bitwise.scala 103:75]
node _T_294 = or(_T_289, _T_293) @[Bitwise.scala 103:39]
node _T_295 = bits(_T_287, 5, 0) @[Bitwise.scala 102:28]
node _T_296 = shl(_T_295, 2) @[Bitwise.scala 102:47]
node _T_297 = xor(_T_287, _T_296) @[Bitwise.scala 102:21]
node _T_298 = shr(_T_294, 2) @[Bitwise.scala 103:21]
node _T_299 = and(_T_298, _T_297) @[Bitwise.scala 103:31]
node _T_300 = bits(_T_294, 5, 0) @[Bitwise.scala 103:46]
node _T_301 = shl(_T_300, 2) @[Bitwise.scala 103:65]
node _T_302 = not(_T_297) @[Bitwise.scala 103:77]
node _T_303 = and(_T_301, _T_302) @[Bitwise.scala 103:75]
node _T_304 = or(_T_299, _T_303) @[Bitwise.scala 103:39]
node _T_305 = bits(_T_297, 6, 0) @[Bitwise.scala 102:28]
node _T_306 = shl(_T_305, 1) @[Bitwise.scala 102:47]
node _T_307 = xor(_T_297, _T_306) @[Bitwise.scala 102:21]
node _T_308 = shr(_T_304, 1) @[Bitwise.scala 103:21]
node _T_309 = and(_T_308, _T_307) @[Bitwise.scala 103:31]
node _T_310 = bits(_T_304, 6, 0) @[Bitwise.scala 103:46]
node _T_311 = shl(_T_310, 1) @[Bitwise.scala 103:65]
node _T_312 = not(_T_307) @[Bitwise.scala 103:77]
node _T_313 = and(_T_311, _T_312) @[Bitwise.scala 103:75]
node _T_314 = or(_T_309, _T_313) @[Bitwise.scala 103:39]
wire _T_315 : UInt<8>[8] @[lsu_dccm_ctl.scala 148:62]
_T_315[0] <= _T_41 @[lsu_dccm_ctl.scala 148:62]
_T_315[1] <= _T_80 @[lsu_dccm_ctl.scala 148:62]
_T_315[2] <= _T_119 @[lsu_dccm_ctl.scala 148:62]
_T_315[3] <= _T_158 @[lsu_dccm_ctl.scala 148:62]
_T_315[4] <= _T_197 @[lsu_dccm_ctl.scala 148:62]
_T_315[5] <= _T_236 @[lsu_dccm_ctl.scala 148:62]
_T_315[6] <= _T_275 @[lsu_dccm_ctl.scala 148:62]
_T_315[7] <= _T_314 @[lsu_dccm_ctl.scala 148:62]
node _T_316 = cat(_T_315[6], _T_315[7]) @[Cat.scala 29:58]
node _T_317 = cat(_T_315[4], _T_315[5]) @[Cat.scala 29:58]
node _T_318 = cat(_T_317, _T_316) @[Cat.scala 29:58]
node _T_319 = cat(_T_315[2], _T_315[3]) @[Cat.scala 29:58]
node _T_320 = cat(_T_315[0], _T_315[1]) @[Cat.scala 29:58]
node _T_321 = cat(_T_320, _T_319) @[Cat.scala 29:58]
node _T_322 = cat(_T_321, _T_318) @[Cat.scala 29:58]
node _T_323 = shl(UInt<32>("h0ffffffff"), 32) @[Bitwise.scala 102:47]
node _T_324 = xor(UInt<64>("h0ffffffffffffffff"), _T_323) @[Bitwise.scala 102:21]
node _T_325 = shr(_T_322, 32) @[Bitwise.scala 103:21]
node _T_326 = and(_T_325, _T_324) @[Bitwise.scala 103:31]
node _T_327 = bits(_T_322, 31, 0) @[Bitwise.scala 103:46]
node _T_328 = shl(_T_327, 32) @[Bitwise.scala 103:65]
node _T_329 = not(_T_324) @[Bitwise.scala 103:77]
node _T_330 = and(_T_328, _T_329) @[Bitwise.scala 103:75]
node _T_331 = or(_T_326, _T_330) @[Bitwise.scala 103:39]
node _T_332 = bits(_T_324, 47, 0) @[Bitwise.scala 102:28]
node _T_333 = shl(_T_332, 16) @[Bitwise.scala 102:47]
node _T_334 = xor(_T_324, _T_333) @[Bitwise.scala 102:21]
node _T_335 = shr(_T_331, 16) @[Bitwise.scala 103:21]
node _T_336 = and(_T_335, _T_334) @[Bitwise.scala 103:31]
node _T_337 = bits(_T_331, 47, 0) @[Bitwise.scala 103:46]
node _T_338 = shl(_T_337, 16) @[Bitwise.scala 103:65]
node _T_339 = not(_T_334) @[Bitwise.scala 103:77]
node _T_340 = and(_T_338, _T_339) @[Bitwise.scala 103:75]
node _T_341 = or(_T_336, _T_340) @[Bitwise.scala 103:39]
node _T_342 = bits(_T_334, 55, 0) @[Bitwise.scala 102:28]
node _T_343 = shl(_T_342, 8) @[Bitwise.scala 102:47]
node _T_344 = xor(_T_334, _T_343) @[Bitwise.scala 102:21]
node _T_345 = shr(_T_341, 8) @[Bitwise.scala 103:21]
node _T_346 = and(_T_345, _T_344) @[Bitwise.scala 103:31]
node _T_347 = bits(_T_341, 55, 0) @[Bitwise.scala 103:46]
node _T_348 = shl(_T_347, 8) @[Bitwise.scala 103:65]
node _T_349 = not(_T_344) @[Bitwise.scala 103:77]
node _T_350 = and(_T_348, _T_349) @[Bitwise.scala 103:75]
node _T_351 = or(_T_346, _T_350) @[Bitwise.scala 103:39]
node _T_352 = bits(_T_344, 59, 0) @[Bitwise.scala 102:28]
node _T_353 = shl(_T_352, 4) @[Bitwise.scala 102:47]
node _T_354 = xor(_T_344, _T_353) @[Bitwise.scala 102:21]
node _T_355 = shr(_T_351, 4) @[Bitwise.scala 103:21]
node _T_356 = and(_T_355, _T_354) @[Bitwise.scala 103:31]
node _T_357 = bits(_T_351, 59, 0) @[Bitwise.scala 103:46]
node _T_358 = shl(_T_357, 4) @[Bitwise.scala 103:65]
node _T_359 = not(_T_354) @[Bitwise.scala 103:77]
node _T_360 = and(_T_358, _T_359) @[Bitwise.scala 103:75]
node _T_361 = or(_T_356, _T_360) @[Bitwise.scala 103:39]
node _T_362 = bits(_T_354, 61, 0) @[Bitwise.scala 102:28]
node _T_363 = shl(_T_362, 2) @[Bitwise.scala 102:47]
node _T_364 = xor(_T_354, _T_363) @[Bitwise.scala 102:21]
node _T_365 = shr(_T_361, 2) @[Bitwise.scala 103:21]
node _T_366 = and(_T_365, _T_364) @[Bitwise.scala 103:31]
node _T_367 = bits(_T_361, 61, 0) @[Bitwise.scala 103:46]
node _T_368 = shl(_T_367, 2) @[Bitwise.scala 103:65]
node _T_369 = not(_T_364) @[Bitwise.scala 103:77]
node _T_370 = and(_T_368, _T_369) @[Bitwise.scala 103:75]
node _T_371 = or(_T_366, _T_370) @[Bitwise.scala 103:39]
node _T_372 = bits(_T_364, 62, 0) @[Bitwise.scala 102:28]
node _T_373 = shl(_T_372, 1) @[Bitwise.scala 102:47]
node _T_374 = xor(_T_364, _T_373) @[Bitwise.scala 102:21]
node _T_375 = shr(_T_371, 1) @[Bitwise.scala 103:21]
node _T_376 = and(_T_375, _T_374) @[Bitwise.scala 103:31]
node _T_377 = bits(_T_371, 62, 0) @[Bitwise.scala 103:46]
node _T_378 = shl(_T_377, 1) @[Bitwise.scala 103:65]
node _T_379 = not(_T_374) @[Bitwise.scala 103:77]
node _T_380 = and(_T_378, _T_379) @[Bitwise.scala 103:75]
node _T_381 = or(_T_376, _T_380) @[Bitwise.scala 103:39]
lsu_rdata_corr_m <= _T_381 @[lsu_dccm_ctl.scala 148:28]
node _T_382 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_383 = bits(_T_382, 0, 0) @[lsu_dccm_ctl.scala 149:134]
node _T_384 = bits(_T_383, 0, 0) @[lsu_dccm_ctl.scala 149:139]
node _T_385 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_386 = bits(_T_385, 7, 0) @[lsu_dccm_ctl.scala 149:196]
node _T_387 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 149:231]
node _T_388 = bits(picm_rd_data_m, 7, 0) @[lsu_dccm_ctl.scala 149:252]
node _T_389 = bits(dccm_rdata_m, 7, 0) @[lsu_dccm_ctl.scala 149:278]
node _T_390 = mux(_T_387, _T_388, _T_389) @[lsu_dccm_ctl.scala 149:213]
node _T_391 = mux(_T_384, _T_386, _T_390) @[lsu_dccm_ctl.scala 149:78]
node _T_392 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_393 = xor(UInt<8>("h0ff"), _T_392) @[Bitwise.scala 102:21]
node _T_394 = shr(_T_391, 4) @[Bitwise.scala 103:21]
node _T_395 = and(_T_394, _T_393) @[Bitwise.scala 103:31]
node _T_396 = bits(_T_391, 3, 0) @[Bitwise.scala 103:46]
node _T_397 = shl(_T_396, 4) @[Bitwise.scala 103:65]
node _T_398 = not(_T_393) @[Bitwise.scala 103:77]
node _T_399 = and(_T_397, _T_398) @[Bitwise.scala 103:75]
node _T_400 = or(_T_395, _T_399) @[Bitwise.scala 103:39]
node _T_401 = bits(_T_393, 5, 0) @[Bitwise.scala 102:28]
node _T_402 = shl(_T_401, 2) @[Bitwise.scala 102:47]
node _T_403 = xor(_T_393, _T_402) @[Bitwise.scala 102:21]
node _T_404 = shr(_T_400, 2) @[Bitwise.scala 103:21]
node _T_405 = and(_T_404, _T_403) @[Bitwise.scala 103:31]
node _T_406 = bits(_T_400, 5, 0) @[Bitwise.scala 103:46]
node _T_407 = shl(_T_406, 2) @[Bitwise.scala 103:65]
node _T_408 = not(_T_403) @[Bitwise.scala 103:77]
node _T_409 = and(_T_407, _T_408) @[Bitwise.scala 103:75]
node _T_410 = or(_T_405, _T_409) @[Bitwise.scala 103:39]
node _T_411 = bits(_T_403, 6, 0) @[Bitwise.scala 102:28]
node _T_412 = shl(_T_411, 1) @[Bitwise.scala 102:47]
node _T_413 = xor(_T_403, _T_412) @[Bitwise.scala 102:21]
node _T_414 = shr(_T_410, 1) @[Bitwise.scala 103:21]
node _T_415 = and(_T_414, _T_413) @[Bitwise.scala 103:31]
node _T_416 = bits(_T_410, 6, 0) @[Bitwise.scala 103:46]
node _T_417 = shl(_T_416, 1) @[Bitwise.scala 103:65]
node _T_418 = not(_T_413) @[Bitwise.scala 103:77]
node _T_419 = and(_T_417, _T_418) @[Bitwise.scala 103:75]
node _T_420 = or(_T_415, _T_419) @[Bitwise.scala 103:39]
node _T_421 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_422 = bits(_T_421, 1, 1) @[lsu_dccm_ctl.scala 149:134]
node _T_423 = bits(_T_422, 0, 0) @[lsu_dccm_ctl.scala 149:139]
node _T_424 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_425 = bits(_T_424, 15, 8) @[lsu_dccm_ctl.scala 149:196]
node _T_426 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 149:231]
node _T_427 = bits(picm_rd_data_m, 15, 8) @[lsu_dccm_ctl.scala 149:252]
node _T_428 = bits(dccm_rdata_m, 15, 8) @[lsu_dccm_ctl.scala 149:278]
node _T_429 = mux(_T_426, _T_427, _T_428) @[lsu_dccm_ctl.scala 149:213]
node _T_430 = mux(_T_423, _T_425, _T_429) @[lsu_dccm_ctl.scala 149:78]
node _T_431 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_432 = xor(UInt<8>("h0ff"), _T_431) @[Bitwise.scala 102:21]
node _T_433 = shr(_T_430, 4) @[Bitwise.scala 103:21]
node _T_434 = and(_T_433, _T_432) @[Bitwise.scala 103:31]
node _T_435 = bits(_T_430, 3, 0) @[Bitwise.scala 103:46]
node _T_436 = shl(_T_435, 4) @[Bitwise.scala 103:65]
node _T_437 = not(_T_432) @[Bitwise.scala 103:77]
node _T_438 = and(_T_436, _T_437) @[Bitwise.scala 103:75]
node _T_439 = or(_T_434, _T_438) @[Bitwise.scala 103:39]
node _T_440 = bits(_T_432, 5, 0) @[Bitwise.scala 102:28]
node _T_441 = shl(_T_440, 2) @[Bitwise.scala 102:47]
node _T_442 = xor(_T_432, _T_441) @[Bitwise.scala 102:21]
node _T_443 = shr(_T_439, 2) @[Bitwise.scala 103:21]
node _T_444 = and(_T_443, _T_442) @[Bitwise.scala 103:31]
node _T_445 = bits(_T_439, 5, 0) @[Bitwise.scala 103:46]
node _T_446 = shl(_T_445, 2) @[Bitwise.scala 103:65]
node _T_447 = not(_T_442) @[Bitwise.scala 103:77]
node _T_448 = and(_T_446, _T_447) @[Bitwise.scala 103:75]
node _T_449 = or(_T_444, _T_448) @[Bitwise.scala 103:39]
node _T_450 = bits(_T_442, 6, 0) @[Bitwise.scala 102:28]
node _T_451 = shl(_T_450, 1) @[Bitwise.scala 102:47]
node _T_452 = xor(_T_442, _T_451) @[Bitwise.scala 102:21]
node _T_453 = shr(_T_449, 1) @[Bitwise.scala 103:21]
node _T_454 = and(_T_453, _T_452) @[Bitwise.scala 103:31]
node _T_455 = bits(_T_449, 6, 0) @[Bitwise.scala 103:46]
node _T_456 = shl(_T_455, 1) @[Bitwise.scala 103:65]
node _T_457 = not(_T_452) @[Bitwise.scala 103:77]
node _T_458 = and(_T_456, _T_457) @[Bitwise.scala 103:75]
node _T_459 = or(_T_454, _T_458) @[Bitwise.scala 103:39]
node _T_460 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_461 = bits(_T_460, 2, 2) @[lsu_dccm_ctl.scala 149:134]
node _T_462 = bits(_T_461, 0, 0) @[lsu_dccm_ctl.scala 149:139]
node _T_463 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_464 = bits(_T_463, 23, 16) @[lsu_dccm_ctl.scala 149:196]
node _T_465 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 149:231]
node _T_466 = bits(picm_rd_data_m, 23, 16) @[lsu_dccm_ctl.scala 149:252]
node _T_467 = bits(dccm_rdata_m, 23, 16) @[lsu_dccm_ctl.scala 149:278]
node _T_468 = mux(_T_465, _T_466, _T_467) @[lsu_dccm_ctl.scala 149:213]
node _T_469 = mux(_T_462, _T_464, _T_468) @[lsu_dccm_ctl.scala 149:78]
node _T_470 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_471 = xor(UInt<8>("h0ff"), _T_470) @[Bitwise.scala 102:21]
node _T_472 = shr(_T_469, 4) @[Bitwise.scala 103:21]
node _T_473 = and(_T_472, _T_471) @[Bitwise.scala 103:31]
node _T_474 = bits(_T_469, 3, 0) @[Bitwise.scala 103:46]
node _T_475 = shl(_T_474, 4) @[Bitwise.scala 103:65]
node _T_476 = not(_T_471) @[Bitwise.scala 103:77]
node _T_477 = and(_T_475, _T_476) @[Bitwise.scala 103:75]
node _T_478 = or(_T_473, _T_477) @[Bitwise.scala 103:39]
node _T_479 = bits(_T_471, 5, 0) @[Bitwise.scala 102:28]
node _T_480 = shl(_T_479, 2) @[Bitwise.scala 102:47]
node _T_481 = xor(_T_471, _T_480) @[Bitwise.scala 102:21]
node _T_482 = shr(_T_478, 2) @[Bitwise.scala 103:21]
node _T_483 = and(_T_482, _T_481) @[Bitwise.scala 103:31]
node _T_484 = bits(_T_478, 5, 0) @[Bitwise.scala 103:46]
node _T_485 = shl(_T_484, 2) @[Bitwise.scala 103:65]
node _T_486 = not(_T_481) @[Bitwise.scala 103:77]
node _T_487 = and(_T_485, _T_486) @[Bitwise.scala 103:75]
node _T_488 = or(_T_483, _T_487) @[Bitwise.scala 103:39]
node _T_489 = bits(_T_481, 6, 0) @[Bitwise.scala 102:28]
node _T_490 = shl(_T_489, 1) @[Bitwise.scala 102:47]
node _T_491 = xor(_T_481, _T_490) @[Bitwise.scala 102:21]
node _T_492 = shr(_T_488, 1) @[Bitwise.scala 103:21]
node _T_493 = and(_T_492, _T_491) @[Bitwise.scala 103:31]
node _T_494 = bits(_T_488, 6, 0) @[Bitwise.scala 103:46]
node _T_495 = shl(_T_494, 1) @[Bitwise.scala 103:65]
node _T_496 = not(_T_491) @[Bitwise.scala 103:77]
node _T_497 = and(_T_495, _T_496) @[Bitwise.scala 103:75]
node _T_498 = or(_T_493, _T_497) @[Bitwise.scala 103:39]
node _T_499 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_500 = bits(_T_499, 3, 3) @[lsu_dccm_ctl.scala 149:134]
node _T_501 = bits(_T_500, 0, 0) @[lsu_dccm_ctl.scala 149:139]
node _T_502 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_503 = bits(_T_502, 31, 24) @[lsu_dccm_ctl.scala 149:196]
node _T_504 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 149:231]
node _T_505 = bits(picm_rd_data_m, 31, 24) @[lsu_dccm_ctl.scala 149:252]
node _T_506 = bits(dccm_rdata_m, 31, 24) @[lsu_dccm_ctl.scala 149:278]
node _T_507 = mux(_T_504, _T_505, _T_506) @[lsu_dccm_ctl.scala 149:213]
node _T_508 = mux(_T_501, _T_503, _T_507) @[lsu_dccm_ctl.scala 149:78]
node _T_509 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_510 = xor(UInt<8>("h0ff"), _T_509) @[Bitwise.scala 102:21]
node _T_511 = shr(_T_508, 4) @[Bitwise.scala 103:21]
node _T_512 = and(_T_511, _T_510) @[Bitwise.scala 103:31]
node _T_513 = bits(_T_508, 3, 0) @[Bitwise.scala 103:46]
node _T_514 = shl(_T_513, 4) @[Bitwise.scala 103:65]
node _T_515 = not(_T_510) @[Bitwise.scala 103:77]
node _T_516 = and(_T_514, _T_515) @[Bitwise.scala 103:75]
node _T_517 = or(_T_512, _T_516) @[Bitwise.scala 103:39]
node _T_518 = bits(_T_510, 5, 0) @[Bitwise.scala 102:28]
node _T_519 = shl(_T_518, 2) @[Bitwise.scala 102:47]
node _T_520 = xor(_T_510, _T_519) @[Bitwise.scala 102:21]
node _T_521 = shr(_T_517, 2) @[Bitwise.scala 103:21]
node _T_522 = and(_T_521, _T_520) @[Bitwise.scala 103:31]
node _T_523 = bits(_T_517, 5, 0) @[Bitwise.scala 103:46]
node _T_524 = shl(_T_523, 2) @[Bitwise.scala 103:65]
node _T_525 = not(_T_520) @[Bitwise.scala 103:77]
node _T_526 = and(_T_524, _T_525) @[Bitwise.scala 103:75]
node _T_527 = or(_T_522, _T_526) @[Bitwise.scala 103:39]
node _T_528 = bits(_T_520, 6, 0) @[Bitwise.scala 102:28]
node _T_529 = shl(_T_528, 1) @[Bitwise.scala 102:47]
node _T_530 = xor(_T_520, _T_529) @[Bitwise.scala 102:21]
node _T_531 = shr(_T_527, 1) @[Bitwise.scala 103:21]
node _T_532 = and(_T_531, _T_530) @[Bitwise.scala 103:31]
node _T_533 = bits(_T_527, 6, 0) @[Bitwise.scala 103:46]
node _T_534 = shl(_T_533, 1) @[Bitwise.scala 103:65]
node _T_535 = not(_T_530) @[Bitwise.scala 103:77]
node _T_536 = and(_T_534, _T_535) @[Bitwise.scala 103:75]
node _T_537 = or(_T_532, _T_536) @[Bitwise.scala 103:39]
node _T_538 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_539 = bits(_T_538, 4, 4) @[lsu_dccm_ctl.scala 149:134]
node _T_540 = bits(_T_539, 0, 0) @[lsu_dccm_ctl.scala 149:139]
node _T_541 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_542 = bits(_T_541, 39, 32) @[lsu_dccm_ctl.scala 149:196]
node _T_543 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 149:231]
node _T_544 = bits(picm_rd_data_m, 39, 32) @[lsu_dccm_ctl.scala 149:252]
node _T_545 = bits(dccm_rdata_m, 39, 32) @[lsu_dccm_ctl.scala 149:278]
node _T_546 = mux(_T_543, _T_544, _T_545) @[lsu_dccm_ctl.scala 149:213]
node _T_547 = mux(_T_540, _T_542, _T_546) @[lsu_dccm_ctl.scala 149:78]
node _T_548 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_549 = xor(UInt<8>("h0ff"), _T_548) @[Bitwise.scala 102:21]
node _T_550 = shr(_T_547, 4) @[Bitwise.scala 103:21]
node _T_551 = and(_T_550, _T_549) @[Bitwise.scala 103:31]
node _T_552 = bits(_T_547, 3, 0) @[Bitwise.scala 103:46]
node _T_553 = shl(_T_552, 4) @[Bitwise.scala 103:65]
node _T_554 = not(_T_549) @[Bitwise.scala 103:77]
node _T_555 = and(_T_553, _T_554) @[Bitwise.scala 103:75]
node _T_556 = or(_T_551, _T_555) @[Bitwise.scala 103:39]
node _T_557 = bits(_T_549, 5, 0) @[Bitwise.scala 102:28]
node _T_558 = shl(_T_557, 2) @[Bitwise.scala 102:47]
node _T_559 = xor(_T_549, _T_558) @[Bitwise.scala 102:21]
node _T_560 = shr(_T_556, 2) @[Bitwise.scala 103:21]
node _T_561 = and(_T_560, _T_559) @[Bitwise.scala 103:31]
node _T_562 = bits(_T_556, 5, 0) @[Bitwise.scala 103:46]
node _T_563 = shl(_T_562, 2) @[Bitwise.scala 103:65]
node _T_564 = not(_T_559) @[Bitwise.scala 103:77]
node _T_565 = and(_T_563, _T_564) @[Bitwise.scala 103:75]
node _T_566 = or(_T_561, _T_565) @[Bitwise.scala 103:39]
node _T_567 = bits(_T_559, 6, 0) @[Bitwise.scala 102:28]
node _T_568 = shl(_T_567, 1) @[Bitwise.scala 102:47]
node _T_569 = xor(_T_559, _T_568) @[Bitwise.scala 102:21]
node _T_570 = shr(_T_566, 1) @[Bitwise.scala 103:21]
node _T_571 = and(_T_570, _T_569) @[Bitwise.scala 103:31]
node _T_572 = bits(_T_566, 6, 0) @[Bitwise.scala 103:46]
node _T_573 = shl(_T_572, 1) @[Bitwise.scala 103:65]
node _T_574 = not(_T_569) @[Bitwise.scala 103:77]
node _T_575 = and(_T_573, _T_574) @[Bitwise.scala 103:75]
node _T_576 = or(_T_571, _T_575) @[Bitwise.scala 103:39]
node _T_577 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_578 = bits(_T_577, 5, 5) @[lsu_dccm_ctl.scala 149:134]
node _T_579 = bits(_T_578, 0, 0) @[lsu_dccm_ctl.scala 149:139]
node _T_580 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_581 = bits(_T_580, 47, 40) @[lsu_dccm_ctl.scala 149:196]
node _T_582 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 149:231]
node _T_583 = bits(picm_rd_data_m, 47, 40) @[lsu_dccm_ctl.scala 149:252]
node _T_584 = bits(dccm_rdata_m, 47, 40) @[lsu_dccm_ctl.scala 149:278]
node _T_585 = mux(_T_582, _T_583, _T_584) @[lsu_dccm_ctl.scala 149:213]
node _T_586 = mux(_T_579, _T_581, _T_585) @[lsu_dccm_ctl.scala 149:78]
node _T_587 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_588 = xor(UInt<8>("h0ff"), _T_587) @[Bitwise.scala 102:21]
node _T_589 = shr(_T_586, 4) @[Bitwise.scala 103:21]
node _T_590 = and(_T_589, _T_588) @[Bitwise.scala 103:31]
node _T_591 = bits(_T_586, 3, 0) @[Bitwise.scala 103:46]
node _T_592 = shl(_T_591, 4) @[Bitwise.scala 103:65]
node _T_593 = not(_T_588) @[Bitwise.scala 103:77]
node _T_594 = and(_T_592, _T_593) @[Bitwise.scala 103:75]
node _T_595 = or(_T_590, _T_594) @[Bitwise.scala 103:39]
node _T_596 = bits(_T_588, 5, 0) @[Bitwise.scala 102:28]
node _T_597 = shl(_T_596, 2) @[Bitwise.scala 102:47]
node _T_598 = xor(_T_588, _T_597) @[Bitwise.scala 102:21]
node _T_599 = shr(_T_595, 2) @[Bitwise.scala 103:21]
node _T_600 = and(_T_599, _T_598) @[Bitwise.scala 103:31]
node _T_601 = bits(_T_595, 5, 0) @[Bitwise.scala 103:46]
node _T_602 = shl(_T_601, 2) @[Bitwise.scala 103:65]
node _T_603 = not(_T_598) @[Bitwise.scala 103:77]
node _T_604 = and(_T_602, _T_603) @[Bitwise.scala 103:75]
node _T_605 = or(_T_600, _T_604) @[Bitwise.scala 103:39]
node _T_606 = bits(_T_598, 6, 0) @[Bitwise.scala 102:28]
node _T_607 = shl(_T_606, 1) @[Bitwise.scala 102:47]
node _T_608 = xor(_T_598, _T_607) @[Bitwise.scala 102:21]
node _T_609 = shr(_T_605, 1) @[Bitwise.scala 103:21]
node _T_610 = and(_T_609, _T_608) @[Bitwise.scala 103:31]
node _T_611 = bits(_T_605, 6, 0) @[Bitwise.scala 103:46]
node _T_612 = shl(_T_611, 1) @[Bitwise.scala 103:65]
node _T_613 = not(_T_608) @[Bitwise.scala 103:77]
node _T_614 = and(_T_612, _T_613) @[Bitwise.scala 103:75]
node _T_615 = or(_T_610, _T_614) @[Bitwise.scala 103:39]
node _T_616 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_617 = bits(_T_616, 6, 6) @[lsu_dccm_ctl.scala 149:134]
node _T_618 = bits(_T_617, 0, 0) @[lsu_dccm_ctl.scala 149:139]
node _T_619 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_620 = bits(_T_619, 55, 48) @[lsu_dccm_ctl.scala 149:196]
node _T_621 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 149:231]
node _T_622 = bits(picm_rd_data_m, 55, 48) @[lsu_dccm_ctl.scala 149:252]
node _T_623 = bits(dccm_rdata_m, 55, 48) @[lsu_dccm_ctl.scala 149:278]
node _T_624 = mux(_T_621, _T_622, _T_623) @[lsu_dccm_ctl.scala 149:213]
node _T_625 = mux(_T_618, _T_620, _T_624) @[lsu_dccm_ctl.scala 149:78]
node _T_626 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_627 = xor(UInt<8>("h0ff"), _T_626) @[Bitwise.scala 102:21]
node _T_628 = shr(_T_625, 4) @[Bitwise.scala 103:21]
node _T_629 = and(_T_628, _T_627) @[Bitwise.scala 103:31]
node _T_630 = bits(_T_625, 3, 0) @[Bitwise.scala 103:46]
node _T_631 = shl(_T_630, 4) @[Bitwise.scala 103:65]
node _T_632 = not(_T_627) @[Bitwise.scala 103:77]
node _T_633 = and(_T_631, _T_632) @[Bitwise.scala 103:75]
node _T_634 = or(_T_629, _T_633) @[Bitwise.scala 103:39]
node _T_635 = bits(_T_627, 5, 0) @[Bitwise.scala 102:28]
node _T_636 = shl(_T_635, 2) @[Bitwise.scala 102:47]
node _T_637 = xor(_T_627, _T_636) @[Bitwise.scala 102:21]
node _T_638 = shr(_T_634, 2) @[Bitwise.scala 103:21]
node _T_639 = and(_T_638, _T_637) @[Bitwise.scala 103:31]
node _T_640 = bits(_T_634, 5, 0) @[Bitwise.scala 103:46]
node _T_641 = shl(_T_640, 2) @[Bitwise.scala 103:65]
node _T_642 = not(_T_637) @[Bitwise.scala 103:77]
node _T_643 = and(_T_641, _T_642) @[Bitwise.scala 103:75]
node _T_644 = or(_T_639, _T_643) @[Bitwise.scala 103:39]
node _T_645 = bits(_T_637, 6, 0) @[Bitwise.scala 102:28]
node _T_646 = shl(_T_645, 1) @[Bitwise.scala 102:47]
node _T_647 = xor(_T_637, _T_646) @[Bitwise.scala 102:21]
node _T_648 = shr(_T_644, 1) @[Bitwise.scala 103:21]
node _T_649 = and(_T_648, _T_647) @[Bitwise.scala 103:31]
node _T_650 = bits(_T_644, 6, 0) @[Bitwise.scala 103:46]
node _T_651 = shl(_T_650, 1) @[Bitwise.scala 103:65]
node _T_652 = not(_T_647) @[Bitwise.scala 103:77]
node _T_653 = and(_T_651, _T_652) @[Bitwise.scala 103:75]
node _T_654 = or(_T_649, _T_653) @[Bitwise.scala 103:39]
node _T_655 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58]
node _T_656 = bits(_T_655, 7, 7) @[lsu_dccm_ctl.scala 149:134]
node _T_657 = bits(_T_656, 0, 0) @[lsu_dccm_ctl.scala 149:139]
node _T_658 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58]
node _T_659 = bits(_T_658, 63, 56) @[lsu_dccm_ctl.scala 149:196]
node _T_660 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 149:231]
node _T_661 = bits(picm_rd_data_m, 63, 56) @[lsu_dccm_ctl.scala 149:252]
node _T_662 = bits(dccm_rdata_m, 63, 56) @[lsu_dccm_ctl.scala 149:278]
node _T_663 = mux(_T_660, _T_661, _T_662) @[lsu_dccm_ctl.scala 149:213]
node _T_664 = mux(_T_657, _T_659, _T_663) @[lsu_dccm_ctl.scala 149:78]
node _T_665 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_666 = xor(UInt<8>("h0ff"), _T_665) @[Bitwise.scala 102:21]
node _T_667 = shr(_T_664, 4) @[Bitwise.scala 103:21]
node _T_668 = and(_T_667, _T_666) @[Bitwise.scala 103:31]
node _T_669 = bits(_T_664, 3, 0) @[Bitwise.scala 103:46]
node _T_670 = shl(_T_669, 4) @[Bitwise.scala 103:65]
node _T_671 = not(_T_666) @[Bitwise.scala 103:77]
node _T_672 = and(_T_670, _T_671) @[Bitwise.scala 103:75]
node _T_673 = or(_T_668, _T_672) @[Bitwise.scala 103:39]
node _T_674 = bits(_T_666, 5, 0) @[Bitwise.scala 102:28]
node _T_675 = shl(_T_674, 2) @[Bitwise.scala 102:47]
node _T_676 = xor(_T_666, _T_675) @[Bitwise.scala 102:21]
node _T_677 = shr(_T_673, 2) @[Bitwise.scala 103:21]
node _T_678 = and(_T_677, _T_676) @[Bitwise.scala 103:31]
node _T_679 = bits(_T_673, 5, 0) @[Bitwise.scala 103:46]
node _T_680 = shl(_T_679, 2) @[Bitwise.scala 103:65]
node _T_681 = not(_T_676) @[Bitwise.scala 103:77]
node _T_682 = and(_T_680, _T_681) @[Bitwise.scala 103:75]
node _T_683 = or(_T_678, _T_682) @[Bitwise.scala 103:39]
node _T_684 = bits(_T_676, 6, 0) @[Bitwise.scala 102:28]
node _T_685 = shl(_T_684, 1) @[Bitwise.scala 102:47]
node _T_686 = xor(_T_676, _T_685) @[Bitwise.scala 102:21]
node _T_687 = shr(_T_683, 1) @[Bitwise.scala 103:21]
node _T_688 = and(_T_687, _T_686) @[Bitwise.scala 103:31]
node _T_689 = bits(_T_683, 6, 0) @[Bitwise.scala 103:46]
node _T_690 = shl(_T_689, 1) @[Bitwise.scala 103:65]
node _T_691 = not(_T_686) @[Bitwise.scala 103:77]
node _T_692 = and(_T_690, _T_691) @[Bitwise.scala 103:75]
node _T_693 = or(_T_688, _T_692) @[Bitwise.scala 103:39]
wire _T_694 : UInt<8>[8] @[lsu_dccm_ctl.scala 149:62]
_T_694[0] <= _T_420 @[lsu_dccm_ctl.scala 149:62]
_T_694[1] <= _T_459 @[lsu_dccm_ctl.scala 149:62]
_T_694[2] <= _T_498 @[lsu_dccm_ctl.scala 149:62]
_T_694[3] <= _T_537 @[lsu_dccm_ctl.scala 149:62]
_T_694[4] <= _T_576 @[lsu_dccm_ctl.scala 149:62]
_T_694[5] <= _T_615 @[lsu_dccm_ctl.scala 149:62]
_T_694[6] <= _T_654 @[lsu_dccm_ctl.scala 149:62]
_T_694[7] <= _T_693 @[lsu_dccm_ctl.scala 149:62]
node _T_695 = cat(_T_694[6], _T_694[7]) @[Cat.scala 29:58]
node _T_696 = cat(_T_694[4], _T_694[5]) @[Cat.scala 29:58]
node _T_697 = cat(_T_696, _T_695) @[Cat.scala 29:58]
node _T_698 = cat(_T_694[2], _T_694[3]) @[Cat.scala 29:58]
node _T_699 = cat(_T_694[0], _T_694[1]) @[Cat.scala 29:58]
node _T_700 = cat(_T_699, _T_698) @[Cat.scala 29:58]
node _T_701 = cat(_T_700, _T_697) @[Cat.scala 29:58]
node _T_702 = shl(UInt<32>("h0ffffffff"), 32) @[Bitwise.scala 102:47]
node _T_703 = xor(UInt<64>("h0ffffffffffffffff"), _T_702) @[Bitwise.scala 102:21]
node _T_704 = shr(_T_701, 32) @[Bitwise.scala 103:21]
node _T_705 = and(_T_704, _T_703) @[Bitwise.scala 103:31]
node _T_706 = bits(_T_701, 31, 0) @[Bitwise.scala 103:46]
node _T_707 = shl(_T_706, 32) @[Bitwise.scala 103:65]
node _T_708 = not(_T_703) @[Bitwise.scala 103:77]
node _T_709 = and(_T_707, _T_708) @[Bitwise.scala 103:75]
node _T_710 = or(_T_705, _T_709) @[Bitwise.scala 103:39]
node _T_711 = bits(_T_703, 47, 0) @[Bitwise.scala 102:28]
node _T_712 = shl(_T_711, 16) @[Bitwise.scala 102:47]
node _T_713 = xor(_T_703, _T_712) @[Bitwise.scala 102:21]
node _T_714 = shr(_T_710, 16) @[Bitwise.scala 103:21]
node _T_715 = and(_T_714, _T_713) @[Bitwise.scala 103:31]
node _T_716 = bits(_T_710, 47, 0) @[Bitwise.scala 103:46]
node _T_717 = shl(_T_716, 16) @[Bitwise.scala 103:65]
node _T_718 = not(_T_713) @[Bitwise.scala 103:77]
node _T_719 = and(_T_717, _T_718) @[Bitwise.scala 103:75]
node _T_720 = or(_T_715, _T_719) @[Bitwise.scala 103:39]
node _T_721 = bits(_T_713, 55, 0) @[Bitwise.scala 102:28]
node _T_722 = shl(_T_721, 8) @[Bitwise.scala 102:47]
node _T_723 = xor(_T_713, _T_722) @[Bitwise.scala 102:21]
node _T_724 = shr(_T_720, 8) @[Bitwise.scala 103:21]
node _T_725 = and(_T_724, _T_723) @[Bitwise.scala 103:31]
node _T_726 = bits(_T_720, 55, 0) @[Bitwise.scala 103:46]
node _T_727 = shl(_T_726, 8) @[Bitwise.scala 103:65]
node _T_728 = not(_T_723) @[Bitwise.scala 103:77]
node _T_729 = and(_T_727, _T_728) @[Bitwise.scala 103:75]
node _T_730 = or(_T_725, _T_729) @[Bitwise.scala 103:39]
node _T_731 = bits(_T_723, 59, 0) @[Bitwise.scala 102:28]
node _T_732 = shl(_T_731, 4) @[Bitwise.scala 102:47]
node _T_733 = xor(_T_723, _T_732) @[Bitwise.scala 102:21]
node _T_734 = shr(_T_730, 4) @[Bitwise.scala 103:21]
node _T_735 = and(_T_734, _T_733) @[Bitwise.scala 103:31]
node _T_736 = bits(_T_730, 59, 0) @[Bitwise.scala 103:46]
node _T_737 = shl(_T_736, 4) @[Bitwise.scala 103:65]
node _T_738 = not(_T_733) @[Bitwise.scala 103:77]
node _T_739 = and(_T_737, _T_738) @[Bitwise.scala 103:75]
node _T_740 = or(_T_735, _T_739) @[Bitwise.scala 103:39]
node _T_741 = bits(_T_733, 61, 0) @[Bitwise.scala 102:28]
node _T_742 = shl(_T_741, 2) @[Bitwise.scala 102:47]
node _T_743 = xor(_T_733, _T_742) @[Bitwise.scala 102:21]
node _T_744 = shr(_T_740, 2) @[Bitwise.scala 103:21]
node _T_745 = and(_T_744, _T_743) @[Bitwise.scala 103:31]
node _T_746 = bits(_T_740, 61, 0) @[Bitwise.scala 103:46]
node _T_747 = shl(_T_746, 2) @[Bitwise.scala 103:65]
node _T_748 = not(_T_743) @[Bitwise.scala 103:77]
node _T_749 = and(_T_747, _T_748) @[Bitwise.scala 103:75]
node _T_750 = or(_T_745, _T_749) @[Bitwise.scala 103:39]
node _T_751 = bits(_T_743, 62, 0) @[Bitwise.scala 102:28]
node _T_752 = shl(_T_751, 1) @[Bitwise.scala 102:47]
node _T_753 = xor(_T_743, _T_752) @[Bitwise.scala 102:21]
node _T_754 = shr(_T_750, 1) @[Bitwise.scala 103:21]
node _T_755 = and(_T_754, _T_753) @[Bitwise.scala 103:31]
node _T_756 = bits(_T_750, 62, 0) @[Bitwise.scala 103:46]
node _T_757 = shl(_T_756, 1) @[Bitwise.scala 103:65]
node _T_758 = not(_T_753) @[Bitwise.scala 103:77]
node _T_759 = and(_T_757, _T_758) @[Bitwise.scala 103:75]
node _T_760 = or(_T_755, _T_759) @[Bitwise.scala 103:39]
lsu_rdata_m <= _T_760 @[lsu_dccm_ctl.scala 149:28]
node _T_761 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 150:63]
node _T_762 = mul(UInt<4>("h08"), _T_761) @[lsu_dccm_ctl.scala 150:49]
node _T_763 = dshr(lsu_rdata_m, _T_762) @[lsu_dccm_ctl.scala 150:43]
io.lsu_ld_data_m <= _T_763 @[lsu_dccm_ctl.scala 150:28]
node _T_764 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 151:68]
node _T_765 = mul(UInt<4>("h08"), _T_764) @[lsu_dccm_ctl.scala 151:54]
node _T_766 = dshr(lsu_rdata_corr_m, _T_765) @[lsu_dccm_ctl.scala 151:48]
lsu_ld_data_corr_m <= _T_766 @[lsu_dccm_ctl.scala 151:28]
node _T_767 = bits(io.lsu_addr_d, 15, 2) @[lsu_dccm_ctl.scala 155:44]
node _T_768 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 155:77]
node _T_769 = eq(_T_767, _T_768) @[lsu_dccm_ctl.scala 155:60]
node _T_770 = bits(io.end_addr_d, 15, 2) @[lsu_dccm_ctl.scala 155:117]
node _T_771 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 155:150]
node _T_772 = eq(_T_770, _T_771) @[lsu_dccm_ctl.scala 155:133]
node _T_773 = or(_T_769, _T_772) @[lsu_dccm_ctl.scala 155:101]
node _T_774 = and(_T_773, io.lsu_pkt_d.valid) @[lsu_dccm_ctl.scala 155:175]
node _T_775 = and(_T_774, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 155:196]
node _T_776 = and(_T_775, io.lsu_pkt_d.bits.dma) @[lsu_dccm_ctl.scala 155:222]
node _T_777 = and(_T_776, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 155:246]
node _T_778 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 156:21]
node _T_779 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 156:54]
node _T_780 = eq(_T_778, _T_779) @[lsu_dccm_ctl.scala 156:37]
node _T_781 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 156:94]
node _T_782 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 156:127]
node _T_783 = eq(_T_781, _T_782) @[lsu_dccm_ctl.scala 156:110]
node _T_784 = or(_T_780, _T_783) @[lsu_dccm_ctl.scala 156:78]
node _T_785 = and(_T_784, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 156:152]
node _T_786 = and(_T_785, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 156:173]
node _T_787 = and(_T_786, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 156:199]
node _T_788 = and(_T_787, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 156:223]
node kill_ecc_corr_lo_r = or(_T_777, _T_788) @[lsu_dccm_ctl.scala 155:267]
node _T_789 = bits(io.lsu_addr_d, 15, 2) @[lsu_dccm_ctl.scala 158:44]
node _T_790 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 158:77]
node _T_791 = eq(_T_789, _T_790) @[lsu_dccm_ctl.scala 158:60]
node _T_792 = bits(io.end_addr_d, 15, 2) @[lsu_dccm_ctl.scala 158:117]
node _T_793 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 158:150]
node _T_794 = eq(_T_792, _T_793) @[lsu_dccm_ctl.scala 158:133]
node _T_795 = or(_T_791, _T_794) @[lsu_dccm_ctl.scala 158:101]
node _T_796 = and(_T_795, io.lsu_pkt_d.valid) @[lsu_dccm_ctl.scala 158:175]
node _T_797 = and(_T_796, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 158:196]
node _T_798 = and(_T_797, io.lsu_pkt_d.bits.dma) @[lsu_dccm_ctl.scala 158:222]
node _T_799 = and(_T_798, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 158:246]
node _T_800 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 159:21]
node _T_801 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 159:54]
node _T_802 = eq(_T_800, _T_801) @[lsu_dccm_ctl.scala 159:37]
node _T_803 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 159:94]
node _T_804 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 159:127]
node _T_805 = eq(_T_803, _T_804) @[lsu_dccm_ctl.scala 159:110]
node _T_806 = or(_T_802, _T_805) @[lsu_dccm_ctl.scala 159:78]
node _T_807 = and(_T_806, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 159:152]
node _T_808 = and(_T_807, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 159:173]
node _T_809 = and(_T_808, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 159:199]
node _T_810 = and(_T_809, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 159:223]
node kill_ecc_corr_hi_r = or(_T_799, _T_810) @[lsu_dccm_ctl.scala 158:267]
node _T_811 = and(io.lsu_pkt_r.bits.load, io.single_ecc_error_lo_r) @[lsu_dccm_ctl.scala 161:60]
node _T_812 = eq(io.lsu_raw_fwd_lo_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 161:89]
node ld_single_ecc_error_lo_r = and(_T_811, _T_812) @[lsu_dccm_ctl.scala 161:87]
node _T_813 = and(io.lsu_pkt_r.bits.load, io.single_ecc_error_hi_r) @[lsu_dccm_ctl.scala 162:60]
node _T_814 = eq(io.lsu_raw_fwd_hi_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 162:89]
node ld_single_ecc_error_hi_r = and(_T_813, _T_814) @[lsu_dccm_ctl.scala 162:87]
node _T_815 = or(ld_single_ecc_error_lo_r, ld_single_ecc_error_hi_r) @[lsu_dccm_ctl.scala 163:63]
node _T_816 = eq(io.lsu_double_ecc_error_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 163:93]
node _T_817 = and(_T_815, _T_816) @[lsu_dccm_ctl.scala 163:91]
io.ld_single_ecc_error_r <= _T_817 @[lsu_dccm_ctl.scala 163:34]
node _T_818 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_dccm_ctl.scala 164:81]
node _T_819 = and(ld_single_ecc_error_lo_r, _T_818) @[lsu_dccm_ctl.scala 164:62]
node _T_820 = eq(kill_ecc_corr_lo_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 164:108]
node ld_single_ecc_error_lo_r_ns = and(_T_819, _T_820) @[lsu_dccm_ctl.scala 164:106]
node _T_821 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_dccm_ctl.scala 165:81]
node _T_822 = and(ld_single_ecc_error_hi_r, _T_821) @[lsu_dccm_ctl.scala 165:62]
node _T_823 = eq(kill_ecc_corr_hi_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 165:108]
node ld_single_ecc_error_hi_r_ns = and(_T_822, _T_823) @[lsu_dccm_ctl.scala 165:106]
reg lsu_double_ecc_error_r_ff : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 167:74]
lsu_double_ecc_error_r_ff <= io.lsu_double_ecc_error_r @[lsu_dccm_ctl.scala 167:74]
reg ld_single_ecc_error_hi_r_ff : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 168:74]
ld_single_ecc_error_hi_r_ff <= ld_single_ecc_error_hi_r_ns @[lsu_dccm_ctl.scala 168:74]
reg ld_single_ecc_error_lo_r_ff : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 169:74]
ld_single_ecc_error_lo_r_ff <= ld_single_ecc_error_lo_r_ns @[lsu_dccm_ctl.scala 169:74]
node _T_824 = bits(io.end_addr_r, 15, 0) @[lsu_dccm_ctl.scala 171:49]
node _T_825 = bits(io.ld_single_ecc_error_r, 0, 0) @[lsu_dccm_ctl.scala 171:90]
node _T_826 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 171:116]
inst rvclkhdr of rvclkhdr @[lib.scala 352:23]
rvclkhdr.clock <= clock
rvclkhdr.reset <= reset
rvclkhdr.io.clk <= clock @[lib.scala 354:18]
rvclkhdr.io.en <= _T_825 @[lib.scala 355:17]
rvclkhdr.io.scan_mode <= _T_826 @[lib.scala 356:24]
reg ld_sec_addr_hi_r_ff : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16]
ld_sec_addr_hi_r_ff <= _T_824 @[lib.scala 358:16]
node _T_827 = bits(io.lsu_addr_r, 15, 0) @[lsu_dccm_ctl.scala 172:49]
node _T_828 = bits(io.ld_single_ecc_error_r, 0, 0) @[lsu_dccm_ctl.scala 172:90]
node _T_829 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 172:116]
inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 352:23]
rvclkhdr_1.clock <= clock
rvclkhdr_1.reset <= reset
rvclkhdr_1.io.clk <= clock @[lib.scala 354:18]
rvclkhdr_1.io.en <= _T_828 @[lib.scala 355:17]
rvclkhdr_1.io.scan_mode <= _T_829 @[lib.scala 356:24]
reg ld_sec_addr_lo_r_ff : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16]
ld_sec_addr_lo_r_ff <= _T_827 @[lib.scala 358:16]
node _T_830 = or(io.lsu_pkt_d.bits.word, io.lsu_pkt_d.bits.dword) @[lsu_dccm_ctl.scala 173:125]
node _T_831 = eq(_T_830, UInt<1>("h00")) @[lsu_dccm_ctl.scala 173:100]
node _T_832 = bits(io.lsu_addr_d, 1, 0) @[lsu_dccm_ctl.scala 173:168]
node _T_833 = neq(_T_832, UInt<2>("h00")) @[lsu_dccm_ctl.scala 173:174]
node _T_834 = or(_T_831, _T_833) @[lsu_dccm_ctl.scala 173:152]
node _T_835 = and(io.lsu_pkt_d.bits.store, _T_834) @[lsu_dccm_ctl.scala 173:97]
node _T_836 = or(io.lsu_pkt_d.bits.load, _T_835) @[lsu_dccm_ctl.scala 173:70]
node _T_837 = and(io.lsu_pkt_d.valid, _T_836) @[lsu_dccm_ctl.scala 173:44]
node lsu_dccm_rden_d = and(_T_837, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 173:191]
node _T_838 = or(ld_single_ecc_error_lo_r_ff, ld_single_ecc_error_hi_r_ff) @[lsu_dccm_ctl.scala 176:63]
node _T_839 = eq(lsu_double_ecc_error_r_ff, UInt<1>("h00")) @[lsu_dccm_ctl.scala 176:96]
node _T_840 = and(_T_838, _T_839) @[lsu_dccm_ctl.scala 176:94]
io.ld_single_ecc_error_r_ff <= _T_840 @[lsu_dccm_ctl.scala 176:31]
node _T_841 = or(lsu_dccm_rden_d, io.dma_dccm_wen) @[lsu_dccm_ctl.scala 177:75]
node _T_842 = or(_T_841, io.ld_single_ecc_error_r_ff) @[lsu_dccm_ctl.scala 177:93]
node _T_843 = eq(_T_842, UInt<1>("h00")) @[lsu_dccm_ctl.scala 177:57]
node _T_844 = bits(io.stbuf_addr_any, 3, 2) @[lsu_dccm_ctl.scala 178:44]
node _T_845 = bits(io.lsu_addr_d, 3, 2) @[lsu_dccm_ctl.scala 178:112]
node _T_846 = eq(_T_844, _T_845) @[lsu_dccm_ctl.scala 178:95]
node _T_847 = bits(io.stbuf_addr_any, 3, 2) @[lsu_dccm_ctl.scala 179:25]
node _T_848 = bits(io.end_addr_d, 3, 2) @[lsu_dccm_ctl.scala 179:93]
node _T_849 = eq(_T_847, _T_848) @[lsu_dccm_ctl.scala 179:76]
node _T_850 = or(_T_846, _T_849) @[lsu_dccm_ctl.scala 178:171]
node _T_851 = eq(_T_850, UInt<1>("h00")) @[lsu_dccm_ctl.scala 178:24]
node _T_852 = and(lsu_dccm_rden_d, _T_851) @[lsu_dccm_ctl.scala 178:22]
node _T_853 = or(_T_843, _T_852) @[lsu_dccm_ctl.scala 177:124]
node _T_854 = and(io.stbuf_reqvld_any, _T_853) @[lsu_dccm_ctl.scala 177:54]
io.lsu_stbuf_commit_any <= _T_854 @[lsu_dccm_ctl.scala 177:31]
node _T_855 = or(io.dma_dccm_wen, io.lsu_stbuf_commit_any) @[lsu_dccm_ctl.scala 183:41]
node _T_856 = or(_T_855, io.ld_single_ecc_error_r_ff) @[lsu_dccm_ctl.scala 183:67]
io.dccm.wren <= _T_856 @[lsu_dccm_ctl.scala 183:22]
node _T_857 = and(lsu_dccm_rden_d, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 184:41]
io.dccm.rden <= _T_857 @[lsu_dccm_ctl.scala 184:22]
node _T_858 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 186:57]
node _T_859 = eq(ld_single_ecc_error_lo_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 187:36]
node _T_860 = bits(ld_sec_addr_lo_r_ff, 15, 0) @[lsu_dccm_ctl.scala 187:62]
node _T_861 = bits(ld_sec_addr_hi_r_ff, 15, 0) @[lsu_dccm_ctl.scala 187:97]
node _T_862 = mux(_T_859, _T_860, _T_861) @[lsu_dccm_ctl.scala 187:8]
node _T_863 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 188:25]
node _T_864 = bits(io.lsu_addr_d, 15, 0) @[lsu_dccm_ctl.scala 188:45]
node _T_865 = bits(io.stbuf_addr_any, 15, 0) @[lsu_dccm_ctl.scala 188:78]
node _T_866 = mux(_T_863, _T_864, _T_865) @[lsu_dccm_ctl.scala 188:8]
node _T_867 = mux(_T_858, _T_862, _T_866) @[lsu_dccm_ctl.scala 186:28]
io.dccm.wr_addr_lo <= _T_867 @[lsu_dccm_ctl.scala 186:22]
node _T_868 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 190:57]
node _T_869 = eq(ld_single_ecc_error_hi_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 191:36]
node _T_870 = bits(ld_sec_addr_hi_r_ff, 15, 0) @[lsu_dccm_ctl.scala 191:63]
node _T_871 = bits(ld_sec_addr_lo_r_ff, 15, 0) @[lsu_dccm_ctl.scala 191:99]
node _T_872 = mux(_T_869, _T_870, _T_871) @[lsu_dccm_ctl.scala 191:8]
node _T_873 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 192:25]
node _T_874 = bits(io.end_addr_d, 15, 0) @[lsu_dccm_ctl.scala 192:46]
node _T_875 = bits(io.stbuf_addr_any, 15, 0) @[lsu_dccm_ctl.scala 192:79]
node _T_876 = mux(_T_873, _T_874, _T_875) @[lsu_dccm_ctl.scala 192:8]
node _T_877 = mux(_T_868, _T_872, _T_876) @[lsu_dccm_ctl.scala 190:28]
io.dccm.wr_addr_hi <= _T_877 @[lsu_dccm_ctl.scala 190:22]
node _T_878 = bits(io.lsu_addr_d, 15, 0) @[lsu_dccm_ctl.scala 194:38]
io.dccm.rd_addr_lo <= _T_878 @[lsu_dccm_ctl.scala 194:22]
node _T_879 = bits(io.end_addr_d, 15, 0) @[lsu_dccm_ctl.scala 195:38]
io.dccm.rd_addr_hi <= _T_879 @[lsu_dccm_ctl.scala 195:22]
node _T_880 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 197:57]
node _T_881 = eq(ld_single_ecc_error_lo_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 198:36]
node _T_882 = bits(io.sec_data_ecc_lo_r_ff, 6, 0) @[lsu_dccm_ctl.scala 198:70]
node _T_883 = bits(io.sec_data_lo_r_ff, 31, 0) @[lsu_dccm_ctl.scala 198:110]
node _T_884 = cat(_T_882, _T_883) @[Cat.scala 29:58]
node _T_885 = bits(io.sec_data_ecc_hi_r_ff, 6, 0) @[lsu_dccm_ctl.scala 199:34]
node _T_886 = bits(io.sec_data_hi_r_ff, 31, 0) @[lsu_dccm_ctl.scala 199:74]
node _T_887 = cat(_T_885, _T_886) @[Cat.scala 29:58]
node _T_888 = mux(_T_881, _T_884, _T_887) @[lsu_dccm_ctl.scala 198:8]
node _T_889 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 200:25]
node _T_890 = bits(io.dma_dccm_wdata_ecc_lo, 6, 0) @[lsu_dccm_ctl.scala 200:60]
node _T_891 = bits(io.dma_dccm_wdata_lo, 31, 0) @[lsu_dccm_ctl.scala 200:101]
node _T_892 = cat(_T_890, _T_891) @[Cat.scala 29:58]
node _T_893 = bits(io.stbuf_ecc_any, 6, 0) @[lsu_dccm_ctl.scala 201:27]
node _T_894 = bits(io.stbuf_data_any, 31, 0) @[lsu_dccm_ctl.scala 201:65]
node _T_895 = cat(_T_893, _T_894) @[Cat.scala 29:58]
node _T_896 = mux(_T_889, _T_892, _T_895) @[lsu_dccm_ctl.scala 200:8]
node _T_897 = mux(_T_880, _T_888, _T_896) @[lsu_dccm_ctl.scala 197:28]
io.dccm.wr_data_lo <= _T_897 @[lsu_dccm_ctl.scala 197:22]
node _T_898 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 203:57]
node _T_899 = eq(ld_single_ecc_error_hi_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 204:36]
node _T_900 = bits(io.sec_data_ecc_hi_r_ff, 6, 0) @[lsu_dccm_ctl.scala 204:71]
node _T_901 = bits(io.sec_data_hi_r_ff, 31, 0) @[lsu_dccm_ctl.scala 204:111]
node _T_902 = cat(_T_900, _T_901) @[Cat.scala 29:58]
node _T_903 = bits(io.sec_data_ecc_lo_r_ff, 6, 0) @[lsu_dccm_ctl.scala 205:34]
node _T_904 = bits(io.sec_data_lo_r_ff, 31, 0) @[lsu_dccm_ctl.scala 205:74]
node _T_905 = cat(_T_903, _T_904) @[Cat.scala 29:58]
node _T_906 = mux(_T_899, _T_902, _T_905) @[lsu_dccm_ctl.scala 204:8]
node _T_907 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 206:25]
node _T_908 = bits(io.dma_dccm_wdata_ecc_hi, 6, 0) @[lsu_dccm_ctl.scala 206:61]
node _T_909 = bits(io.dma_dccm_wdata_hi, 31, 0) @[lsu_dccm_ctl.scala 206:102]
node _T_910 = cat(_T_908, _T_909) @[Cat.scala 29:58]
node _T_911 = bits(io.stbuf_ecc_any, 6, 0) @[lsu_dccm_ctl.scala 207:27]
node _T_912 = bits(io.stbuf_data_any, 31, 0) @[lsu_dccm_ctl.scala 207:65]
node _T_913 = cat(_T_911, _T_912) @[Cat.scala 29:58]
node _T_914 = mux(_T_907, _T_910, _T_913) @[lsu_dccm_ctl.scala 206:8]
node _T_915 = mux(_T_898, _T_906, _T_914) @[lsu_dccm_ctl.scala 203:28]
io.dccm.wr_data_hi <= _T_915 @[lsu_dccm_ctl.scala 203:22]
node _T_916 = bits(io.lsu_pkt_m.bits.store, 0, 0) @[Bitwise.scala 72:15]
node _T_917 = mux(_T_916, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_918 = bits(io.lsu_pkt_m.bits.by, 0, 0) @[Bitwise.scala 72:15]
node _T_919 = mux(_T_918, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_920 = and(_T_919, UInt<4>("h01")) @[lsu_dccm_ctl.scala 210:94]
node _T_921 = bits(io.lsu_pkt_m.bits.half, 0, 0) @[Bitwise.scala 72:15]
node _T_922 = mux(_T_921, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_923 = and(_T_922, UInt<4>("h03")) @[lsu_dccm_ctl.scala 211:38]
node _T_924 = or(_T_920, _T_923) @[lsu_dccm_ctl.scala 210:107]
node _T_925 = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15]
node _T_926 = mux(_T_925, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_927 = and(_T_926, UInt<4>("h0f")) @[lsu_dccm_ctl.scala 212:38]
node _T_928 = or(_T_924, _T_927) @[lsu_dccm_ctl.scala 211:51]
node store_byteen_m = and(_T_917, _T_928) @[lsu_dccm_ctl.scala 210:58]
node _T_929 = bits(io.lsu_pkt_r.bits.store, 0, 0) @[Bitwise.scala 72:15]
node _T_930 = mux(_T_929, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_931 = bits(io.lsu_pkt_r.bits.by, 0, 0) @[Bitwise.scala 72:15]
node _T_932 = mux(_T_931, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_933 = and(_T_932, UInt<4>("h01")) @[lsu_dccm_ctl.scala 214:94]
node _T_934 = bits(io.lsu_pkt_r.bits.half, 0, 0) @[Bitwise.scala 72:15]
node _T_935 = mux(_T_934, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_936 = and(_T_935, UInt<4>("h03")) @[lsu_dccm_ctl.scala 215:38]
node _T_937 = or(_T_933, _T_936) @[lsu_dccm_ctl.scala 214:107]
node _T_938 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[Bitwise.scala 72:15]
node _T_939 = mux(_T_938, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_940 = and(_T_939, UInt<4>("h0f")) @[lsu_dccm_ctl.scala 216:38]
node _T_941 = or(_T_937, _T_940) @[lsu_dccm_ctl.scala 215:51]
node store_byteen_r = and(_T_930, _T_941) @[lsu_dccm_ctl.scala 214:58]
wire store_byteen_ext_m : UInt<8>
store_byteen_ext_m <= UInt<1>("h00")
node _T_942 = bits(store_byteen_m, 3, 0) @[lsu_dccm_ctl.scala 218:39]
node _T_943 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 218:61]
node _T_944 = dshl(_T_942, _T_943) @[lsu_dccm_ctl.scala 218:45]
store_byteen_ext_m <= _T_944 @[lsu_dccm_ctl.scala 218:22]
wire store_byteen_ext_r : UInt<8>
store_byteen_ext_r <= UInt<1>("h00")
node _T_945 = bits(store_byteen_r, 3, 0) @[lsu_dccm_ctl.scala 220:39]
node _T_946 = bits(io.lsu_addr_r, 1, 0) @[lsu_dccm_ctl.scala 220:61]
node _T_947 = dshl(_T_945, _T_946) @[lsu_dccm_ctl.scala 220:45]
store_byteen_ext_r <= _T_947 @[lsu_dccm_ctl.scala 220:22]
node _T_948 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 223:51]
node _T_949 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 223:84]
node _T_950 = eq(_T_948, _T_949) @[lsu_dccm_ctl.scala 223:67]
node dccm_wr_bypass_d_m_lo = and(_T_950, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 223:101]
node _T_951 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 224:51]
node _T_952 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 224:84]
node _T_953 = eq(_T_951, _T_952) @[lsu_dccm_ctl.scala 224:67]
node dccm_wr_bypass_d_m_hi = and(_T_953, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 224:101]
node _T_954 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 226:51]
node _T_955 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 226:84]
node _T_956 = eq(_T_954, _T_955) @[lsu_dccm_ctl.scala 226:67]
node dccm_wr_bypass_d_r_lo = and(_T_956, io.addr_in_dccm_r) @[lsu_dccm_ctl.scala 226:101]
node _T_957 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 227:51]
node _T_958 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 227:84]
node _T_959 = eq(_T_957, _T_958) @[lsu_dccm_ctl.scala 227:67]
node dccm_wr_bypass_d_r_hi = and(_T_959, io.addr_in_dccm_r) @[lsu_dccm_ctl.scala 227:101]
wire dccm_wr_bypass_d_m_hi_Q : UInt<1>
dccm_wr_bypass_d_m_hi_Q <= UInt<1>("h00")
wire dccm_wr_bypass_d_m_lo_Q : UInt<1>
dccm_wr_bypass_d_m_lo_Q <= UInt<1>("h00")
wire dccm_wren_Q : UInt<1>
dccm_wren_Q <= UInt<1>("h00")
wire dccm_wr_data_Q : UInt<32>
dccm_wr_data_Q <= UInt<32>("h00")
wire store_data_pre_r : UInt<64>
store_data_pre_r <= UInt<64>("h00")
wire store_data_pre_hi_r : UInt<32>
store_data_pre_hi_r <= UInt<32>("h00")
wire store_data_pre_lo_r : UInt<32>
store_data_pre_lo_r <= UInt<32>("h00")
wire store_data_pre_m : UInt<64>
store_data_pre_m <= UInt<64>("h00")
wire store_data_hi_m : UInt<32>
store_data_hi_m <= UInt<32>("h00")
wire store_data_lo_m : UInt<32>
store_data_lo_m <= UInt<32>("h00")
node _T_960 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_961 = bits(io.store_data_m, 31, 0) @[lsu_dccm_ctl.scala 256:64]
node _T_962 = cat(_T_960, _T_961) @[Cat.scala 29:58]
node _T_963 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 256:92]
node _T_964 = mul(UInt<4>("h08"), _T_963) @[lsu_dccm_ctl.scala 256:78]
node _T_965 = dshl(_T_962, _T_964) @[lsu_dccm_ctl.scala 256:72]
store_data_pre_m <= _T_965 @[lsu_dccm_ctl.scala 256:29]
node _T_966 = bits(store_data_pre_m, 63, 32) @[lsu_dccm_ctl.scala 257:48]
store_data_hi_m <= _T_966 @[lsu_dccm_ctl.scala 257:29]
node _T_967 = bits(store_data_pre_m, 31, 0) @[lsu_dccm_ctl.scala 258:48]
store_data_lo_m <= _T_967 @[lsu_dccm_ctl.scala 258:29]
node _T_968 = bits(store_byteen_ext_m, 0, 0) @[lsu_dccm_ctl.scala 259:139]
node _T_969 = bits(_T_968, 0, 0) @[lsu_dccm_ctl.scala 259:143]
node _T_970 = bits(store_data_lo_m, 7, 0) @[lsu_dccm_ctl.scala 259:167]
node _T_971 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 259:211]
node _T_972 = bits(_T_971, 0, 0) @[lsu_dccm_ctl.scala 259:237]
node _T_973 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 259:262]
node _T_974 = bits(io.sec_data_lo_m, 7, 0) @[lsu_dccm_ctl.scala 259:292]
node _T_975 = mux(_T_972, _T_973, _T_974) @[lsu_dccm_ctl.scala 259:185]
node _T_976 = mux(_T_969, _T_970, _T_975) @[lsu_dccm_ctl.scala 259:120]
node _T_977 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_978 = xor(UInt<8>("h0ff"), _T_977) @[Bitwise.scala 102:21]
node _T_979 = shr(_T_976, 4) @[Bitwise.scala 103:21]
node _T_980 = and(_T_979, _T_978) @[Bitwise.scala 103:31]
node _T_981 = bits(_T_976, 3, 0) @[Bitwise.scala 103:46]
node _T_982 = shl(_T_981, 4) @[Bitwise.scala 103:65]
node _T_983 = not(_T_978) @[Bitwise.scala 103:77]
node _T_984 = and(_T_982, _T_983) @[Bitwise.scala 103:75]
node _T_985 = or(_T_980, _T_984) @[Bitwise.scala 103:39]
node _T_986 = bits(_T_978, 5, 0) @[Bitwise.scala 102:28]
node _T_987 = shl(_T_986, 2) @[Bitwise.scala 102:47]
node _T_988 = xor(_T_978, _T_987) @[Bitwise.scala 102:21]
node _T_989 = shr(_T_985, 2) @[Bitwise.scala 103:21]
node _T_990 = and(_T_989, _T_988) @[Bitwise.scala 103:31]
node _T_991 = bits(_T_985, 5, 0) @[Bitwise.scala 103:46]
node _T_992 = shl(_T_991, 2) @[Bitwise.scala 103:65]
node _T_993 = not(_T_988) @[Bitwise.scala 103:77]
node _T_994 = and(_T_992, _T_993) @[Bitwise.scala 103:75]
node _T_995 = or(_T_990, _T_994) @[Bitwise.scala 103:39]
node _T_996 = bits(_T_988, 6, 0) @[Bitwise.scala 102:28]
node _T_997 = shl(_T_996, 1) @[Bitwise.scala 102:47]
node _T_998 = xor(_T_988, _T_997) @[Bitwise.scala 102:21]
node _T_999 = shr(_T_995, 1) @[Bitwise.scala 103:21]
node _T_1000 = and(_T_999, _T_998) @[Bitwise.scala 103:31]
node _T_1001 = bits(_T_995, 6, 0) @[Bitwise.scala 103:46]
node _T_1002 = shl(_T_1001, 1) @[Bitwise.scala 103:65]
node _T_1003 = not(_T_998) @[Bitwise.scala 103:77]
node _T_1004 = and(_T_1002, _T_1003) @[Bitwise.scala 103:75]
node _T_1005 = or(_T_1000, _T_1004) @[Bitwise.scala 103:39]
node _T_1006 = bits(store_byteen_ext_m, 1, 1) @[lsu_dccm_ctl.scala 259:139]
node _T_1007 = bits(_T_1006, 0, 0) @[lsu_dccm_ctl.scala 259:143]
node _T_1008 = bits(store_data_lo_m, 15, 8) @[lsu_dccm_ctl.scala 259:167]
node _T_1009 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 259:211]
node _T_1010 = bits(_T_1009, 0, 0) @[lsu_dccm_ctl.scala 259:237]
node _T_1011 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 259:262]
node _T_1012 = bits(io.sec_data_lo_m, 15, 8) @[lsu_dccm_ctl.scala 259:292]
node _T_1013 = mux(_T_1010, _T_1011, _T_1012) @[lsu_dccm_ctl.scala 259:185]
node _T_1014 = mux(_T_1007, _T_1008, _T_1013) @[lsu_dccm_ctl.scala 259:120]
node _T_1015 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1016 = xor(UInt<8>("h0ff"), _T_1015) @[Bitwise.scala 102:21]
node _T_1017 = shr(_T_1014, 4) @[Bitwise.scala 103:21]
node _T_1018 = and(_T_1017, _T_1016) @[Bitwise.scala 103:31]
node _T_1019 = bits(_T_1014, 3, 0) @[Bitwise.scala 103:46]
node _T_1020 = shl(_T_1019, 4) @[Bitwise.scala 103:65]
node _T_1021 = not(_T_1016) @[Bitwise.scala 103:77]
node _T_1022 = and(_T_1020, _T_1021) @[Bitwise.scala 103:75]
node _T_1023 = or(_T_1018, _T_1022) @[Bitwise.scala 103:39]
node _T_1024 = bits(_T_1016, 5, 0) @[Bitwise.scala 102:28]
node _T_1025 = shl(_T_1024, 2) @[Bitwise.scala 102:47]
node _T_1026 = xor(_T_1016, _T_1025) @[Bitwise.scala 102:21]
node _T_1027 = shr(_T_1023, 2) @[Bitwise.scala 103:21]
node _T_1028 = and(_T_1027, _T_1026) @[Bitwise.scala 103:31]
node _T_1029 = bits(_T_1023, 5, 0) @[Bitwise.scala 103:46]
node _T_1030 = shl(_T_1029, 2) @[Bitwise.scala 103:65]
node _T_1031 = not(_T_1026) @[Bitwise.scala 103:77]
node _T_1032 = and(_T_1030, _T_1031) @[Bitwise.scala 103:75]
node _T_1033 = or(_T_1028, _T_1032) @[Bitwise.scala 103:39]
node _T_1034 = bits(_T_1026, 6, 0) @[Bitwise.scala 102:28]
node _T_1035 = shl(_T_1034, 1) @[Bitwise.scala 102:47]
node _T_1036 = xor(_T_1026, _T_1035) @[Bitwise.scala 102:21]
node _T_1037 = shr(_T_1033, 1) @[Bitwise.scala 103:21]
node _T_1038 = and(_T_1037, _T_1036) @[Bitwise.scala 103:31]
node _T_1039 = bits(_T_1033, 6, 0) @[Bitwise.scala 103:46]
node _T_1040 = shl(_T_1039, 1) @[Bitwise.scala 103:65]
node _T_1041 = not(_T_1036) @[Bitwise.scala 103:77]
node _T_1042 = and(_T_1040, _T_1041) @[Bitwise.scala 103:75]
node _T_1043 = or(_T_1038, _T_1042) @[Bitwise.scala 103:39]
node _T_1044 = bits(store_byteen_ext_m, 2, 2) @[lsu_dccm_ctl.scala 259:139]
node _T_1045 = bits(_T_1044, 0, 0) @[lsu_dccm_ctl.scala 259:143]
node _T_1046 = bits(store_data_lo_m, 23, 16) @[lsu_dccm_ctl.scala 259:167]
node _T_1047 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 259:211]
node _T_1048 = bits(_T_1047, 0, 0) @[lsu_dccm_ctl.scala 259:237]
node _T_1049 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 259:262]
node _T_1050 = bits(io.sec_data_lo_m, 23, 16) @[lsu_dccm_ctl.scala 259:292]
node _T_1051 = mux(_T_1048, _T_1049, _T_1050) @[lsu_dccm_ctl.scala 259:185]
node _T_1052 = mux(_T_1045, _T_1046, _T_1051) @[lsu_dccm_ctl.scala 259:120]
node _T_1053 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1054 = xor(UInt<8>("h0ff"), _T_1053) @[Bitwise.scala 102:21]
node _T_1055 = shr(_T_1052, 4) @[Bitwise.scala 103:21]
node _T_1056 = and(_T_1055, _T_1054) @[Bitwise.scala 103:31]
node _T_1057 = bits(_T_1052, 3, 0) @[Bitwise.scala 103:46]
node _T_1058 = shl(_T_1057, 4) @[Bitwise.scala 103:65]
node _T_1059 = not(_T_1054) @[Bitwise.scala 103:77]
node _T_1060 = and(_T_1058, _T_1059) @[Bitwise.scala 103:75]
node _T_1061 = or(_T_1056, _T_1060) @[Bitwise.scala 103:39]
node _T_1062 = bits(_T_1054, 5, 0) @[Bitwise.scala 102:28]
node _T_1063 = shl(_T_1062, 2) @[Bitwise.scala 102:47]
node _T_1064 = xor(_T_1054, _T_1063) @[Bitwise.scala 102:21]
node _T_1065 = shr(_T_1061, 2) @[Bitwise.scala 103:21]
node _T_1066 = and(_T_1065, _T_1064) @[Bitwise.scala 103:31]
node _T_1067 = bits(_T_1061, 5, 0) @[Bitwise.scala 103:46]
node _T_1068 = shl(_T_1067, 2) @[Bitwise.scala 103:65]
node _T_1069 = not(_T_1064) @[Bitwise.scala 103:77]
node _T_1070 = and(_T_1068, _T_1069) @[Bitwise.scala 103:75]
node _T_1071 = or(_T_1066, _T_1070) @[Bitwise.scala 103:39]
node _T_1072 = bits(_T_1064, 6, 0) @[Bitwise.scala 102:28]
node _T_1073 = shl(_T_1072, 1) @[Bitwise.scala 102:47]
node _T_1074 = xor(_T_1064, _T_1073) @[Bitwise.scala 102:21]
node _T_1075 = shr(_T_1071, 1) @[Bitwise.scala 103:21]
node _T_1076 = and(_T_1075, _T_1074) @[Bitwise.scala 103:31]
node _T_1077 = bits(_T_1071, 6, 0) @[Bitwise.scala 103:46]
node _T_1078 = shl(_T_1077, 1) @[Bitwise.scala 103:65]
node _T_1079 = not(_T_1074) @[Bitwise.scala 103:77]
node _T_1080 = and(_T_1078, _T_1079) @[Bitwise.scala 103:75]
node _T_1081 = or(_T_1076, _T_1080) @[Bitwise.scala 103:39]
node _T_1082 = bits(store_byteen_ext_m, 3, 3) @[lsu_dccm_ctl.scala 259:139]
node _T_1083 = bits(_T_1082, 0, 0) @[lsu_dccm_ctl.scala 259:143]
node _T_1084 = bits(store_data_lo_m, 31, 24) @[lsu_dccm_ctl.scala 259:167]
node _T_1085 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 259:211]
node _T_1086 = bits(_T_1085, 0, 0) @[lsu_dccm_ctl.scala 259:237]
node _T_1087 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 259:262]
node _T_1088 = bits(io.sec_data_lo_m, 31, 24) @[lsu_dccm_ctl.scala 259:292]
node _T_1089 = mux(_T_1086, _T_1087, _T_1088) @[lsu_dccm_ctl.scala 259:185]
node _T_1090 = mux(_T_1083, _T_1084, _T_1089) @[lsu_dccm_ctl.scala 259:120]
node _T_1091 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1092 = xor(UInt<8>("h0ff"), _T_1091) @[Bitwise.scala 102:21]
node _T_1093 = shr(_T_1090, 4) @[Bitwise.scala 103:21]
node _T_1094 = and(_T_1093, _T_1092) @[Bitwise.scala 103:31]
node _T_1095 = bits(_T_1090, 3, 0) @[Bitwise.scala 103:46]
node _T_1096 = shl(_T_1095, 4) @[Bitwise.scala 103:65]
node _T_1097 = not(_T_1092) @[Bitwise.scala 103:77]
node _T_1098 = and(_T_1096, _T_1097) @[Bitwise.scala 103:75]
node _T_1099 = or(_T_1094, _T_1098) @[Bitwise.scala 103:39]
node _T_1100 = bits(_T_1092, 5, 0) @[Bitwise.scala 102:28]
node _T_1101 = shl(_T_1100, 2) @[Bitwise.scala 102:47]
node _T_1102 = xor(_T_1092, _T_1101) @[Bitwise.scala 102:21]
node _T_1103 = shr(_T_1099, 2) @[Bitwise.scala 103:21]
node _T_1104 = and(_T_1103, _T_1102) @[Bitwise.scala 103:31]
node _T_1105 = bits(_T_1099, 5, 0) @[Bitwise.scala 103:46]
node _T_1106 = shl(_T_1105, 2) @[Bitwise.scala 103:65]
node _T_1107 = not(_T_1102) @[Bitwise.scala 103:77]
node _T_1108 = and(_T_1106, _T_1107) @[Bitwise.scala 103:75]
node _T_1109 = or(_T_1104, _T_1108) @[Bitwise.scala 103:39]
node _T_1110 = bits(_T_1102, 6, 0) @[Bitwise.scala 102:28]
node _T_1111 = shl(_T_1110, 1) @[Bitwise.scala 102:47]
node _T_1112 = xor(_T_1102, _T_1111) @[Bitwise.scala 102:21]
node _T_1113 = shr(_T_1109, 1) @[Bitwise.scala 103:21]
node _T_1114 = and(_T_1113, _T_1112) @[Bitwise.scala 103:31]
node _T_1115 = bits(_T_1109, 6, 0) @[Bitwise.scala 103:46]
node _T_1116 = shl(_T_1115, 1) @[Bitwise.scala 103:65]
node _T_1117 = not(_T_1112) @[Bitwise.scala 103:77]
node _T_1118 = and(_T_1116, _T_1117) @[Bitwise.scala 103:75]
node _T_1119 = or(_T_1114, _T_1118) @[Bitwise.scala 103:39]
wire _T_1120 : UInt<8>[4] @[lsu_dccm_ctl.scala 259:104]
_T_1120[0] <= _T_1005 @[lsu_dccm_ctl.scala 259:104]
_T_1120[1] <= _T_1043 @[lsu_dccm_ctl.scala 259:104]
_T_1120[2] <= _T_1081 @[lsu_dccm_ctl.scala 259:104]
_T_1120[3] <= _T_1119 @[lsu_dccm_ctl.scala 259:104]
node _T_1121 = cat(_T_1120[2], _T_1120[3]) @[Cat.scala 29:58]
node _T_1122 = cat(_T_1120[0], _T_1120[1]) @[Cat.scala 29:58]
node _T_1123 = cat(_T_1122, _T_1121) @[Cat.scala 29:58]
node _T_1124 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47]
node _T_1125 = xor(UInt<32>("h0ffffffff"), _T_1124) @[Bitwise.scala 102:21]
node _T_1126 = shr(_T_1123, 16) @[Bitwise.scala 103:21]
node _T_1127 = and(_T_1126, _T_1125) @[Bitwise.scala 103:31]
node _T_1128 = bits(_T_1123, 15, 0) @[Bitwise.scala 103:46]
node _T_1129 = shl(_T_1128, 16) @[Bitwise.scala 103:65]
node _T_1130 = not(_T_1125) @[Bitwise.scala 103:77]
node _T_1131 = and(_T_1129, _T_1130) @[Bitwise.scala 103:75]
node _T_1132 = or(_T_1127, _T_1131) @[Bitwise.scala 103:39]
node _T_1133 = bits(_T_1125, 23, 0) @[Bitwise.scala 102:28]
node _T_1134 = shl(_T_1133, 8) @[Bitwise.scala 102:47]
node _T_1135 = xor(_T_1125, _T_1134) @[Bitwise.scala 102:21]
node _T_1136 = shr(_T_1132, 8) @[Bitwise.scala 103:21]
node _T_1137 = and(_T_1136, _T_1135) @[Bitwise.scala 103:31]
node _T_1138 = bits(_T_1132, 23, 0) @[Bitwise.scala 103:46]
node _T_1139 = shl(_T_1138, 8) @[Bitwise.scala 103:65]
node _T_1140 = not(_T_1135) @[Bitwise.scala 103:77]
node _T_1141 = and(_T_1139, _T_1140) @[Bitwise.scala 103:75]
node _T_1142 = or(_T_1137, _T_1141) @[Bitwise.scala 103:39]
node _T_1143 = bits(_T_1135, 27, 0) @[Bitwise.scala 102:28]
node _T_1144 = shl(_T_1143, 4) @[Bitwise.scala 102:47]
node _T_1145 = xor(_T_1135, _T_1144) @[Bitwise.scala 102:21]
node _T_1146 = shr(_T_1142, 4) @[Bitwise.scala 103:21]
node _T_1147 = and(_T_1146, _T_1145) @[Bitwise.scala 103:31]
node _T_1148 = bits(_T_1142, 27, 0) @[Bitwise.scala 103:46]
node _T_1149 = shl(_T_1148, 4) @[Bitwise.scala 103:65]
node _T_1150 = not(_T_1145) @[Bitwise.scala 103:77]
node _T_1151 = and(_T_1149, _T_1150) @[Bitwise.scala 103:75]
node _T_1152 = or(_T_1147, _T_1151) @[Bitwise.scala 103:39]
node _T_1153 = bits(_T_1145, 29, 0) @[Bitwise.scala 102:28]
node _T_1154 = shl(_T_1153, 2) @[Bitwise.scala 102:47]
node _T_1155 = xor(_T_1145, _T_1154) @[Bitwise.scala 102:21]
node _T_1156 = shr(_T_1152, 2) @[Bitwise.scala 103:21]
node _T_1157 = and(_T_1156, _T_1155) @[Bitwise.scala 103:31]
node _T_1158 = bits(_T_1152, 29, 0) @[Bitwise.scala 103:46]
node _T_1159 = shl(_T_1158, 2) @[Bitwise.scala 103:65]
node _T_1160 = not(_T_1155) @[Bitwise.scala 103:77]
node _T_1161 = and(_T_1159, _T_1160) @[Bitwise.scala 103:75]
node _T_1162 = or(_T_1157, _T_1161) @[Bitwise.scala 103:39]
node _T_1163 = bits(_T_1155, 30, 0) @[Bitwise.scala 102:28]
node _T_1164 = shl(_T_1163, 1) @[Bitwise.scala 102:47]
node _T_1165 = xor(_T_1155, _T_1164) @[Bitwise.scala 102:21]
node _T_1166 = shr(_T_1162, 1) @[Bitwise.scala 103:21]
node _T_1167 = and(_T_1166, _T_1165) @[Bitwise.scala 103:31]
node _T_1168 = bits(_T_1162, 30, 0) @[Bitwise.scala 103:46]
node _T_1169 = shl(_T_1168, 1) @[Bitwise.scala 103:65]
node _T_1170 = not(_T_1165) @[Bitwise.scala 103:77]
node _T_1171 = and(_T_1169, _T_1170) @[Bitwise.scala 103:75]
node _T_1172 = or(_T_1167, _T_1171) @[Bitwise.scala 103:39]
reg _T_1173 : UInt, io.lsu_store_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 259:72]
_T_1173 <= _T_1172 @[lsu_dccm_ctl.scala 259:72]
io.store_data_lo_r <= _T_1173 @[lsu_dccm_ctl.scala 259:29]
node _T_1174 = bits(store_byteen_ext_m, 4, 4) @[lsu_dccm_ctl.scala 260:139]
node _T_1175 = bits(_T_1174, 0, 0) @[lsu_dccm_ctl.scala 260:145]
node _T_1176 = bits(store_data_hi_m, 7, 0) @[lsu_dccm_ctl.scala 260:167]
node _T_1177 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 260:211]
node _T_1178 = bits(_T_1177, 0, 0) @[lsu_dccm_ctl.scala 260:237]
node _T_1179 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 260:262]
node _T_1180 = bits(io.sec_data_hi_m, 7, 0) @[lsu_dccm_ctl.scala 260:292]
node _T_1181 = mux(_T_1178, _T_1179, _T_1180) @[lsu_dccm_ctl.scala 260:185]
node _T_1182 = mux(_T_1175, _T_1176, _T_1181) @[lsu_dccm_ctl.scala 260:120]
node _T_1183 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1184 = xor(UInt<8>("h0ff"), _T_1183) @[Bitwise.scala 102:21]
node _T_1185 = shr(_T_1182, 4) @[Bitwise.scala 103:21]
node _T_1186 = and(_T_1185, _T_1184) @[Bitwise.scala 103:31]
node _T_1187 = bits(_T_1182, 3, 0) @[Bitwise.scala 103:46]
node _T_1188 = shl(_T_1187, 4) @[Bitwise.scala 103:65]
node _T_1189 = not(_T_1184) @[Bitwise.scala 103:77]
node _T_1190 = and(_T_1188, _T_1189) @[Bitwise.scala 103:75]
node _T_1191 = or(_T_1186, _T_1190) @[Bitwise.scala 103:39]
node _T_1192 = bits(_T_1184, 5, 0) @[Bitwise.scala 102:28]
node _T_1193 = shl(_T_1192, 2) @[Bitwise.scala 102:47]
node _T_1194 = xor(_T_1184, _T_1193) @[Bitwise.scala 102:21]
node _T_1195 = shr(_T_1191, 2) @[Bitwise.scala 103:21]
node _T_1196 = and(_T_1195, _T_1194) @[Bitwise.scala 103:31]
node _T_1197 = bits(_T_1191, 5, 0) @[Bitwise.scala 103:46]
node _T_1198 = shl(_T_1197, 2) @[Bitwise.scala 103:65]
node _T_1199 = not(_T_1194) @[Bitwise.scala 103:77]
node _T_1200 = and(_T_1198, _T_1199) @[Bitwise.scala 103:75]
node _T_1201 = or(_T_1196, _T_1200) @[Bitwise.scala 103:39]
node _T_1202 = bits(_T_1194, 6, 0) @[Bitwise.scala 102:28]
node _T_1203 = shl(_T_1202, 1) @[Bitwise.scala 102:47]
node _T_1204 = xor(_T_1194, _T_1203) @[Bitwise.scala 102:21]
node _T_1205 = shr(_T_1201, 1) @[Bitwise.scala 103:21]
node _T_1206 = and(_T_1205, _T_1204) @[Bitwise.scala 103:31]
node _T_1207 = bits(_T_1201, 6, 0) @[Bitwise.scala 103:46]
node _T_1208 = shl(_T_1207, 1) @[Bitwise.scala 103:65]
node _T_1209 = not(_T_1204) @[Bitwise.scala 103:77]
node _T_1210 = and(_T_1208, _T_1209) @[Bitwise.scala 103:75]
node _T_1211 = or(_T_1206, _T_1210) @[Bitwise.scala 103:39]
node _T_1212 = bits(store_byteen_ext_m, 5, 5) @[lsu_dccm_ctl.scala 260:139]
node _T_1213 = bits(_T_1212, 0, 0) @[lsu_dccm_ctl.scala 260:145]
node _T_1214 = bits(store_data_hi_m, 15, 8) @[lsu_dccm_ctl.scala 260:167]
node _T_1215 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 260:211]
node _T_1216 = bits(_T_1215, 0, 0) @[lsu_dccm_ctl.scala 260:237]
node _T_1217 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 260:262]
node _T_1218 = bits(io.sec_data_hi_m, 15, 8) @[lsu_dccm_ctl.scala 260:292]
node _T_1219 = mux(_T_1216, _T_1217, _T_1218) @[lsu_dccm_ctl.scala 260:185]
node _T_1220 = mux(_T_1213, _T_1214, _T_1219) @[lsu_dccm_ctl.scala 260:120]
node _T_1221 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1222 = xor(UInt<8>("h0ff"), _T_1221) @[Bitwise.scala 102:21]
node _T_1223 = shr(_T_1220, 4) @[Bitwise.scala 103:21]
node _T_1224 = and(_T_1223, _T_1222) @[Bitwise.scala 103:31]
node _T_1225 = bits(_T_1220, 3, 0) @[Bitwise.scala 103:46]
node _T_1226 = shl(_T_1225, 4) @[Bitwise.scala 103:65]
node _T_1227 = not(_T_1222) @[Bitwise.scala 103:77]
node _T_1228 = and(_T_1226, _T_1227) @[Bitwise.scala 103:75]
node _T_1229 = or(_T_1224, _T_1228) @[Bitwise.scala 103:39]
node _T_1230 = bits(_T_1222, 5, 0) @[Bitwise.scala 102:28]
node _T_1231 = shl(_T_1230, 2) @[Bitwise.scala 102:47]
node _T_1232 = xor(_T_1222, _T_1231) @[Bitwise.scala 102:21]
node _T_1233 = shr(_T_1229, 2) @[Bitwise.scala 103:21]
node _T_1234 = and(_T_1233, _T_1232) @[Bitwise.scala 103:31]
node _T_1235 = bits(_T_1229, 5, 0) @[Bitwise.scala 103:46]
node _T_1236 = shl(_T_1235, 2) @[Bitwise.scala 103:65]
node _T_1237 = not(_T_1232) @[Bitwise.scala 103:77]
node _T_1238 = and(_T_1236, _T_1237) @[Bitwise.scala 103:75]
node _T_1239 = or(_T_1234, _T_1238) @[Bitwise.scala 103:39]
node _T_1240 = bits(_T_1232, 6, 0) @[Bitwise.scala 102:28]
node _T_1241 = shl(_T_1240, 1) @[Bitwise.scala 102:47]
node _T_1242 = xor(_T_1232, _T_1241) @[Bitwise.scala 102:21]
node _T_1243 = shr(_T_1239, 1) @[Bitwise.scala 103:21]
node _T_1244 = and(_T_1243, _T_1242) @[Bitwise.scala 103:31]
node _T_1245 = bits(_T_1239, 6, 0) @[Bitwise.scala 103:46]
node _T_1246 = shl(_T_1245, 1) @[Bitwise.scala 103:65]
node _T_1247 = not(_T_1242) @[Bitwise.scala 103:77]
node _T_1248 = and(_T_1246, _T_1247) @[Bitwise.scala 103:75]
node _T_1249 = or(_T_1244, _T_1248) @[Bitwise.scala 103:39]
node _T_1250 = bits(store_byteen_ext_m, 6, 6) @[lsu_dccm_ctl.scala 260:139]
node _T_1251 = bits(_T_1250, 0, 0) @[lsu_dccm_ctl.scala 260:145]
node _T_1252 = bits(store_data_hi_m, 23, 16) @[lsu_dccm_ctl.scala 260:167]
node _T_1253 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 260:211]
node _T_1254 = bits(_T_1253, 0, 0) @[lsu_dccm_ctl.scala 260:237]
node _T_1255 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 260:262]
node _T_1256 = bits(io.sec_data_hi_m, 23, 16) @[lsu_dccm_ctl.scala 260:292]
node _T_1257 = mux(_T_1254, _T_1255, _T_1256) @[lsu_dccm_ctl.scala 260:185]
node _T_1258 = mux(_T_1251, _T_1252, _T_1257) @[lsu_dccm_ctl.scala 260:120]
node _T_1259 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1260 = xor(UInt<8>("h0ff"), _T_1259) @[Bitwise.scala 102:21]
node _T_1261 = shr(_T_1258, 4) @[Bitwise.scala 103:21]
node _T_1262 = and(_T_1261, _T_1260) @[Bitwise.scala 103:31]
node _T_1263 = bits(_T_1258, 3, 0) @[Bitwise.scala 103:46]
node _T_1264 = shl(_T_1263, 4) @[Bitwise.scala 103:65]
node _T_1265 = not(_T_1260) @[Bitwise.scala 103:77]
node _T_1266 = and(_T_1264, _T_1265) @[Bitwise.scala 103:75]
node _T_1267 = or(_T_1262, _T_1266) @[Bitwise.scala 103:39]
node _T_1268 = bits(_T_1260, 5, 0) @[Bitwise.scala 102:28]
node _T_1269 = shl(_T_1268, 2) @[Bitwise.scala 102:47]
node _T_1270 = xor(_T_1260, _T_1269) @[Bitwise.scala 102:21]
node _T_1271 = shr(_T_1267, 2) @[Bitwise.scala 103:21]
node _T_1272 = and(_T_1271, _T_1270) @[Bitwise.scala 103:31]
node _T_1273 = bits(_T_1267, 5, 0) @[Bitwise.scala 103:46]
node _T_1274 = shl(_T_1273, 2) @[Bitwise.scala 103:65]
node _T_1275 = not(_T_1270) @[Bitwise.scala 103:77]
node _T_1276 = and(_T_1274, _T_1275) @[Bitwise.scala 103:75]
node _T_1277 = or(_T_1272, _T_1276) @[Bitwise.scala 103:39]
node _T_1278 = bits(_T_1270, 6, 0) @[Bitwise.scala 102:28]
node _T_1279 = shl(_T_1278, 1) @[Bitwise.scala 102:47]
node _T_1280 = xor(_T_1270, _T_1279) @[Bitwise.scala 102:21]
node _T_1281 = shr(_T_1277, 1) @[Bitwise.scala 103:21]
node _T_1282 = and(_T_1281, _T_1280) @[Bitwise.scala 103:31]
node _T_1283 = bits(_T_1277, 6, 0) @[Bitwise.scala 103:46]
node _T_1284 = shl(_T_1283, 1) @[Bitwise.scala 103:65]
node _T_1285 = not(_T_1280) @[Bitwise.scala 103:77]
node _T_1286 = and(_T_1284, _T_1285) @[Bitwise.scala 103:75]
node _T_1287 = or(_T_1282, _T_1286) @[Bitwise.scala 103:39]
node _T_1288 = bits(store_byteen_ext_m, 7, 7) @[lsu_dccm_ctl.scala 260:139]
node _T_1289 = bits(_T_1288, 0, 0) @[lsu_dccm_ctl.scala 260:145]
node _T_1290 = bits(store_data_hi_m, 31, 24) @[lsu_dccm_ctl.scala 260:167]
node _T_1291 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 260:211]
node _T_1292 = bits(_T_1291, 0, 0) @[lsu_dccm_ctl.scala 260:237]
node _T_1293 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 260:262]
node _T_1294 = bits(io.sec_data_hi_m, 31, 24) @[lsu_dccm_ctl.scala 260:292]
node _T_1295 = mux(_T_1292, _T_1293, _T_1294) @[lsu_dccm_ctl.scala 260:185]
node _T_1296 = mux(_T_1289, _T_1290, _T_1295) @[lsu_dccm_ctl.scala 260:120]
node _T_1297 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1298 = xor(UInt<8>("h0ff"), _T_1297) @[Bitwise.scala 102:21]
node _T_1299 = shr(_T_1296, 4) @[Bitwise.scala 103:21]
node _T_1300 = and(_T_1299, _T_1298) @[Bitwise.scala 103:31]
node _T_1301 = bits(_T_1296, 3, 0) @[Bitwise.scala 103:46]
node _T_1302 = shl(_T_1301, 4) @[Bitwise.scala 103:65]
node _T_1303 = not(_T_1298) @[Bitwise.scala 103:77]
node _T_1304 = and(_T_1302, _T_1303) @[Bitwise.scala 103:75]
node _T_1305 = or(_T_1300, _T_1304) @[Bitwise.scala 103:39]
node _T_1306 = bits(_T_1298, 5, 0) @[Bitwise.scala 102:28]
node _T_1307 = shl(_T_1306, 2) @[Bitwise.scala 102:47]
node _T_1308 = xor(_T_1298, _T_1307) @[Bitwise.scala 102:21]
node _T_1309 = shr(_T_1305, 2) @[Bitwise.scala 103:21]
node _T_1310 = and(_T_1309, _T_1308) @[Bitwise.scala 103:31]
node _T_1311 = bits(_T_1305, 5, 0) @[Bitwise.scala 103:46]
node _T_1312 = shl(_T_1311, 2) @[Bitwise.scala 103:65]
node _T_1313 = not(_T_1308) @[Bitwise.scala 103:77]
node _T_1314 = and(_T_1312, _T_1313) @[Bitwise.scala 103:75]
node _T_1315 = or(_T_1310, _T_1314) @[Bitwise.scala 103:39]
node _T_1316 = bits(_T_1308, 6, 0) @[Bitwise.scala 102:28]
node _T_1317 = shl(_T_1316, 1) @[Bitwise.scala 102:47]
node _T_1318 = xor(_T_1308, _T_1317) @[Bitwise.scala 102:21]
node _T_1319 = shr(_T_1315, 1) @[Bitwise.scala 103:21]
node _T_1320 = and(_T_1319, _T_1318) @[Bitwise.scala 103:31]
node _T_1321 = bits(_T_1315, 6, 0) @[Bitwise.scala 103:46]
node _T_1322 = shl(_T_1321, 1) @[Bitwise.scala 103:65]
node _T_1323 = not(_T_1318) @[Bitwise.scala 103:77]
node _T_1324 = and(_T_1322, _T_1323) @[Bitwise.scala 103:75]
node _T_1325 = or(_T_1320, _T_1324) @[Bitwise.scala 103:39]
wire _T_1326 : UInt<8>[4] @[lsu_dccm_ctl.scala 260:104]
_T_1326[0] <= _T_1211 @[lsu_dccm_ctl.scala 260:104]
_T_1326[1] <= _T_1249 @[lsu_dccm_ctl.scala 260:104]
_T_1326[2] <= _T_1287 @[lsu_dccm_ctl.scala 260:104]
_T_1326[3] <= _T_1325 @[lsu_dccm_ctl.scala 260:104]
node _T_1327 = cat(_T_1326[2], _T_1326[3]) @[Cat.scala 29:58]
node _T_1328 = cat(_T_1326[0], _T_1326[1]) @[Cat.scala 29:58]
node _T_1329 = cat(_T_1328, _T_1327) @[Cat.scala 29:58]
node _T_1330 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47]
node _T_1331 = xor(UInt<32>("h0ffffffff"), _T_1330) @[Bitwise.scala 102:21]
node _T_1332 = shr(_T_1329, 16) @[Bitwise.scala 103:21]
node _T_1333 = and(_T_1332, _T_1331) @[Bitwise.scala 103:31]
node _T_1334 = bits(_T_1329, 15, 0) @[Bitwise.scala 103:46]
node _T_1335 = shl(_T_1334, 16) @[Bitwise.scala 103:65]
node _T_1336 = not(_T_1331) @[Bitwise.scala 103:77]
node _T_1337 = and(_T_1335, _T_1336) @[Bitwise.scala 103:75]
node _T_1338 = or(_T_1333, _T_1337) @[Bitwise.scala 103:39]
node _T_1339 = bits(_T_1331, 23, 0) @[Bitwise.scala 102:28]
node _T_1340 = shl(_T_1339, 8) @[Bitwise.scala 102:47]
node _T_1341 = xor(_T_1331, _T_1340) @[Bitwise.scala 102:21]
node _T_1342 = shr(_T_1338, 8) @[Bitwise.scala 103:21]
node _T_1343 = and(_T_1342, _T_1341) @[Bitwise.scala 103:31]
node _T_1344 = bits(_T_1338, 23, 0) @[Bitwise.scala 103:46]
node _T_1345 = shl(_T_1344, 8) @[Bitwise.scala 103:65]
node _T_1346 = not(_T_1341) @[Bitwise.scala 103:77]
node _T_1347 = and(_T_1345, _T_1346) @[Bitwise.scala 103:75]
node _T_1348 = or(_T_1343, _T_1347) @[Bitwise.scala 103:39]
node _T_1349 = bits(_T_1341, 27, 0) @[Bitwise.scala 102:28]
node _T_1350 = shl(_T_1349, 4) @[Bitwise.scala 102:47]
node _T_1351 = xor(_T_1341, _T_1350) @[Bitwise.scala 102:21]
node _T_1352 = shr(_T_1348, 4) @[Bitwise.scala 103:21]
node _T_1353 = and(_T_1352, _T_1351) @[Bitwise.scala 103:31]
node _T_1354 = bits(_T_1348, 27, 0) @[Bitwise.scala 103:46]
node _T_1355 = shl(_T_1354, 4) @[Bitwise.scala 103:65]
node _T_1356 = not(_T_1351) @[Bitwise.scala 103:77]
node _T_1357 = and(_T_1355, _T_1356) @[Bitwise.scala 103:75]
node _T_1358 = or(_T_1353, _T_1357) @[Bitwise.scala 103:39]
node _T_1359 = bits(_T_1351, 29, 0) @[Bitwise.scala 102:28]
node _T_1360 = shl(_T_1359, 2) @[Bitwise.scala 102:47]
node _T_1361 = xor(_T_1351, _T_1360) @[Bitwise.scala 102:21]
node _T_1362 = shr(_T_1358, 2) @[Bitwise.scala 103:21]
node _T_1363 = and(_T_1362, _T_1361) @[Bitwise.scala 103:31]
node _T_1364 = bits(_T_1358, 29, 0) @[Bitwise.scala 103:46]
node _T_1365 = shl(_T_1364, 2) @[Bitwise.scala 103:65]
node _T_1366 = not(_T_1361) @[Bitwise.scala 103:77]
node _T_1367 = and(_T_1365, _T_1366) @[Bitwise.scala 103:75]
node _T_1368 = or(_T_1363, _T_1367) @[Bitwise.scala 103:39]
node _T_1369 = bits(_T_1361, 30, 0) @[Bitwise.scala 102:28]
node _T_1370 = shl(_T_1369, 1) @[Bitwise.scala 102:47]
node _T_1371 = xor(_T_1361, _T_1370) @[Bitwise.scala 102:21]
node _T_1372 = shr(_T_1368, 1) @[Bitwise.scala 103:21]
node _T_1373 = and(_T_1372, _T_1371) @[Bitwise.scala 103:31]
node _T_1374 = bits(_T_1368, 30, 0) @[Bitwise.scala 103:46]
node _T_1375 = shl(_T_1374, 1) @[Bitwise.scala 103:65]
node _T_1376 = not(_T_1371) @[Bitwise.scala 103:77]
node _T_1377 = and(_T_1375, _T_1376) @[Bitwise.scala 103:75]
node _T_1378 = or(_T_1373, _T_1377) @[Bitwise.scala 103:39]
reg _T_1379 : UInt, io.lsu_store_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 260:72]
_T_1379 <= _T_1378 @[lsu_dccm_ctl.scala 260:72]
io.store_data_hi_r <= _T_1379 @[lsu_dccm_ctl.scala 260:29]
node _T_1380 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 261:105]
node _T_1381 = bits(store_byteen_ext_r, 0, 0) @[lsu_dccm_ctl.scala 261:150]
node _T_1382 = eq(_T_1381, UInt<1>("h00")) @[lsu_dccm_ctl.scala 261:131]
node _T_1383 = and(_T_1380, _T_1382) @[lsu_dccm_ctl.scala 261:129]
node _T_1384 = bits(_T_1383, 0, 0) @[lsu_dccm_ctl.scala 261:155]
node _T_1385 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 261:179]
node _T_1386 = bits(io.store_data_lo_r, 7, 0) @[lsu_dccm_ctl.scala 261:211]
node _T_1387 = mux(_T_1384, _T_1385, _T_1386) @[lsu_dccm_ctl.scala 261:79]
node _T_1388 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1389 = xor(UInt<8>("h0ff"), _T_1388) @[Bitwise.scala 102:21]
node _T_1390 = shr(_T_1387, 4) @[Bitwise.scala 103:21]
node _T_1391 = and(_T_1390, _T_1389) @[Bitwise.scala 103:31]
node _T_1392 = bits(_T_1387, 3, 0) @[Bitwise.scala 103:46]
node _T_1393 = shl(_T_1392, 4) @[Bitwise.scala 103:65]
node _T_1394 = not(_T_1389) @[Bitwise.scala 103:77]
node _T_1395 = and(_T_1393, _T_1394) @[Bitwise.scala 103:75]
node _T_1396 = or(_T_1391, _T_1395) @[Bitwise.scala 103:39]
node _T_1397 = bits(_T_1389, 5, 0) @[Bitwise.scala 102:28]
node _T_1398 = shl(_T_1397, 2) @[Bitwise.scala 102:47]
node _T_1399 = xor(_T_1389, _T_1398) @[Bitwise.scala 102:21]
node _T_1400 = shr(_T_1396, 2) @[Bitwise.scala 103:21]
node _T_1401 = and(_T_1400, _T_1399) @[Bitwise.scala 103:31]
node _T_1402 = bits(_T_1396, 5, 0) @[Bitwise.scala 103:46]
node _T_1403 = shl(_T_1402, 2) @[Bitwise.scala 103:65]
node _T_1404 = not(_T_1399) @[Bitwise.scala 103:77]
node _T_1405 = and(_T_1403, _T_1404) @[Bitwise.scala 103:75]
node _T_1406 = or(_T_1401, _T_1405) @[Bitwise.scala 103:39]
node _T_1407 = bits(_T_1399, 6, 0) @[Bitwise.scala 102:28]
node _T_1408 = shl(_T_1407, 1) @[Bitwise.scala 102:47]
node _T_1409 = xor(_T_1399, _T_1408) @[Bitwise.scala 102:21]
node _T_1410 = shr(_T_1406, 1) @[Bitwise.scala 103:21]
node _T_1411 = and(_T_1410, _T_1409) @[Bitwise.scala 103:31]
node _T_1412 = bits(_T_1406, 6, 0) @[Bitwise.scala 103:46]
node _T_1413 = shl(_T_1412, 1) @[Bitwise.scala 103:65]
node _T_1414 = not(_T_1409) @[Bitwise.scala 103:77]
node _T_1415 = and(_T_1413, _T_1414) @[Bitwise.scala 103:75]
node _T_1416 = or(_T_1411, _T_1415) @[Bitwise.scala 103:39]
node _T_1417 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 261:105]
node _T_1418 = bits(store_byteen_ext_r, 1, 1) @[lsu_dccm_ctl.scala 261:150]
node _T_1419 = eq(_T_1418, UInt<1>("h00")) @[lsu_dccm_ctl.scala 261:131]
node _T_1420 = and(_T_1417, _T_1419) @[lsu_dccm_ctl.scala 261:129]
node _T_1421 = bits(_T_1420, 0, 0) @[lsu_dccm_ctl.scala 261:155]
node _T_1422 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 261:179]
node _T_1423 = bits(io.store_data_lo_r, 15, 8) @[lsu_dccm_ctl.scala 261:211]
node _T_1424 = mux(_T_1421, _T_1422, _T_1423) @[lsu_dccm_ctl.scala 261:79]
node _T_1425 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1426 = xor(UInt<8>("h0ff"), _T_1425) @[Bitwise.scala 102:21]
node _T_1427 = shr(_T_1424, 4) @[Bitwise.scala 103:21]
node _T_1428 = and(_T_1427, _T_1426) @[Bitwise.scala 103:31]
node _T_1429 = bits(_T_1424, 3, 0) @[Bitwise.scala 103:46]
node _T_1430 = shl(_T_1429, 4) @[Bitwise.scala 103:65]
node _T_1431 = not(_T_1426) @[Bitwise.scala 103:77]
node _T_1432 = and(_T_1430, _T_1431) @[Bitwise.scala 103:75]
node _T_1433 = or(_T_1428, _T_1432) @[Bitwise.scala 103:39]
node _T_1434 = bits(_T_1426, 5, 0) @[Bitwise.scala 102:28]
node _T_1435 = shl(_T_1434, 2) @[Bitwise.scala 102:47]
node _T_1436 = xor(_T_1426, _T_1435) @[Bitwise.scala 102:21]
node _T_1437 = shr(_T_1433, 2) @[Bitwise.scala 103:21]
node _T_1438 = and(_T_1437, _T_1436) @[Bitwise.scala 103:31]
node _T_1439 = bits(_T_1433, 5, 0) @[Bitwise.scala 103:46]
node _T_1440 = shl(_T_1439, 2) @[Bitwise.scala 103:65]
node _T_1441 = not(_T_1436) @[Bitwise.scala 103:77]
node _T_1442 = and(_T_1440, _T_1441) @[Bitwise.scala 103:75]
node _T_1443 = or(_T_1438, _T_1442) @[Bitwise.scala 103:39]
node _T_1444 = bits(_T_1436, 6, 0) @[Bitwise.scala 102:28]
node _T_1445 = shl(_T_1444, 1) @[Bitwise.scala 102:47]
node _T_1446 = xor(_T_1436, _T_1445) @[Bitwise.scala 102:21]
node _T_1447 = shr(_T_1443, 1) @[Bitwise.scala 103:21]
node _T_1448 = and(_T_1447, _T_1446) @[Bitwise.scala 103:31]
node _T_1449 = bits(_T_1443, 6, 0) @[Bitwise.scala 103:46]
node _T_1450 = shl(_T_1449, 1) @[Bitwise.scala 103:65]
node _T_1451 = not(_T_1446) @[Bitwise.scala 103:77]
node _T_1452 = and(_T_1450, _T_1451) @[Bitwise.scala 103:75]
node _T_1453 = or(_T_1448, _T_1452) @[Bitwise.scala 103:39]
node _T_1454 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 261:105]
node _T_1455 = bits(store_byteen_ext_r, 2, 2) @[lsu_dccm_ctl.scala 261:150]
node _T_1456 = eq(_T_1455, UInt<1>("h00")) @[lsu_dccm_ctl.scala 261:131]
node _T_1457 = and(_T_1454, _T_1456) @[lsu_dccm_ctl.scala 261:129]
node _T_1458 = bits(_T_1457, 0, 0) @[lsu_dccm_ctl.scala 261:155]
node _T_1459 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 261:179]
node _T_1460 = bits(io.store_data_lo_r, 23, 16) @[lsu_dccm_ctl.scala 261:211]
node _T_1461 = mux(_T_1458, _T_1459, _T_1460) @[lsu_dccm_ctl.scala 261:79]
node _T_1462 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1463 = xor(UInt<8>("h0ff"), _T_1462) @[Bitwise.scala 102:21]
node _T_1464 = shr(_T_1461, 4) @[Bitwise.scala 103:21]
node _T_1465 = and(_T_1464, _T_1463) @[Bitwise.scala 103:31]
node _T_1466 = bits(_T_1461, 3, 0) @[Bitwise.scala 103:46]
node _T_1467 = shl(_T_1466, 4) @[Bitwise.scala 103:65]
node _T_1468 = not(_T_1463) @[Bitwise.scala 103:77]
node _T_1469 = and(_T_1467, _T_1468) @[Bitwise.scala 103:75]
node _T_1470 = or(_T_1465, _T_1469) @[Bitwise.scala 103:39]
node _T_1471 = bits(_T_1463, 5, 0) @[Bitwise.scala 102:28]
node _T_1472 = shl(_T_1471, 2) @[Bitwise.scala 102:47]
node _T_1473 = xor(_T_1463, _T_1472) @[Bitwise.scala 102:21]
node _T_1474 = shr(_T_1470, 2) @[Bitwise.scala 103:21]
node _T_1475 = and(_T_1474, _T_1473) @[Bitwise.scala 103:31]
node _T_1476 = bits(_T_1470, 5, 0) @[Bitwise.scala 103:46]
node _T_1477 = shl(_T_1476, 2) @[Bitwise.scala 103:65]
node _T_1478 = not(_T_1473) @[Bitwise.scala 103:77]
node _T_1479 = and(_T_1477, _T_1478) @[Bitwise.scala 103:75]
node _T_1480 = or(_T_1475, _T_1479) @[Bitwise.scala 103:39]
node _T_1481 = bits(_T_1473, 6, 0) @[Bitwise.scala 102:28]
node _T_1482 = shl(_T_1481, 1) @[Bitwise.scala 102:47]
node _T_1483 = xor(_T_1473, _T_1482) @[Bitwise.scala 102:21]
node _T_1484 = shr(_T_1480, 1) @[Bitwise.scala 103:21]
node _T_1485 = and(_T_1484, _T_1483) @[Bitwise.scala 103:31]
node _T_1486 = bits(_T_1480, 6, 0) @[Bitwise.scala 103:46]
node _T_1487 = shl(_T_1486, 1) @[Bitwise.scala 103:65]
node _T_1488 = not(_T_1483) @[Bitwise.scala 103:77]
node _T_1489 = and(_T_1487, _T_1488) @[Bitwise.scala 103:75]
node _T_1490 = or(_T_1485, _T_1489) @[Bitwise.scala 103:39]
node _T_1491 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 261:105]
node _T_1492 = bits(store_byteen_ext_r, 3, 3) @[lsu_dccm_ctl.scala 261:150]
node _T_1493 = eq(_T_1492, UInt<1>("h00")) @[lsu_dccm_ctl.scala 261:131]
node _T_1494 = and(_T_1491, _T_1493) @[lsu_dccm_ctl.scala 261:129]
node _T_1495 = bits(_T_1494, 0, 0) @[lsu_dccm_ctl.scala 261:155]
node _T_1496 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 261:179]
node _T_1497 = bits(io.store_data_lo_r, 31, 24) @[lsu_dccm_ctl.scala 261:211]
node _T_1498 = mux(_T_1495, _T_1496, _T_1497) @[lsu_dccm_ctl.scala 261:79]
node _T_1499 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1500 = xor(UInt<8>("h0ff"), _T_1499) @[Bitwise.scala 102:21]
node _T_1501 = shr(_T_1498, 4) @[Bitwise.scala 103:21]
node _T_1502 = and(_T_1501, _T_1500) @[Bitwise.scala 103:31]
node _T_1503 = bits(_T_1498, 3, 0) @[Bitwise.scala 103:46]
node _T_1504 = shl(_T_1503, 4) @[Bitwise.scala 103:65]
node _T_1505 = not(_T_1500) @[Bitwise.scala 103:77]
node _T_1506 = and(_T_1504, _T_1505) @[Bitwise.scala 103:75]
node _T_1507 = or(_T_1502, _T_1506) @[Bitwise.scala 103:39]
node _T_1508 = bits(_T_1500, 5, 0) @[Bitwise.scala 102:28]
node _T_1509 = shl(_T_1508, 2) @[Bitwise.scala 102:47]
node _T_1510 = xor(_T_1500, _T_1509) @[Bitwise.scala 102:21]
node _T_1511 = shr(_T_1507, 2) @[Bitwise.scala 103:21]
node _T_1512 = and(_T_1511, _T_1510) @[Bitwise.scala 103:31]
node _T_1513 = bits(_T_1507, 5, 0) @[Bitwise.scala 103:46]
node _T_1514 = shl(_T_1513, 2) @[Bitwise.scala 103:65]
node _T_1515 = not(_T_1510) @[Bitwise.scala 103:77]
node _T_1516 = and(_T_1514, _T_1515) @[Bitwise.scala 103:75]
node _T_1517 = or(_T_1512, _T_1516) @[Bitwise.scala 103:39]
node _T_1518 = bits(_T_1510, 6, 0) @[Bitwise.scala 102:28]
node _T_1519 = shl(_T_1518, 1) @[Bitwise.scala 102:47]
node _T_1520 = xor(_T_1510, _T_1519) @[Bitwise.scala 102:21]
node _T_1521 = shr(_T_1517, 1) @[Bitwise.scala 103:21]
node _T_1522 = and(_T_1521, _T_1520) @[Bitwise.scala 103:31]
node _T_1523 = bits(_T_1517, 6, 0) @[Bitwise.scala 103:46]
node _T_1524 = shl(_T_1523, 1) @[Bitwise.scala 103:65]
node _T_1525 = not(_T_1520) @[Bitwise.scala 103:77]
node _T_1526 = and(_T_1524, _T_1525) @[Bitwise.scala 103:75]
node _T_1527 = or(_T_1522, _T_1526) @[Bitwise.scala 103:39]
wire _T_1528 : UInt<8>[4] @[lsu_dccm_ctl.scala 261:63]
_T_1528[0] <= _T_1416 @[lsu_dccm_ctl.scala 261:63]
_T_1528[1] <= _T_1453 @[lsu_dccm_ctl.scala 261:63]
_T_1528[2] <= _T_1490 @[lsu_dccm_ctl.scala 261:63]
_T_1528[3] <= _T_1527 @[lsu_dccm_ctl.scala 261:63]
node _T_1529 = cat(_T_1528[2], _T_1528[3]) @[Cat.scala 29:58]
node _T_1530 = cat(_T_1528[0], _T_1528[1]) @[Cat.scala 29:58]
node _T_1531 = cat(_T_1530, _T_1529) @[Cat.scala 29:58]
node _T_1532 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47]
node _T_1533 = xor(UInt<32>("h0ffffffff"), _T_1532) @[Bitwise.scala 102:21]
node _T_1534 = shr(_T_1531, 16) @[Bitwise.scala 103:21]
node _T_1535 = and(_T_1534, _T_1533) @[Bitwise.scala 103:31]
node _T_1536 = bits(_T_1531, 15, 0) @[Bitwise.scala 103:46]
node _T_1537 = shl(_T_1536, 16) @[Bitwise.scala 103:65]
node _T_1538 = not(_T_1533) @[Bitwise.scala 103:77]
node _T_1539 = and(_T_1537, _T_1538) @[Bitwise.scala 103:75]
node _T_1540 = or(_T_1535, _T_1539) @[Bitwise.scala 103:39]
node _T_1541 = bits(_T_1533, 23, 0) @[Bitwise.scala 102:28]
node _T_1542 = shl(_T_1541, 8) @[Bitwise.scala 102:47]
node _T_1543 = xor(_T_1533, _T_1542) @[Bitwise.scala 102:21]
node _T_1544 = shr(_T_1540, 8) @[Bitwise.scala 103:21]
node _T_1545 = and(_T_1544, _T_1543) @[Bitwise.scala 103:31]
node _T_1546 = bits(_T_1540, 23, 0) @[Bitwise.scala 103:46]
node _T_1547 = shl(_T_1546, 8) @[Bitwise.scala 103:65]
node _T_1548 = not(_T_1543) @[Bitwise.scala 103:77]
node _T_1549 = and(_T_1547, _T_1548) @[Bitwise.scala 103:75]
node _T_1550 = or(_T_1545, _T_1549) @[Bitwise.scala 103:39]
node _T_1551 = bits(_T_1543, 27, 0) @[Bitwise.scala 102:28]
node _T_1552 = shl(_T_1551, 4) @[Bitwise.scala 102:47]
node _T_1553 = xor(_T_1543, _T_1552) @[Bitwise.scala 102:21]
node _T_1554 = shr(_T_1550, 4) @[Bitwise.scala 103:21]
node _T_1555 = and(_T_1554, _T_1553) @[Bitwise.scala 103:31]
node _T_1556 = bits(_T_1550, 27, 0) @[Bitwise.scala 103:46]
node _T_1557 = shl(_T_1556, 4) @[Bitwise.scala 103:65]
node _T_1558 = not(_T_1553) @[Bitwise.scala 103:77]
node _T_1559 = and(_T_1557, _T_1558) @[Bitwise.scala 103:75]
node _T_1560 = or(_T_1555, _T_1559) @[Bitwise.scala 103:39]
node _T_1561 = bits(_T_1553, 29, 0) @[Bitwise.scala 102:28]
node _T_1562 = shl(_T_1561, 2) @[Bitwise.scala 102:47]
node _T_1563 = xor(_T_1553, _T_1562) @[Bitwise.scala 102:21]
node _T_1564 = shr(_T_1560, 2) @[Bitwise.scala 103:21]
node _T_1565 = and(_T_1564, _T_1563) @[Bitwise.scala 103:31]
node _T_1566 = bits(_T_1560, 29, 0) @[Bitwise.scala 103:46]
node _T_1567 = shl(_T_1566, 2) @[Bitwise.scala 103:65]
node _T_1568 = not(_T_1563) @[Bitwise.scala 103:77]
node _T_1569 = and(_T_1567, _T_1568) @[Bitwise.scala 103:75]
node _T_1570 = or(_T_1565, _T_1569) @[Bitwise.scala 103:39]
node _T_1571 = bits(_T_1563, 30, 0) @[Bitwise.scala 102:28]
node _T_1572 = shl(_T_1571, 1) @[Bitwise.scala 102:47]
node _T_1573 = xor(_T_1563, _T_1572) @[Bitwise.scala 102:21]
node _T_1574 = shr(_T_1570, 1) @[Bitwise.scala 103:21]
node _T_1575 = and(_T_1574, _T_1573) @[Bitwise.scala 103:31]
node _T_1576 = bits(_T_1570, 30, 0) @[Bitwise.scala 103:46]
node _T_1577 = shl(_T_1576, 1) @[Bitwise.scala 103:65]
node _T_1578 = not(_T_1573) @[Bitwise.scala 103:77]
node _T_1579 = and(_T_1577, _T_1578) @[Bitwise.scala 103:75]
node _T_1580 = or(_T_1575, _T_1579) @[Bitwise.scala 103:39]
io.store_datafn_lo_r <= _T_1580 @[lsu_dccm_ctl.scala 261:29]
node _T_1581 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 262:105]
node _T_1582 = bits(store_byteen_ext_r, 4, 4) @[lsu_dccm_ctl.scala 262:150]
node _T_1583 = eq(_T_1582, UInt<1>("h00")) @[lsu_dccm_ctl.scala 262:131]
node _T_1584 = and(_T_1581, _T_1583) @[lsu_dccm_ctl.scala 262:129]
node _T_1585 = bits(_T_1584, 0, 0) @[lsu_dccm_ctl.scala 262:157]
node _T_1586 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 262:181]
node _T_1587 = bits(io.store_data_hi_r, 7, 0) @[lsu_dccm_ctl.scala 262:213]
node _T_1588 = mux(_T_1585, _T_1586, _T_1587) @[lsu_dccm_ctl.scala 262:79]
node _T_1589 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1590 = xor(UInt<8>("h0ff"), _T_1589) @[Bitwise.scala 102:21]
node _T_1591 = shr(_T_1588, 4) @[Bitwise.scala 103:21]
node _T_1592 = and(_T_1591, _T_1590) @[Bitwise.scala 103:31]
node _T_1593 = bits(_T_1588, 3, 0) @[Bitwise.scala 103:46]
node _T_1594 = shl(_T_1593, 4) @[Bitwise.scala 103:65]
node _T_1595 = not(_T_1590) @[Bitwise.scala 103:77]
node _T_1596 = and(_T_1594, _T_1595) @[Bitwise.scala 103:75]
node _T_1597 = or(_T_1592, _T_1596) @[Bitwise.scala 103:39]
node _T_1598 = bits(_T_1590, 5, 0) @[Bitwise.scala 102:28]
node _T_1599 = shl(_T_1598, 2) @[Bitwise.scala 102:47]
node _T_1600 = xor(_T_1590, _T_1599) @[Bitwise.scala 102:21]
node _T_1601 = shr(_T_1597, 2) @[Bitwise.scala 103:21]
node _T_1602 = and(_T_1601, _T_1600) @[Bitwise.scala 103:31]
node _T_1603 = bits(_T_1597, 5, 0) @[Bitwise.scala 103:46]
node _T_1604 = shl(_T_1603, 2) @[Bitwise.scala 103:65]
node _T_1605 = not(_T_1600) @[Bitwise.scala 103:77]
node _T_1606 = and(_T_1604, _T_1605) @[Bitwise.scala 103:75]
node _T_1607 = or(_T_1602, _T_1606) @[Bitwise.scala 103:39]
node _T_1608 = bits(_T_1600, 6, 0) @[Bitwise.scala 102:28]
node _T_1609 = shl(_T_1608, 1) @[Bitwise.scala 102:47]
node _T_1610 = xor(_T_1600, _T_1609) @[Bitwise.scala 102:21]
node _T_1611 = shr(_T_1607, 1) @[Bitwise.scala 103:21]
node _T_1612 = and(_T_1611, _T_1610) @[Bitwise.scala 103:31]
node _T_1613 = bits(_T_1607, 6, 0) @[Bitwise.scala 103:46]
node _T_1614 = shl(_T_1613, 1) @[Bitwise.scala 103:65]
node _T_1615 = not(_T_1610) @[Bitwise.scala 103:77]
node _T_1616 = and(_T_1614, _T_1615) @[Bitwise.scala 103:75]
node _T_1617 = or(_T_1612, _T_1616) @[Bitwise.scala 103:39]
node _T_1618 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 262:105]
node _T_1619 = bits(store_byteen_ext_r, 5, 5) @[lsu_dccm_ctl.scala 262:150]
node _T_1620 = eq(_T_1619, UInt<1>("h00")) @[lsu_dccm_ctl.scala 262:131]
node _T_1621 = and(_T_1618, _T_1620) @[lsu_dccm_ctl.scala 262:129]
node _T_1622 = bits(_T_1621, 0, 0) @[lsu_dccm_ctl.scala 262:157]
node _T_1623 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 262:181]
node _T_1624 = bits(io.store_data_hi_r, 15, 8) @[lsu_dccm_ctl.scala 262:213]
node _T_1625 = mux(_T_1622, _T_1623, _T_1624) @[lsu_dccm_ctl.scala 262:79]
node _T_1626 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1627 = xor(UInt<8>("h0ff"), _T_1626) @[Bitwise.scala 102:21]
node _T_1628 = shr(_T_1625, 4) @[Bitwise.scala 103:21]
node _T_1629 = and(_T_1628, _T_1627) @[Bitwise.scala 103:31]
node _T_1630 = bits(_T_1625, 3, 0) @[Bitwise.scala 103:46]
node _T_1631 = shl(_T_1630, 4) @[Bitwise.scala 103:65]
node _T_1632 = not(_T_1627) @[Bitwise.scala 103:77]
node _T_1633 = and(_T_1631, _T_1632) @[Bitwise.scala 103:75]
node _T_1634 = or(_T_1629, _T_1633) @[Bitwise.scala 103:39]
node _T_1635 = bits(_T_1627, 5, 0) @[Bitwise.scala 102:28]
node _T_1636 = shl(_T_1635, 2) @[Bitwise.scala 102:47]
node _T_1637 = xor(_T_1627, _T_1636) @[Bitwise.scala 102:21]
node _T_1638 = shr(_T_1634, 2) @[Bitwise.scala 103:21]
node _T_1639 = and(_T_1638, _T_1637) @[Bitwise.scala 103:31]
node _T_1640 = bits(_T_1634, 5, 0) @[Bitwise.scala 103:46]
node _T_1641 = shl(_T_1640, 2) @[Bitwise.scala 103:65]
node _T_1642 = not(_T_1637) @[Bitwise.scala 103:77]
node _T_1643 = and(_T_1641, _T_1642) @[Bitwise.scala 103:75]
node _T_1644 = or(_T_1639, _T_1643) @[Bitwise.scala 103:39]
node _T_1645 = bits(_T_1637, 6, 0) @[Bitwise.scala 102:28]
node _T_1646 = shl(_T_1645, 1) @[Bitwise.scala 102:47]
node _T_1647 = xor(_T_1637, _T_1646) @[Bitwise.scala 102:21]
node _T_1648 = shr(_T_1644, 1) @[Bitwise.scala 103:21]
node _T_1649 = and(_T_1648, _T_1647) @[Bitwise.scala 103:31]
node _T_1650 = bits(_T_1644, 6, 0) @[Bitwise.scala 103:46]
node _T_1651 = shl(_T_1650, 1) @[Bitwise.scala 103:65]
node _T_1652 = not(_T_1647) @[Bitwise.scala 103:77]
node _T_1653 = and(_T_1651, _T_1652) @[Bitwise.scala 103:75]
node _T_1654 = or(_T_1649, _T_1653) @[Bitwise.scala 103:39]
node _T_1655 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 262:105]
node _T_1656 = bits(store_byteen_ext_r, 6, 6) @[lsu_dccm_ctl.scala 262:150]
node _T_1657 = eq(_T_1656, UInt<1>("h00")) @[lsu_dccm_ctl.scala 262:131]
node _T_1658 = and(_T_1655, _T_1657) @[lsu_dccm_ctl.scala 262:129]
node _T_1659 = bits(_T_1658, 0, 0) @[lsu_dccm_ctl.scala 262:157]
node _T_1660 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 262:181]
node _T_1661 = bits(io.store_data_hi_r, 23, 16) @[lsu_dccm_ctl.scala 262:213]
node _T_1662 = mux(_T_1659, _T_1660, _T_1661) @[lsu_dccm_ctl.scala 262:79]
node _T_1663 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1664 = xor(UInt<8>("h0ff"), _T_1663) @[Bitwise.scala 102:21]
node _T_1665 = shr(_T_1662, 4) @[Bitwise.scala 103:21]
node _T_1666 = and(_T_1665, _T_1664) @[Bitwise.scala 103:31]
node _T_1667 = bits(_T_1662, 3, 0) @[Bitwise.scala 103:46]
node _T_1668 = shl(_T_1667, 4) @[Bitwise.scala 103:65]
node _T_1669 = not(_T_1664) @[Bitwise.scala 103:77]
node _T_1670 = and(_T_1668, _T_1669) @[Bitwise.scala 103:75]
node _T_1671 = or(_T_1666, _T_1670) @[Bitwise.scala 103:39]
node _T_1672 = bits(_T_1664, 5, 0) @[Bitwise.scala 102:28]
node _T_1673 = shl(_T_1672, 2) @[Bitwise.scala 102:47]
node _T_1674 = xor(_T_1664, _T_1673) @[Bitwise.scala 102:21]
node _T_1675 = shr(_T_1671, 2) @[Bitwise.scala 103:21]
node _T_1676 = and(_T_1675, _T_1674) @[Bitwise.scala 103:31]
node _T_1677 = bits(_T_1671, 5, 0) @[Bitwise.scala 103:46]
node _T_1678 = shl(_T_1677, 2) @[Bitwise.scala 103:65]
node _T_1679 = not(_T_1674) @[Bitwise.scala 103:77]
node _T_1680 = and(_T_1678, _T_1679) @[Bitwise.scala 103:75]
node _T_1681 = or(_T_1676, _T_1680) @[Bitwise.scala 103:39]
node _T_1682 = bits(_T_1674, 6, 0) @[Bitwise.scala 102:28]
node _T_1683 = shl(_T_1682, 1) @[Bitwise.scala 102:47]
node _T_1684 = xor(_T_1674, _T_1683) @[Bitwise.scala 102:21]
node _T_1685 = shr(_T_1681, 1) @[Bitwise.scala 103:21]
node _T_1686 = and(_T_1685, _T_1684) @[Bitwise.scala 103:31]
node _T_1687 = bits(_T_1681, 6, 0) @[Bitwise.scala 103:46]
node _T_1688 = shl(_T_1687, 1) @[Bitwise.scala 103:65]
node _T_1689 = not(_T_1684) @[Bitwise.scala 103:77]
node _T_1690 = and(_T_1688, _T_1689) @[Bitwise.scala 103:75]
node _T_1691 = or(_T_1686, _T_1690) @[Bitwise.scala 103:39]
node _T_1692 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 262:105]
node _T_1693 = bits(store_byteen_ext_r, 7, 7) @[lsu_dccm_ctl.scala 262:150]
node _T_1694 = eq(_T_1693, UInt<1>("h00")) @[lsu_dccm_ctl.scala 262:131]
node _T_1695 = and(_T_1692, _T_1694) @[lsu_dccm_ctl.scala 262:129]
node _T_1696 = bits(_T_1695, 0, 0) @[lsu_dccm_ctl.scala 262:157]
node _T_1697 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 262:181]
node _T_1698 = bits(io.store_data_hi_r, 31, 24) @[lsu_dccm_ctl.scala 262:213]
node _T_1699 = mux(_T_1696, _T_1697, _T_1698) @[lsu_dccm_ctl.scala 262:79]
node _T_1700 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47]
node _T_1701 = xor(UInt<8>("h0ff"), _T_1700) @[Bitwise.scala 102:21]
node _T_1702 = shr(_T_1699, 4) @[Bitwise.scala 103:21]
node _T_1703 = and(_T_1702, _T_1701) @[Bitwise.scala 103:31]
node _T_1704 = bits(_T_1699, 3, 0) @[Bitwise.scala 103:46]
node _T_1705 = shl(_T_1704, 4) @[Bitwise.scala 103:65]
node _T_1706 = not(_T_1701) @[Bitwise.scala 103:77]
node _T_1707 = and(_T_1705, _T_1706) @[Bitwise.scala 103:75]
node _T_1708 = or(_T_1703, _T_1707) @[Bitwise.scala 103:39]
node _T_1709 = bits(_T_1701, 5, 0) @[Bitwise.scala 102:28]
node _T_1710 = shl(_T_1709, 2) @[Bitwise.scala 102:47]
node _T_1711 = xor(_T_1701, _T_1710) @[Bitwise.scala 102:21]
node _T_1712 = shr(_T_1708, 2) @[Bitwise.scala 103:21]
node _T_1713 = and(_T_1712, _T_1711) @[Bitwise.scala 103:31]
node _T_1714 = bits(_T_1708, 5, 0) @[Bitwise.scala 103:46]
node _T_1715 = shl(_T_1714, 2) @[Bitwise.scala 103:65]
node _T_1716 = not(_T_1711) @[Bitwise.scala 103:77]
node _T_1717 = and(_T_1715, _T_1716) @[Bitwise.scala 103:75]
node _T_1718 = or(_T_1713, _T_1717) @[Bitwise.scala 103:39]
node _T_1719 = bits(_T_1711, 6, 0) @[Bitwise.scala 102:28]
node _T_1720 = shl(_T_1719, 1) @[Bitwise.scala 102:47]
node _T_1721 = xor(_T_1711, _T_1720) @[Bitwise.scala 102:21]
node _T_1722 = shr(_T_1718, 1) @[Bitwise.scala 103:21]
node _T_1723 = and(_T_1722, _T_1721) @[Bitwise.scala 103:31]
node _T_1724 = bits(_T_1718, 6, 0) @[Bitwise.scala 103:46]
node _T_1725 = shl(_T_1724, 1) @[Bitwise.scala 103:65]
node _T_1726 = not(_T_1721) @[Bitwise.scala 103:77]
node _T_1727 = and(_T_1725, _T_1726) @[Bitwise.scala 103:75]
node _T_1728 = or(_T_1723, _T_1727) @[Bitwise.scala 103:39]
wire _T_1729 : UInt<8>[4] @[lsu_dccm_ctl.scala 262:63]
_T_1729[0] <= _T_1617 @[lsu_dccm_ctl.scala 262:63]
_T_1729[1] <= _T_1654 @[lsu_dccm_ctl.scala 262:63]
_T_1729[2] <= _T_1691 @[lsu_dccm_ctl.scala 262:63]
_T_1729[3] <= _T_1728 @[lsu_dccm_ctl.scala 262:63]
node _T_1730 = cat(_T_1729[2], _T_1729[3]) @[Cat.scala 29:58]
node _T_1731 = cat(_T_1729[0], _T_1729[1]) @[Cat.scala 29:58]
node _T_1732 = cat(_T_1731, _T_1730) @[Cat.scala 29:58]
node _T_1733 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47]
node _T_1734 = xor(UInt<32>("h0ffffffff"), _T_1733) @[Bitwise.scala 102:21]
node _T_1735 = shr(_T_1732, 16) @[Bitwise.scala 103:21]
node _T_1736 = and(_T_1735, _T_1734) @[Bitwise.scala 103:31]
node _T_1737 = bits(_T_1732, 15, 0) @[Bitwise.scala 103:46]
node _T_1738 = shl(_T_1737, 16) @[Bitwise.scala 103:65]
node _T_1739 = not(_T_1734) @[Bitwise.scala 103:77]
node _T_1740 = and(_T_1738, _T_1739) @[Bitwise.scala 103:75]
node _T_1741 = or(_T_1736, _T_1740) @[Bitwise.scala 103:39]
node _T_1742 = bits(_T_1734, 23, 0) @[Bitwise.scala 102:28]
node _T_1743 = shl(_T_1742, 8) @[Bitwise.scala 102:47]
node _T_1744 = xor(_T_1734, _T_1743) @[Bitwise.scala 102:21]
node _T_1745 = shr(_T_1741, 8) @[Bitwise.scala 103:21]
node _T_1746 = and(_T_1745, _T_1744) @[Bitwise.scala 103:31]
node _T_1747 = bits(_T_1741, 23, 0) @[Bitwise.scala 103:46]
node _T_1748 = shl(_T_1747, 8) @[Bitwise.scala 103:65]
node _T_1749 = not(_T_1744) @[Bitwise.scala 103:77]
node _T_1750 = and(_T_1748, _T_1749) @[Bitwise.scala 103:75]
node _T_1751 = or(_T_1746, _T_1750) @[Bitwise.scala 103:39]
node _T_1752 = bits(_T_1744, 27, 0) @[Bitwise.scala 102:28]
node _T_1753 = shl(_T_1752, 4) @[Bitwise.scala 102:47]
node _T_1754 = xor(_T_1744, _T_1753) @[Bitwise.scala 102:21]
node _T_1755 = shr(_T_1751, 4) @[Bitwise.scala 103:21]
node _T_1756 = and(_T_1755, _T_1754) @[Bitwise.scala 103:31]
node _T_1757 = bits(_T_1751, 27, 0) @[Bitwise.scala 103:46]
node _T_1758 = shl(_T_1757, 4) @[Bitwise.scala 103:65]
node _T_1759 = not(_T_1754) @[Bitwise.scala 103:77]
node _T_1760 = and(_T_1758, _T_1759) @[Bitwise.scala 103:75]
node _T_1761 = or(_T_1756, _T_1760) @[Bitwise.scala 103:39]
node _T_1762 = bits(_T_1754, 29, 0) @[Bitwise.scala 102:28]
node _T_1763 = shl(_T_1762, 2) @[Bitwise.scala 102:47]
node _T_1764 = xor(_T_1754, _T_1763) @[Bitwise.scala 102:21]
node _T_1765 = shr(_T_1761, 2) @[Bitwise.scala 103:21]
node _T_1766 = and(_T_1765, _T_1764) @[Bitwise.scala 103:31]
node _T_1767 = bits(_T_1761, 29, 0) @[Bitwise.scala 103:46]
node _T_1768 = shl(_T_1767, 2) @[Bitwise.scala 103:65]
node _T_1769 = not(_T_1764) @[Bitwise.scala 103:77]
node _T_1770 = and(_T_1768, _T_1769) @[Bitwise.scala 103:75]
node _T_1771 = or(_T_1766, _T_1770) @[Bitwise.scala 103:39]
node _T_1772 = bits(_T_1764, 30, 0) @[Bitwise.scala 102:28]
node _T_1773 = shl(_T_1772, 1) @[Bitwise.scala 102:47]
node _T_1774 = xor(_T_1764, _T_1773) @[Bitwise.scala 102:21]
node _T_1775 = shr(_T_1771, 1) @[Bitwise.scala 103:21]
node _T_1776 = and(_T_1775, _T_1774) @[Bitwise.scala 103:31]
node _T_1777 = bits(_T_1771, 30, 0) @[Bitwise.scala 103:46]
node _T_1778 = shl(_T_1777, 1) @[Bitwise.scala 103:65]
node _T_1779 = not(_T_1774) @[Bitwise.scala 103:77]
node _T_1780 = and(_T_1778, _T_1779) @[Bitwise.scala 103:75]
node _T_1781 = or(_T_1776, _T_1780) @[Bitwise.scala 103:39]
io.store_datafn_hi_r <= _T_1781 @[lsu_dccm_ctl.scala 262:29]
node _T_1782 = bits(io.store_data_hi_r, 31, 0) @[lsu_dccm_ctl.scala 263:55]
node _T_1783 = bits(io.store_data_lo_r, 31, 0) @[lsu_dccm_ctl.scala 263:80]
node _T_1784 = cat(_T_1782, _T_1783) @[Cat.scala 29:58]
node _T_1785 = bits(io.lsu_addr_r, 1, 0) @[lsu_dccm_ctl.scala 263:108]
node _T_1786 = mul(UInt<4>("h08"), _T_1785) @[lsu_dccm_ctl.scala 263:94]
node _T_1787 = dshr(_T_1784, _T_1786) @[lsu_dccm_ctl.scala 263:88]
node _T_1788 = bits(store_byteen_r, 0, 0) @[lsu_dccm_ctl.scala 263:174]
node _T_1789 = bits(_T_1788, 0, 0) @[Bitwise.scala 72:15]
node _T_1790 = mux(_T_1789, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1791 = bits(store_byteen_r, 1, 1) @[lsu_dccm_ctl.scala 263:174]
node _T_1792 = bits(_T_1791, 0, 0) @[Bitwise.scala 72:15]
node _T_1793 = mux(_T_1792, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1794 = bits(store_byteen_r, 2, 2) @[lsu_dccm_ctl.scala 263:174]
node _T_1795 = bits(_T_1794, 0, 0) @[Bitwise.scala 72:15]
node _T_1796 = mux(_T_1795, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1797 = bits(store_byteen_r, 3, 3) @[lsu_dccm_ctl.scala 263:174]
node _T_1798 = bits(_T_1797, 0, 0) @[Bitwise.scala 72:15]
node _T_1799 = mux(_T_1798, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
wire _T_1800 : UInt<8>[4] @[lsu_dccm_ctl.scala 263:148]
_T_1800[0] <= _T_1790 @[lsu_dccm_ctl.scala 263:148]
_T_1800[1] <= _T_1793 @[lsu_dccm_ctl.scala 263:148]
_T_1800[2] <= _T_1796 @[lsu_dccm_ctl.scala 263:148]
_T_1800[3] <= _T_1799 @[lsu_dccm_ctl.scala 263:148]
node _T_1801 = cat(_T_1800[2], _T_1800[3]) @[Cat.scala 29:58]
node _T_1802 = cat(_T_1800[0], _T_1800[1]) @[Cat.scala 29:58]
node _T_1803 = cat(_T_1802, _T_1801) @[Cat.scala 29:58]
node _T_1804 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47]
node _T_1805 = xor(UInt<32>("h0ffffffff"), _T_1804) @[Bitwise.scala 102:21]
node _T_1806 = shr(_T_1803, 16) @[Bitwise.scala 103:21]
node _T_1807 = and(_T_1806, _T_1805) @[Bitwise.scala 103:31]
node _T_1808 = bits(_T_1803, 15, 0) @[Bitwise.scala 103:46]
node _T_1809 = shl(_T_1808, 16) @[Bitwise.scala 103:65]
node _T_1810 = not(_T_1805) @[Bitwise.scala 103:77]
node _T_1811 = and(_T_1809, _T_1810) @[Bitwise.scala 103:75]
node _T_1812 = or(_T_1807, _T_1811) @[Bitwise.scala 103:39]
node _T_1813 = bits(_T_1805, 23, 0) @[Bitwise.scala 102:28]
node _T_1814 = shl(_T_1813, 8) @[Bitwise.scala 102:47]
node _T_1815 = xor(_T_1805, _T_1814) @[Bitwise.scala 102:21]
node _T_1816 = shr(_T_1812, 8) @[Bitwise.scala 103:21]
node _T_1817 = and(_T_1816, _T_1815) @[Bitwise.scala 103:31]
node _T_1818 = bits(_T_1812, 23, 0) @[Bitwise.scala 103:46]
node _T_1819 = shl(_T_1818, 8) @[Bitwise.scala 103:65]
node _T_1820 = not(_T_1815) @[Bitwise.scala 103:77]
node _T_1821 = and(_T_1819, _T_1820) @[Bitwise.scala 103:75]
node _T_1822 = or(_T_1817, _T_1821) @[Bitwise.scala 103:39]
node _T_1823 = bits(_T_1815, 27, 0) @[Bitwise.scala 102:28]
node _T_1824 = shl(_T_1823, 4) @[Bitwise.scala 102:47]
node _T_1825 = xor(_T_1815, _T_1824) @[Bitwise.scala 102:21]
node _T_1826 = shr(_T_1822, 4) @[Bitwise.scala 103:21]
node _T_1827 = and(_T_1826, _T_1825) @[Bitwise.scala 103:31]
node _T_1828 = bits(_T_1822, 27, 0) @[Bitwise.scala 103:46]
node _T_1829 = shl(_T_1828, 4) @[Bitwise.scala 103:65]
node _T_1830 = not(_T_1825) @[Bitwise.scala 103:77]
node _T_1831 = and(_T_1829, _T_1830) @[Bitwise.scala 103:75]
node _T_1832 = or(_T_1827, _T_1831) @[Bitwise.scala 103:39]
node _T_1833 = bits(_T_1825, 29, 0) @[Bitwise.scala 102:28]
node _T_1834 = shl(_T_1833, 2) @[Bitwise.scala 102:47]
node _T_1835 = xor(_T_1825, _T_1834) @[Bitwise.scala 102:21]
node _T_1836 = shr(_T_1832, 2) @[Bitwise.scala 103:21]
node _T_1837 = and(_T_1836, _T_1835) @[Bitwise.scala 103:31]
node _T_1838 = bits(_T_1832, 29, 0) @[Bitwise.scala 103:46]
node _T_1839 = shl(_T_1838, 2) @[Bitwise.scala 103:65]
node _T_1840 = not(_T_1835) @[Bitwise.scala 103:77]
node _T_1841 = and(_T_1839, _T_1840) @[Bitwise.scala 103:75]
node _T_1842 = or(_T_1837, _T_1841) @[Bitwise.scala 103:39]
node _T_1843 = bits(_T_1835, 30, 0) @[Bitwise.scala 102:28]
node _T_1844 = shl(_T_1843, 1) @[Bitwise.scala 102:47]
node _T_1845 = xor(_T_1835, _T_1844) @[Bitwise.scala 102:21]
node _T_1846 = shr(_T_1842, 1) @[Bitwise.scala 103:21]
node _T_1847 = and(_T_1846, _T_1845) @[Bitwise.scala 103:31]
node _T_1848 = bits(_T_1842, 30, 0) @[Bitwise.scala 103:46]
node _T_1849 = shl(_T_1848, 1) @[Bitwise.scala 103:65]
node _T_1850 = not(_T_1845) @[Bitwise.scala 103:77]
node _T_1851 = and(_T_1849, _T_1850) @[Bitwise.scala 103:75]
node _T_1852 = or(_T_1847, _T_1851) @[Bitwise.scala 103:39]
node _T_1853 = and(_T_1787, _T_1852) @[lsu_dccm_ctl.scala 263:115]
io.store_data_r <= _T_1853 @[lsu_dccm_ctl.scala 263:29]
node _T_1854 = bits(io.dccm.rd_data_lo, 31, 0) @[lsu_dccm_ctl.scala 265:48]
io.dccm_rdata_lo_m <= _T_1854 @[lsu_dccm_ctl.scala 265:27]
node _T_1855 = bits(io.dccm.rd_data_hi, 31, 0) @[lsu_dccm_ctl.scala 266:48]
io.dccm_rdata_hi_m <= _T_1855 @[lsu_dccm_ctl.scala 266:27]
node _T_1856 = bits(io.dccm.rd_data_lo, 38, 32) @[lsu_dccm_ctl.scala 267:48]
io.dccm_data_ecc_lo_m <= _T_1856 @[lsu_dccm_ctl.scala 267:27]
node _T_1857 = bits(io.dccm.rd_data_hi, 38, 32) @[lsu_dccm_ctl.scala 268:48]
io.dccm_data_ecc_hi_m <= _T_1857 @[lsu_dccm_ctl.scala 268:27]
node _T_1858 = and(io.lsu_pkt_r.valid, io.lsu_pkt_r.bits.store) @[lsu_dccm_ctl.scala 270:58]
node _T_1859 = and(_T_1858, io.addr_in_pic_r) @[lsu_dccm_ctl.scala 270:84]
node _T_1860 = and(_T_1859, io.lsu_commit_r) @[lsu_dccm_ctl.scala 270:103]
node _T_1861 = or(_T_1860, io.dma_pic_wen) @[lsu_dccm_ctl.scala 270:122]
io.lsu_pic.picm_wren <= _T_1861 @[lsu_dccm_ctl.scala 270:35]
node _T_1862 = and(io.lsu_pkt_d.valid, io.lsu_pkt_d.bits.load) @[lsu_dccm_ctl.scala 271:58]
node _T_1863 = and(_T_1862, io.addr_in_pic_d) @[lsu_dccm_ctl.scala 271:84]
io.lsu_pic.picm_rden <= _T_1863 @[lsu_dccm_ctl.scala 271:35]
node _T_1864 = and(io.lsu_pkt_d.valid, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 272:58]
node _T_1865 = and(_T_1864, io.addr_in_pic_d) @[lsu_dccm_ctl.scala 272:84]
io.lsu_pic.picm_mken <= _T_1865 @[lsu_dccm_ctl.scala 272:35]
node _T_1866 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12]
node _T_1867 = bits(io.lsu_addr_d, 14, 0) @[lsu_dccm_ctl.scala 273:103]
node _T_1868 = cat(_T_1866, _T_1867) @[Cat.scala 29:58]
node _T_1869 = or(UInt<32>("h0f00c0000"), _T_1868) @[lsu_dccm_ctl.scala 273:62]
io.lsu_pic.picm_rdaddr <= _T_1869 @[lsu_dccm_ctl.scala 273:35]
node _T_1870 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12]
node _T_1871 = bits(io.dma_pic_wen, 0, 0) @[lsu_dccm_ctl.scala 274:109]
node _T_1872 = bits(io.dma_dccm_ctl.dma_mem_addr, 14, 0) @[lsu_dccm_ctl.scala 274:144]
node _T_1873 = bits(io.lsu_addr_r, 14, 0) @[lsu_dccm_ctl.scala 274:172]
node _T_1874 = mux(_T_1871, _T_1872, _T_1873) @[lsu_dccm_ctl.scala 274:93]
node _T_1875 = cat(_T_1870, _T_1874) @[Cat.scala 29:58]
node _T_1876 = or(UInt<32>("h0f00c0000"), _T_1875) @[lsu_dccm_ctl.scala 274:62]
io.lsu_pic.picm_wraddr <= _T_1876 @[lsu_dccm_ctl.scala 274:35]
node _T_1877 = bits(picm_rd_data_m, 31, 0) @[lsu_dccm_ctl.scala 275:44]
io.picm_mask_data_m <= _T_1877 @[lsu_dccm_ctl.scala 275:27]
node _T_1878 = bits(io.dma_pic_wen, 0, 0) @[lsu_dccm_ctl.scala 276:57]
node _T_1879 = bits(io.dma_dccm_ctl.dma_mem_wdata, 31, 0) @[lsu_dccm_ctl.scala 276:93]
node _T_1880 = bits(io.store_datafn_lo_r, 31, 0) @[lsu_dccm_ctl.scala 276:120]
node _T_1881 = mux(_T_1878, _T_1879, _T_1880) @[lsu_dccm_ctl.scala 276:41]
io.lsu_pic.picm_wr_data <= _T_1881 @[lsu_dccm_ctl.scala 276:35]
reg _T_1882 : UInt, io.lsu_c2_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 279:61]
_T_1882 <= lsu_dccm_rden_d @[lsu_dccm_ctl.scala 279:61]
io.lsu_dccm_rden_m <= _T_1882 @[lsu_dccm_ctl.scala 279:24]
reg _T_1883 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 280:61]
_T_1883 <= io.lsu_dccm_rden_m @[lsu_dccm_ctl.scala 280:61]
io.lsu_dccm_rden_r <= _T_1883 @[lsu_dccm_ctl.scala 280:24]
extmodule gated_latch_2 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_2 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_2 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_3 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_3 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_3 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_4 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_4 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_4 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_5 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_5 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_5 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_6 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_6 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_6 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_7 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_7 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_7 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_8 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_8 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_8 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_9 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_9 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_9 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
module lsu_stbuf :
input clock : Clock
input reset : AsyncReset
output io : {flip lsu_c1_m_clk : Clock, flip lsu_c1_r_clk : Clock, flip lsu_stbuf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip store_stbuf_reqvld_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip dec_lsu_valid_raw_d : UInt<1>, flip store_data_hi_r : UInt<32>, flip store_data_lo_r : UInt<32>, flip store_datafn_hi_r : UInt<32>, flip store_datafn_lo_r : UInt<32>, flip lsu_stbuf_commit_any : UInt<1>, flip lsu_addr_d : UInt<16>, flip lsu_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_d : UInt<16>, flip end_addr_m : UInt<32>, flip end_addr_r : UInt<32>, flip addr_in_dccm_m : UInt<1>, flip addr_in_dccm_r : UInt<1>, flip lsu_cmpen_m : UInt<1>, flip scan_mode : UInt<1>, stbuf_reqvld_any : UInt<1>, stbuf_reqvld_flushed_any : UInt<1>, stbuf_addr_any : UInt<16>, stbuf_data_any : UInt<32>, lsu_stbuf_full_any : UInt<1>, lsu_stbuf_empty_any : UInt<1>, ldst_stbuf_reqvld_r : UInt<1>, stbuf_fwddata_hi_m : UInt<32>, stbuf_fwddata_lo_m : UInt<32>, stbuf_fwdbyteen_hi_m : UInt<4>, stbuf_fwdbyteen_lo_m : UInt<4>}
io.stbuf_reqvld_any <= UInt<1>("h00") @[lsu_stbuf.scala 51:47]
io.stbuf_reqvld_flushed_any <= UInt<1>("h00") @[lsu_stbuf.scala 52:35]
io.stbuf_addr_any <= UInt<1>("h00") @[lsu_stbuf.scala 53:35]
io.stbuf_data_any <= UInt<1>("h00") @[lsu_stbuf.scala 54:35]
io.lsu_stbuf_full_any <= UInt<1>("h00") @[lsu_stbuf.scala 55:43]
io.lsu_stbuf_empty_any <= UInt<1>("h00") @[lsu_stbuf.scala 56:43]
io.ldst_stbuf_reqvld_r <= UInt<1>("h00") @[lsu_stbuf.scala 57:43]
io.stbuf_fwddata_hi_m <= UInt<1>("h00") @[lsu_stbuf.scala 58:43]
io.stbuf_fwddata_lo_m <= UInt<1>("h00") @[lsu_stbuf.scala 59:43]
io.stbuf_fwdbyteen_hi_m <= UInt<1>("h00") @[lsu_stbuf.scala 60:37]
io.stbuf_fwdbyteen_lo_m <= UInt<1>("h00") @[lsu_stbuf.scala 61:37]
wire stbuf_vld : UInt<4>
stbuf_vld <= UInt<1>("h00")
wire stbuf_wr_en : UInt<4>
stbuf_wr_en <= UInt<1>("h00")
wire stbuf_dma_kill_en : UInt<4>
stbuf_dma_kill_en <= UInt<1>("h00")
wire stbuf_dma_kill : UInt<4>
stbuf_dma_kill <= UInt<1>("h00")
wire stbuf_reset : UInt<4>
stbuf_reset <= UInt<1>("h00")
wire store_byteen_ext_r : UInt<8>
store_byteen_ext_r <= UInt<1>("h00")
wire stbuf_addr : UInt<16>[4] @[lsu_stbuf.scala 70:38]
stbuf_addr[0] <= UInt<1>("h00") @[lsu_stbuf.scala 71:14]
stbuf_addr[1] <= UInt<1>("h00") @[lsu_stbuf.scala 71:14]
stbuf_addr[2] <= UInt<1>("h00") @[lsu_stbuf.scala 71:14]
stbuf_addr[3] <= UInt<1>("h00") @[lsu_stbuf.scala 71:14]
wire stbuf_byteen : UInt<4>[4] @[lsu_stbuf.scala 72:38]
stbuf_byteen[0] <= UInt<1>("h00") @[lsu_stbuf.scala 73:16]
stbuf_byteen[1] <= UInt<1>("h00") @[lsu_stbuf.scala 73:16]
stbuf_byteen[2] <= UInt<1>("h00") @[lsu_stbuf.scala 73:16]
stbuf_byteen[3] <= UInt<1>("h00") @[lsu_stbuf.scala 73:16]
wire stbuf_data : UInt<32>[4] @[lsu_stbuf.scala 74:38]
stbuf_data[0] <= UInt<1>("h00") @[lsu_stbuf.scala 75:14]
stbuf_data[1] <= UInt<1>("h00") @[lsu_stbuf.scala 75:14]
stbuf_data[2] <= UInt<1>("h00") @[lsu_stbuf.scala 75:14]
stbuf_data[3] <= UInt<1>("h00") @[lsu_stbuf.scala 75:14]
wire stbuf_addrin : UInt<16>[4] @[lsu_stbuf.scala 76:38]
stbuf_addrin[0] <= UInt<1>("h00") @[lsu_stbuf.scala 77:16]
stbuf_addrin[1] <= UInt<1>("h00") @[lsu_stbuf.scala 77:16]
stbuf_addrin[2] <= UInt<1>("h00") @[lsu_stbuf.scala 77:16]
stbuf_addrin[3] <= UInt<1>("h00") @[lsu_stbuf.scala 77:16]
wire stbuf_datain : UInt<32>[4] @[lsu_stbuf.scala 78:38]
stbuf_datain[0] <= UInt<1>("h00") @[lsu_stbuf.scala 79:16]
stbuf_datain[1] <= UInt<1>("h00") @[lsu_stbuf.scala 79:16]
stbuf_datain[2] <= UInt<1>("h00") @[lsu_stbuf.scala 79:16]
stbuf_datain[3] <= UInt<1>("h00") @[lsu_stbuf.scala 79:16]
wire stbuf_byteenin : UInt<4>[4] @[lsu_stbuf.scala 80:38]
stbuf_byteenin[0] <= UInt<1>("h00") @[lsu_stbuf.scala 81:18]
stbuf_byteenin[1] <= UInt<1>("h00") @[lsu_stbuf.scala 81:18]
stbuf_byteenin[2] <= UInt<1>("h00") @[lsu_stbuf.scala 81:18]
stbuf_byteenin[3] <= UInt<1>("h00") @[lsu_stbuf.scala 81:18]
wire WrPtr : UInt<2>
WrPtr <= UInt<1>("h00")
wire RdPtr : UInt<2>
RdPtr <= UInt<1>("h00")
wire ldst_dual_m : UInt<1>
ldst_dual_m <= UInt<1>("h00")
wire ldst_dual_r : UInt<1>
ldst_dual_r <= UInt<1>("h00")
wire cmpaddr_hi_m : UInt<16>
cmpaddr_hi_m <= UInt<16>("h00")
wire stbuf_specvld_m : UInt<2>
stbuf_specvld_m <= UInt<2>("h00")
wire stbuf_specvld_r : UInt<2>
stbuf_specvld_r <= UInt<2>("h00")
wire cmpaddr_lo_m : UInt<16>
cmpaddr_lo_m <= UInt<16>("h00")
wire stbuf_fwdata_hi_pre_m : UInt<32>
stbuf_fwdata_hi_pre_m <= UInt<1>("h00")
wire stbuf_fwdata_lo_pre_m : UInt<32>
stbuf_fwdata_lo_pre_m <= UInt<1>("h00")
wire ld_byte_rhit_lo_lo : UInt<4>
ld_byte_rhit_lo_lo <= UInt<1>("h00")
wire ld_byte_rhit_hi_lo : UInt<4>
ld_byte_rhit_hi_lo <= UInt<1>("h00")
wire ld_byte_rhit_lo_hi : UInt<4>
ld_byte_rhit_lo_hi <= UInt<1>("h00")
wire ld_byte_rhit_hi_hi : UInt<4>
ld_byte_rhit_hi_hi <= UInt<1>("h00")
wire ld_byte_hit_lo : UInt<4>
ld_byte_hit_lo <= UInt<1>("h00")
wire ld_byte_rhit_lo : UInt<4>
ld_byte_rhit_lo <= UInt<1>("h00")
wire ld_byte_hit_hi : UInt<4>
ld_byte_hit_hi <= UInt<1>("h00")
wire ld_byte_rhit_hi : UInt<4>
ld_byte_rhit_hi <= UInt<1>("h00")
wire ldst_byteen_ext_r : UInt<8>
ldst_byteen_ext_r <= UInt<1>("h00")
wire ld_fwddata_rpipe_lo : UInt<32>
ld_fwddata_rpipe_lo <= UInt<1>("h00")
wire ld_fwddata_rpipe_hi : UInt<32>
ld_fwddata_rpipe_hi <= UInt<1>("h00")
wire datain1 : UInt<8>[4] @[lsu_stbuf.scala 105:33]
wire datain2 : UInt<8>[4] @[lsu_stbuf.scala 106:33]
wire datain3 : UInt<8>[4] @[lsu_stbuf.scala 107:33]
wire datain4 : UInt<8>[4] @[lsu_stbuf.scala 108:33]
node _T = bits(io.lsu_pkt_r.bits.by, 0, 0) @[lsu_stbuf.scala 112:26]
node _T_1 = bits(io.lsu_pkt_r.bits.half, 0, 0) @[lsu_stbuf.scala 113:28]
node _T_2 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[lsu_stbuf.scala 114:28]
node _T_3 = bits(io.lsu_pkt_r.bits.dword, 0, 0) @[lsu_stbuf.scala 115:29]
node _T_4 = mux(_T, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_5 = mux(_T_1, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_6 = mux(_T_2, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_7 = mux(_T_3, UInt<8>("h0ff"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_8 = or(_T_4, _T_5) @[Mux.scala 27:72]
node _T_9 = or(_T_8, _T_6) @[Mux.scala 27:72]
node _T_10 = or(_T_9, _T_7) @[Mux.scala 27:72]
wire ldst_byteen_r : UInt<8> @[Mux.scala 27:72]
ldst_byteen_r <= _T_10 @[Mux.scala 27:72]
node _T_11 = bits(io.lsu_addr_d, 2, 2) @[lsu_stbuf.scala 117:35]
node _T_12 = bits(io.end_addr_d, 2, 2) @[lsu_stbuf.scala 117:56]
node ldst_dual_d = neq(_T_11, _T_12) @[lsu_stbuf.scala 117:39]
node dual_stbuf_write_r = and(ldst_dual_r, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 118:40]
node _T_13 = bits(io.lsu_addr_r, 1, 0) @[lsu_stbuf.scala 120:55]
node _T_14 = dshl(ldst_byteen_r, _T_13) @[lsu_stbuf.scala 120:39]
store_byteen_ext_r <= _T_14 @[lsu_stbuf.scala 120:22]
node _T_15 = bits(store_byteen_ext_r, 7, 4) @[lsu_stbuf.scala 121:46]
node _T_16 = bits(io.lsu_pkt_r.bits.store, 0, 0) @[Bitwise.scala 72:15]
node _T_17 = mux(_T_16, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node store_byteen_hi_r = and(_T_15, _T_17) @[lsu_stbuf.scala 121:52]
node _T_18 = bits(store_byteen_ext_r, 3, 0) @[lsu_stbuf.scala 122:46]
node _T_19 = bits(io.lsu_pkt_r.bits.store, 0, 0) @[Bitwise.scala 72:15]
node _T_20 = mux(_T_19, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node store_byteen_lo_r = and(_T_18, _T_20) @[lsu_stbuf.scala 122:52]
node _T_21 = add(RdPtr, UInt<1>("h01")) @[lsu_stbuf.scala 124:26]
node RdPtrPlus1 = tail(_T_21, 1) @[lsu_stbuf.scala 124:26]
node _T_22 = add(WrPtr, UInt<1>("h01")) @[lsu_stbuf.scala 125:26]
node WrPtrPlus1 = tail(_T_22, 1) @[lsu_stbuf.scala 125:26]
node _T_23 = add(WrPtr, UInt<2>("h02")) @[lsu_stbuf.scala 126:26]
node WrPtrPlus2 = tail(_T_23, 1) @[lsu_stbuf.scala 126:26]
node _T_24 = and(io.lsu_commit_r, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 128:45]
io.ldst_stbuf_reqvld_r <= _T_24 @[lsu_stbuf.scala 128:26]
node _T_25 = bits(stbuf_addr[0], 15, 2) @[lsu_stbuf.scala 130:78]
node _T_26 = bits(io.lsu_addr_r, 15, 2) @[lsu_stbuf.scala 130:137]
node _T_27 = eq(_T_25, _T_26) @[lsu_stbuf.scala 130:120]
node _T_28 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 130:191]
node _T_29 = and(_T_27, _T_28) @[lsu_stbuf.scala 130:179]
node _T_30 = bits(stbuf_dma_kill, 0, 0) @[lsu_stbuf.scala 130:212]
node _T_31 = eq(_T_30, UInt<1>("h00")) @[lsu_stbuf.scala 130:197]
node _T_32 = and(_T_29, _T_31) @[lsu_stbuf.scala 130:195]
node _T_33 = bits(stbuf_reset, 0, 0) @[lsu_stbuf.scala 130:230]
node _T_34 = eq(_T_33, UInt<1>("h00")) @[lsu_stbuf.scala 130:218]
node _T_35 = and(_T_32, _T_34) @[lsu_stbuf.scala 130:216]
node _T_36 = bits(stbuf_addr[1], 15, 2) @[lsu_stbuf.scala 130:78]
node _T_37 = bits(io.lsu_addr_r, 15, 2) @[lsu_stbuf.scala 130:137]
node _T_38 = eq(_T_36, _T_37) @[lsu_stbuf.scala 130:120]
node _T_39 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 130:191]
node _T_40 = and(_T_38, _T_39) @[lsu_stbuf.scala 130:179]
node _T_41 = bits(stbuf_dma_kill, 1, 1) @[lsu_stbuf.scala 130:212]
node _T_42 = eq(_T_41, UInt<1>("h00")) @[lsu_stbuf.scala 130:197]
node _T_43 = and(_T_40, _T_42) @[lsu_stbuf.scala 130:195]
node _T_44 = bits(stbuf_reset, 1, 1) @[lsu_stbuf.scala 130:230]
node _T_45 = eq(_T_44, UInt<1>("h00")) @[lsu_stbuf.scala 130:218]
node _T_46 = and(_T_43, _T_45) @[lsu_stbuf.scala 130:216]
node _T_47 = bits(stbuf_addr[2], 15, 2) @[lsu_stbuf.scala 130:78]
node _T_48 = bits(io.lsu_addr_r, 15, 2) @[lsu_stbuf.scala 130:137]
node _T_49 = eq(_T_47, _T_48) @[lsu_stbuf.scala 130:120]
node _T_50 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 130:191]
node _T_51 = and(_T_49, _T_50) @[lsu_stbuf.scala 130:179]
node _T_52 = bits(stbuf_dma_kill, 2, 2) @[lsu_stbuf.scala 130:212]
node _T_53 = eq(_T_52, UInt<1>("h00")) @[lsu_stbuf.scala 130:197]
node _T_54 = and(_T_51, _T_53) @[lsu_stbuf.scala 130:195]
node _T_55 = bits(stbuf_reset, 2, 2) @[lsu_stbuf.scala 130:230]
node _T_56 = eq(_T_55, UInt<1>("h00")) @[lsu_stbuf.scala 130:218]
node _T_57 = and(_T_54, _T_56) @[lsu_stbuf.scala 130:216]
node _T_58 = bits(stbuf_addr[3], 15, 2) @[lsu_stbuf.scala 130:78]
node _T_59 = bits(io.lsu_addr_r, 15, 2) @[lsu_stbuf.scala 130:137]
node _T_60 = eq(_T_58, _T_59) @[lsu_stbuf.scala 130:120]
node _T_61 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 130:191]
node _T_62 = and(_T_60, _T_61) @[lsu_stbuf.scala 130:179]
node _T_63 = bits(stbuf_dma_kill, 3, 3) @[lsu_stbuf.scala 130:212]
node _T_64 = eq(_T_63, UInt<1>("h00")) @[lsu_stbuf.scala 130:197]
node _T_65 = and(_T_62, _T_64) @[lsu_stbuf.scala 130:195]
node _T_66 = bits(stbuf_reset, 3, 3) @[lsu_stbuf.scala 130:230]
node _T_67 = eq(_T_66, UInt<1>("h00")) @[lsu_stbuf.scala 130:218]
node _T_68 = and(_T_65, _T_67) @[lsu_stbuf.scala 130:216]
node _T_69 = cat(_T_68, _T_57) @[Cat.scala 29:58]
node _T_70 = cat(_T_69, _T_46) @[Cat.scala 29:58]
node store_matchvec_lo_r = cat(_T_70, _T_35) @[Cat.scala 29:58]
node _T_71 = bits(stbuf_addr[0], 15, 2) @[lsu_stbuf.scala 131:78]
node _T_72 = bits(io.end_addr_r, 15, 2) @[lsu_stbuf.scala 131:137]
node _T_73 = eq(_T_71, _T_72) @[lsu_stbuf.scala 131:120]
node _T_74 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 131:190]
node _T_75 = and(_T_73, _T_74) @[lsu_stbuf.scala 131:179]
node _T_76 = bits(stbuf_dma_kill, 0, 0) @[lsu_stbuf.scala 131:211]
node _T_77 = eq(_T_76, UInt<1>("h00")) @[lsu_stbuf.scala 131:196]
node _T_78 = and(_T_75, _T_77) @[lsu_stbuf.scala 131:194]
node _T_79 = and(_T_78, dual_stbuf_write_r) @[lsu_stbuf.scala 131:215]
node _T_80 = bits(stbuf_reset, 0, 0) @[lsu_stbuf.scala 131:250]
node _T_81 = eq(_T_80, UInt<1>("h00")) @[lsu_stbuf.scala 131:238]
node _T_82 = and(_T_79, _T_81) @[lsu_stbuf.scala 131:236]
node _T_83 = bits(stbuf_addr[1], 15, 2) @[lsu_stbuf.scala 131:78]
node _T_84 = bits(io.end_addr_r, 15, 2) @[lsu_stbuf.scala 131:137]
node _T_85 = eq(_T_83, _T_84) @[lsu_stbuf.scala 131:120]
node _T_86 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 131:190]
node _T_87 = and(_T_85, _T_86) @[lsu_stbuf.scala 131:179]
node _T_88 = bits(stbuf_dma_kill, 1, 1) @[lsu_stbuf.scala 131:211]
node _T_89 = eq(_T_88, UInt<1>("h00")) @[lsu_stbuf.scala 131:196]
node _T_90 = and(_T_87, _T_89) @[lsu_stbuf.scala 131:194]
node _T_91 = and(_T_90, dual_stbuf_write_r) @[lsu_stbuf.scala 131:215]
node _T_92 = bits(stbuf_reset, 1, 1) @[lsu_stbuf.scala 131:250]
node _T_93 = eq(_T_92, UInt<1>("h00")) @[lsu_stbuf.scala 131:238]
node _T_94 = and(_T_91, _T_93) @[lsu_stbuf.scala 131:236]
node _T_95 = bits(stbuf_addr[2], 15, 2) @[lsu_stbuf.scala 131:78]
node _T_96 = bits(io.end_addr_r, 15, 2) @[lsu_stbuf.scala 131:137]
node _T_97 = eq(_T_95, _T_96) @[lsu_stbuf.scala 131:120]
node _T_98 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 131:190]
node _T_99 = and(_T_97, _T_98) @[lsu_stbuf.scala 131:179]
node _T_100 = bits(stbuf_dma_kill, 2, 2) @[lsu_stbuf.scala 131:211]
node _T_101 = eq(_T_100, UInt<1>("h00")) @[lsu_stbuf.scala 131:196]
node _T_102 = and(_T_99, _T_101) @[lsu_stbuf.scala 131:194]
node _T_103 = and(_T_102, dual_stbuf_write_r) @[lsu_stbuf.scala 131:215]
node _T_104 = bits(stbuf_reset, 2, 2) @[lsu_stbuf.scala 131:250]
node _T_105 = eq(_T_104, UInt<1>("h00")) @[lsu_stbuf.scala 131:238]
node _T_106 = and(_T_103, _T_105) @[lsu_stbuf.scala 131:236]
node _T_107 = bits(stbuf_addr[3], 15, 2) @[lsu_stbuf.scala 131:78]
node _T_108 = bits(io.end_addr_r, 15, 2) @[lsu_stbuf.scala 131:137]
node _T_109 = eq(_T_107, _T_108) @[lsu_stbuf.scala 131:120]
node _T_110 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 131:190]
node _T_111 = and(_T_109, _T_110) @[lsu_stbuf.scala 131:179]
node _T_112 = bits(stbuf_dma_kill, 3, 3) @[lsu_stbuf.scala 131:211]
node _T_113 = eq(_T_112, UInt<1>("h00")) @[lsu_stbuf.scala 131:196]
node _T_114 = and(_T_111, _T_113) @[lsu_stbuf.scala 131:194]
node _T_115 = and(_T_114, dual_stbuf_write_r) @[lsu_stbuf.scala 131:215]
node _T_116 = bits(stbuf_reset, 3, 3) @[lsu_stbuf.scala 131:250]
node _T_117 = eq(_T_116, UInt<1>("h00")) @[lsu_stbuf.scala 131:238]
node _T_118 = and(_T_115, _T_117) @[lsu_stbuf.scala 131:236]
node _T_119 = cat(_T_118, _T_106) @[Cat.scala 29:58]
node _T_120 = cat(_T_119, _T_94) @[Cat.scala 29:58]
node store_matchvec_hi_r = cat(_T_120, _T_82) @[Cat.scala 29:58]
node store_coalesce_lo_r = orr(store_matchvec_lo_r) @[lsu_stbuf.scala 133:49]
node store_coalesce_hi_r = orr(store_matchvec_hi_r) @[lsu_stbuf.scala 134:49]
node _T_121 = eq(UInt<1>("h00"), WrPtr) @[lsu_stbuf.scala 137:16]
node _T_122 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 137:29]
node _T_123 = and(_T_121, _T_122) @[lsu_stbuf.scala 137:27]
node _T_124 = eq(UInt<1>("h00"), WrPtr) @[lsu_stbuf.scala 138:18]
node _T_125 = and(_T_124, dual_stbuf_write_r) @[lsu_stbuf.scala 138:29]
node _T_126 = eq(store_coalesce_hi_r, UInt<1>("h00")) @[lsu_stbuf.scala 138:52]
node _T_127 = and(_T_125, _T_126) @[lsu_stbuf.scala 138:50]
node _T_128 = or(_T_123, _T_127) @[lsu_stbuf.scala 137:51]
node _T_129 = eq(UInt<1>("h00"), WrPtrPlus1) @[lsu_stbuf.scala 139:18]
node _T_130 = and(_T_129, dual_stbuf_write_r) @[lsu_stbuf.scala 139:34]
node _T_131 = or(store_coalesce_lo_r, store_coalesce_hi_r) @[lsu_stbuf.scala 139:79]
node _T_132 = eq(_T_131, UInt<1>("h00")) @[lsu_stbuf.scala 139:57]
node _T_133 = and(_T_130, _T_132) @[lsu_stbuf.scala 139:55]
node _T_134 = or(_T_128, _T_133) @[lsu_stbuf.scala 138:74]
node _T_135 = bits(store_matchvec_lo_r, 0, 0) @[lsu_stbuf.scala 140:26]
node _T_136 = or(_T_134, _T_135) @[lsu_stbuf.scala 139:103]
node _T_137 = bits(store_matchvec_hi_r, 0, 0) @[lsu_stbuf.scala 140:51]
node _T_138 = or(_T_136, _T_137) @[lsu_stbuf.scala 140:30]
node _T_139 = and(io.ldst_stbuf_reqvld_r, _T_138) @[lsu_stbuf.scala 136:76]
node _T_140 = eq(UInt<1>("h01"), WrPtr) @[lsu_stbuf.scala 137:16]
node _T_141 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 137:29]
node _T_142 = and(_T_140, _T_141) @[lsu_stbuf.scala 137:27]
node _T_143 = eq(UInt<1>("h01"), WrPtr) @[lsu_stbuf.scala 138:18]
node _T_144 = and(_T_143, dual_stbuf_write_r) @[lsu_stbuf.scala 138:29]
node _T_145 = eq(store_coalesce_hi_r, UInt<1>("h00")) @[lsu_stbuf.scala 138:52]
node _T_146 = and(_T_144, _T_145) @[lsu_stbuf.scala 138:50]
node _T_147 = or(_T_142, _T_146) @[lsu_stbuf.scala 137:51]
node _T_148 = eq(UInt<1>("h01"), WrPtrPlus1) @[lsu_stbuf.scala 139:18]
node _T_149 = and(_T_148, dual_stbuf_write_r) @[lsu_stbuf.scala 139:34]
node _T_150 = or(store_coalesce_lo_r, store_coalesce_hi_r) @[lsu_stbuf.scala 139:79]
node _T_151 = eq(_T_150, UInt<1>("h00")) @[lsu_stbuf.scala 139:57]
node _T_152 = and(_T_149, _T_151) @[lsu_stbuf.scala 139:55]
node _T_153 = or(_T_147, _T_152) @[lsu_stbuf.scala 138:74]
node _T_154 = bits(store_matchvec_lo_r, 1, 1) @[lsu_stbuf.scala 140:26]
node _T_155 = or(_T_153, _T_154) @[lsu_stbuf.scala 139:103]
node _T_156 = bits(store_matchvec_hi_r, 1, 1) @[lsu_stbuf.scala 140:51]
node _T_157 = or(_T_155, _T_156) @[lsu_stbuf.scala 140:30]
node _T_158 = and(io.ldst_stbuf_reqvld_r, _T_157) @[lsu_stbuf.scala 136:76]
node _T_159 = eq(UInt<2>("h02"), WrPtr) @[lsu_stbuf.scala 137:16]
node _T_160 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 137:29]
node _T_161 = and(_T_159, _T_160) @[lsu_stbuf.scala 137:27]
node _T_162 = eq(UInt<2>("h02"), WrPtr) @[lsu_stbuf.scala 138:18]
node _T_163 = and(_T_162, dual_stbuf_write_r) @[lsu_stbuf.scala 138:29]
node _T_164 = eq(store_coalesce_hi_r, UInt<1>("h00")) @[lsu_stbuf.scala 138:52]
node _T_165 = and(_T_163, _T_164) @[lsu_stbuf.scala 138:50]
node _T_166 = or(_T_161, _T_165) @[lsu_stbuf.scala 137:51]
node _T_167 = eq(UInt<2>("h02"), WrPtrPlus1) @[lsu_stbuf.scala 139:18]
node _T_168 = and(_T_167, dual_stbuf_write_r) @[lsu_stbuf.scala 139:34]
node _T_169 = or(store_coalesce_lo_r, store_coalesce_hi_r) @[lsu_stbuf.scala 139:79]
node _T_170 = eq(_T_169, UInt<1>("h00")) @[lsu_stbuf.scala 139:57]
node _T_171 = and(_T_168, _T_170) @[lsu_stbuf.scala 139:55]
node _T_172 = or(_T_166, _T_171) @[lsu_stbuf.scala 138:74]
node _T_173 = bits(store_matchvec_lo_r, 2, 2) @[lsu_stbuf.scala 140:26]
node _T_174 = or(_T_172, _T_173) @[lsu_stbuf.scala 139:103]
node _T_175 = bits(store_matchvec_hi_r, 2, 2) @[lsu_stbuf.scala 140:51]
node _T_176 = or(_T_174, _T_175) @[lsu_stbuf.scala 140:30]
node _T_177 = and(io.ldst_stbuf_reqvld_r, _T_176) @[lsu_stbuf.scala 136:76]
node _T_178 = eq(UInt<2>("h03"), WrPtr) @[lsu_stbuf.scala 137:16]
node _T_179 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 137:29]
node _T_180 = and(_T_178, _T_179) @[lsu_stbuf.scala 137:27]
node _T_181 = eq(UInt<2>("h03"), WrPtr) @[lsu_stbuf.scala 138:18]
node _T_182 = and(_T_181, dual_stbuf_write_r) @[lsu_stbuf.scala 138:29]
node _T_183 = eq(store_coalesce_hi_r, UInt<1>("h00")) @[lsu_stbuf.scala 138:52]
node _T_184 = and(_T_182, _T_183) @[lsu_stbuf.scala 138:50]
node _T_185 = or(_T_180, _T_184) @[lsu_stbuf.scala 137:51]
node _T_186 = eq(UInt<2>("h03"), WrPtrPlus1) @[lsu_stbuf.scala 139:18]
node _T_187 = and(_T_186, dual_stbuf_write_r) @[lsu_stbuf.scala 139:34]
node _T_188 = or(store_coalesce_lo_r, store_coalesce_hi_r) @[lsu_stbuf.scala 139:79]
node _T_189 = eq(_T_188, UInt<1>("h00")) @[lsu_stbuf.scala 139:57]
node _T_190 = and(_T_187, _T_189) @[lsu_stbuf.scala 139:55]
node _T_191 = or(_T_185, _T_190) @[lsu_stbuf.scala 138:74]
node _T_192 = bits(store_matchvec_lo_r, 3, 3) @[lsu_stbuf.scala 140:26]
node _T_193 = or(_T_191, _T_192) @[lsu_stbuf.scala 139:103]
node _T_194 = bits(store_matchvec_hi_r, 3, 3) @[lsu_stbuf.scala 140:51]
node _T_195 = or(_T_193, _T_194) @[lsu_stbuf.scala 140:30]
node _T_196 = and(io.ldst_stbuf_reqvld_r, _T_195) @[lsu_stbuf.scala 136:76]
node _T_197 = cat(_T_196, _T_177) @[Cat.scala 29:58]
node _T_198 = cat(_T_197, _T_158) @[Cat.scala 29:58]
node _T_199 = cat(_T_198, _T_139) @[Cat.scala 29:58]
stbuf_wr_en <= _T_199 @[lsu_stbuf.scala 136:15]
node _T_200 = or(io.lsu_stbuf_commit_any, io.stbuf_reqvld_flushed_any) @[lsu_stbuf.scala 141:78]
node _T_201 = eq(UInt<1>("h00"), RdPtr) @[lsu_stbuf.scala 141:121]
node _T_202 = bits(_T_201, 0, 0) @[lsu_stbuf.scala 141:132]
node _T_203 = and(_T_200, _T_202) @[lsu_stbuf.scala 141:109]
node _T_204 = or(io.lsu_stbuf_commit_any, io.stbuf_reqvld_flushed_any) @[lsu_stbuf.scala 141:78]
node _T_205 = eq(UInt<1>("h01"), RdPtr) @[lsu_stbuf.scala 141:121]
node _T_206 = bits(_T_205, 0, 0) @[lsu_stbuf.scala 141:132]
node _T_207 = and(_T_204, _T_206) @[lsu_stbuf.scala 141:109]
node _T_208 = or(io.lsu_stbuf_commit_any, io.stbuf_reqvld_flushed_any) @[lsu_stbuf.scala 141:78]
node _T_209 = eq(UInt<2>("h02"), RdPtr) @[lsu_stbuf.scala 141:121]
node _T_210 = bits(_T_209, 0, 0) @[lsu_stbuf.scala 141:132]
node _T_211 = and(_T_208, _T_210) @[lsu_stbuf.scala 141:109]
node _T_212 = or(io.lsu_stbuf_commit_any, io.stbuf_reqvld_flushed_any) @[lsu_stbuf.scala 141:78]
node _T_213 = eq(UInt<2>("h03"), RdPtr) @[lsu_stbuf.scala 141:121]
node _T_214 = bits(_T_213, 0, 0) @[lsu_stbuf.scala 141:132]
node _T_215 = and(_T_212, _T_214) @[lsu_stbuf.scala 141:109]
node _T_216 = cat(_T_215, _T_211) @[Cat.scala 29:58]
node _T_217 = cat(_T_216, _T_207) @[Cat.scala 29:58]
node _T_218 = cat(_T_217, _T_203) @[Cat.scala 29:58]
stbuf_reset <= _T_218 @[lsu_stbuf.scala 141:15]
node _T_219 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_stbuf.scala 142:53]
node _T_220 = or(_T_219, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 142:66]
node _T_221 = eq(UInt<1>("h00"), WrPtr) @[lsu_stbuf.scala 142:105]
node _T_222 = bits(_T_221, 0, 0) @[lsu_stbuf.scala 142:116]
node _T_223 = and(_T_220, _T_222) @[lsu_stbuf.scala 142:93]
node _T_224 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 142:125]
node _T_225 = and(_T_223, _T_224) @[lsu_stbuf.scala 142:123]
node _T_226 = bits(store_matchvec_lo_r, 0, 0) @[lsu_stbuf.scala 142:168]
node _T_227 = or(_T_225, _T_226) @[lsu_stbuf.scala 142:147]
node _T_228 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_stbuf.scala 142:53]
node _T_229 = or(_T_228, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 142:66]
node _T_230 = eq(UInt<1>("h01"), WrPtr) @[lsu_stbuf.scala 142:105]
node _T_231 = bits(_T_230, 0, 0) @[lsu_stbuf.scala 142:116]
node _T_232 = and(_T_229, _T_231) @[lsu_stbuf.scala 142:93]
node _T_233 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 142:125]
node _T_234 = and(_T_232, _T_233) @[lsu_stbuf.scala 142:123]
node _T_235 = bits(store_matchvec_lo_r, 1, 1) @[lsu_stbuf.scala 142:168]
node _T_236 = or(_T_234, _T_235) @[lsu_stbuf.scala 142:147]
node _T_237 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_stbuf.scala 142:53]
node _T_238 = or(_T_237, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 142:66]
node _T_239 = eq(UInt<2>("h02"), WrPtr) @[lsu_stbuf.scala 142:105]
node _T_240 = bits(_T_239, 0, 0) @[lsu_stbuf.scala 142:116]
node _T_241 = and(_T_238, _T_240) @[lsu_stbuf.scala 142:93]
node _T_242 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 142:125]
node _T_243 = and(_T_241, _T_242) @[lsu_stbuf.scala 142:123]
node _T_244 = bits(store_matchvec_lo_r, 2, 2) @[lsu_stbuf.scala 142:168]
node _T_245 = or(_T_243, _T_244) @[lsu_stbuf.scala 142:147]
node _T_246 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_stbuf.scala 142:53]
node _T_247 = or(_T_246, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 142:66]
node _T_248 = eq(UInt<2>("h03"), WrPtr) @[lsu_stbuf.scala 142:105]
node _T_249 = bits(_T_248, 0, 0) @[lsu_stbuf.scala 142:116]
node _T_250 = and(_T_247, _T_249) @[lsu_stbuf.scala 142:93]
node _T_251 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 142:125]
node _T_252 = and(_T_250, _T_251) @[lsu_stbuf.scala 142:123]
node _T_253 = bits(store_matchvec_lo_r, 3, 3) @[lsu_stbuf.scala 142:168]
node _T_254 = or(_T_252, _T_253) @[lsu_stbuf.scala 142:147]
node _T_255 = cat(_T_254, _T_245) @[Cat.scala 29:58]
node _T_256 = cat(_T_255, _T_236) @[Cat.scala 29:58]
node sel_lo = cat(_T_256, _T_227) @[Cat.scala 29:58]
node _T_257 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 144:63]
node _T_258 = bits(io.lsu_addr_r, 15, 0) @[lsu_stbuf.scala 144:81]
node _T_259 = bits(io.end_addr_r, 15, 0) @[lsu_stbuf.scala 144:113]
node _T_260 = mux(_T_257, _T_258, _T_259) @[lsu_stbuf.scala 144:56]
node _T_261 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 144:63]
node _T_262 = bits(io.lsu_addr_r, 15, 0) @[lsu_stbuf.scala 144:81]
node _T_263 = bits(io.end_addr_r, 15, 0) @[lsu_stbuf.scala 144:113]
node _T_264 = mux(_T_261, _T_262, _T_263) @[lsu_stbuf.scala 144:56]
node _T_265 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 144:63]
node _T_266 = bits(io.lsu_addr_r, 15, 0) @[lsu_stbuf.scala 144:81]
node _T_267 = bits(io.end_addr_r, 15, 0) @[lsu_stbuf.scala 144:113]
node _T_268 = mux(_T_265, _T_266, _T_267) @[lsu_stbuf.scala 144:56]
node _T_269 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 144:63]
node _T_270 = bits(io.lsu_addr_r, 15, 0) @[lsu_stbuf.scala 144:81]
node _T_271 = bits(io.end_addr_r, 15, 0) @[lsu_stbuf.scala 144:113]
node _T_272 = mux(_T_269, _T_270, _T_271) @[lsu_stbuf.scala 144:56]
stbuf_addrin[0] <= _T_260 @[lsu_stbuf.scala 144:16]
stbuf_addrin[1] <= _T_264 @[lsu_stbuf.scala 144:16]
stbuf_addrin[2] <= _T_268 @[lsu_stbuf.scala 144:16]
stbuf_addrin[3] <= _T_272 @[lsu_stbuf.scala 144:16]
node _T_273 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 145:65]
node _T_274 = or(stbuf_byteen[0], store_byteen_lo_r) @[lsu_stbuf.scala 145:86]
node _T_275 = or(stbuf_byteen[0], store_byteen_hi_r) @[lsu_stbuf.scala 145:123]
node _T_276 = mux(_T_273, _T_274, _T_275) @[lsu_stbuf.scala 145:58]
node _T_277 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 145:65]
node _T_278 = or(stbuf_byteen[1], store_byteen_lo_r) @[lsu_stbuf.scala 145:86]
node _T_279 = or(stbuf_byteen[1], store_byteen_hi_r) @[lsu_stbuf.scala 145:123]
node _T_280 = mux(_T_277, _T_278, _T_279) @[lsu_stbuf.scala 145:58]
node _T_281 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 145:65]
node _T_282 = or(stbuf_byteen[2], store_byteen_lo_r) @[lsu_stbuf.scala 145:86]
node _T_283 = or(stbuf_byteen[2], store_byteen_hi_r) @[lsu_stbuf.scala 145:123]
node _T_284 = mux(_T_281, _T_282, _T_283) @[lsu_stbuf.scala 145:58]
node _T_285 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 145:65]
node _T_286 = or(stbuf_byteen[3], store_byteen_lo_r) @[lsu_stbuf.scala 145:86]
node _T_287 = or(stbuf_byteen[3], store_byteen_hi_r) @[lsu_stbuf.scala 145:123]
node _T_288 = mux(_T_285, _T_286, _T_287) @[lsu_stbuf.scala 145:58]
stbuf_byteenin[0] <= _T_276 @[lsu_stbuf.scala 145:18]
stbuf_byteenin[1] <= _T_280 @[lsu_stbuf.scala 145:18]
stbuf_byteenin[2] <= _T_284 @[lsu_stbuf.scala 145:18]
stbuf_byteenin[3] <= _T_288 @[lsu_stbuf.scala 145:18]
node _T_289 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 147:58]
node _T_290 = bits(stbuf_byteen[0], 0, 0) @[lsu_stbuf.scala 147:83]
node _T_291 = eq(_T_290, UInt<1>("h00")) @[lsu_stbuf.scala 147:67]
node _T_292 = bits(store_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 147:106]
node _T_293 = or(_T_291, _T_292) @[lsu_stbuf.scala 147:87]
node _T_294 = bits(io.store_datafn_lo_r, 7, 0) @[lsu_stbuf.scala 147:131]
node _T_295 = bits(stbuf_data[0], 7, 0) @[lsu_stbuf.scala 147:152]
node _T_296 = mux(_T_293, _T_294, _T_295) @[lsu_stbuf.scala 147:66]
node _T_297 = bits(stbuf_byteen[0], 0, 0) @[lsu_stbuf.scala 148:25]
node _T_298 = eq(_T_297, UInt<1>("h00")) @[lsu_stbuf.scala 148:9]
node _T_299 = bits(store_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 148:48]
node _T_300 = or(_T_298, _T_299) @[lsu_stbuf.scala 148:29]
node _T_301 = bits(io.store_datafn_hi_r, 7, 0) @[lsu_stbuf.scala 148:73]
node _T_302 = bits(stbuf_data[0], 7, 0) @[lsu_stbuf.scala 148:94]
node _T_303 = mux(_T_300, _T_301, _T_302) @[lsu_stbuf.scala 148:8]
node _T_304 = mux(_T_289, _T_296, _T_303) @[lsu_stbuf.scala 147:51]
node _T_305 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 147:58]
node _T_306 = bits(stbuf_byteen[1], 0, 0) @[lsu_stbuf.scala 147:83]
node _T_307 = eq(_T_306, UInt<1>("h00")) @[lsu_stbuf.scala 147:67]
node _T_308 = bits(store_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 147:106]
node _T_309 = or(_T_307, _T_308) @[lsu_stbuf.scala 147:87]
node _T_310 = bits(io.store_datafn_lo_r, 7, 0) @[lsu_stbuf.scala 147:131]
node _T_311 = bits(stbuf_data[1], 7, 0) @[lsu_stbuf.scala 147:152]
node _T_312 = mux(_T_309, _T_310, _T_311) @[lsu_stbuf.scala 147:66]
node _T_313 = bits(stbuf_byteen[1], 0, 0) @[lsu_stbuf.scala 148:25]
node _T_314 = eq(_T_313, UInt<1>("h00")) @[lsu_stbuf.scala 148:9]
node _T_315 = bits(store_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 148:48]
node _T_316 = or(_T_314, _T_315) @[lsu_stbuf.scala 148:29]
node _T_317 = bits(io.store_datafn_hi_r, 7, 0) @[lsu_stbuf.scala 148:73]
node _T_318 = bits(stbuf_data[1], 7, 0) @[lsu_stbuf.scala 148:94]
node _T_319 = mux(_T_316, _T_317, _T_318) @[lsu_stbuf.scala 148:8]
node _T_320 = mux(_T_305, _T_312, _T_319) @[lsu_stbuf.scala 147:51]
node _T_321 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 147:58]
node _T_322 = bits(stbuf_byteen[2], 0, 0) @[lsu_stbuf.scala 147:83]
node _T_323 = eq(_T_322, UInt<1>("h00")) @[lsu_stbuf.scala 147:67]
node _T_324 = bits(store_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 147:106]
node _T_325 = or(_T_323, _T_324) @[lsu_stbuf.scala 147:87]
node _T_326 = bits(io.store_datafn_lo_r, 7, 0) @[lsu_stbuf.scala 147:131]
node _T_327 = bits(stbuf_data[2], 7, 0) @[lsu_stbuf.scala 147:152]
node _T_328 = mux(_T_325, _T_326, _T_327) @[lsu_stbuf.scala 147:66]
node _T_329 = bits(stbuf_byteen[2], 0, 0) @[lsu_stbuf.scala 148:25]
node _T_330 = eq(_T_329, UInt<1>("h00")) @[lsu_stbuf.scala 148:9]
node _T_331 = bits(store_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 148:48]
node _T_332 = or(_T_330, _T_331) @[lsu_stbuf.scala 148:29]
node _T_333 = bits(io.store_datafn_hi_r, 7, 0) @[lsu_stbuf.scala 148:73]
node _T_334 = bits(stbuf_data[2], 7, 0) @[lsu_stbuf.scala 148:94]
node _T_335 = mux(_T_332, _T_333, _T_334) @[lsu_stbuf.scala 148:8]
node _T_336 = mux(_T_321, _T_328, _T_335) @[lsu_stbuf.scala 147:51]
node _T_337 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 147:58]
node _T_338 = bits(stbuf_byteen[3], 0, 0) @[lsu_stbuf.scala 147:83]
node _T_339 = eq(_T_338, UInt<1>("h00")) @[lsu_stbuf.scala 147:67]
node _T_340 = bits(store_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 147:106]
node _T_341 = or(_T_339, _T_340) @[lsu_stbuf.scala 147:87]
node _T_342 = bits(io.store_datafn_lo_r, 7, 0) @[lsu_stbuf.scala 147:131]
node _T_343 = bits(stbuf_data[3], 7, 0) @[lsu_stbuf.scala 147:152]
node _T_344 = mux(_T_341, _T_342, _T_343) @[lsu_stbuf.scala 147:66]
node _T_345 = bits(stbuf_byteen[3], 0, 0) @[lsu_stbuf.scala 148:25]
node _T_346 = eq(_T_345, UInt<1>("h00")) @[lsu_stbuf.scala 148:9]
node _T_347 = bits(store_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 148:48]
node _T_348 = or(_T_346, _T_347) @[lsu_stbuf.scala 148:29]
node _T_349 = bits(io.store_datafn_hi_r, 7, 0) @[lsu_stbuf.scala 148:73]
node _T_350 = bits(stbuf_data[3], 7, 0) @[lsu_stbuf.scala 148:94]
node _T_351 = mux(_T_348, _T_349, _T_350) @[lsu_stbuf.scala 148:8]
node _T_352 = mux(_T_337, _T_344, _T_351) @[lsu_stbuf.scala 147:51]
datain1[0] <= _T_304 @[lsu_stbuf.scala 147:11]
datain1[1] <= _T_320 @[lsu_stbuf.scala 147:11]
datain1[2] <= _T_336 @[lsu_stbuf.scala 147:11]
datain1[3] <= _T_352 @[lsu_stbuf.scala 147:11]
node _T_353 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 150:59]
node _T_354 = bits(stbuf_byteen[0], 1, 1) @[lsu_stbuf.scala 150:84]
node _T_355 = eq(_T_354, UInt<1>("h00")) @[lsu_stbuf.scala 150:68]
node _T_356 = bits(store_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 150:107]
node _T_357 = or(_T_355, _T_356) @[lsu_stbuf.scala 150:88]
node _T_358 = bits(io.store_datafn_lo_r, 15, 8) @[lsu_stbuf.scala 150:132]
node _T_359 = bits(stbuf_data[0], 15, 8) @[lsu_stbuf.scala 150:154]
node _T_360 = mux(_T_357, _T_358, _T_359) @[lsu_stbuf.scala 150:67]
node _T_361 = bits(stbuf_byteen[0], 1, 1) @[lsu_stbuf.scala 151:25]
node _T_362 = eq(_T_361, UInt<1>("h00")) @[lsu_stbuf.scala 151:9]
node _T_363 = bits(store_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 151:48]
node _T_364 = or(_T_362, _T_363) @[lsu_stbuf.scala 151:29]
node _T_365 = bits(io.store_datafn_hi_r, 15, 8) @[lsu_stbuf.scala 151:73]
node _T_366 = bits(stbuf_data[0], 15, 8) @[lsu_stbuf.scala 151:95]
node _T_367 = mux(_T_364, _T_365, _T_366) @[lsu_stbuf.scala 151:8]
node _T_368 = mux(_T_353, _T_360, _T_367) @[lsu_stbuf.scala 150:52]
node _T_369 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 150:59]
node _T_370 = bits(stbuf_byteen[1], 1, 1) @[lsu_stbuf.scala 150:84]
node _T_371 = eq(_T_370, UInt<1>("h00")) @[lsu_stbuf.scala 150:68]
node _T_372 = bits(store_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 150:107]
node _T_373 = or(_T_371, _T_372) @[lsu_stbuf.scala 150:88]
node _T_374 = bits(io.store_datafn_lo_r, 15, 8) @[lsu_stbuf.scala 150:132]
node _T_375 = bits(stbuf_data[1], 15, 8) @[lsu_stbuf.scala 150:154]
node _T_376 = mux(_T_373, _T_374, _T_375) @[lsu_stbuf.scala 150:67]
node _T_377 = bits(stbuf_byteen[1], 1, 1) @[lsu_stbuf.scala 151:25]
node _T_378 = eq(_T_377, UInt<1>("h00")) @[lsu_stbuf.scala 151:9]
node _T_379 = bits(store_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 151:48]
node _T_380 = or(_T_378, _T_379) @[lsu_stbuf.scala 151:29]
node _T_381 = bits(io.store_datafn_hi_r, 15, 8) @[lsu_stbuf.scala 151:73]
node _T_382 = bits(stbuf_data[1], 15, 8) @[lsu_stbuf.scala 151:95]
node _T_383 = mux(_T_380, _T_381, _T_382) @[lsu_stbuf.scala 151:8]
node _T_384 = mux(_T_369, _T_376, _T_383) @[lsu_stbuf.scala 150:52]
node _T_385 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 150:59]
node _T_386 = bits(stbuf_byteen[2], 1, 1) @[lsu_stbuf.scala 150:84]
node _T_387 = eq(_T_386, UInt<1>("h00")) @[lsu_stbuf.scala 150:68]
node _T_388 = bits(store_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 150:107]
node _T_389 = or(_T_387, _T_388) @[lsu_stbuf.scala 150:88]
node _T_390 = bits(io.store_datafn_lo_r, 15, 8) @[lsu_stbuf.scala 150:132]
node _T_391 = bits(stbuf_data[2], 15, 8) @[lsu_stbuf.scala 150:154]
node _T_392 = mux(_T_389, _T_390, _T_391) @[lsu_stbuf.scala 150:67]
node _T_393 = bits(stbuf_byteen[2], 1, 1) @[lsu_stbuf.scala 151:25]
node _T_394 = eq(_T_393, UInt<1>("h00")) @[lsu_stbuf.scala 151:9]
node _T_395 = bits(store_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 151:48]
node _T_396 = or(_T_394, _T_395) @[lsu_stbuf.scala 151:29]
node _T_397 = bits(io.store_datafn_hi_r, 15, 8) @[lsu_stbuf.scala 151:73]
node _T_398 = bits(stbuf_data[2], 15, 8) @[lsu_stbuf.scala 151:95]
node _T_399 = mux(_T_396, _T_397, _T_398) @[lsu_stbuf.scala 151:8]
node _T_400 = mux(_T_385, _T_392, _T_399) @[lsu_stbuf.scala 150:52]
node _T_401 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 150:59]
node _T_402 = bits(stbuf_byteen[3], 1, 1) @[lsu_stbuf.scala 150:84]
node _T_403 = eq(_T_402, UInt<1>("h00")) @[lsu_stbuf.scala 150:68]
node _T_404 = bits(store_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 150:107]
node _T_405 = or(_T_403, _T_404) @[lsu_stbuf.scala 150:88]
node _T_406 = bits(io.store_datafn_lo_r, 15, 8) @[lsu_stbuf.scala 150:132]
node _T_407 = bits(stbuf_data[3], 15, 8) @[lsu_stbuf.scala 150:154]
node _T_408 = mux(_T_405, _T_406, _T_407) @[lsu_stbuf.scala 150:67]
node _T_409 = bits(stbuf_byteen[3], 1, 1) @[lsu_stbuf.scala 151:25]
node _T_410 = eq(_T_409, UInt<1>("h00")) @[lsu_stbuf.scala 151:9]
node _T_411 = bits(store_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 151:48]
node _T_412 = or(_T_410, _T_411) @[lsu_stbuf.scala 151:29]
node _T_413 = bits(io.store_datafn_hi_r, 15, 8) @[lsu_stbuf.scala 151:73]
node _T_414 = bits(stbuf_data[3], 15, 8) @[lsu_stbuf.scala 151:95]
node _T_415 = mux(_T_412, _T_413, _T_414) @[lsu_stbuf.scala 151:8]
node _T_416 = mux(_T_401, _T_408, _T_415) @[lsu_stbuf.scala 150:52]
datain2[0] <= _T_368 @[lsu_stbuf.scala 150:12]
datain2[1] <= _T_384 @[lsu_stbuf.scala 150:12]
datain2[2] <= _T_400 @[lsu_stbuf.scala 150:12]
datain2[3] <= _T_416 @[lsu_stbuf.scala 150:12]
node _T_417 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 153:59]
node _T_418 = bits(stbuf_byteen[0], 2, 2) @[lsu_stbuf.scala 153:84]
node _T_419 = eq(_T_418, UInt<1>("h00")) @[lsu_stbuf.scala 153:68]
node _T_420 = bits(store_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 153:107]
node _T_421 = or(_T_419, _T_420) @[lsu_stbuf.scala 153:88]
node _T_422 = bits(io.store_datafn_lo_r, 23, 16) @[lsu_stbuf.scala 153:132]
node _T_423 = bits(stbuf_data[0], 23, 16) @[lsu_stbuf.scala 153:155]
node _T_424 = mux(_T_421, _T_422, _T_423) @[lsu_stbuf.scala 153:67]
node _T_425 = bits(stbuf_byteen[0], 2, 2) @[lsu_stbuf.scala 154:25]
node _T_426 = eq(_T_425, UInt<1>("h00")) @[lsu_stbuf.scala 154:9]
node _T_427 = bits(store_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 154:48]
node _T_428 = or(_T_426, _T_427) @[lsu_stbuf.scala 154:29]
node _T_429 = bits(io.store_datafn_hi_r, 23, 16) @[lsu_stbuf.scala 154:73]
node _T_430 = bits(stbuf_data[0], 23, 16) @[lsu_stbuf.scala 154:96]
node _T_431 = mux(_T_428, _T_429, _T_430) @[lsu_stbuf.scala 154:8]
node _T_432 = mux(_T_417, _T_424, _T_431) @[lsu_stbuf.scala 153:52]
node _T_433 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 153:59]
node _T_434 = bits(stbuf_byteen[1], 2, 2) @[lsu_stbuf.scala 153:84]
node _T_435 = eq(_T_434, UInt<1>("h00")) @[lsu_stbuf.scala 153:68]
node _T_436 = bits(store_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 153:107]
node _T_437 = or(_T_435, _T_436) @[lsu_stbuf.scala 153:88]
node _T_438 = bits(io.store_datafn_lo_r, 23, 16) @[lsu_stbuf.scala 153:132]
node _T_439 = bits(stbuf_data[1], 23, 16) @[lsu_stbuf.scala 153:155]
node _T_440 = mux(_T_437, _T_438, _T_439) @[lsu_stbuf.scala 153:67]
node _T_441 = bits(stbuf_byteen[1], 2, 2) @[lsu_stbuf.scala 154:25]
node _T_442 = eq(_T_441, UInt<1>("h00")) @[lsu_stbuf.scala 154:9]
node _T_443 = bits(store_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 154:48]
node _T_444 = or(_T_442, _T_443) @[lsu_stbuf.scala 154:29]
node _T_445 = bits(io.store_datafn_hi_r, 23, 16) @[lsu_stbuf.scala 154:73]
node _T_446 = bits(stbuf_data[1], 23, 16) @[lsu_stbuf.scala 154:96]
node _T_447 = mux(_T_444, _T_445, _T_446) @[lsu_stbuf.scala 154:8]
node _T_448 = mux(_T_433, _T_440, _T_447) @[lsu_stbuf.scala 153:52]
node _T_449 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 153:59]
node _T_450 = bits(stbuf_byteen[2], 2, 2) @[lsu_stbuf.scala 153:84]
node _T_451 = eq(_T_450, UInt<1>("h00")) @[lsu_stbuf.scala 153:68]
node _T_452 = bits(store_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 153:107]
node _T_453 = or(_T_451, _T_452) @[lsu_stbuf.scala 153:88]
node _T_454 = bits(io.store_datafn_lo_r, 23, 16) @[lsu_stbuf.scala 153:132]
node _T_455 = bits(stbuf_data[2], 23, 16) @[lsu_stbuf.scala 153:155]
node _T_456 = mux(_T_453, _T_454, _T_455) @[lsu_stbuf.scala 153:67]
node _T_457 = bits(stbuf_byteen[2], 2, 2) @[lsu_stbuf.scala 154:25]
node _T_458 = eq(_T_457, UInt<1>("h00")) @[lsu_stbuf.scala 154:9]
node _T_459 = bits(store_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 154:48]
node _T_460 = or(_T_458, _T_459) @[lsu_stbuf.scala 154:29]
node _T_461 = bits(io.store_datafn_hi_r, 23, 16) @[lsu_stbuf.scala 154:73]
node _T_462 = bits(stbuf_data[2], 23, 16) @[lsu_stbuf.scala 154:96]
node _T_463 = mux(_T_460, _T_461, _T_462) @[lsu_stbuf.scala 154:8]
node _T_464 = mux(_T_449, _T_456, _T_463) @[lsu_stbuf.scala 153:52]
node _T_465 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 153:59]
node _T_466 = bits(stbuf_byteen[3], 2, 2) @[lsu_stbuf.scala 153:84]
node _T_467 = eq(_T_466, UInt<1>("h00")) @[lsu_stbuf.scala 153:68]
node _T_468 = bits(store_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 153:107]
node _T_469 = or(_T_467, _T_468) @[lsu_stbuf.scala 153:88]
node _T_470 = bits(io.store_datafn_lo_r, 23, 16) @[lsu_stbuf.scala 153:132]
node _T_471 = bits(stbuf_data[3], 23, 16) @[lsu_stbuf.scala 153:155]
node _T_472 = mux(_T_469, _T_470, _T_471) @[lsu_stbuf.scala 153:67]
node _T_473 = bits(stbuf_byteen[3], 2, 2) @[lsu_stbuf.scala 154:25]
node _T_474 = eq(_T_473, UInt<1>("h00")) @[lsu_stbuf.scala 154:9]
node _T_475 = bits(store_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 154:48]
node _T_476 = or(_T_474, _T_475) @[lsu_stbuf.scala 154:29]
node _T_477 = bits(io.store_datafn_hi_r, 23, 16) @[lsu_stbuf.scala 154:73]
node _T_478 = bits(stbuf_data[3], 23, 16) @[lsu_stbuf.scala 154:96]
node _T_479 = mux(_T_476, _T_477, _T_478) @[lsu_stbuf.scala 154:8]
node _T_480 = mux(_T_465, _T_472, _T_479) @[lsu_stbuf.scala 153:52]
datain3[0] <= _T_432 @[lsu_stbuf.scala 153:12]
datain3[1] <= _T_448 @[lsu_stbuf.scala 153:12]
datain3[2] <= _T_464 @[lsu_stbuf.scala 153:12]
datain3[3] <= _T_480 @[lsu_stbuf.scala 153:12]
node _T_481 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 156:59]
node _T_482 = bits(stbuf_byteen[0], 3, 3) @[lsu_stbuf.scala 156:84]
node _T_483 = eq(_T_482, UInt<1>("h00")) @[lsu_stbuf.scala 156:68]
node _T_484 = bits(store_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 156:107]
node _T_485 = or(_T_483, _T_484) @[lsu_stbuf.scala 156:88]
node _T_486 = bits(io.store_datafn_lo_r, 31, 24) @[lsu_stbuf.scala 156:132]
node _T_487 = bits(stbuf_data[0], 31, 24) @[lsu_stbuf.scala 156:155]
node _T_488 = mux(_T_485, _T_486, _T_487) @[lsu_stbuf.scala 156:67]
node _T_489 = bits(stbuf_byteen[0], 3, 3) @[lsu_stbuf.scala 157:25]
node _T_490 = eq(_T_489, UInt<1>("h00")) @[lsu_stbuf.scala 157:9]
node _T_491 = bits(store_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 157:48]
node _T_492 = or(_T_490, _T_491) @[lsu_stbuf.scala 157:29]
node _T_493 = bits(io.store_datafn_hi_r, 31, 24) @[lsu_stbuf.scala 157:73]
node _T_494 = bits(stbuf_data[0], 31, 24) @[lsu_stbuf.scala 157:96]
node _T_495 = mux(_T_492, _T_493, _T_494) @[lsu_stbuf.scala 157:8]
node _T_496 = mux(_T_481, _T_488, _T_495) @[lsu_stbuf.scala 156:52]
node _T_497 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 156:59]
node _T_498 = bits(stbuf_byteen[1], 3, 3) @[lsu_stbuf.scala 156:84]
node _T_499 = eq(_T_498, UInt<1>("h00")) @[lsu_stbuf.scala 156:68]
node _T_500 = bits(store_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 156:107]
node _T_501 = or(_T_499, _T_500) @[lsu_stbuf.scala 156:88]
node _T_502 = bits(io.store_datafn_lo_r, 31, 24) @[lsu_stbuf.scala 156:132]
node _T_503 = bits(stbuf_data[1], 31, 24) @[lsu_stbuf.scala 156:155]
node _T_504 = mux(_T_501, _T_502, _T_503) @[lsu_stbuf.scala 156:67]
node _T_505 = bits(stbuf_byteen[1], 3, 3) @[lsu_stbuf.scala 157:25]
node _T_506 = eq(_T_505, UInt<1>("h00")) @[lsu_stbuf.scala 157:9]
node _T_507 = bits(store_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 157:48]
node _T_508 = or(_T_506, _T_507) @[lsu_stbuf.scala 157:29]
node _T_509 = bits(io.store_datafn_hi_r, 31, 24) @[lsu_stbuf.scala 157:73]
node _T_510 = bits(stbuf_data[1], 31, 24) @[lsu_stbuf.scala 157:96]
node _T_511 = mux(_T_508, _T_509, _T_510) @[lsu_stbuf.scala 157:8]
node _T_512 = mux(_T_497, _T_504, _T_511) @[lsu_stbuf.scala 156:52]
node _T_513 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 156:59]
node _T_514 = bits(stbuf_byteen[2], 3, 3) @[lsu_stbuf.scala 156:84]
node _T_515 = eq(_T_514, UInt<1>("h00")) @[lsu_stbuf.scala 156:68]
node _T_516 = bits(store_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 156:107]
node _T_517 = or(_T_515, _T_516) @[lsu_stbuf.scala 156:88]
node _T_518 = bits(io.store_datafn_lo_r, 31, 24) @[lsu_stbuf.scala 156:132]
node _T_519 = bits(stbuf_data[2], 31, 24) @[lsu_stbuf.scala 156:155]
node _T_520 = mux(_T_517, _T_518, _T_519) @[lsu_stbuf.scala 156:67]
node _T_521 = bits(stbuf_byteen[2], 3, 3) @[lsu_stbuf.scala 157:25]
node _T_522 = eq(_T_521, UInt<1>("h00")) @[lsu_stbuf.scala 157:9]
node _T_523 = bits(store_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 157:48]
node _T_524 = or(_T_522, _T_523) @[lsu_stbuf.scala 157:29]
node _T_525 = bits(io.store_datafn_hi_r, 31, 24) @[lsu_stbuf.scala 157:73]
node _T_526 = bits(stbuf_data[2], 31, 24) @[lsu_stbuf.scala 157:96]
node _T_527 = mux(_T_524, _T_525, _T_526) @[lsu_stbuf.scala 157:8]
node _T_528 = mux(_T_513, _T_520, _T_527) @[lsu_stbuf.scala 156:52]
node _T_529 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 156:59]
node _T_530 = bits(stbuf_byteen[3], 3, 3) @[lsu_stbuf.scala 156:84]
node _T_531 = eq(_T_530, UInt<1>("h00")) @[lsu_stbuf.scala 156:68]
node _T_532 = bits(store_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 156:107]
node _T_533 = or(_T_531, _T_532) @[lsu_stbuf.scala 156:88]
node _T_534 = bits(io.store_datafn_lo_r, 31, 24) @[lsu_stbuf.scala 156:132]
node _T_535 = bits(stbuf_data[3], 31, 24) @[lsu_stbuf.scala 156:155]
node _T_536 = mux(_T_533, _T_534, _T_535) @[lsu_stbuf.scala 156:67]
node _T_537 = bits(stbuf_byteen[3], 3, 3) @[lsu_stbuf.scala 157:25]
node _T_538 = eq(_T_537, UInt<1>("h00")) @[lsu_stbuf.scala 157:9]
node _T_539 = bits(store_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 157:48]
node _T_540 = or(_T_538, _T_539) @[lsu_stbuf.scala 157:29]
node _T_541 = bits(io.store_datafn_hi_r, 31, 24) @[lsu_stbuf.scala 157:73]
node _T_542 = bits(stbuf_data[3], 31, 24) @[lsu_stbuf.scala 157:96]
node _T_543 = mux(_T_540, _T_541, _T_542) @[lsu_stbuf.scala 157:8]
node _T_544 = mux(_T_529, _T_536, _T_543) @[lsu_stbuf.scala 156:52]
datain4[0] <= _T_496 @[lsu_stbuf.scala 156:12]
datain4[1] <= _T_512 @[lsu_stbuf.scala 156:12]
datain4[2] <= _T_528 @[lsu_stbuf.scala 156:12]
datain4[3] <= _T_544 @[lsu_stbuf.scala 156:12]
node _T_545 = cat(datain2[0], datain1[0]) @[Cat.scala 29:58]
node _T_546 = cat(datain4[0], datain3[0]) @[Cat.scala 29:58]
node _T_547 = cat(_T_546, _T_545) @[Cat.scala 29:58]
node _T_548 = cat(datain2[1], datain1[1]) @[Cat.scala 29:58]
node _T_549 = cat(datain4[1], datain3[1]) @[Cat.scala 29:58]
node _T_550 = cat(_T_549, _T_548) @[Cat.scala 29:58]
node _T_551 = cat(datain2[2], datain1[2]) @[Cat.scala 29:58]
node _T_552 = cat(datain4[2], datain3[2]) @[Cat.scala 29:58]
node _T_553 = cat(_T_552, _T_551) @[Cat.scala 29:58]
node _T_554 = cat(datain2[3], datain1[3]) @[Cat.scala 29:58]
node _T_555 = cat(datain4[3], datain3[3]) @[Cat.scala 29:58]
node _T_556 = cat(_T_555, _T_554) @[Cat.scala 29:58]
stbuf_datain[0] <= _T_547 @[lsu_stbuf.scala 159:16]
stbuf_datain[1] <= _T_550 @[lsu_stbuf.scala 159:16]
stbuf_datain[2] <= _T_553 @[lsu_stbuf.scala 159:16]
stbuf_datain[3] <= _T_556 @[lsu_stbuf.scala 159:16]
node _T_557 = bits(stbuf_wr_en, 0, 0) @[lsu_stbuf.scala 163:104]
node _T_558 = bits(_T_557, 0, 0) @[lsu_stbuf.scala 163:114]
node _T_559 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 163:131]
node _T_560 = mux(_T_558, UInt<1>("h01"), _T_559) @[lsu_stbuf.scala 163:92]
node _T_561 = bits(stbuf_reset, 0, 0) @[lsu_stbuf.scala 163:150]
node _T_562 = eq(_T_561, UInt<1>("h00")) @[lsu_stbuf.scala 163:138]
node _T_563 = and(_T_560, _T_562) @[lsu_stbuf.scala 163:136]
reg _T_564 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 163:88]
_T_564 <= _T_563 @[lsu_stbuf.scala 163:88]
node _T_565 = bits(stbuf_wr_en, 1, 1) @[lsu_stbuf.scala 163:104]
node _T_566 = bits(_T_565, 0, 0) @[lsu_stbuf.scala 163:114]
node _T_567 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 163:131]
node _T_568 = mux(_T_566, UInt<1>("h01"), _T_567) @[lsu_stbuf.scala 163:92]
node _T_569 = bits(stbuf_reset, 1, 1) @[lsu_stbuf.scala 163:150]
node _T_570 = eq(_T_569, UInt<1>("h00")) @[lsu_stbuf.scala 163:138]
node _T_571 = and(_T_568, _T_570) @[lsu_stbuf.scala 163:136]
reg _T_572 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 163:88]
_T_572 <= _T_571 @[lsu_stbuf.scala 163:88]
node _T_573 = bits(stbuf_wr_en, 2, 2) @[lsu_stbuf.scala 163:104]
node _T_574 = bits(_T_573, 0, 0) @[lsu_stbuf.scala 163:114]
node _T_575 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 163:131]
node _T_576 = mux(_T_574, UInt<1>("h01"), _T_575) @[lsu_stbuf.scala 163:92]
node _T_577 = bits(stbuf_reset, 2, 2) @[lsu_stbuf.scala 163:150]
node _T_578 = eq(_T_577, UInt<1>("h00")) @[lsu_stbuf.scala 163:138]
node _T_579 = and(_T_576, _T_578) @[lsu_stbuf.scala 163:136]
reg _T_580 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 163:88]
_T_580 <= _T_579 @[lsu_stbuf.scala 163:88]
node _T_581 = bits(stbuf_wr_en, 3, 3) @[lsu_stbuf.scala 163:104]
node _T_582 = bits(_T_581, 0, 0) @[lsu_stbuf.scala 163:114]
node _T_583 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 163:131]
node _T_584 = mux(_T_582, UInt<1>("h01"), _T_583) @[lsu_stbuf.scala 163:92]
node _T_585 = bits(stbuf_reset, 3, 3) @[lsu_stbuf.scala 163:150]
node _T_586 = eq(_T_585, UInt<1>("h00")) @[lsu_stbuf.scala 163:138]
node _T_587 = and(_T_584, _T_586) @[lsu_stbuf.scala 163:136]
reg _T_588 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 163:88]
_T_588 <= _T_587 @[lsu_stbuf.scala 163:88]
node _T_589 = cat(_T_588, _T_580) @[Cat.scala 29:58]
node _T_590 = cat(_T_589, _T_572) @[Cat.scala 29:58]
node _T_591 = cat(_T_590, _T_564) @[Cat.scala 29:58]
stbuf_vld <= _T_591 @[lsu_stbuf.scala 163:13]
node _T_592 = bits(stbuf_dma_kill_en, 0, 0) @[lsu_stbuf.scala 164:114]
node _T_593 = bits(_T_592, 0, 0) @[lsu_stbuf.scala 164:118]
node _T_594 = bits(stbuf_dma_kill, 0, 0) @[lsu_stbuf.scala 164:144]
node _T_595 = mux(_T_593, UInt<1>("h01"), _T_594) @[lsu_stbuf.scala 164:96]
node _T_596 = bits(stbuf_reset, 0, 0) @[lsu_stbuf.scala 164:163]
node _T_597 = eq(_T_596, UInt<1>("h00")) @[lsu_stbuf.scala 164:151]
node _T_598 = and(_T_595, _T_597) @[lsu_stbuf.scala 164:149]
reg _T_599 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 164:92]
_T_599 <= _T_598 @[lsu_stbuf.scala 164:92]
node _T_600 = bits(stbuf_dma_kill_en, 1, 1) @[lsu_stbuf.scala 164:114]
node _T_601 = bits(_T_600, 0, 0) @[lsu_stbuf.scala 164:118]
node _T_602 = bits(stbuf_dma_kill, 1, 1) @[lsu_stbuf.scala 164:144]
node _T_603 = mux(_T_601, UInt<1>("h01"), _T_602) @[lsu_stbuf.scala 164:96]
node _T_604 = bits(stbuf_reset, 1, 1) @[lsu_stbuf.scala 164:163]
node _T_605 = eq(_T_604, UInt<1>("h00")) @[lsu_stbuf.scala 164:151]
node _T_606 = and(_T_603, _T_605) @[lsu_stbuf.scala 164:149]
reg _T_607 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 164:92]
_T_607 <= _T_606 @[lsu_stbuf.scala 164:92]
node _T_608 = bits(stbuf_dma_kill_en, 2, 2) @[lsu_stbuf.scala 164:114]
node _T_609 = bits(_T_608, 0, 0) @[lsu_stbuf.scala 164:118]
node _T_610 = bits(stbuf_dma_kill, 2, 2) @[lsu_stbuf.scala 164:144]
node _T_611 = mux(_T_609, UInt<1>("h01"), _T_610) @[lsu_stbuf.scala 164:96]
node _T_612 = bits(stbuf_reset, 2, 2) @[lsu_stbuf.scala 164:163]
node _T_613 = eq(_T_612, UInt<1>("h00")) @[lsu_stbuf.scala 164:151]
node _T_614 = and(_T_611, _T_613) @[lsu_stbuf.scala 164:149]
reg _T_615 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 164:92]
_T_615 <= _T_614 @[lsu_stbuf.scala 164:92]
node _T_616 = bits(stbuf_dma_kill_en, 3, 3) @[lsu_stbuf.scala 164:114]
node _T_617 = bits(_T_616, 0, 0) @[lsu_stbuf.scala 164:118]
node _T_618 = bits(stbuf_dma_kill, 3, 3) @[lsu_stbuf.scala 164:144]
node _T_619 = mux(_T_617, UInt<1>("h01"), _T_618) @[lsu_stbuf.scala 164:96]
node _T_620 = bits(stbuf_reset, 3, 3) @[lsu_stbuf.scala 164:163]
node _T_621 = eq(_T_620, UInt<1>("h00")) @[lsu_stbuf.scala 164:151]
node _T_622 = and(_T_619, _T_621) @[lsu_stbuf.scala 164:149]
reg _T_623 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 164:92]
_T_623 <= _T_622 @[lsu_stbuf.scala 164:92]
node _T_624 = cat(_T_623, _T_615) @[Cat.scala 29:58]
node _T_625 = cat(_T_624, _T_607) @[Cat.scala 29:58]
node _T_626 = cat(_T_625, _T_599) @[Cat.scala 29:58]
stbuf_dma_kill <= _T_626 @[lsu_stbuf.scala 164:18]
node _T_627 = bits(stbuf_wr_en, 0, 0) @[lsu_stbuf.scala 165:108]
node _T_628 = bits(_T_627, 0, 0) @[lsu_stbuf.scala 165:118]
node _T_629 = mux(_T_628, stbuf_byteenin[0], stbuf_byteen[0]) @[lsu_stbuf.scala 165:96]
node _T_630 = bits(stbuf_reset, 0, 0) @[lsu_stbuf.scala 165:206]
node _T_631 = eq(_T_630, UInt<1>("h00")) @[lsu_stbuf.scala 165:194]
node _T_632 = bits(_T_631, 0, 0) @[Bitwise.scala 72:15]
node _T_633 = mux(_T_632, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_634 = and(_T_629, _T_633) @[lsu_stbuf.scala 165:158]
reg _T_635 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 165:92]
_T_635 <= _T_634 @[lsu_stbuf.scala 165:92]
node _T_636 = bits(stbuf_wr_en, 1, 1) @[lsu_stbuf.scala 165:108]
node _T_637 = bits(_T_636, 0, 0) @[lsu_stbuf.scala 165:118]
node _T_638 = mux(_T_637, stbuf_byteenin[1], stbuf_byteen[1]) @[lsu_stbuf.scala 165:96]
node _T_639 = bits(stbuf_reset, 1, 1) @[lsu_stbuf.scala 165:206]
node _T_640 = eq(_T_639, UInt<1>("h00")) @[lsu_stbuf.scala 165:194]
node _T_641 = bits(_T_640, 0, 0) @[Bitwise.scala 72:15]
node _T_642 = mux(_T_641, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_643 = and(_T_638, _T_642) @[lsu_stbuf.scala 165:158]
reg _T_644 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 165:92]
_T_644 <= _T_643 @[lsu_stbuf.scala 165:92]
node _T_645 = bits(stbuf_wr_en, 2, 2) @[lsu_stbuf.scala 165:108]
node _T_646 = bits(_T_645, 0, 0) @[lsu_stbuf.scala 165:118]
node _T_647 = mux(_T_646, stbuf_byteenin[2], stbuf_byteen[2]) @[lsu_stbuf.scala 165:96]
node _T_648 = bits(stbuf_reset, 2, 2) @[lsu_stbuf.scala 165:206]
node _T_649 = eq(_T_648, UInt<1>("h00")) @[lsu_stbuf.scala 165:194]
node _T_650 = bits(_T_649, 0, 0) @[Bitwise.scala 72:15]
node _T_651 = mux(_T_650, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_652 = and(_T_647, _T_651) @[lsu_stbuf.scala 165:158]
reg _T_653 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 165:92]
_T_653 <= _T_652 @[lsu_stbuf.scala 165:92]
node _T_654 = bits(stbuf_wr_en, 3, 3) @[lsu_stbuf.scala 165:108]
node _T_655 = bits(_T_654, 0, 0) @[lsu_stbuf.scala 165:118]
node _T_656 = mux(_T_655, stbuf_byteenin[3], stbuf_byteen[3]) @[lsu_stbuf.scala 165:96]
node _T_657 = bits(stbuf_reset, 3, 3) @[lsu_stbuf.scala 165:206]
node _T_658 = eq(_T_657, UInt<1>("h00")) @[lsu_stbuf.scala 165:194]
node _T_659 = bits(_T_658, 0, 0) @[Bitwise.scala 72:15]
node _T_660 = mux(_T_659, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_661 = and(_T_656, _T_660) @[lsu_stbuf.scala 165:158]
reg _T_662 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 165:92]
_T_662 <= _T_661 @[lsu_stbuf.scala 165:92]
stbuf_byteen[0] <= _T_635 @[lsu_stbuf.scala 165:16]
stbuf_byteen[1] <= _T_644 @[lsu_stbuf.scala 165:16]
stbuf_byteen[2] <= _T_653 @[lsu_stbuf.scala 165:16]
stbuf_byteen[3] <= _T_662 @[lsu_stbuf.scala 165:16]
node _T_663 = bits(stbuf_wr_en, 0, 0) @[lsu_stbuf.scala 167:56]
node _T_664 = bits(_T_663, 0, 0) @[lsu_stbuf.scala 167:66]
inst rvclkhdr of rvclkhdr_2 @[lib.scala 352:23]
rvclkhdr.clock <= clock
rvclkhdr.reset <= reset
rvclkhdr.io.clk <= clock @[lib.scala 354:18]
rvclkhdr.io.en <= _T_664 @[lib.scala 355:17]
rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 356:24]
reg _T_665 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16]
_T_665 <= stbuf_addrin[0] @[lib.scala 358:16]
stbuf_addr[0] <= _T_665 @[lsu_stbuf.scala 167:19]
node _T_666 = bits(stbuf_wr_en, 0, 0) @[lsu_stbuf.scala 168:56]
node _T_667 = bits(_T_666, 0, 0) @[lsu_stbuf.scala 168:66]
inst rvclkhdr_1 of rvclkhdr_3 @[lib.scala 352:23]
rvclkhdr_1.clock <= clock
rvclkhdr_1.reset <= reset
rvclkhdr_1.io.clk <= clock @[lib.scala 354:18]
rvclkhdr_1.io.en <= _T_667 @[lib.scala 355:17]
rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 356:24]
reg _T_668 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16]
_T_668 <= stbuf_datain[0] @[lib.scala 358:16]
stbuf_data[0] <= _T_668 @[lsu_stbuf.scala 168:19]
node _T_669 = bits(stbuf_wr_en, 1, 1) @[lsu_stbuf.scala 167:56]
node _T_670 = bits(_T_669, 0, 0) @[lsu_stbuf.scala 167:66]
inst rvclkhdr_2 of rvclkhdr_4 @[lib.scala 352:23]
rvclkhdr_2.clock <= clock
rvclkhdr_2.reset <= reset
rvclkhdr_2.io.clk <= clock @[lib.scala 354:18]
rvclkhdr_2.io.en <= _T_670 @[lib.scala 355:17]
rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 356:24]
reg _T_671 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16]
_T_671 <= stbuf_addrin[1] @[lib.scala 358:16]
stbuf_addr[1] <= _T_671 @[lsu_stbuf.scala 167:19]
node _T_672 = bits(stbuf_wr_en, 1, 1) @[lsu_stbuf.scala 168:56]
node _T_673 = bits(_T_672, 0, 0) @[lsu_stbuf.scala 168:66]
inst rvclkhdr_3 of rvclkhdr_5 @[lib.scala 352:23]
rvclkhdr_3.clock <= clock
rvclkhdr_3.reset <= reset
rvclkhdr_3.io.clk <= clock @[lib.scala 354:18]
rvclkhdr_3.io.en <= _T_673 @[lib.scala 355:17]
rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 356:24]
reg _T_674 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16]
_T_674 <= stbuf_datain[1] @[lib.scala 358:16]
stbuf_data[1] <= _T_674 @[lsu_stbuf.scala 168:19]
node _T_675 = bits(stbuf_wr_en, 2, 2) @[lsu_stbuf.scala 167:56]
node _T_676 = bits(_T_675, 0, 0) @[lsu_stbuf.scala 167:66]
inst rvclkhdr_4 of rvclkhdr_6 @[lib.scala 352:23]
rvclkhdr_4.clock <= clock
rvclkhdr_4.reset <= reset
rvclkhdr_4.io.clk <= clock @[lib.scala 354:18]
rvclkhdr_4.io.en <= _T_676 @[lib.scala 355:17]
rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 356:24]
reg _T_677 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16]
_T_677 <= stbuf_addrin[2] @[lib.scala 358:16]
stbuf_addr[2] <= _T_677 @[lsu_stbuf.scala 167:19]
node _T_678 = bits(stbuf_wr_en, 2, 2) @[lsu_stbuf.scala 168:56]
node _T_679 = bits(_T_678, 0, 0) @[lsu_stbuf.scala 168:66]
inst rvclkhdr_5 of rvclkhdr_7 @[lib.scala 352:23]
rvclkhdr_5.clock <= clock
rvclkhdr_5.reset <= reset
rvclkhdr_5.io.clk <= clock @[lib.scala 354:18]
rvclkhdr_5.io.en <= _T_679 @[lib.scala 355:17]
rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 356:24]
reg _T_680 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16]
_T_680 <= stbuf_datain[2] @[lib.scala 358:16]
stbuf_data[2] <= _T_680 @[lsu_stbuf.scala 168:19]
node _T_681 = bits(stbuf_wr_en, 3, 3) @[lsu_stbuf.scala 167:56]
node _T_682 = bits(_T_681, 0, 0) @[lsu_stbuf.scala 167:66]
inst rvclkhdr_6 of rvclkhdr_8 @[lib.scala 352:23]
rvclkhdr_6.clock <= clock
rvclkhdr_6.reset <= reset
rvclkhdr_6.io.clk <= clock @[lib.scala 354:18]
rvclkhdr_6.io.en <= _T_682 @[lib.scala 355:17]
rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 356:24]
reg _T_683 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16]
_T_683 <= stbuf_addrin[3] @[lib.scala 358:16]
stbuf_addr[3] <= _T_683 @[lsu_stbuf.scala 167:19]
node _T_684 = bits(stbuf_wr_en, 3, 3) @[lsu_stbuf.scala 168:56]
node _T_685 = bits(_T_684, 0, 0) @[lsu_stbuf.scala 168:66]
inst rvclkhdr_7 of rvclkhdr_9 @[lib.scala 352:23]
rvclkhdr_7.clock <= clock
rvclkhdr_7.reset <= reset
rvclkhdr_7.io.clk <= clock @[lib.scala 354:18]
rvclkhdr_7.io.en <= _T_685 @[lib.scala 355:17]
rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 356:24]
reg _T_686 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16]
_T_686 <= stbuf_datain[3] @[lib.scala 358:16]
stbuf_data[3] <= _T_686 @[lsu_stbuf.scala 168:19]
reg _T_687 : UInt<1>, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 170:52]
_T_687 <= ldst_dual_d @[lsu_stbuf.scala 170:52]
ldst_dual_m <= _T_687 @[lsu_stbuf.scala 170:42]
reg _T_688 : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 171:52]
_T_688 <= ldst_dual_m @[lsu_stbuf.scala 171:52]
ldst_dual_r <= _T_688 @[lsu_stbuf.scala 171:42]
node _T_689 = dshr(stbuf_vld, RdPtr) @[lsu_stbuf.scala 174:43]
node _T_690 = bits(_T_689, 0, 0) @[lsu_stbuf.scala 174:43]
node _T_691 = dshr(stbuf_dma_kill, RdPtr) @[lsu_stbuf.scala 174:67]
node _T_692 = bits(_T_691, 0, 0) @[lsu_stbuf.scala 174:67]
node _T_693 = and(_T_690, _T_692) @[lsu_stbuf.scala 174:51]
io.stbuf_reqvld_flushed_any <= _T_693 @[lsu_stbuf.scala 174:31]
node _T_694 = dshr(stbuf_vld, RdPtr) @[lsu_stbuf.scala 175:36]
node _T_695 = bits(_T_694, 0, 0) @[lsu_stbuf.scala 175:36]
node _T_696 = dshr(stbuf_dma_kill, RdPtr) @[lsu_stbuf.scala 175:61]
node _T_697 = bits(_T_696, 0, 0) @[lsu_stbuf.scala 175:61]
node _T_698 = eq(_T_697, UInt<1>("h00")) @[lsu_stbuf.scala 175:46]
node _T_699 = and(_T_695, _T_698) @[lsu_stbuf.scala 175:44]
node _T_700 = orr(stbuf_dma_kill_en) @[lsu_stbuf.scala 175:91]
node _T_701 = eq(_T_700, UInt<1>("h00")) @[lsu_stbuf.scala 175:71]
node _T_702 = and(_T_699, _T_701) @[lsu_stbuf.scala 175:69]
io.stbuf_reqvld_any <= _T_702 @[lsu_stbuf.scala 175:24]
io.stbuf_addr_any <= stbuf_addr[RdPtr] @[lsu_stbuf.scala 176:22]
io.stbuf_data_any <= stbuf_data[RdPtr] @[lsu_stbuf.scala 177:22]
node _T_703 = eq(dual_stbuf_write_r, UInt<1>("h00")) @[lsu_stbuf.scala 179:44]
node _T_704 = and(io.ldst_stbuf_reqvld_r, _T_703) @[lsu_stbuf.scala 179:42]
node _T_705 = or(store_coalesce_hi_r, store_coalesce_lo_r) @[lsu_stbuf.scala 179:88]
node _T_706 = eq(_T_705, UInt<1>("h00")) @[lsu_stbuf.scala 179:66]
node _T_707 = and(_T_704, _T_706) @[lsu_stbuf.scala 179:64]
node _T_708 = and(io.ldst_stbuf_reqvld_r, dual_stbuf_write_r) @[lsu_stbuf.scala 180:30]
node _T_709 = and(store_coalesce_hi_r, store_coalesce_lo_r) @[lsu_stbuf.scala 180:76]
node _T_710 = eq(_T_709, UInt<1>("h00")) @[lsu_stbuf.scala 180:54]
node _T_711 = and(_T_708, _T_710) @[lsu_stbuf.scala 180:52]
node _T_712 = or(_T_707, _T_711) @[lsu_stbuf.scala 179:113]
node WrPtrEn = bits(_T_712, 0, 0) @[lsu_stbuf.scala 180:101]
node _T_713 = and(io.ldst_stbuf_reqvld_r, dual_stbuf_write_r) @[lsu_stbuf.scala 181:46]
node _T_714 = or(store_coalesce_hi_r, store_coalesce_lo_r) @[lsu_stbuf.scala 181:91]
node _T_715 = eq(_T_714, UInt<1>("h00")) @[lsu_stbuf.scala 181:69]
node _T_716 = and(_T_713, _T_715) @[lsu_stbuf.scala 181:67]
node _T_717 = bits(_T_716, 0, 0) @[lsu_stbuf.scala 181:115]
node NxtWrPtr = mux(_T_717, WrPtrPlus2, WrPtrPlus1) @[lsu_stbuf.scala 181:21]
node RdPtrEn = or(io.lsu_stbuf_commit_any, io.stbuf_reqvld_flushed_any) @[lsu_stbuf.scala 182:42]
reg _T_718 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when WrPtrEn : @[Reg.scala 28:19]
_T_718 <= NxtWrPtr @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
WrPtr <= _T_718 @[lsu_stbuf.scala 185:41]
reg _T_719 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when RdPtrEn : @[Reg.scala 28:19]
_T_719 <= RdPtrPlus1 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
RdPtr <= _T_719 @[lsu_stbuf.scala 186:41]
node _T_720 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 188:86]
node _T_721 = cat(UInt<3>("h00"), _T_720) @[Cat.scala 29:58]
node _T_722 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 188:86]
node _T_723 = cat(UInt<3>("h00"), _T_722) @[Cat.scala 29:58]
node _T_724 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 188:86]
node _T_725 = cat(UInt<3>("h00"), _T_724) @[Cat.scala 29:58]
node _T_726 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 188:86]
node _T_727 = cat(UInt<3>("h00"), _T_726) @[Cat.scala 29:58]
wire _T_728 : UInt<4>[4] @[lsu_stbuf.scala 188:59]
_T_728[0] <= _T_721 @[lsu_stbuf.scala 188:59]
_T_728[1] <= _T_723 @[lsu_stbuf.scala 188:59]
_T_728[2] <= _T_725 @[lsu_stbuf.scala 188:59]
_T_728[3] <= _T_727 @[lsu_stbuf.scala 188:59]
node _T_729 = add(_T_728[0], _T_728[1]) @[lsu_stbuf.scala 188:101]
node _T_730 = tail(_T_729, 1) @[lsu_stbuf.scala 188:101]
node _T_731 = add(_T_730, _T_728[2]) @[lsu_stbuf.scala 188:101]
node _T_732 = tail(_T_731, 1) @[lsu_stbuf.scala 188:101]
node _T_733 = add(_T_732, _T_728[3]) @[lsu_stbuf.scala 188:101]
node stbuf_numvld_any = tail(_T_733, 1) @[lsu_stbuf.scala 188:101]
node _T_734 = and(io.lsu_pkt_m.valid, io.lsu_pkt_m.bits.store) @[lsu_stbuf.scala 189:39]
node _T_735 = and(_T_734, io.addr_in_dccm_m) @[lsu_stbuf.scala 189:65]
node _T_736 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 189:87]
node isdccmst_m = and(_T_735, _T_736) @[lsu_stbuf.scala 189:85]
node _T_737 = and(io.lsu_pkt_r.valid, io.lsu_pkt_r.bits.store) @[lsu_stbuf.scala 190:39]
node _T_738 = and(_T_737, io.addr_in_dccm_r) @[lsu_stbuf.scala 190:65]
node _T_739 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 190:87]
node isdccmst_r = and(_T_738, _T_739) @[lsu_stbuf.scala 190:85]
node _T_740 = cat(UInt<1>("h00"), isdccmst_m) @[Cat.scala 29:58]
node _T_741 = and(isdccmst_m, ldst_dual_m) @[lsu_stbuf.scala 192:62]
node _T_742 = dshl(_T_740, _T_741) @[lsu_stbuf.scala 192:47]
stbuf_specvld_m <= _T_742 @[lsu_stbuf.scala 192:19]
node _T_743 = cat(UInt<1>("h00"), isdccmst_r) @[Cat.scala 29:58]
node _T_744 = and(isdccmst_r, ldst_dual_r) @[lsu_stbuf.scala 193:62]
node _T_745 = dshl(_T_743, _T_744) @[lsu_stbuf.scala 193:47]
stbuf_specvld_r <= _T_745 @[lsu_stbuf.scala 193:19]
node _T_746 = cat(UInt<2>("h00"), stbuf_specvld_m) @[Cat.scala 29:58]
node _T_747 = add(stbuf_numvld_any, _T_746) @[lsu_stbuf.scala 194:44]
node _T_748 = tail(_T_747, 1) @[lsu_stbuf.scala 194:44]
node _T_749 = cat(UInt<2>("h00"), stbuf_specvld_r) @[Cat.scala 29:58]
node _T_750 = add(_T_748, _T_749) @[lsu_stbuf.scala 194:78]
node stbuf_specvld_any = tail(_T_750, 1) @[lsu_stbuf.scala 194:78]
node _T_751 = eq(ldst_dual_d, UInt<1>("h00")) @[lsu_stbuf.scala 196:34]
node _T_752 = and(_T_751, io.dec_lsu_valid_raw_d) @[lsu_stbuf.scala 196:47]
node _T_753 = bits(_T_752, 0, 0) @[lsu_stbuf.scala 196:73]
node _T_754 = geq(stbuf_specvld_any, UInt<3>("h04")) @[lsu_stbuf.scala 196:99]
node _T_755 = geq(stbuf_specvld_any, UInt<2>("h03")) @[lsu_stbuf.scala 196:140]
node _T_756 = mux(_T_753, _T_754, _T_755) @[lsu_stbuf.scala 196:32]
io.lsu_stbuf_full_any <= _T_756 @[lsu_stbuf.scala 196:26]
node _T_757 = eq(stbuf_numvld_any, UInt<1>("h00")) @[lsu_stbuf.scala 197:46]
io.lsu_stbuf_empty_any <= _T_757 @[lsu_stbuf.scala 197:26]
node cmpen_hi_m = and(io.lsu_cmpen_m, ldst_dual_m) @[lsu_stbuf.scala 199:36]
node _T_758 = bits(io.end_addr_m, 15, 2) @[lsu_stbuf.scala 200:32]
cmpaddr_hi_m <= _T_758 @[lsu_stbuf.scala 200:16]
node _T_759 = bits(io.lsu_addr_m, 15, 2) @[lsu_stbuf.scala 203:33]
cmpaddr_lo_m <= _T_759 @[lsu_stbuf.scala 203:17]
node _T_760 = bits(stbuf_addr[0], 15, 2) @[lsu_stbuf.scala 206:73]
node _T_761 = bits(cmpaddr_hi_m, 13, 0) @[lsu_stbuf.scala 206:131]
node _T_762 = eq(_T_760, _T_761) @[lsu_stbuf.scala 206:115]
node _T_763 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 206:150]
node _T_764 = and(_T_762, _T_763) @[lsu_stbuf.scala 206:139]
node _T_765 = bits(stbuf_dma_kill, 0, 0) @[lsu_stbuf.scala 206:171]
node _T_766 = eq(_T_765, UInt<1>("h00")) @[lsu_stbuf.scala 206:156]
node _T_767 = and(_T_764, _T_766) @[lsu_stbuf.scala 206:154]
node _T_768 = and(_T_767, io.addr_in_dccm_m) @[lsu_stbuf.scala 206:175]
node _T_769 = bits(stbuf_addr[1], 15, 2) @[lsu_stbuf.scala 206:73]
node _T_770 = bits(cmpaddr_hi_m, 13, 0) @[lsu_stbuf.scala 206:131]
node _T_771 = eq(_T_769, _T_770) @[lsu_stbuf.scala 206:115]
node _T_772 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 206:150]
node _T_773 = and(_T_771, _T_772) @[lsu_stbuf.scala 206:139]
node _T_774 = bits(stbuf_dma_kill, 1, 1) @[lsu_stbuf.scala 206:171]
node _T_775 = eq(_T_774, UInt<1>("h00")) @[lsu_stbuf.scala 206:156]
node _T_776 = and(_T_773, _T_775) @[lsu_stbuf.scala 206:154]
node _T_777 = and(_T_776, io.addr_in_dccm_m) @[lsu_stbuf.scala 206:175]
node _T_778 = bits(stbuf_addr[2], 15, 2) @[lsu_stbuf.scala 206:73]
node _T_779 = bits(cmpaddr_hi_m, 13, 0) @[lsu_stbuf.scala 206:131]
node _T_780 = eq(_T_778, _T_779) @[lsu_stbuf.scala 206:115]
node _T_781 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 206:150]
node _T_782 = and(_T_780, _T_781) @[lsu_stbuf.scala 206:139]
node _T_783 = bits(stbuf_dma_kill, 2, 2) @[lsu_stbuf.scala 206:171]
node _T_784 = eq(_T_783, UInt<1>("h00")) @[lsu_stbuf.scala 206:156]
node _T_785 = and(_T_782, _T_784) @[lsu_stbuf.scala 206:154]
node _T_786 = and(_T_785, io.addr_in_dccm_m) @[lsu_stbuf.scala 206:175]
node _T_787 = bits(stbuf_addr[3], 15, 2) @[lsu_stbuf.scala 206:73]
node _T_788 = bits(cmpaddr_hi_m, 13, 0) @[lsu_stbuf.scala 206:131]
node _T_789 = eq(_T_787, _T_788) @[lsu_stbuf.scala 206:115]
node _T_790 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 206:150]
node _T_791 = and(_T_789, _T_790) @[lsu_stbuf.scala 206:139]
node _T_792 = bits(stbuf_dma_kill, 3, 3) @[lsu_stbuf.scala 206:171]
node _T_793 = eq(_T_792, UInt<1>("h00")) @[lsu_stbuf.scala 206:156]
node _T_794 = and(_T_791, _T_793) @[lsu_stbuf.scala 206:154]
node _T_795 = and(_T_794, io.addr_in_dccm_m) @[lsu_stbuf.scala 206:175]
node _T_796 = cat(_T_795, _T_786) @[Cat.scala 29:58]
node _T_797 = cat(_T_796, _T_777) @[Cat.scala 29:58]
node stbuf_match_hi = cat(_T_797, _T_768) @[Cat.scala 29:58]
node _T_798 = bits(stbuf_addr[0], 15, 2) @[lsu_stbuf.scala 207:73]
node _T_799 = bits(cmpaddr_lo_m, 13, 0) @[lsu_stbuf.scala 207:131]
node _T_800 = eq(_T_798, _T_799) @[lsu_stbuf.scala 207:115]
node _T_801 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 207:150]
node _T_802 = and(_T_800, _T_801) @[lsu_stbuf.scala 207:139]
node _T_803 = bits(stbuf_dma_kill, 0, 0) @[lsu_stbuf.scala 207:171]
node _T_804 = eq(_T_803, UInt<1>("h00")) @[lsu_stbuf.scala 207:156]
node _T_805 = and(_T_802, _T_804) @[lsu_stbuf.scala 207:154]
node _T_806 = and(_T_805, io.addr_in_dccm_m) @[lsu_stbuf.scala 207:175]
node _T_807 = bits(stbuf_addr[1], 15, 2) @[lsu_stbuf.scala 207:73]
node _T_808 = bits(cmpaddr_lo_m, 13, 0) @[lsu_stbuf.scala 207:131]
node _T_809 = eq(_T_807, _T_808) @[lsu_stbuf.scala 207:115]
node _T_810 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 207:150]
node _T_811 = and(_T_809, _T_810) @[lsu_stbuf.scala 207:139]
node _T_812 = bits(stbuf_dma_kill, 1, 1) @[lsu_stbuf.scala 207:171]
node _T_813 = eq(_T_812, UInt<1>("h00")) @[lsu_stbuf.scala 207:156]
node _T_814 = and(_T_811, _T_813) @[lsu_stbuf.scala 207:154]
node _T_815 = and(_T_814, io.addr_in_dccm_m) @[lsu_stbuf.scala 207:175]
node _T_816 = bits(stbuf_addr[2], 15, 2) @[lsu_stbuf.scala 207:73]
node _T_817 = bits(cmpaddr_lo_m, 13, 0) @[lsu_stbuf.scala 207:131]
node _T_818 = eq(_T_816, _T_817) @[lsu_stbuf.scala 207:115]
node _T_819 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 207:150]
node _T_820 = and(_T_818, _T_819) @[lsu_stbuf.scala 207:139]
node _T_821 = bits(stbuf_dma_kill, 2, 2) @[lsu_stbuf.scala 207:171]
node _T_822 = eq(_T_821, UInt<1>("h00")) @[lsu_stbuf.scala 207:156]
node _T_823 = and(_T_820, _T_822) @[lsu_stbuf.scala 207:154]
node _T_824 = and(_T_823, io.addr_in_dccm_m) @[lsu_stbuf.scala 207:175]
node _T_825 = bits(stbuf_addr[3], 15, 2) @[lsu_stbuf.scala 207:73]
node _T_826 = bits(cmpaddr_lo_m, 13, 0) @[lsu_stbuf.scala 207:131]
node _T_827 = eq(_T_825, _T_826) @[lsu_stbuf.scala 207:115]
node _T_828 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 207:150]
node _T_829 = and(_T_827, _T_828) @[lsu_stbuf.scala 207:139]
node _T_830 = bits(stbuf_dma_kill, 3, 3) @[lsu_stbuf.scala 207:171]
node _T_831 = eq(_T_830, UInt<1>("h00")) @[lsu_stbuf.scala 207:156]
node _T_832 = and(_T_829, _T_831) @[lsu_stbuf.scala 207:154]
node _T_833 = and(_T_832, io.addr_in_dccm_m) @[lsu_stbuf.scala 207:175]
node _T_834 = cat(_T_833, _T_824) @[Cat.scala 29:58]
node _T_835 = cat(_T_834, _T_815) @[Cat.scala 29:58]
node stbuf_match_lo = cat(_T_835, _T_806) @[Cat.scala 29:58]
node _T_836 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 208:74]
node _T_837 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 208:94]
node _T_838 = or(_T_836, _T_837) @[lsu_stbuf.scala 208:78]
node _T_839 = and(_T_838, io.lsu_pkt_m.valid) @[lsu_stbuf.scala 208:99]
node _T_840 = and(_T_839, io.lsu_pkt_m.bits.dma) @[lsu_stbuf.scala 208:120]
node _T_841 = and(_T_840, io.lsu_pkt_m.bits.store) @[lsu_stbuf.scala 208:144]
node _T_842 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 208:74]
node _T_843 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 208:94]
node _T_844 = or(_T_842, _T_843) @[lsu_stbuf.scala 208:78]
node _T_845 = and(_T_844, io.lsu_pkt_m.valid) @[lsu_stbuf.scala 208:99]
node _T_846 = and(_T_845, io.lsu_pkt_m.bits.dma) @[lsu_stbuf.scala 208:120]
node _T_847 = and(_T_846, io.lsu_pkt_m.bits.store) @[lsu_stbuf.scala 208:144]
node _T_848 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 208:74]
node _T_849 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 208:94]
node _T_850 = or(_T_848, _T_849) @[lsu_stbuf.scala 208:78]
node _T_851 = and(_T_850, io.lsu_pkt_m.valid) @[lsu_stbuf.scala 208:99]
node _T_852 = and(_T_851, io.lsu_pkt_m.bits.dma) @[lsu_stbuf.scala 208:120]
node _T_853 = and(_T_852, io.lsu_pkt_m.bits.store) @[lsu_stbuf.scala 208:144]
node _T_854 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 208:74]
node _T_855 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 208:94]
node _T_856 = or(_T_854, _T_855) @[lsu_stbuf.scala 208:78]
node _T_857 = and(_T_856, io.lsu_pkt_m.valid) @[lsu_stbuf.scala 208:99]
node _T_858 = and(_T_857, io.lsu_pkt_m.bits.dma) @[lsu_stbuf.scala 208:120]
node _T_859 = and(_T_858, io.lsu_pkt_m.bits.store) @[lsu_stbuf.scala 208:144]
node _T_860 = cat(_T_859, _T_853) @[Cat.scala 29:58]
node _T_861 = cat(_T_860, _T_847) @[Cat.scala 29:58]
node _T_862 = cat(_T_861, _T_841) @[Cat.scala 29:58]
stbuf_dma_kill_en <= _T_862 @[lsu_stbuf.scala 208:21]
node _T_863 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 211:112]
node _T_864 = bits(stbuf_byteen[0], 0, 0) @[lsu_stbuf.scala 211:133]
node _T_865 = and(_T_863, _T_864) @[lsu_stbuf.scala 211:116]
node _T_866 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 211:148]
node stbuf_fwdbyteenvec_hi_0_0 = and(_T_865, _T_866) @[lsu_stbuf.scala 211:137]
node _T_867 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 211:112]
node _T_868 = bits(stbuf_byteen[0], 1, 1) @[lsu_stbuf.scala 211:133]
node _T_869 = and(_T_867, _T_868) @[lsu_stbuf.scala 211:116]
node _T_870 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 211:148]
node stbuf_fwdbyteenvec_hi_0_1 = and(_T_869, _T_870) @[lsu_stbuf.scala 211:137]
node _T_871 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 211:112]
node _T_872 = bits(stbuf_byteen[0], 2, 2) @[lsu_stbuf.scala 211:133]
node _T_873 = and(_T_871, _T_872) @[lsu_stbuf.scala 211:116]
node _T_874 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 211:148]
node stbuf_fwdbyteenvec_hi_0_2 = and(_T_873, _T_874) @[lsu_stbuf.scala 211:137]
node _T_875 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 211:112]
node _T_876 = bits(stbuf_byteen[0], 3, 3) @[lsu_stbuf.scala 211:133]
node _T_877 = and(_T_875, _T_876) @[lsu_stbuf.scala 211:116]
node _T_878 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 211:148]
node stbuf_fwdbyteenvec_hi_0_3 = and(_T_877, _T_878) @[lsu_stbuf.scala 211:137]
node _T_879 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 211:112]
node _T_880 = bits(stbuf_byteen[1], 0, 0) @[lsu_stbuf.scala 211:133]
node _T_881 = and(_T_879, _T_880) @[lsu_stbuf.scala 211:116]
node _T_882 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 211:148]
node stbuf_fwdbyteenvec_hi_1_0 = and(_T_881, _T_882) @[lsu_stbuf.scala 211:137]
node _T_883 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 211:112]
node _T_884 = bits(stbuf_byteen[1], 1, 1) @[lsu_stbuf.scala 211:133]
node _T_885 = and(_T_883, _T_884) @[lsu_stbuf.scala 211:116]
node _T_886 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 211:148]
node stbuf_fwdbyteenvec_hi_1_1 = and(_T_885, _T_886) @[lsu_stbuf.scala 211:137]
node _T_887 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 211:112]
node _T_888 = bits(stbuf_byteen[1], 2, 2) @[lsu_stbuf.scala 211:133]
node _T_889 = and(_T_887, _T_888) @[lsu_stbuf.scala 211:116]
node _T_890 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 211:148]
node stbuf_fwdbyteenvec_hi_1_2 = and(_T_889, _T_890) @[lsu_stbuf.scala 211:137]
node _T_891 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 211:112]
node _T_892 = bits(stbuf_byteen[1], 3, 3) @[lsu_stbuf.scala 211:133]
node _T_893 = and(_T_891, _T_892) @[lsu_stbuf.scala 211:116]
node _T_894 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 211:148]
node stbuf_fwdbyteenvec_hi_1_3 = and(_T_893, _T_894) @[lsu_stbuf.scala 211:137]
node _T_895 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 211:112]
node _T_896 = bits(stbuf_byteen[2], 0, 0) @[lsu_stbuf.scala 211:133]
node _T_897 = and(_T_895, _T_896) @[lsu_stbuf.scala 211:116]
node _T_898 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 211:148]
node stbuf_fwdbyteenvec_hi_2_0 = and(_T_897, _T_898) @[lsu_stbuf.scala 211:137]
node _T_899 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 211:112]
node _T_900 = bits(stbuf_byteen[2], 1, 1) @[lsu_stbuf.scala 211:133]
node _T_901 = and(_T_899, _T_900) @[lsu_stbuf.scala 211:116]
node _T_902 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 211:148]
node stbuf_fwdbyteenvec_hi_2_1 = and(_T_901, _T_902) @[lsu_stbuf.scala 211:137]
node _T_903 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 211:112]
node _T_904 = bits(stbuf_byteen[2], 2, 2) @[lsu_stbuf.scala 211:133]
node _T_905 = and(_T_903, _T_904) @[lsu_stbuf.scala 211:116]
node _T_906 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 211:148]
node stbuf_fwdbyteenvec_hi_2_2 = and(_T_905, _T_906) @[lsu_stbuf.scala 211:137]
node _T_907 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 211:112]
node _T_908 = bits(stbuf_byteen[2], 3, 3) @[lsu_stbuf.scala 211:133]
node _T_909 = and(_T_907, _T_908) @[lsu_stbuf.scala 211:116]
node _T_910 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 211:148]
node stbuf_fwdbyteenvec_hi_2_3 = and(_T_909, _T_910) @[lsu_stbuf.scala 211:137]
node _T_911 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 211:112]
node _T_912 = bits(stbuf_byteen[3], 0, 0) @[lsu_stbuf.scala 211:133]
node _T_913 = and(_T_911, _T_912) @[lsu_stbuf.scala 211:116]
node _T_914 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 211:148]
node stbuf_fwdbyteenvec_hi_3_0 = and(_T_913, _T_914) @[lsu_stbuf.scala 211:137]
node _T_915 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 211:112]
node _T_916 = bits(stbuf_byteen[3], 1, 1) @[lsu_stbuf.scala 211:133]
node _T_917 = and(_T_915, _T_916) @[lsu_stbuf.scala 211:116]
node _T_918 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 211:148]
node stbuf_fwdbyteenvec_hi_3_1 = and(_T_917, _T_918) @[lsu_stbuf.scala 211:137]
node _T_919 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 211:112]
node _T_920 = bits(stbuf_byteen[3], 2, 2) @[lsu_stbuf.scala 211:133]
node _T_921 = and(_T_919, _T_920) @[lsu_stbuf.scala 211:116]
node _T_922 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 211:148]
node stbuf_fwdbyteenvec_hi_3_2 = and(_T_921, _T_922) @[lsu_stbuf.scala 211:137]
node _T_923 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 211:112]
node _T_924 = bits(stbuf_byteen[3], 3, 3) @[lsu_stbuf.scala 211:133]
node _T_925 = and(_T_923, _T_924) @[lsu_stbuf.scala 211:116]
node _T_926 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 211:148]
node stbuf_fwdbyteenvec_hi_3_3 = and(_T_925, _T_926) @[lsu_stbuf.scala 211:137]
node _T_927 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 212:112]
node _T_928 = bits(stbuf_byteen[0], 0, 0) @[lsu_stbuf.scala 212:133]
node _T_929 = and(_T_927, _T_928) @[lsu_stbuf.scala 212:116]
node _T_930 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 212:148]
node stbuf_fwdbyteenvec_lo_0_0 = and(_T_929, _T_930) @[lsu_stbuf.scala 212:137]
node _T_931 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 212:112]
node _T_932 = bits(stbuf_byteen[0], 1, 1) @[lsu_stbuf.scala 212:133]
node _T_933 = and(_T_931, _T_932) @[lsu_stbuf.scala 212:116]
node _T_934 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 212:148]
node stbuf_fwdbyteenvec_lo_0_1 = and(_T_933, _T_934) @[lsu_stbuf.scala 212:137]
node _T_935 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 212:112]
node _T_936 = bits(stbuf_byteen[0], 2, 2) @[lsu_stbuf.scala 212:133]
node _T_937 = and(_T_935, _T_936) @[lsu_stbuf.scala 212:116]
node _T_938 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 212:148]
node stbuf_fwdbyteenvec_lo_0_2 = and(_T_937, _T_938) @[lsu_stbuf.scala 212:137]
node _T_939 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 212:112]
node _T_940 = bits(stbuf_byteen[0], 3, 3) @[lsu_stbuf.scala 212:133]
node _T_941 = and(_T_939, _T_940) @[lsu_stbuf.scala 212:116]
node _T_942 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 212:148]
node stbuf_fwdbyteenvec_lo_0_3 = and(_T_941, _T_942) @[lsu_stbuf.scala 212:137]
node _T_943 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 212:112]
node _T_944 = bits(stbuf_byteen[1], 0, 0) @[lsu_stbuf.scala 212:133]
node _T_945 = and(_T_943, _T_944) @[lsu_stbuf.scala 212:116]
node _T_946 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 212:148]
node stbuf_fwdbyteenvec_lo_1_0 = and(_T_945, _T_946) @[lsu_stbuf.scala 212:137]
node _T_947 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 212:112]
node _T_948 = bits(stbuf_byteen[1], 1, 1) @[lsu_stbuf.scala 212:133]
node _T_949 = and(_T_947, _T_948) @[lsu_stbuf.scala 212:116]
node _T_950 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 212:148]
node stbuf_fwdbyteenvec_lo_1_1 = and(_T_949, _T_950) @[lsu_stbuf.scala 212:137]
node _T_951 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 212:112]
node _T_952 = bits(stbuf_byteen[1], 2, 2) @[lsu_stbuf.scala 212:133]
node _T_953 = and(_T_951, _T_952) @[lsu_stbuf.scala 212:116]
node _T_954 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 212:148]
node stbuf_fwdbyteenvec_lo_1_2 = and(_T_953, _T_954) @[lsu_stbuf.scala 212:137]
node _T_955 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 212:112]
node _T_956 = bits(stbuf_byteen[1], 3, 3) @[lsu_stbuf.scala 212:133]
node _T_957 = and(_T_955, _T_956) @[lsu_stbuf.scala 212:116]
node _T_958 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 212:148]
node stbuf_fwdbyteenvec_lo_1_3 = and(_T_957, _T_958) @[lsu_stbuf.scala 212:137]
node _T_959 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 212:112]
node _T_960 = bits(stbuf_byteen[2], 0, 0) @[lsu_stbuf.scala 212:133]
node _T_961 = and(_T_959, _T_960) @[lsu_stbuf.scala 212:116]
node _T_962 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 212:148]
node stbuf_fwdbyteenvec_lo_2_0 = and(_T_961, _T_962) @[lsu_stbuf.scala 212:137]
node _T_963 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 212:112]
node _T_964 = bits(stbuf_byteen[2], 1, 1) @[lsu_stbuf.scala 212:133]
node _T_965 = and(_T_963, _T_964) @[lsu_stbuf.scala 212:116]
node _T_966 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 212:148]
node stbuf_fwdbyteenvec_lo_2_1 = and(_T_965, _T_966) @[lsu_stbuf.scala 212:137]
node _T_967 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 212:112]
node _T_968 = bits(stbuf_byteen[2], 2, 2) @[lsu_stbuf.scala 212:133]
node _T_969 = and(_T_967, _T_968) @[lsu_stbuf.scala 212:116]
node _T_970 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 212:148]
node stbuf_fwdbyteenvec_lo_2_2 = and(_T_969, _T_970) @[lsu_stbuf.scala 212:137]
node _T_971 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 212:112]
node _T_972 = bits(stbuf_byteen[2], 3, 3) @[lsu_stbuf.scala 212:133]
node _T_973 = and(_T_971, _T_972) @[lsu_stbuf.scala 212:116]
node _T_974 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 212:148]
node stbuf_fwdbyteenvec_lo_2_3 = and(_T_973, _T_974) @[lsu_stbuf.scala 212:137]
node _T_975 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 212:112]
node _T_976 = bits(stbuf_byteen[3], 0, 0) @[lsu_stbuf.scala 212:133]
node _T_977 = and(_T_975, _T_976) @[lsu_stbuf.scala 212:116]
node _T_978 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 212:148]
node stbuf_fwdbyteenvec_lo_3_0 = and(_T_977, _T_978) @[lsu_stbuf.scala 212:137]
node _T_979 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 212:112]
node _T_980 = bits(stbuf_byteen[3], 1, 1) @[lsu_stbuf.scala 212:133]
node _T_981 = and(_T_979, _T_980) @[lsu_stbuf.scala 212:116]
node _T_982 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 212:148]
node stbuf_fwdbyteenvec_lo_3_1 = and(_T_981, _T_982) @[lsu_stbuf.scala 212:137]
node _T_983 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 212:112]
node _T_984 = bits(stbuf_byteen[3], 2, 2) @[lsu_stbuf.scala 212:133]
node _T_985 = and(_T_983, _T_984) @[lsu_stbuf.scala 212:116]
node _T_986 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 212:148]
node stbuf_fwdbyteenvec_lo_3_2 = and(_T_985, _T_986) @[lsu_stbuf.scala 212:137]
node _T_987 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 212:112]
node _T_988 = bits(stbuf_byteen[3], 3, 3) @[lsu_stbuf.scala 212:133]
node _T_989 = and(_T_987, _T_988) @[lsu_stbuf.scala 212:116]
node _T_990 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 212:148]
node stbuf_fwdbyteenvec_lo_3_3 = and(_T_989, _T_990) @[lsu_stbuf.scala 212:137]
node _T_991 = or(stbuf_fwdbyteenvec_hi_0_0, stbuf_fwdbyteenvec_hi_1_0) @[lsu_stbuf.scala 213:147]
node _T_992 = or(_T_991, stbuf_fwdbyteenvec_hi_2_0) @[lsu_stbuf.scala 213:147]
node stbuf_fwdbyteen_hi_pre_m_0 = or(_T_992, stbuf_fwdbyteenvec_hi_3_0) @[lsu_stbuf.scala 213:147]
node _T_993 = or(stbuf_fwdbyteenvec_hi_0_1, stbuf_fwdbyteenvec_hi_1_1) @[lsu_stbuf.scala 213:147]
node _T_994 = or(_T_993, stbuf_fwdbyteenvec_hi_2_1) @[lsu_stbuf.scala 213:147]
node stbuf_fwdbyteen_hi_pre_m_1 = or(_T_994, stbuf_fwdbyteenvec_hi_3_1) @[lsu_stbuf.scala 213:147]
node _T_995 = or(stbuf_fwdbyteenvec_hi_0_2, stbuf_fwdbyteenvec_hi_1_2) @[lsu_stbuf.scala 213:147]
node _T_996 = or(_T_995, stbuf_fwdbyteenvec_hi_2_2) @[lsu_stbuf.scala 213:147]
node stbuf_fwdbyteen_hi_pre_m_2 = or(_T_996, stbuf_fwdbyteenvec_hi_3_2) @[lsu_stbuf.scala 213:147]
node _T_997 = or(stbuf_fwdbyteenvec_hi_0_3, stbuf_fwdbyteenvec_hi_1_3) @[lsu_stbuf.scala 213:147]
node _T_998 = or(_T_997, stbuf_fwdbyteenvec_hi_2_3) @[lsu_stbuf.scala 213:147]
node stbuf_fwdbyteen_hi_pre_m_3 = or(_T_998, stbuf_fwdbyteenvec_hi_3_3) @[lsu_stbuf.scala 213:147]
node _T_999 = or(stbuf_fwdbyteenvec_lo_0_0, stbuf_fwdbyteenvec_lo_1_0) @[lsu_stbuf.scala 214:147]
node _T_1000 = or(_T_999, stbuf_fwdbyteenvec_lo_2_0) @[lsu_stbuf.scala 214:147]
node stbuf_fwdbyteen_lo_pre_m_0 = or(_T_1000, stbuf_fwdbyteenvec_lo_3_0) @[lsu_stbuf.scala 214:147]
node _T_1001 = or(stbuf_fwdbyteenvec_lo_0_1, stbuf_fwdbyteenvec_lo_1_1) @[lsu_stbuf.scala 214:147]
node _T_1002 = or(_T_1001, stbuf_fwdbyteenvec_lo_2_1) @[lsu_stbuf.scala 214:147]
node stbuf_fwdbyteen_lo_pre_m_1 = or(_T_1002, stbuf_fwdbyteenvec_lo_3_1) @[lsu_stbuf.scala 214:147]
node _T_1003 = or(stbuf_fwdbyteenvec_lo_0_2, stbuf_fwdbyteenvec_lo_1_2) @[lsu_stbuf.scala 214:147]
node _T_1004 = or(_T_1003, stbuf_fwdbyteenvec_lo_2_2) @[lsu_stbuf.scala 214:147]
node stbuf_fwdbyteen_lo_pre_m_2 = or(_T_1004, stbuf_fwdbyteenvec_lo_3_2) @[lsu_stbuf.scala 214:147]
node _T_1005 = or(stbuf_fwdbyteenvec_lo_0_3, stbuf_fwdbyteenvec_lo_1_3) @[lsu_stbuf.scala 214:147]
node _T_1006 = or(_T_1005, stbuf_fwdbyteenvec_lo_2_3) @[lsu_stbuf.scala 214:147]
node stbuf_fwdbyteen_lo_pre_m_3 = or(_T_1006, stbuf_fwdbyteenvec_lo_3_3) @[lsu_stbuf.scala 214:147]
node _T_1007 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 216:92]
node _T_1008 = bits(_T_1007, 0, 0) @[Bitwise.scala 72:15]
node _T_1009 = mux(_T_1008, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_1010 = and(_T_1009, stbuf_data[0]) @[lsu_stbuf.scala 216:97]
node _T_1011 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 216:92]
node _T_1012 = bits(_T_1011, 0, 0) @[Bitwise.scala 72:15]
node _T_1013 = mux(_T_1012, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_1014 = and(_T_1013, stbuf_data[1]) @[lsu_stbuf.scala 216:97]
node _T_1015 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 216:92]
node _T_1016 = bits(_T_1015, 0, 0) @[Bitwise.scala 72:15]
node _T_1017 = mux(_T_1016, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_1018 = and(_T_1017, stbuf_data[2]) @[lsu_stbuf.scala 216:97]
node _T_1019 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 216:92]
node _T_1020 = bits(_T_1019, 0, 0) @[Bitwise.scala 72:15]
node _T_1021 = mux(_T_1020, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_1022 = and(_T_1021, stbuf_data[3]) @[lsu_stbuf.scala 216:97]
wire _T_1023 : UInt<32>[4] @[lsu_stbuf.scala 216:65]
_T_1023[0] <= _T_1010 @[lsu_stbuf.scala 216:65]
_T_1023[1] <= _T_1014 @[lsu_stbuf.scala 216:65]
_T_1023[2] <= _T_1018 @[lsu_stbuf.scala 216:65]
_T_1023[3] <= _T_1022 @[lsu_stbuf.scala 216:65]
node _T_1024 = or(_T_1023[3], _T_1023[2]) @[lsu_stbuf.scala 216:130]
node _T_1025 = or(_T_1024, _T_1023[1]) @[lsu_stbuf.scala 216:130]
node stbuf_fwddata_hi_pre_m = or(_T_1025, _T_1023[0]) @[lsu_stbuf.scala 216:130]
node _T_1026 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 217:92]
node _T_1027 = bits(_T_1026, 0, 0) @[Bitwise.scala 72:15]
node _T_1028 = mux(_T_1027, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_1029 = and(_T_1028, stbuf_data[0]) @[lsu_stbuf.scala 217:97]
node _T_1030 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 217:92]
node _T_1031 = bits(_T_1030, 0, 0) @[Bitwise.scala 72:15]
node _T_1032 = mux(_T_1031, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_1033 = and(_T_1032, stbuf_data[1]) @[lsu_stbuf.scala 217:97]
node _T_1034 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 217:92]
node _T_1035 = bits(_T_1034, 0, 0) @[Bitwise.scala 72:15]
node _T_1036 = mux(_T_1035, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_1037 = and(_T_1036, stbuf_data[2]) @[lsu_stbuf.scala 217:97]
node _T_1038 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 217:92]
node _T_1039 = bits(_T_1038, 0, 0) @[Bitwise.scala 72:15]
node _T_1040 = mux(_T_1039, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12]
node _T_1041 = and(_T_1040, stbuf_data[3]) @[lsu_stbuf.scala 217:97]
wire _T_1042 : UInt<32>[4] @[lsu_stbuf.scala 217:65]
_T_1042[0] <= _T_1029 @[lsu_stbuf.scala 217:65]
_T_1042[1] <= _T_1033 @[lsu_stbuf.scala 217:65]
_T_1042[2] <= _T_1037 @[lsu_stbuf.scala 217:65]
_T_1042[3] <= _T_1041 @[lsu_stbuf.scala 217:65]
node _T_1043 = or(_T_1042[3], _T_1042[2]) @[lsu_stbuf.scala 217:130]
node _T_1044 = or(_T_1043, _T_1042[1]) @[lsu_stbuf.scala 217:130]
node stbuf_fwddata_lo_pre_m = or(_T_1044, _T_1042[0]) @[lsu_stbuf.scala 217:130]
node _T_1045 = bits(io.lsu_addr_r, 1, 0) @[lsu_stbuf.scala 220:54]
node _T_1046 = dshl(ldst_byteen_r, _T_1045) @[lsu_stbuf.scala 220:38]
ldst_byteen_ext_r <= _T_1046 @[lsu_stbuf.scala 220:21]
node ldst_byteen_hi_r = bits(ldst_byteen_ext_r, 7, 4) @[lsu_stbuf.scala 221:43]
node ldst_byteen_lo_r = bits(ldst_byteen_ext_r, 3, 0) @[lsu_stbuf.scala 222:43]
node _T_1047 = bits(io.lsu_addr_m, 31, 2) @[lsu_stbuf.scala 224:42]
node _T_1048 = bits(io.lsu_addr_r, 31, 2) @[lsu_stbuf.scala 224:66]
node _T_1049 = eq(_T_1047, _T_1048) @[lsu_stbuf.scala 224:49]
node _T_1050 = and(_T_1049, io.lsu_pkt_r.valid) @[lsu_stbuf.scala 224:74]
node _T_1051 = and(_T_1050, io.lsu_pkt_r.bits.store) @[lsu_stbuf.scala 224:95]
node _T_1052 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 224:123]
node ld_addr_rhit_lo_lo = and(_T_1051, _T_1052) @[lsu_stbuf.scala 224:121]
node _T_1053 = bits(io.end_addr_m, 31, 2) @[lsu_stbuf.scala 225:42]
node _T_1054 = bits(io.lsu_addr_r, 31, 2) @[lsu_stbuf.scala 225:66]
node _T_1055 = eq(_T_1053, _T_1054) @[lsu_stbuf.scala 225:49]
node _T_1056 = and(_T_1055, io.lsu_pkt_r.valid) @[lsu_stbuf.scala 225:74]
node _T_1057 = and(_T_1056, io.lsu_pkt_r.bits.store) @[lsu_stbuf.scala 225:95]
node _T_1058 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 225:123]
node ld_addr_rhit_lo_hi = and(_T_1057, _T_1058) @[lsu_stbuf.scala 225:121]
node _T_1059 = bits(io.lsu_addr_m, 31, 2) @[lsu_stbuf.scala 226:42]
node _T_1060 = bits(io.end_addr_r, 31, 2) @[lsu_stbuf.scala 226:66]
node _T_1061 = eq(_T_1059, _T_1060) @[lsu_stbuf.scala 226:49]
node _T_1062 = and(_T_1061, io.lsu_pkt_r.valid) @[lsu_stbuf.scala 226:74]
node _T_1063 = and(_T_1062, io.lsu_pkt_r.bits.store) @[lsu_stbuf.scala 226:95]
node _T_1064 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 226:123]
node _T_1065 = and(_T_1063, _T_1064) @[lsu_stbuf.scala 226:121]
node ld_addr_rhit_hi_lo = and(_T_1065, dual_stbuf_write_r) @[lsu_stbuf.scala 226:146]
node _T_1066 = bits(io.end_addr_m, 31, 2) @[lsu_stbuf.scala 227:42]
node _T_1067 = bits(io.end_addr_r, 31, 2) @[lsu_stbuf.scala 227:66]
node _T_1068 = eq(_T_1066, _T_1067) @[lsu_stbuf.scala 227:49]
node _T_1069 = and(_T_1068, io.lsu_pkt_r.valid) @[lsu_stbuf.scala 227:74]
node _T_1070 = and(_T_1069, io.lsu_pkt_r.bits.store) @[lsu_stbuf.scala 227:95]
node _T_1071 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 227:123]
node _T_1072 = and(_T_1070, _T_1071) @[lsu_stbuf.scala 227:121]
node ld_addr_rhit_hi_hi = and(_T_1072, dual_stbuf_write_r) @[lsu_stbuf.scala 227:146]
node _T_1073 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 229:97]
node _T_1074 = and(ld_addr_rhit_lo_lo, _T_1073) @[lsu_stbuf.scala 229:79]
node _T_1075 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 229:97]
node _T_1076 = and(ld_addr_rhit_lo_lo, _T_1075) @[lsu_stbuf.scala 229:79]
node _T_1077 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 229:97]
node _T_1078 = and(ld_addr_rhit_lo_lo, _T_1077) @[lsu_stbuf.scala 229:79]
node _T_1079 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 229:97]
node _T_1080 = and(ld_addr_rhit_lo_lo, _T_1079) @[lsu_stbuf.scala 229:79]
node _T_1081 = cat(_T_1080, _T_1078) @[Cat.scala 29:58]
node _T_1082 = cat(_T_1081, _T_1076) @[Cat.scala 29:58]
node _T_1083 = cat(_T_1082, _T_1074) @[Cat.scala 29:58]
ld_byte_rhit_lo_lo <= _T_1083 @[lsu_stbuf.scala 229:22]
node _T_1084 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 230:97]
node _T_1085 = and(ld_addr_rhit_lo_hi, _T_1084) @[lsu_stbuf.scala 230:79]
node _T_1086 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 230:97]
node _T_1087 = and(ld_addr_rhit_lo_hi, _T_1086) @[lsu_stbuf.scala 230:79]
node _T_1088 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 230:97]
node _T_1089 = and(ld_addr_rhit_lo_hi, _T_1088) @[lsu_stbuf.scala 230:79]
node _T_1090 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 230:97]
node _T_1091 = and(ld_addr_rhit_lo_hi, _T_1090) @[lsu_stbuf.scala 230:79]
node _T_1092 = cat(_T_1091, _T_1089) @[Cat.scala 29:58]
node _T_1093 = cat(_T_1092, _T_1087) @[Cat.scala 29:58]
node _T_1094 = cat(_T_1093, _T_1085) @[Cat.scala 29:58]
ld_byte_rhit_lo_hi <= _T_1094 @[lsu_stbuf.scala 230:22]
node _T_1095 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 231:97]
node _T_1096 = and(ld_addr_rhit_hi_lo, _T_1095) @[lsu_stbuf.scala 231:79]
node _T_1097 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 231:97]
node _T_1098 = and(ld_addr_rhit_hi_lo, _T_1097) @[lsu_stbuf.scala 231:79]
node _T_1099 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 231:97]
node _T_1100 = and(ld_addr_rhit_hi_lo, _T_1099) @[lsu_stbuf.scala 231:79]
node _T_1101 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 231:97]
node _T_1102 = and(ld_addr_rhit_hi_lo, _T_1101) @[lsu_stbuf.scala 231:79]
node _T_1103 = cat(_T_1102, _T_1100) @[Cat.scala 29:58]
node _T_1104 = cat(_T_1103, _T_1098) @[Cat.scala 29:58]
node _T_1105 = cat(_T_1104, _T_1096) @[Cat.scala 29:58]
ld_byte_rhit_hi_lo <= _T_1105 @[lsu_stbuf.scala 231:22]
node _T_1106 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 232:97]
node _T_1107 = and(ld_addr_rhit_hi_hi, _T_1106) @[lsu_stbuf.scala 232:79]
node _T_1108 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 232:97]
node _T_1109 = and(ld_addr_rhit_hi_hi, _T_1108) @[lsu_stbuf.scala 232:79]
node _T_1110 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 232:97]
node _T_1111 = and(ld_addr_rhit_hi_hi, _T_1110) @[lsu_stbuf.scala 232:79]
node _T_1112 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 232:97]
node _T_1113 = and(ld_addr_rhit_hi_hi, _T_1112) @[lsu_stbuf.scala 232:79]
node _T_1114 = cat(_T_1113, _T_1111) @[Cat.scala 29:58]
node _T_1115 = cat(_T_1114, _T_1109) @[Cat.scala 29:58]
node _T_1116 = cat(_T_1115, _T_1107) @[Cat.scala 29:58]
ld_byte_rhit_hi_hi <= _T_1116 @[lsu_stbuf.scala 232:22]
node _T_1117 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_stbuf.scala 234:75]
node _T_1118 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_stbuf.scala 234:99]
node _T_1119 = or(_T_1117, _T_1118) @[lsu_stbuf.scala 234:79]
node _T_1120 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_stbuf.scala 234:75]
node _T_1121 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_stbuf.scala 234:99]
node _T_1122 = or(_T_1120, _T_1121) @[lsu_stbuf.scala 234:79]
node _T_1123 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_stbuf.scala 234:75]
node _T_1124 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_stbuf.scala 234:99]
node _T_1125 = or(_T_1123, _T_1124) @[lsu_stbuf.scala 234:79]
node _T_1126 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_stbuf.scala 234:75]
node _T_1127 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_stbuf.scala 234:99]
node _T_1128 = or(_T_1126, _T_1127) @[lsu_stbuf.scala 234:79]
node _T_1129 = cat(_T_1128, _T_1125) @[Cat.scala 29:58]
node _T_1130 = cat(_T_1129, _T_1122) @[Cat.scala 29:58]
node _T_1131 = cat(_T_1130, _T_1119) @[Cat.scala 29:58]
ld_byte_rhit_lo <= _T_1131 @[lsu_stbuf.scala 234:19]
node _T_1132 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_stbuf.scala 235:75]
node _T_1133 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_stbuf.scala 235:99]
node _T_1134 = or(_T_1132, _T_1133) @[lsu_stbuf.scala 235:79]
node _T_1135 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_stbuf.scala 235:75]
node _T_1136 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_stbuf.scala 235:99]
node _T_1137 = or(_T_1135, _T_1136) @[lsu_stbuf.scala 235:79]
node _T_1138 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_stbuf.scala 235:75]
node _T_1139 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_stbuf.scala 235:99]
node _T_1140 = or(_T_1138, _T_1139) @[lsu_stbuf.scala 235:79]
node _T_1141 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_stbuf.scala 235:75]
node _T_1142 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_stbuf.scala 235:99]
node _T_1143 = or(_T_1141, _T_1142) @[lsu_stbuf.scala 235:79]
node _T_1144 = cat(_T_1143, _T_1140) @[Cat.scala 29:58]
node _T_1145 = cat(_T_1144, _T_1137) @[Cat.scala 29:58]
node _T_1146 = cat(_T_1145, _T_1134) @[Cat.scala 29:58]
ld_byte_rhit_hi <= _T_1146 @[lsu_stbuf.scala 235:19]
node _T_1147 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_stbuf.scala 237:48]
node _T_1148 = bits(_T_1147, 0, 0) @[Bitwise.scala 72:15]
node _T_1149 = mux(_T_1148, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1150 = bits(io.store_data_lo_r, 7, 0) @[lsu_stbuf.scala 237:73]
node _T_1151 = and(_T_1149, _T_1150) @[lsu_stbuf.scala 237:53]
node _T_1152 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_stbuf.scala 237:109]
node _T_1153 = bits(_T_1152, 0, 0) @[Bitwise.scala 72:15]
node _T_1154 = mux(_T_1153, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1155 = bits(io.store_data_hi_r, 7, 0) @[lsu_stbuf.scala 237:134]
node _T_1156 = and(_T_1154, _T_1155) @[lsu_stbuf.scala 237:114]
node fwdpipe1_lo = or(_T_1151, _T_1156) @[lsu_stbuf.scala 237:80]
node _T_1157 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_stbuf.scala 238:48]
node _T_1158 = bits(_T_1157, 0, 0) @[Bitwise.scala 72:15]
node _T_1159 = mux(_T_1158, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1160 = bits(io.store_data_lo_r, 15, 8) @[lsu_stbuf.scala 238:73]
node _T_1161 = and(_T_1159, _T_1160) @[lsu_stbuf.scala 238:53]
node _T_1162 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_stbuf.scala 238:110]
node _T_1163 = bits(_T_1162, 0, 0) @[Bitwise.scala 72:15]
node _T_1164 = mux(_T_1163, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1165 = bits(io.store_data_hi_r, 15, 8) @[lsu_stbuf.scala 238:135]
node _T_1166 = and(_T_1164, _T_1165) @[lsu_stbuf.scala 238:115]
node fwdpipe2_lo = or(_T_1161, _T_1166) @[lsu_stbuf.scala 238:81]
node _T_1167 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_stbuf.scala 239:48]
node _T_1168 = bits(_T_1167, 0, 0) @[Bitwise.scala 72:15]
node _T_1169 = mux(_T_1168, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1170 = bits(io.store_data_lo_r, 23, 16) @[lsu_stbuf.scala 239:73]
node _T_1171 = and(_T_1169, _T_1170) @[lsu_stbuf.scala 239:53]
node _T_1172 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_stbuf.scala 239:111]
node _T_1173 = bits(_T_1172, 0, 0) @[Bitwise.scala 72:15]
node _T_1174 = mux(_T_1173, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1175 = bits(io.store_data_hi_r, 23, 16) @[lsu_stbuf.scala 239:136]
node _T_1176 = and(_T_1174, _T_1175) @[lsu_stbuf.scala 239:116]
node fwdpipe3_lo = or(_T_1171, _T_1176) @[lsu_stbuf.scala 239:82]
node _T_1177 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_stbuf.scala 240:48]
node _T_1178 = bits(_T_1177, 0, 0) @[Bitwise.scala 72:15]
node _T_1179 = mux(_T_1178, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1180 = bits(io.store_data_lo_r, 31, 24) @[lsu_stbuf.scala 240:73]
node _T_1181 = and(_T_1179, _T_1180) @[lsu_stbuf.scala 240:53]
node _T_1182 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_stbuf.scala 240:111]
node _T_1183 = bits(_T_1182, 0, 0) @[Bitwise.scala 72:15]
node _T_1184 = mux(_T_1183, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1185 = bits(io.store_data_hi_r, 31, 24) @[lsu_stbuf.scala 240:136]
node _T_1186 = and(_T_1184, _T_1185) @[lsu_stbuf.scala 240:116]
node fwdpipe4_lo = or(_T_1181, _T_1186) @[lsu_stbuf.scala 240:82]
node _T_1187 = cat(fwdpipe2_lo, fwdpipe1_lo) @[Cat.scala 29:58]
node _T_1188 = cat(fwdpipe4_lo, fwdpipe3_lo) @[Cat.scala 29:58]
node _T_1189 = cat(_T_1188, _T_1187) @[Cat.scala 29:58]
ld_fwddata_rpipe_lo <= _T_1189 @[lsu_stbuf.scala 241:23]
node _T_1190 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_stbuf.scala 243:48]
node _T_1191 = bits(_T_1190, 0, 0) @[Bitwise.scala 72:15]
node _T_1192 = mux(_T_1191, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1193 = bits(io.store_data_lo_r, 7, 0) @[lsu_stbuf.scala 243:73]
node _T_1194 = and(_T_1192, _T_1193) @[lsu_stbuf.scala 243:53]
node _T_1195 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_stbuf.scala 243:109]
node _T_1196 = bits(_T_1195, 0, 0) @[Bitwise.scala 72:15]
node _T_1197 = mux(_T_1196, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1198 = bits(io.store_data_hi_r, 7, 0) @[lsu_stbuf.scala 243:134]
node _T_1199 = and(_T_1197, _T_1198) @[lsu_stbuf.scala 243:114]
node fwdpipe1_hi = or(_T_1194, _T_1199) @[lsu_stbuf.scala 243:80]
node _T_1200 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_stbuf.scala 244:48]
node _T_1201 = bits(_T_1200, 0, 0) @[Bitwise.scala 72:15]
node _T_1202 = mux(_T_1201, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1203 = bits(io.store_data_lo_r, 15, 8) @[lsu_stbuf.scala 244:73]
node _T_1204 = and(_T_1202, _T_1203) @[lsu_stbuf.scala 244:53]
node _T_1205 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_stbuf.scala 244:110]
node _T_1206 = bits(_T_1205, 0, 0) @[Bitwise.scala 72:15]
node _T_1207 = mux(_T_1206, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1208 = bits(io.store_data_hi_r, 15, 8) @[lsu_stbuf.scala 244:135]
node _T_1209 = and(_T_1207, _T_1208) @[lsu_stbuf.scala 244:115]
node fwdpipe2_hi = or(_T_1204, _T_1209) @[lsu_stbuf.scala 244:81]
node _T_1210 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_stbuf.scala 245:48]
node _T_1211 = bits(_T_1210, 0, 0) @[Bitwise.scala 72:15]
node _T_1212 = mux(_T_1211, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1213 = bits(io.store_data_lo_r, 23, 16) @[lsu_stbuf.scala 245:73]
node _T_1214 = and(_T_1212, _T_1213) @[lsu_stbuf.scala 245:53]
node _T_1215 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_stbuf.scala 245:111]
node _T_1216 = bits(_T_1215, 0, 0) @[Bitwise.scala 72:15]
node _T_1217 = mux(_T_1216, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1218 = bits(io.store_data_hi_r, 23, 16) @[lsu_stbuf.scala 245:136]
node _T_1219 = and(_T_1217, _T_1218) @[lsu_stbuf.scala 245:116]
node fwdpipe3_hi = or(_T_1214, _T_1219) @[lsu_stbuf.scala 245:82]
node _T_1220 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_stbuf.scala 246:48]
node _T_1221 = bits(_T_1220, 0, 0) @[Bitwise.scala 72:15]
node _T_1222 = mux(_T_1221, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1223 = bits(io.store_data_lo_r, 31, 24) @[lsu_stbuf.scala 246:73]
node _T_1224 = and(_T_1222, _T_1223) @[lsu_stbuf.scala 246:53]
node _T_1225 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_stbuf.scala 246:111]
node _T_1226 = bits(_T_1225, 0, 0) @[Bitwise.scala 72:15]
node _T_1227 = mux(_T_1226, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_1228 = bits(io.store_data_hi_r, 31, 24) @[lsu_stbuf.scala 246:136]
node _T_1229 = and(_T_1227, _T_1228) @[lsu_stbuf.scala 246:116]
node fwdpipe4_hi = or(_T_1224, _T_1229) @[lsu_stbuf.scala 246:82]
node _T_1230 = cat(fwdpipe2_hi, fwdpipe1_hi) @[Cat.scala 29:58]
node _T_1231 = cat(fwdpipe4_hi, fwdpipe3_hi) @[Cat.scala 29:58]
node _T_1232 = cat(_T_1231, _T_1230) @[Cat.scala 29:58]
ld_fwddata_rpipe_hi <= _T_1232 @[lsu_stbuf.scala 247:23]
node _T_1233 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_stbuf.scala 249:74]
node _T_1234 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_stbuf.scala 249:98]
node _T_1235 = or(_T_1233, _T_1234) @[lsu_stbuf.scala 249:78]
node _T_1236 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_stbuf.scala 249:74]
node _T_1237 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_stbuf.scala 249:98]
node _T_1238 = or(_T_1236, _T_1237) @[lsu_stbuf.scala 249:78]
node _T_1239 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_stbuf.scala 249:74]
node _T_1240 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_stbuf.scala 249:98]
node _T_1241 = or(_T_1239, _T_1240) @[lsu_stbuf.scala 249:78]
node _T_1242 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_stbuf.scala 249:74]
node _T_1243 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_stbuf.scala 249:98]
node _T_1244 = or(_T_1242, _T_1243) @[lsu_stbuf.scala 249:78]
node _T_1245 = cat(_T_1244, _T_1241) @[Cat.scala 29:58]
node _T_1246 = cat(_T_1245, _T_1238) @[Cat.scala 29:58]
node _T_1247 = cat(_T_1246, _T_1235) @[Cat.scala 29:58]
ld_byte_hit_lo <= _T_1247 @[lsu_stbuf.scala 249:18]
node _T_1248 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_stbuf.scala 250:74]
node _T_1249 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_stbuf.scala 250:98]
node _T_1250 = or(_T_1248, _T_1249) @[lsu_stbuf.scala 250:78]
node _T_1251 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_stbuf.scala 250:74]
node _T_1252 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_stbuf.scala 250:98]
node _T_1253 = or(_T_1251, _T_1252) @[lsu_stbuf.scala 250:78]
node _T_1254 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_stbuf.scala 250:74]
node _T_1255 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_stbuf.scala 250:98]
node _T_1256 = or(_T_1254, _T_1255) @[lsu_stbuf.scala 250:78]
node _T_1257 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_stbuf.scala 250:74]
node _T_1258 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_stbuf.scala 250:98]
node _T_1259 = or(_T_1257, _T_1258) @[lsu_stbuf.scala 250:78]
node _T_1260 = cat(_T_1259, _T_1256) @[Cat.scala 29:58]
node _T_1261 = cat(_T_1260, _T_1253) @[Cat.scala 29:58]
node _T_1262 = cat(_T_1261, _T_1250) @[Cat.scala 29:58]
ld_byte_hit_hi <= _T_1262 @[lsu_stbuf.scala 250:18]
node _T_1263 = bits(ld_byte_hit_hi, 0, 0) @[lsu_stbuf.scala 252:79]
node _T_1264 = or(_T_1263, stbuf_fwdbyteen_hi_pre_m_0) @[lsu_stbuf.scala 252:83]
node _T_1265 = bits(ld_byte_hit_hi, 1, 1) @[lsu_stbuf.scala 252:79]
node _T_1266 = or(_T_1265, stbuf_fwdbyteen_hi_pre_m_1) @[lsu_stbuf.scala 252:83]
node _T_1267 = bits(ld_byte_hit_hi, 2, 2) @[lsu_stbuf.scala 252:79]
node _T_1268 = or(_T_1267, stbuf_fwdbyteen_hi_pre_m_2) @[lsu_stbuf.scala 252:83]
node _T_1269 = bits(ld_byte_hit_hi, 3, 3) @[lsu_stbuf.scala 252:79]
node _T_1270 = or(_T_1269, stbuf_fwdbyteen_hi_pre_m_3) @[lsu_stbuf.scala 252:83]
node _T_1271 = cat(_T_1270, _T_1268) @[Cat.scala 29:58]
node _T_1272 = cat(_T_1271, _T_1266) @[Cat.scala 29:58]
node _T_1273 = cat(_T_1272, _T_1264) @[Cat.scala 29:58]
io.stbuf_fwdbyteen_hi_m <= _T_1273 @[lsu_stbuf.scala 252:27]
node _T_1274 = bits(ld_byte_hit_lo, 0, 0) @[lsu_stbuf.scala 253:79]
node _T_1275 = or(_T_1274, stbuf_fwdbyteen_lo_pre_m_0) @[lsu_stbuf.scala 253:83]
node _T_1276 = bits(ld_byte_hit_lo, 1, 1) @[lsu_stbuf.scala 253:79]
node _T_1277 = or(_T_1276, stbuf_fwdbyteen_lo_pre_m_1) @[lsu_stbuf.scala 253:83]
node _T_1278 = bits(ld_byte_hit_lo, 2, 2) @[lsu_stbuf.scala 253:79]
node _T_1279 = or(_T_1278, stbuf_fwdbyteen_lo_pre_m_2) @[lsu_stbuf.scala 253:83]
node _T_1280 = bits(ld_byte_hit_lo, 3, 3) @[lsu_stbuf.scala 253:79]
node _T_1281 = or(_T_1280, stbuf_fwdbyteen_lo_pre_m_3) @[lsu_stbuf.scala 253:83]
node _T_1282 = cat(_T_1281, _T_1279) @[Cat.scala 29:58]
node _T_1283 = cat(_T_1282, _T_1277) @[Cat.scala 29:58]
node _T_1284 = cat(_T_1283, _T_1275) @[Cat.scala 29:58]
io.stbuf_fwdbyteen_lo_m <= _T_1284 @[lsu_stbuf.scala 253:27]
node _T_1285 = bits(ld_byte_rhit_lo, 0, 0) @[lsu_stbuf.scala 256:46]
node _T_1286 = bits(ld_fwddata_rpipe_lo, 7, 0) @[lsu_stbuf.scala 256:69]
node _T_1287 = bits(stbuf_fwddata_lo_pre_m, 7, 0) @[lsu_stbuf.scala 256:97]
node stbuf_fwdpipe1_lo = mux(_T_1285, _T_1286, _T_1287) @[lsu_stbuf.scala 256:30]
node _T_1288 = bits(ld_byte_rhit_lo, 1, 1) @[lsu_stbuf.scala 257:46]
node _T_1289 = bits(ld_fwddata_rpipe_lo, 15, 8) @[lsu_stbuf.scala 257:69]
node _T_1290 = bits(stbuf_fwddata_lo_pre_m, 15, 8) @[lsu_stbuf.scala 257:98]
node stbuf_fwdpipe2_lo = mux(_T_1288, _T_1289, _T_1290) @[lsu_stbuf.scala 257:30]
node _T_1291 = bits(ld_byte_rhit_lo, 2, 2) @[lsu_stbuf.scala 258:46]
node _T_1292 = bits(ld_fwddata_rpipe_lo, 23, 16) @[lsu_stbuf.scala 258:69]
node _T_1293 = bits(stbuf_fwddata_lo_pre_m, 23, 16) @[lsu_stbuf.scala 258:99]
node stbuf_fwdpipe3_lo = mux(_T_1291, _T_1292, _T_1293) @[lsu_stbuf.scala 258:30]
node _T_1294 = bits(ld_byte_rhit_lo, 3, 3) @[lsu_stbuf.scala 259:46]
node _T_1295 = bits(ld_fwddata_rpipe_lo, 31, 24) @[lsu_stbuf.scala 259:69]
node _T_1296 = bits(stbuf_fwddata_lo_pre_m, 31, 24) @[lsu_stbuf.scala 259:99]
node stbuf_fwdpipe4_lo = mux(_T_1294, _T_1295, _T_1296) @[lsu_stbuf.scala 259:30]
node _T_1297 = cat(stbuf_fwdpipe2_lo, stbuf_fwdpipe1_lo) @[Cat.scala 29:58]
node _T_1298 = cat(stbuf_fwdpipe4_lo, stbuf_fwdpipe3_lo) @[Cat.scala 29:58]
node _T_1299 = cat(_T_1298, _T_1297) @[Cat.scala 29:58]
io.stbuf_fwddata_lo_m <= _T_1299 @[lsu_stbuf.scala 260:25]
node _T_1300 = bits(ld_byte_rhit_hi, 0, 0) @[lsu_stbuf.scala 262:46]
node _T_1301 = bits(ld_fwddata_rpipe_hi, 7, 0) @[lsu_stbuf.scala 262:69]
node _T_1302 = bits(stbuf_fwddata_hi_pre_m, 7, 0) @[lsu_stbuf.scala 262:97]
node stbuf_fwdpipe1_hi = mux(_T_1300, _T_1301, _T_1302) @[lsu_stbuf.scala 262:30]
node _T_1303 = bits(ld_byte_rhit_hi, 1, 1) @[lsu_stbuf.scala 263:46]
node _T_1304 = bits(ld_fwddata_rpipe_hi, 15, 8) @[lsu_stbuf.scala 263:69]
node _T_1305 = bits(stbuf_fwddata_hi_pre_m, 15, 8) @[lsu_stbuf.scala 263:98]
node stbuf_fwdpipe2_hi = mux(_T_1303, _T_1304, _T_1305) @[lsu_stbuf.scala 263:30]
node _T_1306 = bits(ld_byte_rhit_hi, 2, 2) @[lsu_stbuf.scala 264:46]
node _T_1307 = bits(ld_fwddata_rpipe_hi, 23, 16) @[lsu_stbuf.scala 264:69]
node _T_1308 = bits(stbuf_fwddata_hi_pre_m, 23, 16) @[lsu_stbuf.scala 264:99]
node stbuf_fwdpipe3_hi = mux(_T_1306, _T_1307, _T_1308) @[lsu_stbuf.scala 264:30]
node _T_1309 = bits(ld_byte_rhit_hi, 3, 3) @[lsu_stbuf.scala 265:46]
node _T_1310 = bits(ld_fwddata_rpipe_hi, 31, 24) @[lsu_stbuf.scala 265:69]
node _T_1311 = bits(stbuf_fwddata_hi_pre_m, 31, 24) @[lsu_stbuf.scala 265:99]
node stbuf_fwdpipe4_hi = mux(_T_1309, _T_1310, _T_1311) @[lsu_stbuf.scala 265:30]
node _T_1312 = cat(stbuf_fwdpipe2_hi, stbuf_fwdpipe1_hi) @[Cat.scala 29:58]
node _T_1313 = cat(stbuf_fwdpipe4_hi, stbuf_fwdpipe3_hi) @[Cat.scala 29:58]
node _T_1314 = cat(_T_1313, _T_1312) @[Cat.scala 29:58]
io.stbuf_fwddata_hi_m <= _T_1314 @[lsu_stbuf.scala 266:25]
extmodule gated_latch_10 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_10 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_10 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_11 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_11 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_11 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
module lsu_ecc :
input clock : Clock
input reset : AsyncReset
output io : {flip lsu_c2_r_clk : Clock, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip stbuf_data_any : UInt<32>, flip dec_tlu_core_ecc_disable : UInt<1>, flip lsu_dccm_rden_r : UInt<1>, flip addr_in_dccm_r : UInt<1>, flip lsu_addr_r : UInt<16>, flip end_addr_r : UInt<16>, flip lsu_addr_m : UInt<16>, flip end_addr_m : UInt<16>, flip dccm_rdata_hi_r : UInt<32>, flip dccm_rdata_lo_r : UInt<32>, flip dccm_rdata_hi_m : UInt<32>, flip dccm_rdata_lo_m : UInt<32>, flip dccm_data_ecc_hi_r : UInt<7>, flip dccm_data_ecc_lo_r : UInt<7>, flip dccm_data_ecc_hi_m : UInt<7>, flip dccm_data_ecc_lo_m : UInt<7>, flip ld_single_ecc_error_r : UInt<1>, flip ld_single_ecc_error_r_ff : UInt<1>, flip lsu_dccm_rden_m : UInt<1>, flip addr_in_dccm_m : UInt<1>, flip dma_dccm_wen : UInt<1>, flip dma_dccm_wdata_lo : UInt<32>, flip dma_dccm_wdata_hi : UInt<32>, flip scan_mode : UInt<1>, sec_data_hi_r : UInt<32>, sec_data_lo_r : UInt<32>, sec_data_hi_m : UInt<32>, sec_data_lo_m : UInt<32>, sec_data_hi_r_ff : UInt<32>, sec_data_lo_r_ff : UInt<32>, dma_dccm_wdata_ecc_hi : UInt<7>, dma_dccm_wdata_ecc_lo : UInt<7>, stbuf_ecc_any : UInt<7>, sec_data_ecc_hi_r_ff : UInt<7>, sec_data_ecc_lo_r_ff : UInt<7>, single_ecc_error_hi_r : UInt<1>, single_ecc_error_lo_r : UInt<1>, lsu_single_ecc_error_r : UInt<1>, lsu_double_ecc_error_r : UInt<1>, lsu_single_ecc_error_m : UInt<1>, lsu_double_ecc_error_m : UInt<1>}
wire is_ldst_r : UInt<1>
is_ldst_r <= UInt<1>("h00")
wire is_ldst_hi_any : UInt<1>
is_ldst_hi_any <= UInt<1>("h00")
wire is_ldst_lo_any : UInt<1>
is_ldst_lo_any <= UInt<1>("h00")
wire dccm_wdata_hi_any : UInt<32>
dccm_wdata_hi_any <= UInt<32>("h00")
wire dccm_wdata_lo_any : UInt<32>
dccm_wdata_lo_any <= UInt<32>("h00")
wire dccm_rdata_hi_any : UInt<32>
dccm_rdata_hi_any <= UInt<32>("h00")
wire dccm_rdata_lo_any : UInt<32>
dccm_rdata_lo_any <= UInt<32>("h00")
wire dccm_data_ecc_hi_any : UInt<7>
dccm_data_ecc_hi_any <= UInt<7>("h00")
wire dccm_data_ecc_lo_any : UInt<7>
dccm_data_ecc_lo_any <= UInt<7>("h00")
wire double_ecc_error_hi_m : UInt<1>
double_ecc_error_hi_m <= UInt<1>("h00")
wire double_ecc_error_lo_m : UInt<1>
double_ecc_error_lo_m <= UInt<1>("h00")
wire double_ecc_error_hi_r : UInt<1>
double_ecc_error_hi_r <= UInt<1>("h00")
wire double_ecc_error_lo_r : UInt<1>
double_ecc_error_lo_r <= UInt<1>("h00")
wire ldst_dual_m : UInt<1>
ldst_dual_m <= UInt<1>("h00")
wire ldst_dual_r : UInt<1>
ldst_dual_r <= UInt<1>("h00")
wire is_ldst_m : UInt<1>
is_ldst_m <= UInt<1>("h00")
wire is_ldst_hi_m : UInt<1>
is_ldst_hi_m <= UInt<1>("h00")
wire is_ldst_lo_m : UInt<1>
is_ldst_lo_m <= UInt<1>("h00")
wire is_ldst_hi_r : UInt<1>
is_ldst_hi_r <= UInt<1>("h00")
wire is_ldst_lo_r : UInt<1>
is_ldst_lo_r <= UInt<1>("h00")
io.sec_data_hi_m <= UInt<1>("h00") @[lsu_ecc.scala 90:32]
io.sec_data_lo_m <= UInt<1>("h00") @[lsu_ecc.scala 91:32]
io.lsu_single_ecc_error_m <= UInt<1>("h00") @[lsu_ecc.scala 92:30]
io.lsu_double_ecc_error_m <= UInt<1>("h00") @[lsu_ecc.scala 93:30]
wire _T : UInt<1>[18] @[lib.scala 157:18]
wire _T_1 : UInt<1>[18] @[lib.scala 158:18]
wire _T_2 : UInt<1>[18] @[lib.scala 159:18]
wire _T_3 : UInt<1>[15] @[lib.scala 160:18]
wire _T_4 : UInt<1>[15] @[lib.scala 161:18]
wire _T_5 : UInt<1>[6] @[lib.scala 162:18]
node _T_6 = bits(dccm_rdata_hi_any, 0, 0) @[lib.scala 169:36]
_T[0] <= _T_6 @[lib.scala 169:30]
node _T_7 = bits(dccm_rdata_hi_any, 0, 0) @[lib.scala 170:36]
_T_1[0] <= _T_7 @[lib.scala 170:30]
node _T_8 = bits(dccm_rdata_hi_any, 1, 1) @[lib.scala 169:36]
_T[1] <= _T_8 @[lib.scala 169:30]
node _T_9 = bits(dccm_rdata_hi_any, 1, 1) @[lib.scala 171:36]
_T_2[0] <= _T_9 @[lib.scala 171:30]
node _T_10 = bits(dccm_rdata_hi_any, 2, 2) @[lib.scala 170:36]
_T_1[1] <= _T_10 @[lib.scala 170:30]
node _T_11 = bits(dccm_rdata_hi_any, 2, 2) @[lib.scala 171:36]
_T_2[1] <= _T_11 @[lib.scala 171:30]
node _T_12 = bits(dccm_rdata_hi_any, 3, 3) @[lib.scala 169:36]
_T[2] <= _T_12 @[lib.scala 169:30]
node _T_13 = bits(dccm_rdata_hi_any, 3, 3) @[lib.scala 170:36]
_T_1[2] <= _T_13 @[lib.scala 170:30]
node _T_14 = bits(dccm_rdata_hi_any, 3, 3) @[lib.scala 171:36]
_T_2[2] <= _T_14 @[lib.scala 171:30]
node _T_15 = bits(dccm_rdata_hi_any, 4, 4) @[lib.scala 169:36]
_T[3] <= _T_15 @[lib.scala 169:30]
node _T_16 = bits(dccm_rdata_hi_any, 4, 4) @[lib.scala 172:36]
_T_3[0] <= _T_16 @[lib.scala 172:30]
node _T_17 = bits(dccm_rdata_hi_any, 5, 5) @[lib.scala 170:36]
_T_1[3] <= _T_17 @[lib.scala 170:30]
node _T_18 = bits(dccm_rdata_hi_any, 5, 5) @[lib.scala 172:36]
_T_3[1] <= _T_18 @[lib.scala 172:30]
node _T_19 = bits(dccm_rdata_hi_any, 6, 6) @[lib.scala 169:36]
_T[4] <= _T_19 @[lib.scala 169:30]
node _T_20 = bits(dccm_rdata_hi_any, 6, 6) @[lib.scala 170:36]
_T_1[4] <= _T_20 @[lib.scala 170:30]
node _T_21 = bits(dccm_rdata_hi_any, 6, 6) @[lib.scala 172:36]
_T_3[2] <= _T_21 @[lib.scala 172:30]
node _T_22 = bits(dccm_rdata_hi_any, 7, 7) @[lib.scala 171:36]
_T_2[3] <= _T_22 @[lib.scala 171:30]
node _T_23 = bits(dccm_rdata_hi_any, 7, 7) @[lib.scala 172:36]
_T_3[3] <= _T_23 @[lib.scala 172:30]
node _T_24 = bits(dccm_rdata_hi_any, 8, 8) @[lib.scala 169:36]
_T[5] <= _T_24 @[lib.scala 169:30]
node _T_25 = bits(dccm_rdata_hi_any, 8, 8) @[lib.scala 171:36]
_T_2[4] <= _T_25 @[lib.scala 171:30]
node _T_26 = bits(dccm_rdata_hi_any, 8, 8) @[lib.scala 172:36]
_T_3[4] <= _T_26 @[lib.scala 172:30]
node _T_27 = bits(dccm_rdata_hi_any, 9, 9) @[lib.scala 170:36]
_T_1[5] <= _T_27 @[lib.scala 170:30]
node _T_28 = bits(dccm_rdata_hi_any, 9, 9) @[lib.scala 171:36]
_T_2[5] <= _T_28 @[lib.scala 171:30]
node _T_29 = bits(dccm_rdata_hi_any, 9, 9) @[lib.scala 172:36]
_T_3[5] <= _T_29 @[lib.scala 172:30]
node _T_30 = bits(dccm_rdata_hi_any, 10, 10) @[lib.scala 169:36]
_T[6] <= _T_30 @[lib.scala 169:30]
node _T_31 = bits(dccm_rdata_hi_any, 10, 10) @[lib.scala 170:36]
_T_1[6] <= _T_31 @[lib.scala 170:30]
node _T_32 = bits(dccm_rdata_hi_any, 10, 10) @[lib.scala 171:36]
_T_2[6] <= _T_32 @[lib.scala 171:30]
node _T_33 = bits(dccm_rdata_hi_any, 10, 10) @[lib.scala 172:36]
_T_3[6] <= _T_33 @[lib.scala 172:30]
node _T_34 = bits(dccm_rdata_hi_any, 11, 11) @[lib.scala 169:36]
_T[7] <= _T_34 @[lib.scala 169:30]
node _T_35 = bits(dccm_rdata_hi_any, 11, 11) @[lib.scala 173:36]
_T_4[0] <= _T_35 @[lib.scala 173:30]
node _T_36 = bits(dccm_rdata_hi_any, 12, 12) @[lib.scala 170:36]
_T_1[7] <= _T_36 @[lib.scala 170:30]
node _T_37 = bits(dccm_rdata_hi_any, 12, 12) @[lib.scala 173:36]
_T_4[1] <= _T_37 @[lib.scala 173:30]
node _T_38 = bits(dccm_rdata_hi_any, 13, 13) @[lib.scala 169:36]
_T[8] <= _T_38 @[lib.scala 169:30]
node _T_39 = bits(dccm_rdata_hi_any, 13, 13) @[lib.scala 170:36]
_T_1[8] <= _T_39 @[lib.scala 170:30]
node _T_40 = bits(dccm_rdata_hi_any, 13, 13) @[lib.scala 173:36]
_T_4[2] <= _T_40 @[lib.scala 173:30]
node _T_41 = bits(dccm_rdata_hi_any, 14, 14) @[lib.scala 171:36]
_T_2[7] <= _T_41 @[lib.scala 171:30]
node _T_42 = bits(dccm_rdata_hi_any, 14, 14) @[lib.scala 173:36]
_T_4[3] <= _T_42 @[lib.scala 173:30]
node _T_43 = bits(dccm_rdata_hi_any, 15, 15) @[lib.scala 169:36]
_T[9] <= _T_43 @[lib.scala 169:30]
node _T_44 = bits(dccm_rdata_hi_any, 15, 15) @[lib.scala 171:36]
_T_2[8] <= _T_44 @[lib.scala 171:30]
node _T_45 = bits(dccm_rdata_hi_any, 15, 15) @[lib.scala 173:36]
_T_4[4] <= _T_45 @[lib.scala 173:30]
node _T_46 = bits(dccm_rdata_hi_any, 16, 16) @[lib.scala 170:36]
_T_1[9] <= _T_46 @[lib.scala 170:30]
node _T_47 = bits(dccm_rdata_hi_any, 16, 16) @[lib.scala 171:36]
_T_2[9] <= _T_47 @[lib.scala 171:30]
node _T_48 = bits(dccm_rdata_hi_any, 16, 16) @[lib.scala 173:36]
_T_4[5] <= _T_48 @[lib.scala 173:30]
node _T_49 = bits(dccm_rdata_hi_any, 17, 17) @[lib.scala 169:36]
_T[10] <= _T_49 @[lib.scala 169:30]
node _T_50 = bits(dccm_rdata_hi_any, 17, 17) @[lib.scala 170:36]
_T_1[10] <= _T_50 @[lib.scala 170:30]
node _T_51 = bits(dccm_rdata_hi_any, 17, 17) @[lib.scala 171:36]
_T_2[10] <= _T_51 @[lib.scala 171:30]
node _T_52 = bits(dccm_rdata_hi_any, 17, 17) @[lib.scala 173:36]
_T_4[6] <= _T_52 @[lib.scala 173:30]
node _T_53 = bits(dccm_rdata_hi_any, 18, 18) @[lib.scala 172:36]
_T_3[7] <= _T_53 @[lib.scala 172:30]
node _T_54 = bits(dccm_rdata_hi_any, 18, 18) @[lib.scala 173:36]
_T_4[7] <= _T_54 @[lib.scala 173:30]
node _T_55 = bits(dccm_rdata_hi_any, 19, 19) @[lib.scala 169:36]
_T[11] <= _T_55 @[lib.scala 169:30]
node _T_56 = bits(dccm_rdata_hi_any, 19, 19) @[lib.scala 172:36]
_T_3[8] <= _T_56 @[lib.scala 172:30]
node _T_57 = bits(dccm_rdata_hi_any, 19, 19) @[lib.scala 173:36]
_T_4[8] <= _T_57 @[lib.scala 173:30]
node _T_58 = bits(dccm_rdata_hi_any, 20, 20) @[lib.scala 170:36]
_T_1[11] <= _T_58 @[lib.scala 170:30]
node _T_59 = bits(dccm_rdata_hi_any, 20, 20) @[lib.scala 172:36]
_T_3[9] <= _T_59 @[lib.scala 172:30]
node _T_60 = bits(dccm_rdata_hi_any, 20, 20) @[lib.scala 173:36]
_T_4[9] <= _T_60 @[lib.scala 173:30]
node _T_61 = bits(dccm_rdata_hi_any, 21, 21) @[lib.scala 169:36]
_T[12] <= _T_61 @[lib.scala 169:30]
node _T_62 = bits(dccm_rdata_hi_any, 21, 21) @[lib.scala 170:36]
_T_1[12] <= _T_62 @[lib.scala 170:30]
node _T_63 = bits(dccm_rdata_hi_any, 21, 21) @[lib.scala 172:36]
_T_3[10] <= _T_63 @[lib.scala 172:30]
node _T_64 = bits(dccm_rdata_hi_any, 21, 21) @[lib.scala 173:36]
_T_4[10] <= _T_64 @[lib.scala 173:30]
node _T_65 = bits(dccm_rdata_hi_any, 22, 22) @[lib.scala 171:36]
_T_2[11] <= _T_65 @[lib.scala 171:30]
node _T_66 = bits(dccm_rdata_hi_any, 22, 22) @[lib.scala 172:36]
_T_3[11] <= _T_66 @[lib.scala 172:30]
node _T_67 = bits(dccm_rdata_hi_any, 22, 22) @[lib.scala 173:36]
_T_4[11] <= _T_67 @[lib.scala 173:30]
node _T_68 = bits(dccm_rdata_hi_any, 23, 23) @[lib.scala 169:36]
_T[13] <= _T_68 @[lib.scala 169:30]
node _T_69 = bits(dccm_rdata_hi_any, 23, 23) @[lib.scala 171:36]
_T_2[12] <= _T_69 @[lib.scala 171:30]
node _T_70 = bits(dccm_rdata_hi_any, 23, 23) @[lib.scala 172:36]
_T_3[12] <= _T_70 @[lib.scala 172:30]
node _T_71 = bits(dccm_rdata_hi_any, 23, 23) @[lib.scala 173:36]
_T_4[12] <= _T_71 @[lib.scala 173:30]
node _T_72 = bits(dccm_rdata_hi_any, 24, 24) @[lib.scala 170:36]
_T_1[13] <= _T_72 @[lib.scala 170:30]
node _T_73 = bits(dccm_rdata_hi_any, 24, 24) @[lib.scala 171:36]
_T_2[13] <= _T_73 @[lib.scala 171:30]
node _T_74 = bits(dccm_rdata_hi_any, 24, 24) @[lib.scala 172:36]
_T_3[13] <= _T_74 @[lib.scala 172:30]
node _T_75 = bits(dccm_rdata_hi_any, 24, 24) @[lib.scala 173:36]
_T_4[13] <= _T_75 @[lib.scala 173:30]
node _T_76 = bits(dccm_rdata_hi_any, 25, 25) @[lib.scala 169:36]
_T[14] <= _T_76 @[lib.scala 169:30]
node _T_77 = bits(dccm_rdata_hi_any, 25, 25) @[lib.scala 170:36]
_T_1[14] <= _T_77 @[lib.scala 170:30]
node _T_78 = bits(dccm_rdata_hi_any, 25, 25) @[lib.scala 171:36]
_T_2[14] <= _T_78 @[lib.scala 171:30]
node _T_79 = bits(dccm_rdata_hi_any, 25, 25) @[lib.scala 172:36]
_T_3[14] <= _T_79 @[lib.scala 172:30]
node _T_80 = bits(dccm_rdata_hi_any, 25, 25) @[lib.scala 173:36]
_T_4[14] <= _T_80 @[lib.scala 173:30]
node _T_81 = bits(dccm_rdata_hi_any, 26, 26) @[lib.scala 169:36]
_T[15] <= _T_81 @[lib.scala 169:30]
node _T_82 = bits(dccm_rdata_hi_any, 26, 26) @[lib.scala 174:36]
_T_5[0] <= _T_82 @[lib.scala 174:30]
node _T_83 = bits(dccm_rdata_hi_any, 27, 27) @[lib.scala 170:36]
_T_1[15] <= _T_83 @[lib.scala 170:30]
node _T_84 = bits(dccm_rdata_hi_any, 27, 27) @[lib.scala 174:36]
_T_5[1] <= _T_84 @[lib.scala 174:30]
node _T_85 = bits(dccm_rdata_hi_any, 28, 28) @[lib.scala 169:36]
_T[16] <= _T_85 @[lib.scala 169:30]
node _T_86 = bits(dccm_rdata_hi_any, 28, 28) @[lib.scala 170:36]
_T_1[16] <= _T_86 @[lib.scala 170:30]
node _T_87 = bits(dccm_rdata_hi_any, 28, 28) @[lib.scala 174:36]
_T_5[2] <= _T_87 @[lib.scala 174:30]
node _T_88 = bits(dccm_rdata_hi_any, 29, 29) @[lib.scala 171:36]
_T_2[15] <= _T_88 @[lib.scala 171:30]
node _T_89 = bits(dccm_rdata_hi_any, 29, 29) @[lib.scala 174:36]
_T_5[3] <= _T_89 @[lib.scala 174:30]
node _T_90 = bits(dccm_rdata_hi_any, 30, 30) @[lib.scala 169:36]
_T[17] <= _T_90 @[lib.scala 169:30]
node _T_91 = bits(dccm_rdata_hi_any, 30, 30) @[lib.scala 171:36]
_T_2[16] <= _T_91 @[lib.scala 171:30]
node _T_92 = bits(dccm_rdata_hi_any, 30, 30) @[lib.scala 174:36]
_T_5[4] <= _T_92 @[lib.scala 174:30]
node _T_93 = bits(dccm_rdata_hi_any, 31, 31) @[lib.scala 170:36]
_T_1[17] <= _T_93 @[lib.scala 170:30]
node _T_94 = bits(dccm_rdata_hi_any, 31, 31) @[lib.scala 171:36]
_T_2[17] <= _T_94 @[lib.scala 171:30]
node _T_95 = bits(dccm_rdata_hi_any, 31, 31) @[lib.scala 174:36]
_T_5[5] <= _T_95 @[lib.scala 174:30]
node _T_96 = xorr(dccm_rdata_hi_any) @[lib.scala 177:30]
node _T_97 = xorr(dccm_data_ecc_hi_any) @[lib.scala 177:44]
node _T_98 = xor(_T_96, _T_97) @[lib.scala 177:35]
node _T_99 = not(UInt<1>("h00")) @[lib.scala 177:52]
node _T_100 = and(_T_98, _T_99) @[lib.scala 177:50]
node _T_101 = bits(dccm_data_ecc_hi_any, 5, 5) @[lib.scala 177:68]
node _T_102 = cat(_T_5[2], _T_5[1]) @[lib.scala 177:76]
node _T_103 = cat(_T_102, _T_5[0]) @[lib.scala 177:76]
node _T_104 = cat(_T_5[5], _T_5[4]) @[lib.scala 177:76]
node _T_105 = cat(_T_104, _T_5[3]) @[lib.scala 177:76]
node _T_106 = cat(_T_105, _T_103) @[lib.scala 177:76]
node _T_107 = xorr(_T_106) @[lib.scala 177:83]
node _T_108 = xor(_T_101, _T_107) @[lib.scala 177:71]
node _T_109 = bits(dccm_data_ecc_hi_any, 4, 4) @[lib.scala 177:95]
node _T_110 = cat(_T_4[2], _T_4[1]) @[lib.scala 177:103]
node _T_111 = cat(_T_110, _T_4[0]) @[lib.scala 177:103]
node _T_112 = cat(_T_4[4], _T_4[3]) @[lib.scala 177:103]
node _T_113 = cat(_T_4[6], _T_4[5]) @[lib.scala 177:103]
node _T_114 = cat(_T_113, _T_112) @[lib.scala 177:103]
node _T_115 = cat(_T_114, _T_111) @[lib.scala 177:103]
node _T_116 = cat(_T_4[8], _T_4[7]) @[lib.scala 177:103]
node _T_117 = cat(_T_4[10], _T_4[9]) @[lib.scala 177:103]
node _T_118 = cat(_T_117, _T_116) @[lib.scala 177:103]
node _T_119 = cat(_T_4[12], _T_4[11]) @[lib.scala 177:103]
node _T_120 = cat(_T_4[14], _T_4[13]) @[lib.scala 177:103]
node _T_121 = cat(_T_120, _T_119) @[lib.scala 177:103]
node _T_122 = cat(_T_121, _T_118) @[lib.scala 177:103]
node _T_123 = cat(_T_122, _T_115) @[lib.scala 177:103]
node _T_124 = xorr(_T_123) @[lib.scala 177:110]
node _T_125 = xor(_T_109, _T_124) @[lib.scala 177:98]
node _T_126 = bits(dccm_data_ecc_hi_any, 3, 3) @[lib.scala 177:122]
node _T_127 = cat(_T_3[2], _T_3[1]) @[lib.scala 177:130]
node _T_128 = cat(_T_127, _T_3[0]) @[lib.scala 177:130]
node _T_129 = cat(_T_3[4], _T_3[3]) @[lib.scala 177:130]
node _T_130 = cat(_T_3[6], _T_3[5]) @[lib.scala 177:130]
node _T_131 = cat(_T_130, _T_129) @[lib.scala 177:130]
node _T_132 = cat(_T_131, _T_128) @[lib.scala 177:130]
node _T_133 = cat(_T_3[8], _T_3[7]) @[lib.scala 177:130]
node _T_134 = cat(_T_3[10], _T_3[9]) @[lib.scala 177:130]
node _T_135 = cat(_T_134, _T_133) @[lib.scala 177:130]
node _T_136 = cat(_T_3[12], _T_3[11]) @[lib.scala 177:130]
node _T_137 = cat(_T_3[14], _T_3[13]) @[lib.scala 177:130]
node _T_138 = cat(_T_137, _T_136) @[lib.scala 177:130]
node _T_139 = cat(_T_138, _T_135) @[lib.scala 177:130]
node _T_140 = cat(_T_139, _T_132) @[lib.scala 177:130]
node _T_141 = xorr(_T_140) @[lib.scala 177:137]
node _T_142 = xor(_T_126, _T_141) @[lib.scala 177:125]
node _T_143 = bits(dccm_data_ecc_hi_any, 2, 2) @[lib.scala 177:149]
node _T_144 = cat(_T_2[1], _T_2[0]) @[lib.scala 177:157]
node _T_145 = cat(_T_2[3], _T_2[2]) @[lib.scala 177:157]
node _T_146 = cat(_T_145, _T_144) @[lib.scala 177:157]
node _T_147 = cat(_T_2[5], _T_2[4]) @[lib.scala 177:157]
node _T_148 = cat(_T_2[8], _T_2[7]) @[lib.scala 177:157]
node _T_149 = cat(_T_148, _T_2[6]) @[lib.scala 177:157]
node _T_150 = cat(_T_149, _T_147) @[lib.scala 177:157]
node _T_151 = cat(_T_150, _T_146) @[lib.scala 177:157]
node _T_152 = cat(_T_2[10], _T_2[9]) @[lib.scala 177:157]
node _T_153 = cat(_T_2[12], _T_2[11]) @[lib.scala 177:157]
node _T_154 = cat(_T_153, _T_152) @[lib.scala 177:157]
node _T_155 = cat(_T_2[14], _T_2[13]) @[lib.scala 177:157]
node _T_156 = cat(_T_2[17], _T_2[16]) @[lib.scala 177:157]
node _T_157 = cat(_T_156, _T_2[15]) @[lib.scala 177:157]
node _T_158 = cat(_T_157, _T_155) @[lib.scala 177:157]
node _T_159 = cat(_T_158, _T_154) @[lib.scala 177:157]
node _T_160 = cat(_T_159, _T_151) @[lib.scala 177:157]
node _T_161 = xorr(_T_160) @[lib.scala 177:164]
node _T_162 = xor(_T_143, _T_161) @[lib.scala 177:152]
node _T_163 = bits(dccm_data_ecc_hi_any, 1, 1) @[lib.scala 177:176]
node _T_164 = cat(_T_1[1], _T_1[0]) @[lib.scala 177:184]
node _T_165 = cat(_T_1[3], _T_1[2]) @[lib.scala 177:184]
node _T_166 = cat(_T_165, _T_164) @[lib.scala 177:184]
node _T_167 = cat(_T_1[5], _T_1[4]) @[lib.scala 177:184]
node _T_168 = cat(_T_1[8], _T_1[7]) @[lib.scala 177:184]
node _T_169 = cat(_T_168, _T_1[6]) @[lib.scala 177:184]
node _T_170 = cat(_T_169, _T_167) @[lib.scala 177:184]
node _T_171 = cat(_T_170, _T_166) @[lib.scala 177:184]
node _T_172 = cat(_T_1[10], _T_1[9]) @[lib.scala 177:184]
node _T_173 = cat(_T_1[12], _T_1[11]) @[lib.scala 177:184]
node _T_174 = cat(_T_173, _T_172) @[lib.scala 177:184]
node _T_175 = cat(_T_1[14], _T_1[13]) @[lib.scala 177:184]
node _T_176 = cat(_T_1[17], _T_1[16]) @[lib.scala 177:184]
node _T_177 = cat(_T_176, _T_1[15]) @[lib.scala 177:184]
node _T_178 = cat(_T_177, _T_175) @[lib.scala 177:184]
node _T_179 = cat(_T_178, _T_174) @[lib.scala 177:184]
node _T_180 = cat(_T_179, _T_171) @[lib.scala 177:184]
node _T_181 = xorr(_T_180) @[lib.scala 177:191]
node _T_182 = xor(_T_163, _T_181) @[lib.scala 177:179]
node _T_183 = bits(dccm_data_ecc_hi_any, 0, 0) @[lib.scala 177:203]
node _T_184 = cat(_T[1], _T[0]) @[lib.scala 177:211]
node _T_185 = cat(_T[3], _T[2]) @[lib.scala 177:211]
node _T_186 = cat(_T_185, _T_184) @[lib.scala 177:211]
node _T_187 = cat(_T[5], _T[4]) @[lib.scala 177:211]
node _T_188 = cat(_T[8], _T[7]) @[lib.scala 177:211]
node _T_189 = cat(_T_188, _T[6]) @[lib.scala 177:211]
node _T_190 = cat(_T_189, _T_187) @[lib.scala 177:211]
node _T_191 = cat(_T_190, _T_186) @[lib.scala 177:211]
node _T_192 = cat(_T[10], _T[9]) @[lib.scala 177:211]
node _T_193 = cat(_T[12], _T[11]) @[lib.scala 177:211]
node _T_194 = cat(_T_193, _T_192) @[lib.scala 177:211]
node _T_195 = cat(_T[14], _T[13]) @[lib.scala 177:211]
node _T_196 = cat(_T[17], _T[16]) @[lib.scala 177:211]
node _T_197 = cat(_T_196, _T[15]) @[lib.scala 177:211]
node _T_198 = cat(_T_197, _T_195) @[lib.scala 177:211]
node _T_199 = cat(_T_198, _T_194) @[lib.scala 177:211]
node _T_200 = cat(_T_199, _T_191) @[lib.scala 177:211]
node _T_201 = xorr(_T_200) @[lib.scala 177:218]
node _T_202 = xor(_T_183, _T_201) @[lib.scala 177:206]
node _T_203 = cat(_T_162, _T_182) @[Cat.scala 29:58]
node _T_204 = cat(_T_203, _T_202) @[Cat.scala 29:58]
node _T_205 = cat(_T_125, _T_142) @[Cat.scala 29:58]
node _T_206 = cat(_T_100, _T_108) @[Cat.scala 29:58]
node _T_207 = cat(_T_206, _T_205) @[Cat.scala 29:58]
node _T_208 = cat(_T_207, _T_204) @[Cat.scala 29:58]
node _T_209 = neq(_T_208, UInt<1>("h00")) @[lib.scala 178:44]
node _T_210 = and(is_ldst_hi_any, _T_209) @[lib.scala 178:32]
node _T_211 = bits(_T_208, 6, 6) @[lib.scala 178:64]
node single_ecc_error_hi_any = and(_T_210, _T_211) @[lib.scala 178:53]
node _T_212 = neq(_T_208, UInt<1>("h00")) @[lib.scala 179:44]
node _T_213 = and(is_ldst_hi_any, _T_212) @[lib.scala 179:32]
node _T_214 = bits(_T_208, 6, 6) @[lib.scala 179:65]
node _T_215 = not(_T_214) @[lib.scala 179:55]
node double_ecc_error_hi_any = and(_T_213, _T_215) @[lib.scala 179:53]
wire _T_216 : UInt<1>[39] @[lib.scala 180:26]
node _T_217 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_218 = eq(_T_217, UInt<1>("h01")) @[lib.scala 183:41]
_T_216[0] <= _T_218 @[lib.scala 183:23]
node _T_219 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_220 = eq(_T_219, UInt<2>("h02")) @[lib.scala 183:41]
_T_216[1] <= _T_220 @[lib.scala 183:23]
node _T_221 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_222 = eq(_T_221, UInt<2>("h03")) @[lib.scala 183:41]
_T_216[2] <= _T_222 @[lib.scala 183:23]
node _T_223 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_224 = eq(_T_223, UInt<3>("h04")) @[lib.scala 183:41]
_T_216[3] <= _T_224 @[lib.scala 183:23]
node _T_225 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_226 = eq(_T_225, UInt<3>("h05")) @[lib.scala 183:41]
_T_216[4] <= _T_226 @[lib.scala 183:23]
node _T_227 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_228 = eq(_T_227, UInt<3>("h06")) @[lib.scala 183:41]
_T_216[5] <= _T_228 @[lib.scala 183:23]
node _T_229 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_230 = eq(_T_229, UInt<3>("h07")) @[lib.scala 183:41]
_T_216[6] <= _T_230 @[lib.scala 183:23]
node _T_231 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_232 = eq(_T_231, UInt<4>("h08")) @[lib.scala 183:41]
_T_216[7] <= _T_232 @[lib.scala 183:23]
node _T_233 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_234 = eq(_T_233, UInt<4>("h09")) @[lib.scala 183:41]
_T_216[8] <= _T_234 @[lib.scala 183:23]
node _T_235 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_236 = eq(_T_235, UInt<4>("h0a")) @[lib.scala 183:41]
_T_216[9] <= _T_236 @[lib.scala 183:23]
node _T_237 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_238 = eq(_T_237, UInt<4>("h0b")) @[lib.scala 183:41]
_T_216[10] <= _T_238 @[lib.scala 183:23]
node _T_239 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_240 = eq(_T_239, UInt<4>("h0c")) @[lib.scala 183:41]
_T_216[11] <= _T_240 @[lib.scala 183:23]
node _T_241 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_242 = eq(_T_241, UInt<4>("h0d")) @[lib.scala 183:41]
_T_216[12] <= _T_242 @[lib.scala 183:23]
node _T_243 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_244 = eq(_T_243, UInt<4>("h0e")) @[lib.scala 183:41]
_T_216[13] <= _T_244 @[lib.scala 183:23]
node _T_245 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_246 = eq(_T_245, UInt<4>("h0f")) @[lib.scala 183:41]
_T_216[14] <= _T_246 @[lib.scala 183:23]
node _T_247 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_248 = eq(_T_247, UInt<5>("h010")) @[lib.scala 183:41]
_T_216[15] <= _T_248 @[lib.scala 183:23]
node _T_249 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_250 = eq(_T_249, UInt<5>("h011")) @[lib.scala 183:41]
_T_216[16] <= _T_250 @[lib.scala 183:23]
node _T_251 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_252 = eq(_T_251, UInt<5>("h012")) @[lib.scala 183:41]
_T_216[17] <= _T_252 @[lib.scala 183:23]
node _T_253 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_254 = eq(_T_253, UInt<5>("h013")) @[lib.scala 183:41]
_T_216[18] <= _T_254 @[lib.scala 183:23]
node _T_255 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_256 = eq(_T_255, UInt<5>("h014")) @[lib.scala 183:41]
_T_216[19] <= _T_256 @[lib.scala 183:23]
node _T_257 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_258 = eq(_T_257, UInt<5>("h015")) @[lib.scala 183:41]
_T_216[20] <= _T_258 @[lib.scala 183:23]
node _T_259 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_260 = eq(_T_259, UInt<5>("h016")) @[lib.scala 183:41]
_T_216[21] <= _T_260 @[lib.scala 183:23]
node _T_261 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_262 = eq(_T_261, UInt<5>("h017")) @[lib.scala 183:41]
_T_216[22] <= _T_262 @[lib.scala 183:23]
node _T_263 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_264 = eq(_T_263, UInt<5>("h018")) @[lib.scala 183:41]
_T_216[23] <= _T_264 @[lib.scala 183:23]
node _T_265 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_266 = eq(_T_265, UInt<5>("h019")) @[lib.scala 183:41]
_T_216[24] <= _T_266 @[lib.scala 183:23]
node _T_267 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_268 = eq(_T_267, UInt<5>("h01a")) @[lib.scala 183:41]
_T_216[25] <= _T_268 @[lib.scala 183:23]
node _T_269 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_270 = eq(_T_269, UInt<5>("h01b")) @[lib.scala 183:41]
_T_216[26] <= _T_270 @[lib.scala 183:23]
node _T_271 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_272 = eq(_T_271, UInt<5>("h01c")) @[lib.scala 183:41]
_T_216[27] <= _T_272 @[lib.scala 183:23]
node _T_273 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_274 = eq(_T_273, UInt<5>("h01d")) @[lib.scala 183:41]
_T_216[28] <= _T_274 @[lib.scala 183:23]
node _T_275 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_276 = eq(_T_275, UInt<5>("h01e")) @[lib.scala 183:41]
_T_216[29] <= _T_276 @[lib.scala 183:23]
node _T_277 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_278 = eq(_T_277, UInt<5>("h01f")) @[lib.scala 183:41]
_T_216[30] <= _T_278 @[lib.scala 183:23]
node _T_279 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_280 = eq(_T_279, UInt<6>("h020")) @[lib.scala 183:41]
_T_216[31] <= _T_280 @[lib.scala 183:23]
node _T_281 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_282 = eq(_T_281, UInt<6>("h021")) @[lib.scala 183:41]
_T_216[32] <= _T_282 @[lib.scala 183:23]
node _T_283 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_284 = eq(_T_283, UInt<6>("h022")) @[lib.scala 183:41]
_T_216[33] <= _T_284 @[lib.scala 183:23]
node _T_285 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_286 = eq(_T_285, UInt<6>("h023")) @[lib.scala 183:41]
_T_216[34] <= _T_286 @[lib.scala 183:23]
node _T_287 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_288 = eq(_T_287, UInt<6>("h024")) @[lib.scala 183:41]
_T_216[35] <= _T_288 @[lib.scala 183:23]
node _T_289 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_290 = eq(_T_289, UInt<6>("h025")) @[lib.scala 183:41]
_T_216[36] <= _T_290 @[lib.scala 183:23]
node _T_291 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_292 = eq(_T_291, UInt<6>("h026")) @[lib.scala 183:41]
_T_216[37] <= _T_292 @[lib.scala 183:23]
node _T_293 = bits(_T_208, 5, 0) @[lib.scala 183:35]
node _T_294 = eq(_T_293, UInt<6>("h027")) @[lib.scala 183:41]
_T_216[38] <= _T_294 @[lib.scala 183:23]
node _T_295 = bits(dccm_data_ecc_hi_any, 6, 6) @[lib.scala 185:37]
node _T_296 = bits(dccm_rdata_hi_any, 31, 26) @[lib.scala 185:45]
node _T_297 = bits(dccm_data_ecc_hi_any, 5, 5) @[lib.scala 185:60]
node _T_298 = bits(dccm_rdata_hi_any, 25, 11) @[lib.scala 185:68]
node _T_299 = bits(dccm_data_ecc_hi_any, 4, 4) @[lib.scala 185:83]
node _T_300 = bits(dccm_rdata_hi_any, 10, 4) @[lib.scala 185:91]
node _T_301 = bits(dccm_data_ecc_hi_any, 3, 3) @[lib.scala 185:105]
node _T_302 = bits(dccm_rdata_hi_any, 3, 1) @[lib.scala 185:113]
node _T_303 = bits(dccm_data_ecc_hi_any, 2, 2) @[lib.scala 185:126]
node _T_304 = bits(dccm_rdata_hi_any, 0, 0) @[lib.scala 185:134]
node _T_305 = bits(dccm_data_ecc_hi_any, 1, 0) @[lib.scala 185:145]
node _T_306 = cat(_T_304, _T_305) @[Cat.scala 29:58]
node _T_307 = cat(_T_301, _T_302) @[Cat.scala 29:58]
node _T_308 = cat(_T_307, _T_303) @[Cat.scala 29:58]
node _T_309 = cat(_T_308, _T_306) @[Cat.scala 29:58]
node _T_310 = cat(_T_298, _T_299) @[Cat.scala 29:58]
node _T_311 = cat(_T_310, _T_300) @[Cat.scala 29:58]
node _T_312 = cat(_T_295, _T_296) @[Cat.scala 29:58]
node _T_313 = cat(_T_312, _T_297) @[Cat.scala 29:58]
node _T_314 = cat(_T_313, _T_311) @[Cat.scala 29:58]
node _T_315 = cat(_T_314, _T_309) @[Cat.scala 29:58]
node _T_316 = bits(single_ecc_error_hi_any, 0, 0) @[lib.scala 186:49]
node _T_317 = cat(_T_216[1], _T_216[0]) @[lib.scala 186:69]
node _T_318 = cat(_T_216[3], _T_216[2]) @[lib.scala 186:69]
node _T_319 = cat(_T_318, _T_317) @[lib.scala 186:69]
node _T_320 = cat(_T_216[5], _T_216[4]) @[lib.scala 186:69]
node _T_321 = cat(_T_216[8], _T_216[7]) @[lib.scala 186:69]
node _T_322 = cat(_T_321, _T_216[6]) @[lib.scala 186:69]
node _T_323 = cat(_T_322, _T_320) @[lib.scala 186:69]
node _T_324 = cat(_T_323, _T_319) @[lib.scala 186:69]
node _T_325 = cat(_T_216[10], _T_216[9]) @[lib.scala 186:69]
node _T_326 = cat(_T_216[13], _T_216[12]) @[lib.scala 186:69]
node _T_327 = cat(_T_326, _T_216[11]) @[lib.scala 186:69]
node _T_328 = cat(_T_327, _T_325) @[lib.scala 186:69]
node _T_329 = cat(_T_216[15], _T_216[14]) @[lib.scala 186:69]
node _T_330 = cat(_T_216[18], _T_216[17]) @[lib.scala 186:69]
node _T_331 = cat(_T_330, _T_216[16]) @[lib.scala 186:69]
node _T_332 = cat(_T_331, _T_329) @[lib.scala 186:69]
node _T_333 = cat(_T_332, _T_328) @[lib.scala 186:69]
node _T_334 = cat(_T_333, _T_324) @[lib.scala 186:69]
node _T_335 = cat(_T_216[20], _T_216[19]) @[lib.scala 186:69]
node _T_336 = cat(_T_216[23], _T_216[22]) @[lib.scala 186:69]
node _T_337 = cat(_T_336, _T_216[21]) @[lib.scala 186:69]
node _T_338 = cat(_T_337, _T_335) @[lib.scala 186:69]
node _T_339 = cat(_T_216[25], _T_216[24]) @[lib.scala 186:69]
node _T_340 = cat(_T_216[28], _T_216[27]) @[lib.scala 186:69]
node _T_341 = cat(_T_340, _T_216[26]) @[lib.scala 186:69]
node _T_342 = cat(_T_341, _T_339) @[lib.scala 186:69]
node _T_343 = cat(_T_342, _T_338) @[lib.scala 186:69]
node _T_344 = cat(_T_216[30], _T_216[29]) @[lib.scala 186:69]
node _T_345 = cat(_T_216[33], _T_216[32]) @[lib.scala 186:69]
node _T_346 = cat(_T_345, _T_216[31]) @[lib.scala 186:69]
node _T_347 = cat(_T_346, _T_344) @[lib.scala 186:69]
node _T_348 = cat(_T_216[35], _T_216[34]) @[lib.scala 186:69]
node _T_349 = cat(_T_216[38], _T_216[37]) @[lib.scala 186:69]
node _T_350 = cat(_T_349, _T_216[36]) @[lib.scala 186:69]
node _T_351 = cat(_T_350, _T_348) @[lib.scala 186:69]
node _T_352 = cat(_T_351, _T_347) @[lib.scala 186:69]
node _T_353 = cat(_T_352, _T_343) @[lib.scala 186:69]
node _T_354 = cat(_T_353, _T_334) @[lib.scala 186:69]
node _T_355 = xor(_T_354, _T_315) @[lib.scala 186:76]
node _T_356 = mux(_T_316, _T_355, _T_315) @[lib.scala 186:31]
node _T_357 = bits(_T_356, 37, 32) @[lib.scala 188:37]
node _T_358 = bits(_T_356, 30, 16) @[lib.scala 188:61]
node _T_359 = bits(_T_356, 14, 8) @[lib.scala 188:86]
node _T_360 = bits(_T_356, 6, 4) @[lib.scala 188:110]
node _T_361 = bits(_T_356, 2, 2) @[lib.scala 188:133]
node _T_362 = cat(_T_360, _T_361) @[Cat.scala 29:58]
node _T_363 = cat(_T_357, _T_358) @[Cat.scala 29:58]
node _T_364 = cat(_T_363, _T_359) @[Cat.scala 29:58]
node sec_data_hi_any = cat(_T_364, _T_362) @[Cat.scala 29:58]
node _T_365 = bits(_T_356, 38, 38) @[lib.scala 189:39]
node _T_366 = bits(_T_208, 6, 0) @[lib.scala 189:56]
node _T_367 = eq(_T_366, UInt<7>("h040")) @[lib.scala 189:62]
node _T_368 = xor(_T_365, _T_367) @[lib.scala 189:44]
node _T_369 = bits(_T_356, 31, 31) @[lib.scala 189:102]
node _T_370 = bits(_T_356, 15, 15) @[lib.scala 189:124]
node _T_371 = bits(_T_356, 7, 7) @[lib.scala 189:146]
node _T_372 = bits(_T_356, 3, 3) @[lib.scala 189:167]
node _T_373 = bits(_T_356, 1, 0) @[lib.scala 189:188]
node _T_374 = cat(_T_371, _T_372) @[Cat.scala 29:58]
node _T_375 = cat(_T_374, _T_373) @[Cat.scala 29:58]
node _T_376 = cat(_T_368, _T_369) @[Cat.scala 29:58]
node _T_377 = cat(_T_376, _T_370) @[Cat.scala 29:58]
node ecc_out_hi_nc = cat(_T_377, _T_375) @[Cat.scala 29:58]
wire _T_378 : UInt<1>[18] @[lib.scala 157:18]
wire _T_379 : UInt<1>[18] @[lib.scala 158:18]
wire _T_380 : UInt<1>[18] @[lib.scala 159:18]
wire _T_381 : UInt<1>[15] @[lib.scala 160:18]
wire _T_382 : UInt<1>[15] @[lib.scala 161:18]
wire _T_383 : UInt<1>[6] @[lib.scala 162:18]
node _T_384 = bits(dccm_rdata_lo_any, 0, 0) @[lib.scala 169:36]
_T_378[0] <= _T_384 @[lib.scala 169:30]
node _T_385 = bits(dccm_rdata_lo_any, 0, 0) @[lib.scala 170:36]
_T_379[0] <= _T_385 @[lib.scala 170:30]
node _T_386 = bits(dccm_rdata_lo_any, 1, 1) @[lib.scala 169:36]
_T_378[1] <= _T_386 @[lib.scala 169:30]
node _T_387 = bits(dccm_rdata_lo_any, 1, 1) @[lib.scala 171:36]
_T_380[0] <= _T_387 @[lib.scala 171:30]
node _T_388 = bits(dccm_rdata_lo_any, 2, 2) @[lib.scala 170:36]
_T_379[1] <= _T_388 @[lib.scala 170:30]
node _T_389 = bits(dccm_rdata_lo_any, 2, 2) @[lib.scala 171:36]
_T_380[1] <= _T_389 @[lib.scala 171:30]
node _T_390 = bits(dccm_rdata_lo_any, 3, 3) @[lib.scala 169:36]
_T_378[2] <= _T_390 @[lib.scala 169:30]
node _T_391 = bits(dccm_rdata_lo_any, 3, 3) @[lib.scala 170:36]
_T_379[2] <= _T_391 @[lib.scala 170:30]
node _T_392 = bits(dccm_rdata_lo_any, 3, 3) @[lib.scala 171:36]
_T_380[2] <= _T_392 @[lib.scala 171:30]
node _T_393 = bits(dccm_rdata_lo_any, 4, 4) @[lib.scala 169:36]
_T_378[3] <= _T_393 @[lib.scala 169:30]
node _T_394 = bits(dccm_rdata_lo_any, 4, 4) @[lib.scala 172:36]
_T_381[0] <= _T_394 @[lib.scala 172:30]
node _T_395 = bits(dccm_rdata_lo_any, 5, 5) @[lib.scala 170:36]
_T_379[3] <= _T_395 @[lib.scala 170:30]
node _T_396 = bits(dccm_rdata_lo_any, 5, 5) @[lib.scala 172:36]
_T_381[1] <= _T_396 @[lib.scala 172:30]
node _T_397 = bits(dccm_rdata_lo_any, 6, 6) @[lib.scala 169:36]
_T_378[4] <= _T_397 @[lib.scala 169:30]
node _T_398 = bits(dccm_rdata_lo_any, 6, 6) @[lib.scala 170:36]
_T_379[4] <= _T_398 @[lib.scala 170:30]
node _T_399 = bits(dccm_rdata_lo_any, 6, 6) @[lib.scala 172:36]
_T_381[2] <= _T_399 @[lib.scala 172:30]
node _T_400 = bits(dccm_rdata_lo_any, 7, 7) @[lib.scala 171:36]
_T_380[3] <= _T_400 @[lib.scala 171:30]
node _T_401 = bits(dccm_rdata_lo_any, 7, 7) @[lib.scala 172:36]
_T_381[3] <= _T_401 @[lib.scala 172:30]
node _T_402 = bits(dccm_rdata_lo_any, 8, 8) @[lib.scala 169:36]
_T_378[5] <= _T_402 @[lib.scala 169:30]
node _T_403 = bits(dccm_rdata_lo_any, 8, 8) @[lib.scala 171:36]
_T_380[4] <= _T_403 @[lib.scala 171:30]
node _T_404 = bits(dccm_rdata_lo_any, 8, 8) @[lib.scala 172:36]
_T_381[4] <= _T_404 @[lib.scala 172:30]
node _T_405 = bits(dccm_rdata_lo_any, 9, 9) @[lib.scala 170:36]
_T_379[5] <= _T_405 @[lib.scala 170:30]
node _T_406 = bits(dccm_rdata_lo_any, 9, 9) @[lib.scala 171:36]
_T_380[5] <= _T_406 @[lib.scala 171:30]
node _T_407 = bits(dccm_rdata_lo_any, 9, 9) @[lib.scala 172:36]
_T_381[5] <= _T_407 @[lib.scala 172:30]
node _T_408 = bits(dccm_rdata_lo_any, 10, 10) @[lib.scala 169:36]
_T_378[6] <= _T_408 @[lib.scala 169:30]
node _T_409 = bits(dccm_rdata_lo_any, 10, 10) @[lib.scala 170:36]
_T_379[6] <= _T_409 @[lib.scala 170:30]
node _T_410 = bits(dccm_rdata_lo_any, 10, 10) @[lib.scala 171:36]
_T_380[6] <= _T_410 @[lib.scala 171:30]
node _T_411 = bits(dccm_rdata_lo_any, 10, 10) @[lib.scala 172:36]
_T_381[6] <= _T_411 @[lib.scala 172:30]
node _T_412 = bits(dccm_rdata_lo_any, 11, 11) @[lib.scala 169:36]
_T_378[7] <= _T_412 @[lib.scala 169:30]
node _T_413 = bits(dccm_rdata_lo_any, 11, 11) @[lib.scala 173:36]
_T_382[0] <= _T_413 @[lib.scala 173:30]
node _T_414 = bits(dccm_rdata_lo_any, 12, 12) @[lib.scala 170:36]
_T_379[7] <= _T_414 @[lib.scala 170:30]
node _T_415 = bits(dccm_rdata_lo_any, 12, 12) @[lib.scala 173:36]
_T_382[1] <= _T_415 @[lib.scala 173:30]
node _T_416 = bits(dccm_rdata_lo_any, 13, 13) @[lib.scala 169:36]
_T_378[8] <= _T_416 @[lib.scala 169:30]
node _T_417 = bits(dccm_rdata_lo_any, 13, 13) @[lib.scala 170:36]
_T_379[8] <= _T_417 @[lib.scala 170:30]
node _T_418 = bits(dccm_rdata_lo_any, 13, 13) @[lib.scala 173:36]
_T_382[2] <= _T_418 @[lib.scala 173:30]
node _T_419 = bits(dccm_rdata_lo_any, 14, 14) @[lib.scala 171:36]
_T_380[7] <= _T_419 @[lib.scala 171:30]
node _T_420 = bits(dccm_rdata_lo_any, 14, 14) @[lib.scala 173:36]
_T_382[3] <= _T_420 @[lib.scala 173:30]
node _T_421 = bits(dccm_rdata_lo_any, 15, 15) @[lib.scala 169:36]
_T_378[9] <= _T_421 @[lib.scala 169:30]
node _T_422 = bits(dccm_rdata_lo_any, 15, 15) @[lib.scala 171:36]
_T_380[8] <= _T_422 @[lib.scala 171:30]
node _T_423 = bits(dccm_rdata_lo_any, 15, 15) @[lib.scala 173:36]
_T_382[4] <= _T_423 @[lib.scala 173:30]
node _T_424 = bits(dccm_rdata_lo_any, 16, 16) @[lib.scala 170:36]
_T_379[9] <= _T_424 @[lib.scala 170:30]
node _T_425 = bits(dccm_rdata_lo_any, 16, 16) @[lib.scala 171:36]
_T_380[9] <= _T_425 @[lib.scala 171:30]
node _T_426 = bits(dccm_rdata_lo_any, 16, 16) @[lib.scala 173:36]
_T_382[5] <= _T_426 @[lib.scala 173:30]
node _T_427 = bits(dccm_rdata_lo_any, 17, 17) @[lib.scala 169:36]
_T_378[10] <= _T_427 @[lib.scala 169:30]
node _T_428 = bits(dccm_rdata_lo_any, 17, 17) @[lib.scala 170:36]
_T_379[10] <= _T_428 @[lib.scala 170:30]
node _T_429 = bits(dccm_rdata_lo_any, 17, 17) @[lib.scala 171:36]
_T_380[10] <= _T_429 @[lib.scala 171:30]
node _T_430 = bits(dccm_rdata_lo_any, 17, 17) @[lib.scala 173:36]
_T_382[6] <= _T_430 @[lib.scala 173:30]
node _T_431 = bits(dccm_rdata_lo_any, 18, 18) @[lib.scala 172:36]
_T_381[7] <= _T_431 @[lib.scala 172:30]
node _T_432 = bits(dccm_rdata_lo_any, 18, 18) @[lib.scala 173:36]
_T_382[7] <= _T_432 @[lib.scala 173:30]
node _T_433 = bits(dccm_rdata_lo_any, 19, 19) @[lib.scala 169:36]
_T_378[11] <= _T_433 @[lib.scala 169:30]
node _T_434 = bits(dccm_rdata_lo_any, 19, 19) @[lib.scala 172:36]
_T_381[8] <= _T_434 @[lib.scala 172:30]
node _T_435 = bits(dccm_rdata_lo_any, 19, 19) @[lib.scala 173:36]
_T_382[8] <= _T_435 @[lib.scala 173:30]
node _T_436 = bits(dccm_rdata_lo_any, 20, 20) @[lib.scala 170:36]
_T_379[11] <= _T_436 @[lib.scala 170:30]
node _T_437 = bits(dccm_rdata_lo_any, 20, 20) @[lib.scala 172:36]
_T_381[9] <= _T_437 @[lib.scala 172:30]
node _T_438 = bits(dccm_rdata_lo_any, 20, 20) @[lib.scala 173:36]
_T_382[9] <= _T_438 @[lib.scala 173:30]
node _T_439 = bits(dccm_rdata_lo_any, 21, 21) @[lib.scala 169:36]
_T_378[12] <= _T_439 @[lib.scala 169:30]
node _T_440 = bits(dccm_rdata_lo_any, 21, 21) @[lib.scala 170:36]
_T_379[12] <= _T_440 @[lib.scala 170:30]
node _T_441 = bits(dccm_rdata_lo_any, 21, 21) @[lib.scala 172:36]
_T_381[10] <= _T_441 @[lib.scala 172:30]
node _T_442 = bits(dccm_rdata_lo_any, 21, 21) @[lib.scala 173:36]
_T_382[10] <= _T_442 @[lib.scala 173:30]
node _T_443 = bits(dccm_rdata_lo_any, 22, 22) @[lib.scala 171:36]
_T_380[11] <= _T_443 @[lib.scala 171:30]
node _T_444 = bits(dccm_rdata_lo_any, 22, 22) @[lib.scala 172:36]
_T_381[11] <= _T_444 @[lib.scala 172:30]
node _T_445 = bits(dccm_rdata_lo_any, 22, 22) @[lib.scala 173:36]
_T_382[11] <= _T_445 @[lib.scala 173:30]
node _T_446 = bits(dccm_rdata_lo_any, 23, 23) @[lib.scala 169:36]
_T_378[13] <= _T_446 @[lib.scala 169:30]
node _T_447 = bits(dccm_rdata_lo_any, 23, 23) @[lib.scala 171:36]
_T_380[12] <= _T_447 @[lib.scala 171:30]
node _T_448 = bits(dccm_rdata_lo_any, 23, 23) @[lib.scala 172:36]
_T_381[12] <= _T_448 @[lib.scala 172:30]
node _T_449 = bits(dccm_rdata_lo_any, 23, 23) @[lib.scala 173:36]
_T_382[12] <= _T_449 @[lib.scala 173:30]
node _T_450 = bits(dccm_rdata_lo_any, 24, 24) @[lib.scala 170:36]
_T_379[13] <= _T_450 @[lib.scala 170:30]
node _T_451 = bits(dccm_rdata_lo_any, 24, 24) @[lib.scala 171:36]
_T_380[13] <= _T_451 @[lib.scala 171:30]
node _T_452 = bits(dccm_rdata_lo_any, 24, 24) @[lib.scala 172:36]
_T_381[13] <= _T_452 @[lib.scala 172:30]
node _T_453 = bits(dccm_rdata_lo_any, 24, 24) @[lib.scala 173:36]
_T_382[13] <= _T_453 @[lib.scala 173:30]
node _T_454 = bits(dccm_rdata_lo_any, 25, 25) @[lib.scala 169:36]
_T_378[14] <= _T_454 @[lib.scala 169:30]
node _T_455 = bits(dccm_rdata_lo_any, 25, 25) @[lib.scala 170:36]
_T_379[14] <= _T_455 @[lib.scala 170:30]
node _T_456 = bits(dccm_rdata_lo_any, 25, 25) @[lib.scala 171:36]
_T_380[14] <= _T_456 @[lib.scala 171:30]
node _T_457 = bits(dccm_rdata_lo_any, 25, 25) @[lib.scala 172:36]
_T_381[14] <= _T_457 @[lib.scala 172:30]
node _T_458 = bits(dccm_rdata_lo_any, 25, 25) @[lib.scala 173:36]
_T_382[14] <= _T_458 @[lib.scala 173:30]
node _T_459 = bits(dccm_rdata_lo_any, 26, 26) @[lib.scala 169:36]
_T_378[15] <= _T_459 @[lib.scala 169:30]
node _T_460 = bits(dccm_rdata_lo_any, 26, 26) @[lib.scala 174:36]
_T_383[0] <= _T_460 @[lib.scala 174:30]
node _T_461 = bits(dccm_rdata_lo_any, 27, 27) @[lib.scala 170:36]
_T_379[15] <= _T_461 @[lib.scala 170:30]
node _T_462 = bits(dccm_rdata_lo_any, 27, 27) @[lib.scala 174:36]
_T_383[1] <= _T_462 @[lib.scala 174:30]
node _T_463 = bits(dccm_rdata_lo_any, 28, 28) @[lib.scala 169:36]
_T_378[16] <= _T_463 @[lib.scala 169:30]
node _T_464 = bits(dccm_rdata_lo_any, 28, 28) @[lib.scala 170:36]
_T_379[16] <= _T_464 @[lib.scala 170:30]
node _T_465 = bits(dccm_rdata_lo_any, 28, 28) @[lib.scala 174:36]
_T_383[2] <= _T_465 @[lib.scala 174:30]
node _T_466 = bits(dccm_rdata_lo_any, 29, 29) @[lib.scala 171:36]
_T_380[15] <= _T_466 @[lib.scala 171:30]
node _T_467 = bits(dccm_rdata_lo_any, 29, 29) @[lib.scala 174:36]
_T_383[3] <= _T_467 @[lib.scala 174:30]
node _T_468 = bits(dccm_rdata_lo_any, 30, 30) @[lib.scala 169:36]
_T_378[17] <= _T_468 @[lib.scala 169:30]
node _T_469 = bits(dccm_rdata_lo_any, 30, 30) @[lib.scala 171:36]
_T_380[16] <= _T_469 @[lib.scala 171:30]
node _T_470 = bits(dccm_rdata_lo_any, 30, 30) @[lib.scala 174:36]
_T_383[4] <= _T_470 @[lib.scala 174:30]
node _T_471 = bits(dccm_rdata_lo_any, 31, 31) @[lib.scala 170:36]
_T_379[17] <= _T_471 @[lib.scala 170:30]
node _T_472 = bits(dccm_rdata_lo_any, 31, 31) @[lib.scala 171:36]
_T_380[17] <= _T_472 @[lib.scala 171:30]
node _T_473 = bits(dccm_rdata_lo_any, 31, 31) @[lib.scala 174:36]
_T_383[5] <= _T_473 @[lib.scala 174:30]
node _T_474 = xorr(dccm_rdata_lo_any) @[lib.scala 177:30]
node _T_475 = xorr(dccm_data_ecc_lo_any) @[lib.scala 177:44]
node _T_476 = xor(_T_474, _T_475) @[lib.scala 177:35]
node _T_477 = not(UInt<1>("h00")) @[lib.scala 177:52]
node _T_478 = and(_T_476, _T_477) @[lib.scala 177:50]
node _T_479 = bits(dccm_data_ecc_lo_any, 5, 5) @[lib.scala 177:68]
node _T_480 = cat(_T_383[2], _T_383[1]) @[lib.scala 177:76]
node _T_481 = cat(_T_480, _T_383[0]) @[lib.scala 177:76]
node _T_482 = cat(_T_383[5], _T_383[4]) @[lib.scala 177:76]
node _T_483 = cat(_T_482, _T_383[3]) @[lib.scala 177:76]
node _T_484 = cat(_T_483, _T_481) @[lib.scala 177:76]
node _T_485 = xorr(_T_484) @[lib.scala 177:83]
node _T_486 = xor(_T_479, _T_485) @[lib.scala 177:71]
node _T_487 = bits(dccm_data_ecc_lo_any, 4, 4) @[lib.scala 177:95]
node _T_488 = cat(_T_382[2], _T_382[1]) @[lib.scala 177:103]
node _T_489 = cat(_T_488, _T_382[0]) @[lib.scala 177:103]
node _T_490 = cat(_T_382[4], _T_382[3]) @[lib.scala 177:103]
node _T_491 = cat(_T_382[6], _T_382[5]) @[lib.scala 177:103]
node _T_492 = cat(_T_491, _T_490) @[lib.scala 177:103]
node _T_493 = cat(_T_492, _T_489) @[lib.scala 177:103]
node _T_494 = cat(_T_382[8], _T_382[7]) @[lib.scala 177:103]
node _T_495 = cat(_T_382[10], _T_382[9]) @[lib.scala 177:103]
node _T_496 = cat(_T_495, _T_494) @[lib.scala 177:103]
node _T_497 = cat(_T_382[12], _T_382[11]) @[lib.scala 177:103]
node _T_498 = cat(_T_382[14], _T_382[13]) @[lib.scala 177:103]
node _T_499 = cat(_T_498, _T_497) @[lib.scala 177:103]
node _T_500 = cat(_T_499, _T_496) @[lib.scala 177:103]
node _T_501 = cat(_T_500, _T_493) @[lib.scala 177:103]
node _T_502 = xorr(_T_501) @[lib.scala 177:110]
node _T_503 = xor(_T_487, _T_502) @[lib.scala 177:98]
node _T_504 = bits(dccm_data_ecc_lo_any, 3, 3) @[lib.scala 177:122]
node _T_505 = cat(_T_381[2], _T_381[1]) @[lib.scala 177:130]
node _T_506 = cat(_T_505, _T_381[0]) @[lib.scala 177:130]
node _T_507 = cat(_T_381[4], _T_381[3]) @[lib.scala 177:130]
node _T_508 = cat(_T_381[6], _T_381[5]) @[lib.scala 177:130]
node _T_509 = cat(_T_508, _T_507) @[lib.scala 177:130]
node _T_510 = cat(_T_509, _T_506) @[lib.scala 177:130]
node _T_511 = cat(_T_381[8], _T_381[7]) @[lib.scala 177:130]
node _T_512 = cat(_T_381[10], _T_381[9]) @[lib.scala 177:130]
node _T_513 = cat(_T_512, _T_511) @[lib.scala 177:130]
node _T_514 = cat(_T_381[12], _T_381[11]) @[lib.scala 177:130]
node _T_515 = cat(_T_381[14], _T_381[13]) @[lib.scala 177:130]
node _T_516 = cat(_T_515, _T_514) @[lib.scala 177:130]
node _T_517 = cat(_T_516, _T_513) @[lib.scala 177:130]
node _T_518 = cat(_T_517, _T_510) @[lib.scala 177:130]
node _T_519 = xorr(_T_518) @[lib.scala 177:137]
node _T_520 = xor(_T_504, _T_519) @[lib.scala 177:125]
node _T_521 = bits(dccm_data_ecc_lo_any, 2, 2) @[lib.scala 177:149]
node _T_522 = cat(_T_380[1], _T_380[0]) @[lib.scala 177:157]
node _T_523 = cat(_T_380[3], _T_380[2]) @[lib.scala 177:157]
node _T_524 = cat(_T_523, _T_522) @[lib.scala 177:157]
node _T_525 = cat(_T_380[5], _T_380[4]) @[lib.scala 177:157]
node _T_526 = cat(_T_380[8], _T_380[7]) @[lib.scala 177:157]
node _T_527 = cat(_T_526, _T_380[6]) @[lib.scala 177:157]
node _T_528 = cat(_T_527, _T_525) @[lib.scala 177:157]
node _T_529 = cat(_T_528, _T_524) @[lib.scala 177:157]
node _T_530 = cat(_T_380[10], _T_380[9]) @[lib.scala 177:157]
node _T_531 = cat(_T_380[12], _T_380[11]) @[lib.scala 177:157]
node _T_532 = cat(_T_531, _T_530) @[lib.scala 177:157]
node _T_533 = cat(_T_380[14], _T_380[13]) @[lib.scala 177:157]
node _T_534 = cat(_T_380[17], _T_380[16]) @[lib.scala 177:157]
node _T_535 = cat(_T_534, _T_380[15]) @[lib.scala 177:157]
node _T_536 = cat(_T_535, _T_533) @[lib.scala 177:157]
node _T_537 = cat(_T_536, _T_532) @[lib.scala 177:157]
node _T_538 = cat(_T_537, _T_529) @[lib.scala 177:157]
node _T_539 = xorr(_T_538) @[lib.scala 177:164]
node _T_540 = xor(_T_521, _T_539) @[lib.scala 177:152]
node _T_541 = bits(dccm_data_ecc_lo_any, 1, 1) @[lib.scala 177:176]
node _T_542 = cat(_T_379[1], _T_379[0]) @[lib.scala 177:184]
node _T_543 = cat(_T_379[3], _T_379[2]) @[lib.scala 177:184]
node _T_544 = cat(_T_543, _T_542) @[lib.scala 177:184]
node _T_545 = cat(_T_379[5], _T_379[4]) @[lib.scala 177:184]
node _T_546 = cat(_T_379[8], _T_379[7]) @[lib.scala 177:184]
node _T_547 = cat(_T_546, _T_379[6]) @[lib.scala 177:184]
node _T_548 = cat(_T_547, _T_545) @[lib.scala 177:184]
node _T_549 = cat(_T_548, _T_544) @[lib.scala 177:184]
node _T_550 = cat(_T_379[10], _T_379[9]) @[lib.scala 177:184]
node _T_551 = cat(_T_379[12], _T_379[11]) @[lib.scala 177:184]
node _T_552 = cat(_T_551, _T_550) @[lib.scala 177:184]
node _T_553 = cat(_T_379[14], _T_379[13]) @[lib.scala 177:184]
node _T_554 = cat(_T_379[17], _T_379[16]) @[lib.scala 177:184]
node _T_555 = cat(_T_554, _T_379[15]) @[lib.scala 177:184]
node _T_556 = cat(_T_555, _T_553) @[lib.scala 177:184]
node _T_557 = cat(_T_556, _T_552) @[lib.scala 177:184]
node _T_558 = cat(_T_557, _T_549) @[lib.scala 177:184]
node _T_559 = xorr(_T_558) @[lib.scala 177:191]
node _T_560 = xor(_T_541, _T_559) @[lib.scala 177:179]
node _T_561 = bits(dccm_data_ecc_lo_any, 0, 0) @[lib.scala 177:203]
node _T_562 = cat(_T_378[1], _T_378[0]) @[lib.scala 177:211]
node _T_563 = cat(_T_378[3], _T_378[2]) @[lib.scala 177:211]
node _T_564 = cat(_T_563, _T_562) @[lib.scala 177:211]
node _T_565 = cat(_T_378[5], _T_378[4]) @[lib.scala 177:211]
node _T_566 = cat(_T_378[8], _T_378[7]) @[lib.scala 177:211]
node _T_567 = cat(_T_566, _T_378[6]) @[lib.scala 177:211]
node _T_568 = cat(_T_567, _T_565) @[lib.scala 177:211]
node _T_569 = cat(_T_568, _T_564) @[lib.scala 177:211]
node _T_570 = cat(_T_378[10], _T_378[9]) @[lib.scala 177:211]
node _T_571 = cat(_T_378[12], _T_378[11]) @[lib.scala 177:211]
node _T_572 = cat(_T_571, _T_570) @[lib.scala 177:211]
node _T_573 = cat(_T_378[14], _T_378[13]) @[lib.scala 177:211]
node _T_574 = cat(_T_378[17], _T_378[16]) @[lib.scala 177:211]
node _T_575 = cat(_T_574, _T_378[15]) @[lib.scala 177:211]
node _T_576 = cat(_T_575, _T_573) @[lib.scala 177:211]
node _T_577 = cat(_T_576, _T_572) @[lib.scala 177:211]
node _T_578 = cat(_T_577, _T_569) @[lib.scala 177:211]
node _T_579 = xorr(_T_578) @[lib.scala 177:218]
node _T_580 = xor(_T_561, _T_579) @[lib.scala 177:206]
node _T_581 = cat(_T_540, _T_560) @[Cat.scala 29:58]
node _T_582 = cat(_T_581, _T_580) @[Cat.scala 29:58]
node _T_583 = cat(_T_503, _T_520) @[Cat.scala 29:58]
node _T_584 = cat(_T_478, _T_486) @[Cat.scala 29:58]
node _T_585 = cat(_T_584, _T_583) @[Cat.scala 29:58]
node _T_586 = cat(_T_585, _T_582) @[Cat.scala 29:58]
node _T_587 = neq(_T_586, UInt<1>("h00")) @[lib.scala 178:44]
node _T_588 = and(is_ldst_lo_any, _T_587) @[lib.scala 178:32]
node _T_589 = bits(_T_586, 6, 6) @[lib.scala 178:64]
node single_ecc_error_lo_any = and(_T_588, _T_589) @[lib.scala 178:53]
node _T_590 = neq(_T_586, UInt<1>("h00")) @[lib.scala 179:44]
node _T_591 = and(is_ldst_lo_any, _T_590) @[lib.scala 179:32]
node _T_592 = bits(_T_586, 6, 6) @[lib.scala 179:65]
node _T_593 = not(_T_592) @[lib.scala 179:55]
node double_ecc_error_lo_any = and(_T_591, _T_593) @[lib.scala 179:53]
wire _T_594 : UInt<1>[39] @[lib.scala 180:26]
node _T_595 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_596 = eq(_T_595, UInt<1>("h01")) @[lib.scala 183:41]
_T_594[0] <= _T_596 @[lib.scala 183:23]
node _T_597 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_598 = eq(_T_597, UInt<2>("h02")) @[lib.scala 183:41]
_T_594[1] <= _T_598 @[lib.scala 183:23]
node _T_599 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_600 = eq(_T_599, UInt<2>("h03")) @[lib.scala 183:41]
_T_594[2] <= _T_600 @[lib.scala 183:23]
node _T_601 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_602 = eq(_T_601, UInt<3>("h04")) @[lib.scala 183:41]
_T_594[3] <= _T_602 @[lib.scala 183:23]
node _T_603 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_604 = eq(_T_603, UInt<3>("h05")) @[lib.scala 183:41]
_T_594[4] <= _T_604 @[lib.scala 183:23]
node _T_605 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_606 = eq(_T_605, UInt<3>("h06")) @[lib.scala 183:41]
_T_594[5] <= _T_606 @[lib.scala 183:23]
node _T_607 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_608 = eq(_T_607, UInt<3>("h07")) @[lib.scala 183:41]
_T_594[6] <= _T_608 @[lib.scala 183:23]
node _T_609 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_610 = eq(_T_609, UInt<4>("h08")) @[lib.scala 183:41]
_T_594[7] <= _T_610 @[lib.scala 183:23]
node _T_611 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_612 = eq(_T_611, UInt<4>("h09")) @[lib.scala 183:41]
_T_594[8] <= _T_612 @[lib.scala 183:23]
node _T_613 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_614 = eq(_T_613, UInt<4>("h0a")) @[lib.scala 183:41]
_T_594[9] <= _T_614 @[lib.scala 183:23]
node _T_615 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_616 = eq(_T_615, UInt<4>("h0b")) @[lib.scala 183:41]
_T_594[10] <= _T_616 @[lib.scala 183:23]
node _T_617 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_618 = eq(_T_617, UInt<4>("h0c")) @[lib.scala 183:41]
_T_594[11] <= _T_618 @[lib.scala 183:23]
node _T_619 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_620 = eq(_T_619, UInt<4>("h0d")) @[lib.scala 183:41]
_T_594[12] <= _T_620 @[lib.scala 183:23]
node _T_621 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_622 = eq(_T_621, UInt<4>("h0e")) @[lib.scala 183:41]
_T_594[13] <= _T_622 @[lib.scala 183:23]
node _T_623 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_624 = eq(_T_623, UInt<4>("h0f")) @[lib.scala 183:41]
_T_594[14] <= _T_624 @[lib.scala 183:23]
node _T_625 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_626 = eq(_T_625, UInt<5>("h010")) @[lib.scala 183:41]
_T_594[15] <= _T_626 @[lib.scala 183:23]
node _T_627 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_628 = eq(_T_627, UInt<5>("h011")) @[lib.scala 183:41]
_T_594[16] <= _T_628 @[lib.scala 183:23]
node _T_629 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_630 = eq(_T_629, UInt<5>("h012")) @[lib.scala 183:41]
_T_594[17] <= _T_630 @[lib.scala 183:23]
node _T_631 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_632 = eq(_T_631, UInt<5>("h013")) @[lib.scala 183:41]
_T_594[18] <= _T_632 @[lib.scala 183:23]
node _T_633 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_634 = eq(_T_633, UInt<5>("h014")) @[lib.scala 183:41]
_T_594[19] <= _T_634 @[lib.scala 183:23]
node _T_635 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_636 = eq(_T_635, UInt<5>("h015")) @[lib.scala 183:41]
_T_594[20] <= _T_636 @[lib.scala 183:23]
node _T_637 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_638 = eq(_T_637, UInt<5>("h016")) @[lib.scala 183:41]
_T_594[21] <= _T_638 @[lib.scala 183:23]
node _T_639 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_640 = eq(_T_639, UInt<5>("h017")) @[lib.scala 183:41]
_T_594[22] <= _T_640 @[lib.scala 183:23]
node _T_641 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_642 = eq(_T_641, UInt<5>("h018")) @[lib.scala 183:41]
_T_594[23] <= _T_642 @[lib.scala 183:23]
node _T_643 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_644 = eq(_T_643, UInt<5>("h019")) @[lib.scala 183:41]
_T_594[24] <= _T_644 @[lib.scala 183:23]
node _T_645 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_646 = eq(_T_645, UInt<5>("h01a")) @[lib.scala 183:41]
_T_594[25] <= _T_646 @[lib.scala 183:23]
node _T_647 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_648 = eq(_T_647, UInt<5>("h01b")) @[lib.scala 183:41]
_T_594[26] <= _T_648 @[lib.scala 183:23]
node _T_649 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_650 = eq(_T_649, UInt<5>("h01c")) @[lib.scala 183:41]
_T_594[27] <= _T_650 @[lib.scala 183:23]
node _T_651 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_652 = eq(_T_651, UInt<5>("h01d")) @[lib.scala 183:41]
_T_594[28] <= _T_652 @[lib.scala 183:23]
node _T_653 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_654 = eq(_T_653, UInt<5>("h01e")) @[lib.scala 183:41]
_T_594[29] <= _T_654 @[lib.scala 183:23]
node _T_655 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_656 = eq(_T_655, UInt<5>("h01f")) @[lib.scala 183:41]
_T_594[30] <= _T_656 @[lib.scala 183:23]
node _T_657 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_658 = eq(_T_657, UInt<6>("h020")) @[lib.scala 183:41]
_T_594[31] <= _T_658 @[lib.scala 183:23]
node _T_659 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_660 = eq(_T_659, UInt<6>("h021")) @[lib.scala 183:41]
_T_594[32] <= _T_660 @[lib.scala 183:23]
node _T_661 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_662 = eq(_T_661, UInt<6>("h022")) @[lib.scala 183:41]
_T_594[33] <= _T_662 @[lib.scala 183:23]
node _T_663 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_664 = eq(_T_663, UInt<6>("h023")) @[lib.scala 183:41]
_T_594[34] <= _T_664 @[lib.scala 183:23]
node _T_665 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_666 = eq(_T_665, UInt<6>("h024")) @[lib.scala 183:41]
_T_594[35] <= _T_666 @[lib.scala 183:23]
node _T_667 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_668 = eq(_T_667, UInt<6>("h025")) @[lib.scala 183:41]
_T_594[36] <= _T_668 @[lib.scala 183:23]
node _T_669 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_670 = eq(_T_669, UInt<6>("h026")) @[lib.scala 183:41]
_T_594[37] <= _T_670 @[lib.scala 183:23]
node _T_671 = bits(_T_586, 5, 0) @[lib.scala 183:35]
node _T_672 = eq(_T_671, UInt<6>("h027")) @[lib.scala 183:41]
_T_594[38] <= _T_672 @[lib.scala 183:23]
node _T_673 = bits(dccm_data_ecc_lo_any, 6, 6) @[lib.scala 185:37]
node _T_674 = bits(dccm_rdata_lo_any, 31, 26) @[lib.scala 185:45]
node _T_675 = bits(dccm_data_ecc_lo_any, 5, 5) @[lib.scala 185:60]
node _T_676 = bits(dccm_rdata_lo_any, 25, 11) @[lib.scala 185:68]
node _T_677 = bits(dccm_data_ecc_lo_any, 4, 4) @[lib.scala 185:83]
node _T_678 = bits(dccm_rdata_lo_any, 10, 4) @[lib.scala 185:91]
node _T_679 = bits(dccm_data_ecc_lo_any, 3, 3) @[lib.scala 185:105]
node _T_680 = bits(dccm_rdata_lo_any, 3, 1) @[lib.scala 185:113]
node _T_681 = bits(dccm_data_ecc_lo_any, 2, 2) @[lib.scala 185:126]
node _T_682 = bits(dccm_rdata_lo_any, 0, 0) @[lib.scala 185:134]
node _T_683 = bits(dccm_data_ecc_lo_any, 1, 0) @[lib.scala 185:145]
node _T_684 = cat(_T_682, _T_683) @[Cat.scala 29:58]
node _T_685 = cat(_T_679, _T_680) @[Cat.scala 29:58]
node _T_686 = cat(_T_685, _T_681) @[Cat.scala 29:58]
node _T_687 = cat(_T_686, _T_684) @[Cat.scala 29:58]
node _T_688 = cat(_T_676, _T_677) @[Cat.scala 29:58]
node _T_689 = cat(_T_688, _T_678) @[Cat.scala 29:58]
node _T_690 = cat(_T_673, _T_674) @[Cat.scala 29:58]
node _T_691 = cat(_T_690, _T_675) @[Cat.scala 29:58]
node _T_692 = cat(_T_691, _T_689) @[Cat.scala 29:58]
node _T_693 = cat(_T_692, _T_687) @[Cat.scala 29:58]
node _T_694 = bits(single_ecc_error_lo_any, 0, 0) @[lib.scala 186:49]
node _T_695 = cat(_T_594[1], _T_594[0]) @[lib.scala 186:69]
node _T_696 = cat(_T_594[3], _T_594[2]) @[lib.scala 186:69]
node _T_697 = cat(_T_696, _T_695) @[lib.scala 186:69]
node _T_698 = cat(_T_594[5], _T_594[4]) @[lib.scala 186:69]
node _T_699 = cat(_T_594[8], _T_594[7]) @[lib.scala 186:69]
node _T_700 = cat(_T_699, _T_594[6]) @[lib.scala 186:69]
node _T_701 = cat(_T_700, _T_698) @[lib.scala 186:69]
node _T_702 = cat(_T_701, _T_697) @[lib.scala 186:69]
node _T_703 = cat(_T_594[10], _T_594[9]) @[lib.scala 186:69]
node _T_704 = cat(_T_594[13], _T_594[12]) @[lib.scala 186:69]
node _T_705 = cat(_T_704, _T_594[11]) @[lib.scala 186:69]
node _T_706 = cat(_T_705, _T_703) @[lib.scala 186:69]
node _T_707 = cat(_T_594[15], _T_594[14]) @[lib.scala 186:69]
node _T_708 = cat(_T_594[18], _T_594[17]) @[lib.scala 186:69]
node _T_709 = cat(_T_708, _T_594[16]) @[lib.scala 186:69]
node _T_710 = cat(_T_709, _T_707) @[lib.scala 186:69]
node _T_711 = cat(_T_710, _T_706) @[lib.scala 186:69]
node _T_712 = cat(_T_711, _T_702) @[lib.scala 186:69]
node _T_713 = cat(_T_594[20], _T_594[19]) @[lib.scala 186:69]
node _T_714 = cat(_T_594[23], _T_594[22]) @[lib.scala 186:69]
node _T_715 = cat(_T_714, _T_594[21]) @[lib.scala 186:69]
node _T_716 = cat(_T_715, _T_713) @[lib.scala 186:69]
node _T_717 = cat(_T_594[25], _T_594[24]) @[lib.scala 186:69]
node _T_718 = cat(_T_594[28], _T_594[27]) @[lib.scala 186:69]
node _T_719 = cat(_T_718, _T_594[26]) @[lib.scala 186:69]
node _T_720 = cat(_T_719, _T_717) @[lib.scala 186:69]
node _T_721 = cat(_T_720, _T_716) @[lib.scala 186:69]
node _T_722 = cat(_T_594[30], _T_594[29]) @[lib.scala 186:69]
node _T_723 = cat(_T_594[33], _T_594[32]) @[lib.scala 186:69]
node _T_724 = cat(_T_723, _T_594[31]) @[lib.scala 186:69]
node _T_725 = cat(_T_724, _T_722) @[lib.scala 186:69]
node _T_726 = cat(_T_594[35], _T_594[34]) @[lib.scala 186:69]
node _T_727 = cat(_T_594[38], _T_594[37]) @[lib.scala 186:69]
node _T_728 = cat(_T_727, _T_594[36]) @[lib.scala 186:69]
node _T_729 = cat(_T_728, _T_726) @[lib.scala 186:69]
node _T_730 = cat(_T_729, _T_725) @[lib.scala 186:69]
node _T_731 = cat(_T_730, _T_721) @[lib.scala 186:69]
node _T_732 = cat(_T_731, _T_712) @[lib.scala 186:69]
node _T_733 = xor(_T_732, _T_693) @[lib.scala 186:76]
node _T_734 = mux(_T_694, _T_733, _T_693) @[lib.scala 186:31]
node _T_735 = bits(_T_734, 37, 32) @[lib.scala 188:37]
node _T_736 = bits(_T_734, 30, 16) @[lib.scala 188:61]
node _T_737 = bits(_T_734, 14, 8) @[lib.scala 188:86]
node _T_738 = bits(_T_734, 6, 4) @[lib.scala 188:110]
node _T_739 = bits(_T_734, 2, 2) @[lib.scala 188:133]
node _T_740 = cat(_T_738, _T_739) @[Cat.scala 29:58]
node _T_741 = cat(_T_735, _T_736) @[Cat.scala 29:58]
node _T_742 = cat(_T_741, _T_737) @[Cat.scala 29:58]
node sec_data_lo_any = cat(_T_742, _T_740) @[Cat.scala 29:58]
node _T_743 = bits(_T_734, 38, 38) @[lib.scala 189:39]
node _T_744 = bits(_T_586, 6, 0) @[lib.scala 189:56]
node _T_745 = eq(_T_744, UInt<7>("h040")) @[lib.scala 189:62]
node _T_746 = xor(_T_743, _T_745) @[lib.scala 189:44]
node _T_747 = bits(_T_734, 31, 31) @[lib.scala 189:102]
node _T_748 = bits(_T_734, 15, 15) @[lib.scala 189:124]
node _T_749 = bits(_T_734, 7, 7) @[lib.scala 189:146]
node _T_750 = bits(_T_734, 3, 3) @[lib.scala 189:167]
node _T_751 = bits(_T_734, 1, 0) @[lib.scala 189:188]
node _T_752 = cat(_T_749, _T_750) @[Cat.scala 29:58]
node _T_753 = cat(_T_752, _T_751) @[Cat.scala 29:58]
node _T_754 = cat(_T_746, _T_747) @[Cat.scala 29:58]
node _T_755 = cat(_T_754, _T_748) @[Cat.scala 29:58]
node ecc_out_lo_nc = cat(_T_755, _T_753) @[Cat.scala 29:58]
node _T_756 = bits(dccm_wdata_lo_any, 0, 0) @[lib.scala 103:58]
node _T_757 = bits(dccm_wdata_lo_any, 1, 1) @[lib.scala 103:58]
node _T_758 = bits(dccm_wdata_lo_any, 3, 3) @[lib.scala 103:58]
node _T_759 = bits(dccm_wdata_lo_any, 4, 4) @[lib.scala 103:58]
node _T_760 = bits(dccm_wdata_lo_any, 6, 6) @[lib.scala 103:58]
node _T_761 = bits(dccm_wdata_lo_any, 8, 8) @[lib.scala 103:58]
node _T_762 = bits(dccm_wdata_lo_any, 10, 10) @[lib.scala 103:58]
node _T_763 = bits(dccm_wdata_lo_any, 11, 11) @[lib.scala 103:58]
node _T_764 = bits(dccm_wdata_lo_any, 13, 13) @[lib.scala 103:58]
node _T_765 = bits(dccm_wdata_lo_any, 15, 15) @[lib.scala 103:58]
node _T_766 = bits(dccm_wdata_lo_any, 17, 17) @[lib.scala 103:58]
node _T_767 = bits(dccm_wdata_lo_any, 19, 19) @[lib.scala 103:58]
node _T_768 = bits(dccm_wdata_lo_any, 21, 21) @[lib.scala 103:58]
node _T_769 = bits(dccm_wdata_lo_any, 23, 23) @[lib.scala 103:58]
node _T_770 = bits(dccm_wdata_lo_any, 25, 25) @[lib.scala 103:58]
node _T_771 = bits(dccm_wdata_lo_any, 26, 26) @[lib.scala 103:58]
node _T_772 = bits(dccm_wdata_lo_any, 28, 28) @[lib.scala 103:58]
node _T_773 = bits(dccm_wdata_lo_any, 30, 30) @[lib.scala 103:58]
node _T_774 = xor(_T_756, _T_757) @[lib.scala 103:74]
node _T_775 = xor(_T_774, _T_758) @[lib.scala 103:74]
node _T_776 = xor(_T_775, _T_759) @[lib.scala 103:74]
node _T_777 = xor(_T_776, _T_760) @[lib.scala 103:74]
node _T_778 = xor(_T_777, _T_761) @[lib.scala 103:74]
node _T_779 = xor(_T_778, _T_762) @[lib.scala 103:74]
node _T_780 = xor(_T_779, _T_763) @[lib.scala 103:74]
node _T_781 = xor(_T_780, _T_764) @[lib.scala 103:74]
node _T_782 = xor(_T_781, _T_765) @[lib.scala 103:74]
node _T_783 = xor(_T_782, _T_766) @[lib.scala 103:74]
node _T_784 = xor(_T_783, _T_767) @[lib.scala 103:74]
node _T_785 = xor(_T_784, _T_768) @[lib.scala 103:74]
node _T_786 = xor(_T_785, _T_769) @[lib.scala 103:74]
node _T_787 = xor(_T_786, _T_770) @[lib.scala 103:74]
node _T_788 = xor(_T_787, _T_771) @[lib.scala 103:74]
node _T_789 = xor(_T_788, _T_772) @[lib.scala 103:74]
node _T_790 = xor(_T_789, _T_773) @[lib.scala 103:74]
node _T_791 = bits(dccm_wdata_lo_any, 0, 0) @[lib.scala 103:58]
node _T_792 = bits(dccm_wdata_lo_any, 2, 2) @[lib.scala 103:58]
node _T_793 = bits(dccm_wdata_lo_any, 3, 3) @[lib.scala 103:58]
node _T_794 = bits(dccm_wdata_lo_any, 5, 5) @[lib.scala 103:58]
node _T_795 = bits(dccm_wdata_lo_any, 6, 6) @[lib.scala 103:58]
node _T_796 = bits(dccm_wdata_lo_any, 9, 9) @[lib.scala 103:58]
node _T_797 = bits(dccm_wdata_lo_any, 10, 10) @[lib.scala 103:58]
node _T_798 = bits(dccm_wdata_lo_any, 12, 12) @[lib.scala 103:58]
node _T_799 = bits(dccm_wdata_lo_any, 13, 13) @[lib.scala 103:58]
node _T_800 = bits(dccm_wdata_lo_any, 16, 16) @[lib.scala 103:58]
node _T_801 = bits(dccm_wdata_lo_any, 17, 17) @[lib.scala 103:58]
node _T_802 = bits(dccm_wdata_lo_any, 20, 20) @[lib.scala 103:58]
node _T_803 = bits(dccm_wdata_lo_any, 21, 21) @[lib.scala 103:58]
node _T_804 = bits(dccm_wdata_lo_any, 24, 24) @[lib.scala 103:58]
node _T_805 = bits(dccm_wdata_lo_any, 25, 25) @[lib.scala 103:58]
node _T_806 = bits(dccm_wdata_lo_any, 27, 27) @[lib.scala 103:58]
node _T_807 = bits(dccm_wdata_lo_any, 28, 28) @[lib.scala 103:58]
node _T_808 = bits(dccm_wdata_lo_any, 31, 31) @[lib.scala 103:58]
node _T_809 = xor(_T_791, _T_792) @[lib.scala 103:74]
node _T_810 = xor(_T_809, _T_793) @[lib.scala 103:74]
node _T_811 = xor(_T_810, _T_794) @[lib.scala 103:74]
node _T_812 = xor(_T_811, _T_795) @[lib.scala 103:74]
node _T_813 = xor(_T_812, _T_796) @[lib.scala 103:74]
node _T_814 = xor(_T_813, _T_797) @[lib.scala 103:74]
node _T_815 = xor(_T_814, _T_798) @[lib.scala 103:74]
node _T_816 = xor(_T_815, _T_799) @[lib.scala 103:74]
node _T_817 = xor(_T_816, _T_800) @[lib.scala 103:74]
node _T_818 = xor(_T_817, _T_801) @[lib.scala 103:74]
node _T_819 = xor(_T_818, _T_802) @[lib.scala 103:74]
node _T_820 = xor(_T_819, _T_803) @[lib.scala 103:74]
node _T_821 = xor(_T_820, _T_804) @[lib.scala 103:74]
node _T_822 = xor(_T_821, _T_805) @[lib.scala 103:74]
node _T_823 = xor(_T_822, _T_806) @[lib.scala 103:74]
node _T_824 = xor(_T_823, _T_807) @[lib.scala 103:74]
node _T_825 = xor(_T_824, _T_808) @[lib.scala 103:74]
node _T_826 = bits(dccm_wdata_lo_any, 1, 1) @[lib.scala 103:58]
node _T_827 = bits(dccm_wdata_lo_any, 2, 2) @[lib.scala 103:58]
node _T_828 = bits(dccm_wdata_lo_any, 3, 3) @[lib.scala 103:58]
node _T_829 = bits(dccm_wdata_lo_any, 7, 7) @[lib.scala 103:58]
node _T_830 = bits(dccm_wdata_lo_any, 8, 8) @[lib.scala 103:58]
node _T_831 = bits(dccm_wdata_lo_any, 9, 9) @[lib.scala 103:58]
node _T_832 = bits(dccm_wdata_lo_any, 10, 10) @[lib.scala 103:58]
node _T_833 = bits(dccm_wdata_lo_any, 14, 14) @[lib.scala 103:58]
node _T_834 = bits(dccm_wdata_lo_any, 15, 15) @[lib.scala 103:58]
node _T_835 = bits(dccm_wdata_lo_any, 16, 16) @[lib.scala 103:58]
node _T_836 = bits(dccm_wdata_lo_any, 17, 17) @[lib.scala 103:58]
node _T_837 = bits(dccm_wdata_lo_any, 22, 22) @[lib.scala 103:58]
node _T_838 = bits(dccm_wdata_lo_any, 23, 23) @[lib.scala 103:58]
node _T_839 = bits(dccm_wdata_lo_any, 24, 24) @[lib.scala 103:58]
node _T_840 = bits(dccm_wdata_lo_any, 25, 25) @[lib.scala 103:58]
node _T_841 = bits(dccm_wdata_lo_any, 29, 29) @[lib.scala 103:58]
node _T_842 = bits(dccm_wdata_lo_any, 30, 30) @[lib.scala 103:58]
node _T_843 = bits(dccm_wdata_lo_any, 31, 31) @[lib.scala 103:58]
node _T_844 = xor(_T_826, _T_827) @[lib.scala 103:74]
node _T_845 = xor(_T_844, _T_828) @[lib.scala 103:74]
node _T_846 = xor(_T_845, _T_829) @[lib.scala 103:74]
node _T_847 = xor(_T_846, _T_830) @[lib.scala 103:74]
node _T_848 = xor(_T_847, _T_831) @[lib.scala 103:74]
node _T_849 = xor(_T_848, _T_832) @[lib.scala 103:74]
node _T_850 = xor(_T_849, _T_833) @[lib.scala 103:74]
node _T_851 = xor(_T_850, _T_834) @[lib.scala 103:74]
node _T_852 = xor(_T_851, _T_835) @[lib.scala 103:74]
node _T_853 = xor(_T_852, _T_836) @[lib.scala 103:74]
node _T_854 = xor(_T_853, _T_837) @[lib.scala 103:74]
node _T_855 = xor(_T_854, _T_838) @[lib.scala 103:74]
node _T_856 = xor(_T_855, _T_839) @[lib.scala 103:74]
node _T_857 = xor(_T_856, _T_840) @[lib.scala 103:74]
node _T_858 = xor(_T_857, _T_841) @[lib.scala 103:74]
node _T_859 = xor(_T_858, _T_842) @[lib.scala 103:74]
node _T_860 = xor(_T_859, _T_843) @[lib.scala 103:74]
node _T_861 = bits(dccm_wdata_lo_any, 4, 4) @[lib.scala 103:58]
node _T_862 = bits(dccm_wdata_lo_any, 5, 5) @[lib.scala 103:58]
node _T_863 = bits(dccm_wdata_lo_any, 6, 6) @[lib.scala 103:58]
node _T_864 = bits(dccm_wdata_lo_any, 7, 7) @[lib.scala 103:58]
node _T_865 = bits(dccm_wdata_lo_any, 8, 8) @[lib.scala 103:58]
node _T_866 = bits(dccm_wdata_lo_any, 9, 9) @[lib.scala 103:58]
node _T_867 = bits(dccm_wdata_lo_any, 10, 10) @[lib.scala 103:58]
node _T_868 = bits(dccm_wdata_lo_any, 18, 18) @[lib.scala 103:58]
node _T_869 = bits(dccm_wdata_lo_any, 19, 19) @[lib.scala 103:58]
node _T_870 = bits(dccm_wdata_lo_any, 20, 20) @[lib.scala 103:58]
node _T_871 = bits(dccm_wdata_lo_any, 21, 21) @[lib.scala 103:58]
node _T_872 = bits(dccm_wdata_lo_any, 22, 22) @[lib.scala 103:58]
node _T_873 = bits(dccm_wdata_lo_any, 23, 23) @[lib.scala 103:58]
node _T_874 = bits(dccm_wdata_lo_any, 24, 24) @[lib.scala 103:58]
node _T_875 = bits(dccm_wdata_lo_any, 25, 25) @[lib.scala 103:58]
node _T_876 = xor(_T_861, _T_862) @[lib.scala 103:74]
node _T_877 = xor(_T_876, _T_863) @[lib.scala 103:74]
node _T_878 = xor(_T_877, _T_864) @[lib.scala 103:74]
node _T_879 = xor(_T_878, _T_865) @[lib.scala 103:74]
node _T_880 = xor(_T_879, _T_866) @[lib.scala 103:74]
node _T_881 = xor(_T_880, _T_867) @[lib.scala 103:74]
node _T_882 = xor(_T_881, _T_868) @[lib.scala 103:74]
node _T_883 = xor(_T_882, _T_869) @[lib.scala 103:74]
node _T_884 = xor(_T_883, _T_870) @[lib.scala 103:74]
node _T_885 = xor(_T_884, _T_871) @[lib.scala 103:74]
node _T_886 = xor(_T_885, _T_872) @[lib.scala 103:74]
node _T_887 = xor(_T_886, _T_873) @[lib.scala 103:74]
node _T_888 = xor(_T_887, _T_874) @[lib.scala 103:74]
node _T_889 = xor(_T_888, _T_875) @[lib.scala 103:74]
node _T_890 = bits(dccm_wdata_lo_any, 11, 11) @[lib.scala 103:58]
node _T_891 = bits(dccm_wdata_lo_any, 12, 12) @[lib.scala 103:58]
node _T_892 = bits(dccm_wdata_lo_any, 13, 13) @[lib.scala 103:58]
node _T_893 = bits(dccm_wdata_lo_any, 14, 14) @[lib.scala 103:58]
node _T_894 = bits(dccm_wdata_lo_any, 15, 15) @[lib.scala 103:58]
node _T_895 = bits(dccm_wdata_lo_any, 16, 16) @[lib.scala 103:58]
node _T_896 = bits(dccm_wdata_lo_any, 17, 17) @[lib.scala 103:58]
node _T_897 = bits(dccm_wdata_lo_any, 18, 18) @[lib.scala 103:58]
node _T_898 = bits(dccm_wdata_lo_any, 19, 19) @[lib.scala 103:58]
node _T_899 = bits(dccm_wdata_lo_any, 20, 20) @[lib.scala 103:58]
node _T_900 = bits(dccm_wdata_lo_any, 21, 21) @[lib.scala 103:58]
node _T_901 = bits(dccm_wdata_lo_any, 22, 22) @[lib.scala 103:58]
node _T_902 = bits(dccm_wdata_lo_any, 23, 23) @[lib.scala 103:58]
node _T_903 = bits(dccm_wdata_lo_any, 24, 24) @[lib.scala 103:58]
node _T_904 = bits(dccm_wdata_lo_any, 25, 25) @[lib.scala 103:58]
node _T_905 = xor(_T_890, _T_891) @[lib.scala 103:74]
node _T_906 = xor(_T_905, _T_892) @[lib.scala 103:74]
node _T_907 = xor(_T_906, _T_893) @[lib.scala 103:74]
node _T_908 = xor(_T_907, _T_894) @[lib.scala 103:74]
node _T_909 = xor(_T_908, _T_895) @[lib.scala 103:74]
node _T_910 = xor(_T_909, _T_896) @[lib.scala 103:74]
node _T_911 = xor(_T_910, _T_897) @[lib.scala 103:74]
node _T_912 = xor(_T_911, _T_898) @[lib.scala 103:74]
node _T_913 = xor(_T_912, _T_899) @[lib.scala 103:74]
node _T_914 = xor(_T_913, _T_900) @[lib.scala 103:74]
node _T_915 = xor(_T_914, _T_901) @[lib.scala 103:74]
node _T_916 = xor(_T_915, _T_902) @[lib.scala 103:74]
node _T_917 = xor(_T_916, _T_903) @[lib.scala 103:74]
node _T_918 = xor(_T_917, _T_904) @[lib.scala 103:74]
node _T_919 = bits(dccm_wdata_lo_any, 26, 26) @[lib.scala 103:58]
node _T_920 = bits(dccm_wdata_lo_any, 27, 27) @[lib.scala 103:58]
node _T_921 = bits(dccm_wdata_lo_any, 28, 28) @[lib.scala 103:58]
node _T_922 = bits(dccm_wdata_lo_any, 29, 29) @[lib.scala 103:58]
node _T_923 = bits(dccm_wdata_lo_any, 30, 30) @[lib.scala 103:58]
node _T_924 = bits(dccm_wdata_lo_any, 31, 31) @[lib.scala 103:58]
node _T_925 = xor(_T_919, _T_920) @[lib.scala 103:74]
node _T_926 = xor(_T_925, _T_921) @[lib.scala 103:74]
node _T_927 = xor(_T_926, _T_922) @[lib.scala 103:74]
node _T_928 = xor(_T_927, _T_923) @[lib.scala 103:74]
node _T_929 = xor(_T_928, _T_924) @[lib.scala 103:74]
node _T_930 = cat(_T_860, _T_825) @[Cat.scala 29:58]
node _T_931 = cat(_T_930, _T_790) @[Cat.scala 29:58]
node _T_932 = cat(_T_929, _T_918) @[Cat.scala 29:58]
node _T_933 = cat(_T_932, _T_889) @[Cat.scala 29:58]
node _T_934 = cat(_T_933, _T_931) @[Cat.scala 29:58]
node _T_935 = xorr(dccm_wdata_lo_any) @[lib.scala 111:13]
node _T_936 = xorr(_T_934) @[lib.scala 111:23]
node _T_937 = xor(_T_935, _T_936) @[lib.scala 111:18]
node dccm_wdata_ecc_lo_any = cat(_T_937, _T_934) @[Cat.scala 29:58]
node _T_938 = bits(dccm_wdata_hi_any, 0, 0) @[lib.scala 103:58]
node _T_939 = bits(dccm_wdata_hi_any, 1, 1) @[lib.scala 103:58]
node _T_940 = bits(dccm_wdata_hi_any, 3, 3) @[lib.scala 103:58]
node _T_941 = bits(dccm_wdata_hi_any, 4, 4) @[lib.scala 103:58]
node _T_942 = bits(dccm_wdata_hi_any, 6, 6) @[lib.scala 103:58]
node _T_943 = bits(dccm_wdata_hi_any, 8, 8) @[lib.scala 103:58]
node _T_944 = bits(dccm_wdata_hi_any, 10, 10) @[lib.scala 103:58]
node _T_945 = bits(dccm_wdata_hi_any, 11, 11) @[lib.scala 103:58]
node _T_946 = bits(dccm_wdata_hi_any, 13, 13) @[lib.scala 103:58]
node _T_947 = bits(dccm_wdata_hi_any, 15, 15) @[lib.scala 103:58]
node _T_948 = bits(dccm_wdata_hi_any, 17, 17) @[lib.scala 103:58]
node _T_949 = bits(dccm_wdata_hi_any, 19, 19) @[lib.scala 103:58]
node _T_950 = bits(dccm_wdata_hi_any, 21, 21) @[lib.scala 103:58]
node _T_951 = bits(dccm_wdata_hi_any, 23, 23) @[lib.scala 103:58]
node _T_952 = bits(dccm_wdata_hi_any, 25, 25) @[lib.scala 103:58]
node _T_953 = bits(dccm_wdata_hi_any, 26, 26) @[lib.scala 103:58]
node _T_954 = bits(dccm_wdata_hi_any, 28, 28) @[lib.scala 103:58]
node _T_955 = bits(dccm_wdata_hi_any, 30, 30) @[lib.scala 103:58]
node _T_956 = xor(_T_938, _T_939) @[lib.scala 103:74]
node _T_957 = xor(_T_956, _T_940) @[lib.scala 103:74]
node _T_958 = xor(_T_957, _T_941) @[lib.scala 103:74]
node _T_959 = xor(_T_958, _T_942) @[lib.scala 103:74]
node _T_960 = xor(_T_959, _T_943) @[lib.scala 103:74]
node _T_961 = xor(_T_960, _T_944) @[lib.scala 103:74]
node _T_962 = xor(_T_961, _T_945) @[lib.scala 103:74]
node _T_963 = xor(_T_962, _T_946) @[lib.scala 103:74]
node _T_964 = xor(_T_963, _T_947) @[lib.scala 103:74]
node _T_965 = xor(_T_964, _T_948) @[lib.scala 103:74]
node _T_966 = xor(_T_965, _T_949) @[lib.scala 103:74]
node _T_967 = xor(_T_966, _T_950) @[lib.scala 103:74]
node _T_968 = xor(_T_967, _T_951) @[lib.scala 103:74]
node _T_969 = xor(_T_968, _T_952) @[lib.scala 103:74]
node _T_970 = xor(_T_969, _T_953) @[lib.scala 103:74]
node _T_971 = xor(_T_970, _T_954) @[lib.scala 103:74]
node _T_972 = xor(_T_971, _T_955) @[lib.scala 103:74]
node _T_973 = bits(dccm_wdata_hi_any, 0, 0) @[lib.scala 103:58]
node _T_974 = bits(dccm_wdata_hi_any, 2, 2) @[lib.scala 103:58]
node _T_975 = bits(dccm_wdata_hi_any, 3, 3) @[lib.scala 103:58]
node _T_976 = bits(dccm_wdata_hi_any, 5, 5) @[lib.scala 103:58]
node _T_977 = bits(dccm_wdata_hi_any, 6, 6) @[lib.scala 103:58]
node _T_978 = bits(dccm_wdata_hi_any, 9, 9) @[lib.scala 103:58]
node _T_979 = bits(dccm_wdata_hi_any, 10, 10) @[lib.scala 103:58]
node _T_980 = bits(dccm_wdata_hi_any, 12, 12) @[lib.scala 103:58]
node _T_981 = bits(dccm_wdata_hi_any, 13, 13) @[lib.scala 103:58]
node _T_982 = bits(dccm_wdata_hi_any, 16, 16) @[lib.scala 103:58]
node _T_983 = bits(dccm_wdata_hi_any, 17, 17) @[lib.scala 103:58]
node _T_984 = bits(dccm_wdata_hi_any, 20, 20) @[lib.scala 103:58]
node _T_985 = bits(dccm_wdata_hi_any, 21, 21) @[lib.scala 103:58]
node _T_986 = bits(dccm_wdata_hi_any, 24, 24) @[lib.scala 103:58]
node _T_987 = bits(dccm_wdata_hi_any, 25, 25) @[lib.scala 103:58]
node _T_988 = bits(dccm_wdata_hi_any, 27, 27) @[lib.scala 103:58]
node _T_989 = bits(dccm_wdata_hi_any, 28, 28) @[lib.scala 103:58]
node _T_990 = bits(dccm_wdata_hi_any, 31, 31) @[lib.scala 103:58]
node _T_991 = xor(_T_973, _T_974) @[lib.scala 103:74]
node _T_992 = xor(_T_991, _T_975) @[lib.scala 103:74]
node _T_993 = xor(_T_992, _T_976) @[lib.scala 103:74]
node _T_994 = xor(_T_993, _T_977) @[lib.scala 103:74]
node _T_995 = xor(_T_994, _T_978) @[lib.scala 103:74]
node _T_996 = xor(_T_995, _T_979) @[lib.scala 103:74]
node _T_997 = xor(_T_996, _T_980) @[lib.scala 103:74]
node _T_998 = xor(_T_997, _T_981) @[lib.scala 103:74]
node _T_999 = xor(_T_998, _T_982) @[lib.scala 103:74]
node _T_1000 = xor(_T_999, _T_983) @[lib.scala 103:74]
node _T_1001 = xor(_T_1000, _T_984) @[lib.scala 103:74]
node _T_1002 = xor(_T_1001, _T_985) @[lib.scala 103:74]
node _T_1003 = xor(_T_1002, _T_986) @[lib.scala 103:74]
node _T_1004 = xor(_T_1003, _T_987) @[lib.scala 103:74]
node _T_1005 = xor(_T_1004, _T_988) @[lib.scala 103:74]
node _T_1006 = xor(_T_1005, _T_989) @[lib.scala 103:74]
node _T_1007 = xor(_T_1006, _T_990) @[lib.scala 103:74]
node _T_1008 = bits(dccm_wdata_hi_any, 1, 1) @[lib.scala 103:58]
node _T_1009 = bits(dccm_wdata_hi_any, 2, 2) @[lib.scala 103:58]
node _T_1010 = bits(dccm_wdata_hi_any, 3, 3) @[lib.scala 103:58]
node _T_1011 = bits(dccm_wdata_hi_any, 7, 7) @[lib.scala 103:58]
node _T_1012 = bits(dccm_wdata_hi_any, 8, 8) @[lib.scala 103:58]
node _T_1013 = bits(dccm_wdata_hi_any, 9, 9) @[lib.scala 103:58]
node _T_1014 = bits(dccm_wdata_hi_any, 10, 10) @[lib.scala 103:58]
node _T_1015 = bits(dccm_wdata_hi_any, 14, 14) @[lib.scala 103:58]
node _T_1016 = bits(dccm_wdata_hi_any, 15, 15) @[lib.scala 103:58]
node _T_1017 = bits(dccm_wdata_hi_any, 16, 16) @[lib.scala 103:58]
node _T_1018 = bits(dccm_wdata_hi_any, 17, 17) @[lib.scala 103:58]
node _T_1019 = bits(dccm_wdata_hi_any, 22, 22) @[lib.scala 103:58]
node _T_1020 = bits(dccm_wdata_hi_any, 23, 23) @[lib.scala 103:58]
node _T_1021 = bits(dccm_wdata_hi_any, 24, 24) @[lib.scala 103:58]
node _T_1022 = bits(dccm_wdata_hi_any, 25, 25) @[lib.scala 103:58]
node _T_1023 = bits(dccm_wdata_hi_any, 29, 29) @[lib.scala 103:58]
node _T_1024 = bits(dccm_wdata_hi_any, 30, 30) @[lib.scala 103:58]
node _T_1025 = bits(dccm_wdata_hi_any, 31, 31) @[lib.scala 103:58]
node _T_1026 = xor(_T_1008, _T_1009) @[lib.scala 103:74]
node _T_1027 = xor(_T_1026, _T_1010) @[lib.scala 103:74]
node _T_1028 = xor(_T_1027, _T_1011) @[lib.scala 103:74]
node _T_1029 = xor(_T_1028, _T_1012) @[lib.scala 103:74]
node _T_1030 = xor(_T_1029, _T_1013) @[lib.scala 103:74]
node _T_1031 = xor(_T_1030, _T_1014) @[lib.scala 103:74]
node _T_1032 = xor(_T_1031, _T_1015) @[lib.scala 103:74]
node _T_1033 = xor(_T_1032, _T_1016) @[lib.scala 103:74]
node _T_1034 = xor(_T_1033, _T_1017) @[lib.scala 103:74]
node _T_1035 = xor(_T_1034, _T_1018) @[lib.scala 103:74]
node _T_1036 = xor(_T_1035, _T_1019) @[lib.scala 103:74]
node _T_1037 = xor(_T_1036, _T_1020) @[lib.scala 103:74]
node _T_1038 = xor(_T_1037, _T_1021) @[lib.scala 103:74]
node _T_1039 = xor(_T_1038, _T_1022) @[lib.scala 103:74]
node _T_1040 = xor(_T_1039, _T_1023) @[lib.scala 103:74]
node _T_1041 = xor(_T_1040, _T_1024) @[lib.scala 103:74]
node _T_1042 = xor(_T_1041, _T_1025) @[lib.scala 103:74]
node _T_1043 = bits(dccm_wdata_hi_any, 4, 4) @[lib.scala 103:58]
node _T_1044 = bits(dccm_wdata_hi_any, 5, 5) @[lib.scala 103:58]
node _T_1045 = bits(dccm_wdata_hi_any, 6, 6) @[lib.scala 103:58]
node _T_1046 = bits(dccm_wdata_hi_any, 7, 7) @[lib.scala 103:58]
node _T_1047 = bits(dccm_wdata_hi_any, 8, 8) @[lib.scala 103:58]
node _T_1048 = bits(dccm_wdata_hi_any, 9, 9) @[lib.scala 103:58]
node _T_1049 = bits(dccm_wdata_hi_any, 10, 10) @[lib.scala 103:58]
node _T_1050 = bits(dccm_wdata_hi_any, 18, 18) @[lib.scala 103:58]
node _T_1051 = bits(dccm_wdata_hi_any, 19, 19) @[lib.scala 103:58]
node _T_1052 = bits(dccm_wdata_hi_any, 20, 20) @[lib.scala 103:58]
node _T_1053 = bits(dccm_wdata_hi_any, 21, 21) @[lib.scala 103:58]
node _T_1054 = bits(dccm_wdata_hi_any, 22, 22) @[lib.scala 103:58]
node _T_1055 = bits(dccm_wdata_hi_any, 23, 23) @[lib.scala 103:58]
node _T_1056 = bits(dccm_wdata_hi_any, 24, 24) @[lib.scala 103:58]
node _T_1057 = bits(dccm_wdata_hi_any, 25, 25) @[lib.scala 103:58]
node _T_1058 = xor(_T_1043, _T_1044) @[lib.scala 103:74]
node _T_1059 = xor(_T_1058, _T_1045) @[lib.scala 103:74]
node _T_1060 = xor(_T_1059, _T_1046) @[lib.scala 103:74]
node _T_1061 = xor(_T_1060, _T_1047) @[lib.scala 103:74]
node _T_1062 = xor(_T_1061, _T_1048) @[lib.scala 103:74]
node _T_1063 = xor(_T_1062, _T_1049) @[lib.scala 103:74]
node _T_1064 = xor(_T_1063, _T_1050) @[lib.scala 103:74]
node _T_1065 = xor(_T_1064, _T_1051) @[lib.scala 103:74]
node _T_1066 = xor(_T_1065, _T_1052) @[lib.scala 103:74]
node _T_1067 = xor(_T_1066, _T_1053) @[lib.scala 103:74]
node _T_1068 = xor(_T_1067, _T_1054) @[lib.scala 103:74]
node _T_1069 = xor(_T_1068, _T_1055) @[lib.scala 103:74]
node _T_1070 = xor(_T_1069, _T_1056) @[lib.scala 103:74]
node _T_1071 = xor(_T_1070, _T_1057) @[lib.scala 103:74]
node _T_1072 = bits(dccm_wdata_hi_any, 11, 11) @[lib.scala 103:58]
node _T_1073 = bits(dccm_wdata_hi_any, 12, 12) @[lib.scala 103:58]
node _T_1074 = bits(dccm_wdata_hi_any, 13, 13) @[lib.scala 103:58]
node _T_1075 = bits(dccm_wdata_hi_any, 14, 14) @[lib.scala 103:58]
node _T_1076 = bits(dccm_wdata_hi_any, 15, 15) @[lib.scala 103:58]
node _T_1077 = bits(dccm_wdata_hi_any, 16, 16) @[lib.scala 103:58]
node _T_1078 = bits(dccm_wdata_hi_any, 17, 17) @[lib.scala 103:58]
node _T_1079 = bits(dccm_wdata_hi_any, 18, 18) @[lib.scala 103:58]
node _T_1080 = bits(dccm_wdata_hi_any, 19, 19) @[lib.scala 103:58]
node _T_1081 = bits(dccm_wdata_hi_any, 20, 20) @[lib.scala 103:58]
node _T_1082 = bits(dccm_wdata_hi_any, 21, 21) @[lib.scala 103:58]
node _T_1083 = bits(dccm_wdata_hi_any, 22, 22) @[lib.scala 103:58]
node _T_1084 = bits(dccm_wdata_hi_any, 23, 23) @[lib.scala 103:58]
node _T_1085 = bits(dccm_wdata_hi_any, 24, 24) @[lib.scala 103:58]
node _T_1086 = bits(dccm_wdata_hi_any, 25, 25) @[lib.scala 103:58]
node _T_1087 = xor(_T_1072, _T_1073) @[lib.scala 103:74]
node _T_1088 = xor(_T_1087, _T_1074) @[lib.scala 103:74]
node _T_1089 = xor(_T_1088, _T_1075) @[lib.scala 103:74]
node _T_1090 = xor(_T_1089, _T_1076) @[lib.scala 103:74]
node _T_1091 = xor(_T_1090, _T_1077) @[lib.scala 103:74]
node _T_1092 = xor(_T_1091, _T_1078) @[lib.scala 103:74]
node _T_1093 = xor(_T_1092, _T_1079) @[lib.scala 103:74]
node _T_1094 = xor(_T_1093, _T_1080) @[lib.scala 103:74]
node _T_1095 = xor(_T_1094, _T_1081) @[lib.scala 103:74]
node _T_1096 = xor(_T_1095, _T_1082) @[lib.scala 103:74]
node _T_1097 = xor(_T_1096, _T_1083) @[lib.scala 103:74]
node _T_1098 = xor(_T_1097, _T_1084) @[lib.scala 103:74]
node _T_1099 = xor(_T_1098, _T_1085) @[lib.scala 103:74]
node _T_1100 = xor(_T_1099, _T_1086) @[lib.scala 103:74]
node _T_1101 = bits(dccm_wdata_hi_any, 26, 26) @[lib.scala 103:58]
node _T_1102 = bits(dccm_wdata_hi_any, 27, 27) @[lib.scala 103:58]
node _T_1103 = bits(dccm_wdata_hi_any, 28, 28) @[lib.scala 103:58]
node _T_1104 = bits(dccm_wdata_hi_any, 29, 29) @[lib.scala 103:58]
node _T_1105 = bits(dccm_wdata_hi_any, 30, 30) @[lib.scala 103:58]
node _T_1106 = bits(dccm_wdata_hi_any, 31, 31) @[lib.scala 103:58]
node _T_1107 = xor(_T_1101, _T_1102) @[lib.scala 103:74]
node _T_1108 = xor(_T_1107, _T_1103) @[lib.scala 103:74]
node _T_1109 = xor(_T_1108, _T_1104) @[lib.scala 103:74]
node _T_1110 = xor(_T_1109, _T_1105) @[lib.scala 103:74]
node _T_1111 = xor(_T_1110, _T_1106) @[lib.scala 103:74]
node _T_1112 = cat(_T_1042, _T_1007) @[Cat.scala 29:58]
node _T_1113 = cat(_T_1112, _T_972) @[Cat.scala 29:58]
node _T_1114 = cat(_T_1111, _T_1100) @[Cat.scala 29:58]
node _T_1115 = cat(_T_1114, _T_1071) @[Cat.scala 29:58]
node _T_1116 = cat(_T_1115, _T_1113) @[Cat.scala 29:58]
node _T_1117 = xorr(dccm_wdata_hi_any) @[lib.scala 111:13]
node _T_1118 = xorr(_T_1116) @[lib.scala 111:23]
node _T_1119 = xor(_T_1117, _T_1118) @[lib.scala 111:18]
node dccm_wdata_ecc_hi_any = cat(_T_1119, _T_1116) @[Cat.scala 29:58]
when UInt<1>("h00") : @[lsu_ecc.scala 103:30]
node _T_1120 = bits(io.lsu_addr_r, 2, 2) @[lsu_ecc.scala 104:33]
node _T_1121 = bits(io.end_addr_r, 2, 2) @[lsu_ecc.scala 104:54]
node _T_1122 = neq(_T_1120, _T_1121) @[lsu_ecc.scala 104:37]
ldst_dual_r <= _T_1122 @[lsu_ecc.scala 104:17]
node _T_1123 = or(io.lsu_pkt_r.bits.load, io.lsu_pkt_r.bits.store) @[lsu_ecc.scala 105:63]
node _T_1124 = and(io.lsu_pkt_r.valid, _T_1123) @[lsu_ecc.scala 105:37]
node _T_1125 = and(_T_1124, io.addr_in_dccm_r) @[lsu_ecc.scala 105:90]
node _T_1126 = and(_T_1125, io.lsu_dccm_rden_r) @[lsu_ecc.scala 105:110]
is_ldst_r <= _T_1126 @[lsu_ecc.scala 105:15]
node _T_1127 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 106:33]
node _T_1128 = and(is_ldst_r, _T_1127) @[lsu_ecc.scala 106:31]
is_ldst_lo_r <= _T_1128 @[lsu_ecc.scala 106:18]
node _T_1129 = or(ldst_dual_r, io.lsu_pkt_r.bits.dma) @[lsu_ecc.scala 107:46]
node _T_1130 = and(is_ldst_r, _T_1129) @[lsu_ecc.scala 107:31]
node _T_1131 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 107:73]
node _T_1132 = and(_T_1130, _T_1131) @[lsu_ecc.scala 107:71]
is_ldst_hi_r <= _T_1132 @[lsu_ecc.scala 107:18]
is_ldst_hi_any <= is_ldst_hi_r @[lsu_ecc.scala 108:21]
dccm_rdata_hi_any <= io.dccm_rdata_hi_r @[lsu_ecc.scala 109:24]
dccm_data_ecc_hi_any <= io.dccm_data_ecc_hi_r @[lsu_ecc.scala 110:26]
is_ldst_lo_any <= is_ldst_lo_r @[lsu_ecc.scala 111:20]
dccm_rdata_lo_any <= io.dccm_rdata_lo_r @[lsu_ecc.scala 112:25]
dccm_data_ecc_lo_any <= io.dccm_data_ecc_lo_r @[lsu_ecc.scala 113:26]
io.sec_data_hi_r <= sec_data_hi_any @[lsu_ecc.scala 114:22]
io.single_ecc_error_hi_r <= single_ecc_error_hi_any @[lsu_ecc.scala 115:31]
double_ecc_error_hi_r <= double_ecc_error_hi_any @[lsu_ecc.scala 116:28]
io.sec_data_lo_r <= sec_data_lo_any @[lsu_ecc.scala 117:25]
io.single_ecc_error_lo_r <= single_ecc_error_lo_any @[lsu_ecc.scala 118:31]
double_ecc_error_lo_r <= double_ecc_error_lo_any @[lsu_ecc.scala 119:28]
node _T_1133 = or(io.single_ecc_error_hi_r, io.single_ecc_error_lo_r) @[lsu_ecc.scala 120:59]
io.lsu_single_ecc_error_r <= _T_1133 @[lsu_ecc.scala 120:31]
node _T_1134 = or(double_ecc_error_hi_r, double_ecc_error_lo_r) @[lsu_ecc.scala 121:56]
io.lsu_double_ecc_error_r <= _T_1134 @[lsu_ecc.scala 121:31]
skip @[lsu_ecc.scala 103:30]
else : @[lsu_ecc.scala 123:16]
node _T_1135 = bits(io.lsu_addr_m, 2, 2) @[lsu_ecc.scala 124:35]
node _T_1136 = bits(io.end_addr_m, 2, 2) @[lsu_ecc.scala 124:56]
node _T_1137 = neq(_T_1135, _T_1136) @[lsu_ecc.scala 124:39]
ldst_dual_m <= _T_1137 @[lsu_ecc.scala 124:19]
node _T_1138 = or(io.lsu_pkt_m.bits.load, io.lsu_pkt_m.bits.store) @[lsu_ecc.scala 125:65]
node _T_1139 = and(io.lsu_pkt_m.valid, _T_1138) @[lsu_ecc.scala 125:39]
node _T_1140 = and(_T_1139, io.addr_in_dccm_m) @[lsu_ecc.scala 125:92]
node _T_1141 = and(_T_1140, io.lsu_dccm_rden_m) @[lsu_ecc.scala 125:112]
is_ldst_m <= _T_1141 @[lsu_ecc.scala 125:17]
node _T_1142 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 126:35]
node _T_1143 = and(is_ldst_m, _T_1142) @[lsu_ecc.scala 126:33]
is_ldst_lo_m <= _T_1143 @[lsu_ecc.scala 126:20]
node _T_1144 = or(ldst_dual_m, io.lsu_pkt_m.bits.dma) @[lsu_ecc.scala 127:48]
node _T_1145 = and(is_ldst_m, _T_1144) @[lsu_ecc.scala 127:33]
node _T_1146 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 127:75]
node _T_1147 = and(_T_1145, _T_1146) @[lsu_ecc.scala 127:73]
is_ldst_hi_m <= _T_1147 @[lsu_ecc.scala 127:20]
is_ldst_hi_any <= is_ldst_hi_m @[lsu_ecc.scala 128:23]
dccm_rdata_hi_any <= io.dccm_rdata_hi_m @[lsu_ecc.scala 129:26]
dccm_data_ecc_hi_any <= io.dccm_data_ecc_hi_m @[lsu_ecc.scala 130:28]
is_ldst_lo_any <= is_ldst_lo_m @[lsu_ecc.scala 131:22]
dccm_rdata_lo_any <= io.dccm_rdata_lo_m @[lsu_ecc.scala 132:27]
dccm_data_ecc_lo_any <= io.dccm_data_ecc_lo_m @[lsu_ecc.scala 133:28]
io.sec_data_hi_m <= sec_data_hi_any @[lsu_ecc.scala 134:27]
double_ecc_error_hi_m <= double_ecc_error_hi_any @[lsu_ecc.scala 135:30]
io.sec_data_lo_m <= sec_data_lo_any @[lsu_ecc.scala 136:27]
double_ecc_error_lo_m <= double_ecc_error_lo_any @[lsu_ecc.scala 137:30]
node _T_1148 = or(single_ecc_error_hi_any, single_ecc_error_lo_any) @[lsu_ecc.scala 138:60]
io.lsu_single_ecc_error_m <= _T_1148 @[lsu_ecc.scala 138:33]
node _T_1149 = or(double_ecc_error_hi_m, double_ecc_error_lo_m) @[lsu_ecc.scala 139:58]
io.lsu_double_ecc_error_m <= _T_1149 @[lsu_ecc.scala 139:33]
reg _T_1150 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 141:72]
_T_1150 <= io.lsu_single_ecc_error_m @[lsu_ecc.scala 141:72]
io.lsu_single_ecc_error_r <= _T_1150 @[lsu_ecc.scala 141:62]
reg _T_1151 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 142:72]
_T_1151 <= io.lsu_double_ecc_error_m @[lsu_ecc.scala 142:72]
io.lsu_double_ecc_error_r <= _T_1151 @[lsu_ecc.scala 142:62]
reg _T_1152 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 143:72]
_T_1152 <= single_ecc_error_lo_any @[lsu_ecc.scala 143:72]
io.single_ecc_error_lo_r <= _T_1152 @[lsu_ecc.scala 143:62]
reg _T_1153 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 144:72]
_T_1153 <= single_ecc_error_hi_any @[lsu_ecc.scala 144:72]
io.single_ecc_error_hi_r <= _T_1153 @[lsu_ecc.scala 144:62]
reg _T_1154 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 145:72]
_T_1154 <= io.sec_data_hi_m @[lsu_ecc.scala 145:72]
io.sec_data_hi_r <= _T_1154 @[lsu_ecc.scala 145:62]
reg _T_1155 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 146:72]
_T_1155 <= io.sec_data_lo_m @[lsu_ecc.scala 146:72]
io.sec_data_lo_r <= _T_1155 @[lsu_ecc.scala 146:62]
skip @[lsu_ecc.scala 123:16]
node _T_1156 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_ecc.scala 149:56]
node _T_1157 = bits(io.dma_dccm_wen, 0, 0) @[lsu_ecc.scala 149:104]
node _T_1158 = mux(_T_1157, io.dma_dccm_wdata_lo, io.stbuf_data_any) @[lsu_ecc.scala 149:87]
node _T_1159 = mux(_T_1156, io.sec_data_lo_r_ff, _T_1158) @[lsu_ecc.scala 149:27]
dccm_wdata_lo_any <= _T_1159 @[lsu_ecc.scala 149:21]
node _T_1160 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_ecc.scala 150:56]
node _T_1161 = bits(io.dma_dccm_wen, 0, 0) @[lsu_ecc.scala 150:104]
node _T_1162 = mux(_T_1161, io.dma_dccm_wdata_hi, io.stbuf_data_any) @[lsu_ecc.scala 150:87]
node _T_1163 = mux(_T_1160, io.sec_data_hi_r_ff, _T_1162) @[lsu_ecc.scala 150:27]
dccm_wdata_hi_any <= _T_1163 @[lsu_ecc.scala 150:21]
io.sec_data_ecc_hi_r_ff <= dccm_wdata_ecc_hi_any @[lsu_ecc.scala 151:28]
io.sec_data_ecc_lo_r_ff <= dccm_wdata_ecc_lo_any @[lsu_ecc.scala 152:28]
io.stbuf_ecc_any <= dccm_wdata_ecc_lo_any @[lsu_ecc.scala 153:28]
io.dma_dccm_wdata_ecc_hi <= dccm_wdata_ecc_hi_any @[lsu_ecc.scala 154:28]
io.dma_dccm_wdata_ecc_lo <= dccm_wdata_ecc_lo_any @[lsu_ecc.scala 155:28]
inst rvclkhdr of rvclkhdr_10 @[lib.scala 352:23]
rvclkhdr.clock <= clock
rvclkhdr.reset <= reset
rvclkhdr.io.clk <= clock @[lib.scala 354:18]
rvclkhdr.io.en <= io.ld_single_ecc_error_r @[lib.scala 355:17]
rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 356:24]
reg _T_1164 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16]
_T_1164 <= io.sec_data_hi_r @[lib.scala 358:16]
io.sec_data_hi_r_ff <= _T_1164 @[lsu_ecc.scala 157:23]
inst rvclkhdr_1 of rvclkhdr_11 @[lib.scala 352:23]
rvclkhdr_1.clock <= clock
rvclkhdr_1.reset <= reset
rvclkhdr_1.io.clk <= clock @[lib.scala 354:18]
rvclkhdr_1.io.en <= io.ld_single_ecc_error_r @[lib.scala 355:17]
rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 356:24]
reg _T_1165 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16]
_T_1165 <= io.sec_data_lo_r @[lib.scala 358:16]
io.sec_data_lo_r_ff <= _T_1165 @[lsu_ecc.scala 158:23]
module lsu_trigger :
input clock : Clock
input reset : AsyncReset
output io : {flip trigger_pkt_any : {select : UInt<1>, match_pkt : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_addr_m : UInt<32>, flip store_data_m : UInt<32>, lsu_trigger_match_m : UInt<4>}
node _T = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15]
node _T_1 = mux(_T, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12]
node _T_2 = bits(io.store_data_m, 31, 16) @[lsu_trigger.scala 16:83]
node _T_3 = and(_T_1, _T_2) @[lsu_trigger.scala 16:66]
node _T_4 = or(io.lsu_pkt_m.bits.half, io.lsu_pkt_m.bits.word) @[lsu_trigger.scala 16:124]
node _T_5 = bits(_T_4, 0, 0) @[Bitwise.scala 72:15]
node _T_6 = mux(_T_5, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_7 = bits(io.store_data_m, 15, 8) @[lsu_trigger.scala 16:168]
node _T_8 = and(_T_6, _T_7) @[lsu_trigger.scala 16:151]
node _T_9 = bits(io.store_data_m, 7, 0) @[lsu_trigger.scala 16:192]
node _T_10 = cat(_T_3, _T_8) @[Cat.scala 29:58]
node store_data_trigger_m = cat(_T_10, _T_9) @[Cat.scala 29:58]
node _T_11 = bits(io.trigger_pkt_any[0].select, 0, 0) @[lsu_trigger.scala 17:83]
node _T_12 = eq(_T_11, UInt<1>("h00")) @[lsu_trigger.scala 17:53]
node _T_13 = and(io.trigger_pkt_any[0].select, io.trigger_pkt_any[0].store) @[lsu_trigger.scala 17:136]
node _T_14 = bits(_T_13, 0, 0) @[lsu_trigger.scala 17:167]
node _T_15 = mux(_T_12, io.lsu_addr_m, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_16 = mux(_T_14, store_data_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_17 = or(_T_15, _T_16) @[Mux.scala 27:72]
wire lsu_match_data_0 : UInt<32> @[Mux.scala 27:72]
lsu_match_data_0 <= _T_17 @[Mux.scala 27:72]
node _T_18 = bits(io.trigger_pkt_any[1].select, 0, 0) @[lsu_trigger.scala 17:83]
node _T_19 = eq(_T_18, UInt<1>("h00")) @[lsu_trigger.scala 17:53]
node _T_20 = and(io.trigger_pkt_any[1].select, io.trigger_pkt_any[1].store) @[lsu_trigger.scala 17:136]
node _T_21 = bits(_T_20, 0, 0) @[lsu_trigger.scala 17:167]
node _T_22 = mux(_T_19, io.lsu_addr_m, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_23 = mux(_T_21, store_data_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_24 = or(_T_22, _T_23) @[Mux.scala 27:72]
wire lsu_match_data_1 : UInt<32> @[Mux.scala 27:72]
lsu_match_data_1 <= _T_24 @[Mux.scala 27:72]
node _T_25 = bits(io.trigger_pkt_any[2].select, 0, 0) @[lsu_trigger.scala 17:83]
node _T_26 = eq(_T_25, UInt<1>("h00")) @[lsu_trigger.scala 17:53]
node _T_27 = and(io.trigger_pkt_any[2].select, io.trigger_pkt_any[2].store) @[lsu_trigger.scala 17:136]
node _T_28 = bits(_T_27, 0, 0) @[lsu_trigger.scala 17:167]
node _T_29 = mux(_T_26, io.lsu_addr_m, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_30 = mux(_T_28, store_data_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_31 = or(_T_29, _T_30) @[Mux.scala 27:72]
wire lsu_match_data_2 : UInt<32> @[Mux.scala 27:72]
lsu_match_data_2 <= _T_31 @[Mux.scala 27:72]
node _T_32 = bits(io.trigger_pkt_any[3].select, 0, 0) @[lsu_trigger.scala 17:83]
node _T_33 = eq(_T_32, UInt<1>("h00")) @[lsu_trigger.scala 17:53]
node _T_34 = and(io.trigger_pkt_any[3].select, io.trigger_pkt_any[3].store) @[lsu_trigger.scala 17:136]
node _T_35 = bits(_T_34, 0, 0) @[lsu_trigger.scala 17:167]
node _T_36 = mux(_T_33, io.lsu_addr_m, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_37 = mux(_T_35, store_data_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_38 = or(_T_36, _T_37) @[Mux.scala 27:72]
wire lsu_match_data_3 : UInt<32> @[Mux.scala 27:72]
lsu_match_data_3 <= _T_38 @[Mux.scala 27:72]
node _T_39 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71]
node _T_40 = and(io.lsu_pkt_m.valid, _T_39) @[lsu_trigger.scala 18:69]
node _T_41 = and(io.trigger_pkt_any[0].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126]
node _T_42 = and(io.trigger_pkt_any[0].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33]
node _T_43 = eq(io.trigger_pkt_any[0].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60]
node _T_44 = and(_T_42, _T_43) @[lsu_trigger.scala 19:58]
node _T_45 = or(_T_41, _T_44) @[lsu_trigger.scala 18:152]
node _T_46 = and(_T_40, _T_45) @[lsu_trigger.scala 18:94]
node _T_47 = bits(io.trigger_pkt_any[0].match_pkt, 0, 0) @[lsu_trigger.scala 20:107]
wire _T_48 : UInt<1>[32] @[lib.scala 84:24]
node _T_49 = andr(io.trigger_pkt_any[0].tdata2) @[lib.scala 85:45]
node _T_50 = not(_T_49) @[lib.scala 85:39]
node _T_51 = and(_T_47, _T_50) @[lib.scala 85:37]
node _T_52 = bits(io.trigger_pkt_any[0].tdata2, 0, 0) @[lib.scala 86:48]
node _T_53 = bits(lsu_match_data_0, 0, 0) @[lib.scala 86:60]
node _T_54 = eq(_T_52, _T_53) @[lib.scala 86:52]
node _T_55 = or(_T_51, _T_54) @[lib.scala 86:41]
_T_48[0] <= _T_55 @[lib.scala 86:18]
node _T_56 = bits(io.trigger_pkt_any[0].tdata2, 0, 0) @[lib.scala 88:28]
node _T_57 = andr(_T_56) @[lib.scala 88:36]
node _T_58 = and(_T_57, _T_51) @[lib.scala 88:41]
node _T_59 = bits(io.trigger_pkt_any[0].tdata2, 1, 1) @[lib.scala 88:74]
node _T_60 = bits(lsu_match_data_0, 1, 1) @[lib.scala 88:86]
node _T_61 = eq(_T_59, _T_60) @[lib.scala 88:78]
node _T_62 = mux(_T_58, UInt<1>("h01"), _T_61) @[lib.scala 88:23]
_T_48[1] <= _T_62 @[lib.scala 88:17]
node _T_63 = bits(io.trigger_pkt_any[0].tdata2, 1, 0) @[lib.scala 88:28]
node _T_64 = andr(_T_63) @[lib.scala 88:36]
node _T_65 = and(_T_64, _T_51) @[lib.scala 88:41]
node _T_66 = bits(io.trigger_pkt_any[0].tdata2, 2, 2) @[lib.scala 88:74]
node _T_67 = bits(lsu_match_data_0, 2, 2) @[lib.scala 88:86]
node _T_68 = eq(_T_66, _T_67) @[lib.scala 88:78]
node _T_69 = mux(_T_65, UInt<1>("h01"), _T_68) @[lib.scala 88:23]
_T_48[2] <= _T_69 @[lib.scala 88:17]
node _T_70 = bits(io.trigger_pkt_any[0].tdata2, 2, 0) @[lib.scala 88:28]
node _T_71 = andr(_T_70) @[lib.scala 88:36]
node _T_72 = and(_T_71, _T_51) @[lib.scala 88:41]
node _T_73 = bits(io.trigger_pkt_any[0].tdata2, 3, 3) @[lib.scala 88:74]
node _T_74 = bits(lsu_match_data_0, 3, 3) @[lib.scala 88:86]
node _T_75 = eq(_T_73, _T_74) @[lib.scala 88:78]
node _T_76 = mux(_T_72, UInt<1>("h01"), _T_75) @[lib.scala 88:23]
_T_48[3] <= _T_76 @[lib.scala 88:17]
node _T_77 = bits(io.trigger_pkt_any[0].tdata2, 3, 0) @[lib.scala 88:28]
node _T_78 = andr(_T_77) @[lib.scala 88:36]
node _T_79 = and(_T_78, _T_51) @[lib.scala 88:41]
node _T_80 = bits(io.trigger_pkt_any[0].tdata2, 4, 4) @[lib.scala 88:74]
node _T_81 = bits(lsu_match_data_0, 4, 4) @[lib.scala 88:86]
node _T_82 = eq(_T_80, _T_81) @[lib.scala 88:78]
node _T_83 = mux(_T_79, UInt<1>("h01"), _T_82) @[lib.scala 88:23]
_T_48[4] <= _T_83 @[lib.scala 88:17]
node _T_84 = bits(io.trigger_pkt_any[0].tdata2, 4, 0) @[lib.scala 88:28]
node _T_85 = andr(_T_84) @[lib.scala 88:36]
node _T_86 = and(_T_85, _T_51) @[lib.scala 88:41]
node _T_87 = bits(io.trigger_pkt_any[0].tdata2, 5, 5) @[lib.scala 88:74]
node _T_88 = bits(lsu_match_data_0, 5, 5) @[lib.scala 88:86]
node _T_89 = eq(_T_87, _T_88) @[lib.scala 88:78]
node _T_90 = mux(_T_86, UInt<1>("h01"), _T_89) @[lib.scala 88:23]
_T_48[5] <= _T_90 @[lib.scala 88:17]
node _T_91 = bits(io.trigger_pkt_any[0].tdata2, 5, 0) @[lib.scala 88:28]
node _T_92 = andr(_T_91) @[lib.scala 88:36]
node _T_93 = and(_T_92, _T_51) @[lib.scala 88:41]
node _T_94 = bits(io.trigger_pkt_any[0].tdata2, 6, 6) @[lib.scala 88:74]
node _T_95 = bits(lsu_match_data_0, 6, 6) @[lib.scala 88:86]
node _T_96 = eq(_T_94, _T_95) @[lib.scala 88:78]
node _T_97 = mux(_T_93, UInt<1>("h01"), _T_96) @[lib.scala 88:23]
_T_48[6] <= _T_97 @[lib.scala 88:17]
node _T_98 = bits(io.trigger_pkt_any[0].tdata2, 6, 0) @[lib.scala 88:28]
node _T_99 = andr(_T_98) @[lib.scala 88:36]
node _T_100 = and(_T_99, _T_51) @[lib.scala 88:41]
node _T_101 = bits(io.trigger_pkt_any[0].tdata2, 7, 7) @[lib.scala 88:74]
node _T_102 = bits(lsu_match_data_0, 7, 7) @[lib.scala 88:86]
node _T_103 = eq(_T_101, _T_102) @[lib.scala 88:78]
node _T_104 = mux(_T_100, UInt<1>("h01"), _T_103) @[lib.scala 88:23]
_T_48[7] <= _T_104 @[lib.scala 88:17]
node _T_105 = bits(io.trigger_pkt_any[0].tdata2, 7, 0) @[lib.scala 88:28]
node _T_106 = andr(_T_105) @[lib.scala 88:36]
node _T_107 = and(_T_106, _T_51) @[lib.scala 88:41]
node _T_108 = bits(io.trigger_pkt_any[0].tdata2, 8, 8) @[lib.scala 88:74]
node _T_109 = bits(lsu_match_data_0, 8, 8) @[lib.scala 88:86]
node _T_110 = eq(_T_108, _T_109) @[lib.scala 88:78]
node _T_111 = mux(_T_107, UInt<1>("h01"), _T_110) @[lib.scala 88:23]
_T_48[8] <= _T_111 @[lib.scala 88:17]
node _T_112 = bits(io.trigger_pkt_any[0].tdata2, 8, 0) @[lib.scala 88:28]
node _T_113 = andr(_T_112) @[lib.scala 88:36]
node _T_114 = and(_T_113, _T_51) @[lib.scala 88:41]
node _T_115 = bits(io.trigger_pkt_any[0].tdata2, 9, 9) @[lib.scala 88:74]
node _T_116 = bits(lsu_match_data_0, 9, 9) @[lib.scala 88:86]
node _T_117 = eq(_T_115, _T_116) @[lib.scala 88:78]
node _T_118 = mux(_T_114, UInt<1>("h01"), _T_117) @[lib.scala 88:23]
_T_48[9] <= _T_118 @[lib.scala 88:17]
node _T_119 = bits(io.trigger_pkt_any[0].tdata2, 9, 0) @[lib.scala 88:28]
node _T_120 = andr(_T_119) @[lib.scala 88:36]
node _T_121 = and(_T_120, _T_51) @[lib.scala 88:41]
node _T_122 = bits(io.trigger_pkt_any[0].tdata2, 10, 10) @[lib.scala 88:74]
node _T_123 = bits(lsu_match_data_0, 10, 10) @[lib.scala 88:86]
node _T_124 = eq(_T_122, _T_123) @[lib.scala 88:78]
node _T_125 = mux(_T_121, UInt<1>("h01"), _T_124) @[lib.scala 88:23]
_T_48[10] <= _T_125 @[lib.scala 88:17]
node _T_126 = bits(io.trigger_pkt_any[0].tdata2, 10, 0) @[lib.scala 88:28]
node _T_127 = andr(_T_126) @[lib.scala 88:36]
node _T_128 = and(_T_127, _T_51) @[lib.scala 88:41]
node _T_129 = bits(io.trigger_pkt_any[0].tdata2, 11, 11) @[lib.scala 88:74]
node _T_130 = bits(lsu_match_data_0, 11, 11) @[lib.scala 88:86]
node _T_131 = eq(_T_129, _T_130) @[lib.scala 88:78]
node _T_132 = mux(_T_128, UInt<1>("h01"), _T_131) @[lib.scala 88:23]
_T_48[11] <= _T_132 @[lib.scala 88:17]
node _T_133 = bits(io.trigger_pkt_any[0].tdata2, 11, 0) @[lib.scala 88:28]
node _T_134 = andr(_T_133) @[lib.scala 88:36]
node _T_135 = and(_T_134, _T_51) @[lib.scala 88:41]
node _T_136 = bits(io.trigger_pkt_any[0].tdata2, 12, 12) @[lib.scala 88:74]
node _T_137 = bits(lsu_match_data_0, 12, 12) @[lib.scala 88:86]
node _T_138 = eq(_T_136, _T_137) @[lib.scala 88:78]
node _T_139 = mux(_T_135, UInt<1>("h01"), _T_138) @[lib.scala 88:23]
_T_48[12] <= _T_139 @[lib.scala 88:17]
node _T_140 = bits(io.trigger_pkt_any[0].tdata2, 12, 0) @[lib.scala 88:28]
node _T_141 = andr(_T_140) @[lib.scala 88:36]
node _T_142 = and(_T_141, _T_51) @[lib.scala 88:41]
node _T_143 = bits(io.trigger_pkt_any[0].tdata2, 13, 13) @[lib.scala 88:74]
node _T_144 = bits(lsu_match_data_0, 13, 13) @[lib.scala 88:86]
node _T_145 = eq(_T_143, _T_144) @[lib.scala 88:78]
node _T_146 = mux(_T_142, UInt<1>("h01"), _T_145) @[lib.scala 88:23]
_T_48[13] <= _T_146 @[lib.scala 88:17]
node _T_147 = bits(io.trigger_pkt_any[0].tdata2, 13, 0) @[lib.scala 88:28]
node _T_148 = andr(_T_147) @[lib.scala 88:36]
node _T_149 = and(_T_148, _T_51) @[lib.scala 88:41]
node _T_150 = bits(io.trigger_pkt_any[0].tdata2, 14, 14) @[lib.scala 88:74]
node _T_151 = bits(lsu_match_data_0, 14, 14) @[lib.scala 88:86]
node _T_152 = eq(_T_150, _T_151) @[lib.scala 88:78]
node _T_153 = mux(_T_149, UInt<1>("h01"), _T_152) @[lib.scala 88:23]
_T_48[14] <= _T_153 @[lib.scala 88:17]
node _T_154 = bits(io.trigger_pkt_any[0].tdata2, 14, 0) @[lib.scala 88:28]
node _T_155 = andr(_T_154) @[lib.scala 88:36]
node _T_156 = and(_T_155, _T_51) @[lib.scala 88:41]
node _T_157 = bits(io.trigger_pkt_any[0].tdata2, 15, 15) @[lib.scala 88:74]
node _T_158 = bits(lsu_match_data_0, 15, 15) @[lib.scala 88:86]
node _T_159 = eq(_T_157, _T_158) @[lib.scala 88:78]
node _T_160 = mux(_T_156, UInt<1>("h01"), _T_159) @[lib.scala 88:23]
_T_48[15] <= _T_160 @[lib.scala 88:17]
node _T_161 = bits(io.trigger_pkt_any[0].tdata2, 15, 0) @[lib.scala 88:28]
node _T_162 = andr(_T_161) @[lib.scala 88:36]
node _T_163 = and(_T_162, _T_51) @[lib.scala 88:41]
node _T_164 = bits(io.trigger_pkt_any[0].tdata2, 16, 16) @[lib.scala 88:74]
node _T_165 = bits(lsu_match_data_0, 16, 16) @[lib.scala 88:86]
node _T_166 = eq(_T_164, _T_165) @[lib.scala 88:78]
node _T_167 = mux(_T_163, UInt<1>("h01"), _T_166) @[lib.scala 88:23]
_T_48[16] <= _T_167 @[lib.scala 88:17]
node _T_168 = bits(io.trigger_pkt_any[0].tdata2, 16, 0) @[lib.scala 88:28]
node _T_169 = andr(_T_168) @[lib.scala 88:36]
node _T_170 = and(_T_169, _T_51) @[lib.scala 88:41]
node _T_171 = bits(io.trigger_pkt_any[0].tdata2, 17, 17) @[lib.scala 88:74]
node _T_172 = bits(lsu_match_data_0, 17, 17) @[lib.scala 88:86]
node _T_173 = eq(_T_171, _T_172) @[lib.scala 88:78]
node _T_174 = mux(_T_170, UInt<1>("h01"), _T_173) @[lib.scala 88:23]
_T_48[17] <= _T_174 @[lib.scala 88:17]
node _T_175 = bits(io.trigger_pkt_any[0].tdata2, 17, 0) @[lib.scala 88:28]
node _T_176 = andr(_T_175) @[lib.scala 88:36]
node _T_177 = and(_T_176, _T_51) @[lib.scala 88:41]
node _T_178 = bits(io.trigger_pkt_any[0].tdata2, 18, 18) @[lib.scala 88:74]
node _T_179 = bits(lsu_match_data_0, 18, 18) @[lib.scala 88:86]
node _T_180 = eq(_T_178, _T_179) @[lib.scala 88:78]
node _T_181 = mux(_T_177, UInt<1>("h01"), _T_180) @[lib.scala 88:23]
_T_48[18] <= _T_181 @[lib.scala 88:17]
node _T_182 = bits(io.trigger_pkt_any[0].tdata2, 18, 0) @[lib.scala 88:28]
node _T_183 = andr(_T_182) @[lib.scala 88:36]
node _T_184 = and(_T_183, _T_51) @[lib.scala 88:41]
node _T_185 = bits(io.trigger_pkt_any[0].tdata2, 19, 19) @[lib.scala 88:74]
node _T_186 = bits(lsu_match_data_0, 19, 19) @[lib.scala 88:86]
node _T_187 = eq(_T_185, _T_186) @[lib.scala 88:78]
node _T_188 = mux(_T_184, UInt<1>("h01"), _T_187) @[lib.scala 88:23]
_T_48[19] <= _T_188 @[lib.scala 88:17]
node _T_189 = bits(io.trigger_pkt_any[0].tdata2, 19, 0) @[lib.scala 88:28]
node _T_190 = andr(_T_189) @[lib.scala 88:36]
node _T_191 = and(_T_190, _T_51) @[lib.scala 88:41]
node _T_192 = bits(io.trigger_pkt_any[0].tdata2, 20, 20) @[lib.scala 88:74]
node _T_193 = bits(lsu_match_data_0, 20, 20) @[lib.scala 88:86]
node _T_194 = eq(_T_192, _T_193) @[lib.scala 88:78]
node _T_195 = mux(_T_191, UInt<1>("h01"), _T_194) @[lib.scala 88:23]
_T_48[20] <= _T_195 @[lib.scala 88:17]
node _T_196 = bits(io.trigger_pkt_any[0].tdata2, 20, 0) @[lib.scala 88:28]
node _T_197 = andr(_T_196) @[lib.scala 88:36]
node _T_198 = and(_T_197, _T_51) @[lib.scala 88:41]
node _T_199 = bits(io.trigger_pkt_any[0].tdata2, 21, 21) @[lib.scala 88:74]
node _T_200 = bits(lsu_match_data_0, 21, 21) @[lib.scala 88:86]
node _T_201 = eq(_T_199, _T_200) @[lib.scala 88:78]
node _T_202 = mux(_T_198, UInt<1>("h01"), _T_201) @[lib.scala 88:23]
_T_48[21] <= _T_202 @[lib.scala 88:17]
node _T_203 = bits(io.trigger_pkt_any[0].tdata2, 21, 0) @[lib.scala 88:28]
node _T_204 = andr(_T_203) @[lib.scala 88:36]
node _T_205 = and(_T_204, _T_51) @[lib.scala 88:41]
node _T_206 = bits(io.trigger_pkt_any[0].tdata2, 22, 22) @[lib.scala 88:74]
node _T_207 = bits(lsu_match_data_0, 22, 22) @[lib.scala 88:86]
node _T_208 = eq(_T_206, _T_207) @[lib.scala 88:78]
node _T_209 = mux(_T_205, UInt<1>("h01"), _T_208) @[lib.scala 88:23]
_T_48[22] <= _T_209 @[lib.scala 88:17]
node _T_210 = bits(io.trigger_pkt_any[0].tdata2, 22, 0) @[lib.scala 88:28]
node _T_211 = andr(_T_210) @[lib.scala 88:36]
node _T_212 = and(_T_211, _T_51) @[lib.scala 88:41]
node _T_213 = bits(io.trigger_pkt_any[0].tdata2, 23, 23) @[lib.scala 88:74]
node _T_214 = bits(lsu_match_data_0, 23, 23) @[lib.scala 88:86]
node _T_215 = eq(_T_213, _T_214) @[lib.scala 88:78]
node _T_216 = mux(_T_212, UInt<1>("h01"), _T_215) @[lib.scala 88:23]
_T_48[23] <= _T_216 @[lib.scala 88:17]
node _T_217 = bits(io.trigger_pkt_any[0].tdata2, 23, 0) @[lib.scala 88:28]
node _T_218 = andr(_T_217) @[lib.scala 88:36]
node _T_219 = and(_T_218, _T_51) @[lib.scala 88:41]
node _T_220 = bits(io.trigger_pkt_any[0].tdata2, 24, 24) @[lib.scala 88:74]
node _T_221 = bits(lsu_match_data_0, 24, 24) @[lib.scala 88:86]
node _T_222 = eq(_T_220, _T_221) @[lib.scala 88:78]
node _T_223 = mux(_T_219, UInt<1>("h01"), _T_222) @[lib.scala 88:23]
_T_48[24] <= _T_223 @[lib.scala 88:17]
node _T_224 = bits(io.trigger_pkt_any[0].tdata2, 24, 0) @[lib.scala 88:28]
node _T_225 = andr(_T_224) @[lib.scala 88:36]
node _T_226 = and(_T_225, _T_51) @[lib.scala 88:41]
node _T_227 = bits(io.trigger_pkt_any[0].tdata2, 25, 25) @[lib.scala 88:74]
node _T_228 = bits(lsu_match_data_0, 25, 25) @[lib.scala 88:86]
node _T_229 = eq(_T_227, _T_228) @[lib.scala 88:78]
node _T_230 = mux(_T_226, UInt<1>("h01"), _T_229) @[lib.scala 88:23]
_T_48[25] <= _T_230 @[lib.scala 88:17]
node _T_231 = bits(io.trigger_pkt_any[0].tdata2, 25, 0) @[lib.scala 88:28]
node _T_232 = andr(_T_231) @[lib.scala 88:36]
node _T_233 = and(_T_232, _T_51) @[lib.scala 88:41]
node _T_234 = bits(io.trigger_pkt_any[0].tdata2, 26, 26) @[lib.scala 88:74]
node _T_235 = bits(lsu_match_data_0, 26, 26) @[lib.scala 88:86]
node _T_236 = eq(_T_234, _T_235) @[lib.scala 88:78]
node _T_237 = mux(_T_233, UInt<1>("h01"), _T_236) @[lib.scala 88:23]
_T_48[26] <= _T_237 @[lib.scala 88:17]
node _T_238 = bits(io.trigger_pkt_any[0].tdata2, 26, 0) @[lib.scala 88:28]
node _T_239 = andr(_T_238) @[lib.scala 88:36]
node _T_240 = and(_T_239, _T_51) @[lib.scala 88:41]
node _T_241 = bits(io.trigger_pkt_any[0].tdata2, 27, 27) @[lib.scala 88:74]
node _T_242 = bits(lsu_match_data_0, 27, 27) @[lib.scala 88:86]
node _T_243 = eq(_T_241, _T_242) @[lib.scala 88:78]
node _T_244 = mux(_T_240, UInt<1>("h01"), _T_243) @[lib.scala 88:23]
_T_48[27] <= _T_244 @[lib.scala 88:17]
node _T_245 = bits(io.trigger_pkt_any[0].tdata2, 27, 0) @[lib.scala 88:28]
node _T_246 = andr(_T_245) @[lib.scala 88:36]
node _T_247 = and(_T_246, _T_51) @[lib.scala 88:41]
node _T_248 = bits(io.trigger_pkt_any[0].tdata2, 28, 28) @[lib.scala 88:74]
node _T_249 = bits(lsu_match_data_0, 28, 28) @[lib.scala 88:86]
node _T_250 = eq(_T_248, _T_249) @[lib.scala 88:78]
node _T_251 = mux(_T_247, UInt<1>("h01"), _T_250) @[lib.scala 88:23]
_T_48[28] <= _T_251 @[lib.scala 88:17]
node _T_252 = bits(io.trigger_pkt_any[0].tdata2, 28, 0) @[lib.scala 88:28]
node _T_253 = andr(_T_252) @[lib.scala 88:36]
node _T_254 = and(_T_253, _T_51) @[lib.scala 88:41]
node _T_255 = bits(io.trigger_pkt_any[0].tdata2, 29, 29) @[lib.scala 88:74]
node _T_256 = bits(lsu_match_data_0, 29, 29) @[lib.scala 88:86]
node _T_257 = eq(_T_255, _T_256) @[lib.scala 88:78]
node _T_258 = mux(_T_254, UInt<1>("h01"), _T_257) @[lib.scala 88:23]
_T_48[29] <= _T_258 @[lib.scala 88:17]
node _T_259 = bits(io.trigger_pkt_any[0].tdata2, 29, 0) @[lib.scala 88:28]
node _T_260 = andr(_T_259) @[lib.scala 88:36]
node _T_261 = and(_T_260, _T_51) @[lib.scala 88:41]
node _T_262 = bits(io.trigger_pkt_any[0].tdata2, 30, 30) @[lib.scala 88:74]
node _T_263 = bits(lsu_match_data_0, 30, 30) @[lib.scala 88:86]
node _T_264 = eq(_T_262, _T_263) @[lib.scala 88:78]
node _T_265 = mux(_T_261, UInt<1>("h01"), _T_264) @[lib.scala 88:23]
_T_48[30] <= _T_265 @[lib.scala 88:17]
node _T_266 = bits(io.trigger_pkt_any[0].tdata2, 30, 0) @[lib.scala 88:28]
node _T_267 = andr(_T_266) @[lib.scala 88:36]
node _T_268 = and(_T_267, _T_51) @[lib.scala 88:41]
node _T_269 = bits(io.trigger_pkt_any[0].tdata2, 31, 31) @[lib.scala 88:74]
node _T_270 = bits(lsu_match_data_0, 31, 31) @[lib.scala 88:86]
node _T_271 = eq(_T_269, _T_270) @[lib.scala 88:78]
node _T_272 = mux(_T_268, UInt<1>("h01"), _T_271) @[lib.scala 88:23]
_T_48[31] <= _T_272 @[lib.scala 88:17]
node _T_273 = cat(_T_48[1], _T_48[0]) @[lib.scala 89:14]
node _T_274 = cat(_T_48[3], _T_48[2]) @[lib.scala 89:14]
node _T_275 = cat(_T_274, _T_273) @[lib.scala 89:14]
node _T_276 = cat(_T_48[5], _T_48[4]) @[lib.scala 89:14]
node _T_277 = cat(_T_48[7], _T_48[6]) @[lib.scala 89:14]
node _T_278 = cat(_T_277, _T_276) @[lib.scala 89:14]
node _T_279 = cat(_T_278, _T_275) @[lib.scala 89:14]
node _T_280 = cat(_T_48[9], _T_48[8]) @[lib.scala 89:14]
node _T_281 = cat(_T_48[11], _T_48[10]) @[lib.scala 89:14]
node _T_282 = cat(_T_281, _T_280) @[lib.scala 89:14]
node _T_283 = cat(_T_48[13], _T_48[12]) @[lib.scala 89:14]
node _T_284 = cat(_T_48[15], _T_48[14]) @[lib.scala 89:14]
node _T_285 = cat(_T_284, _T_283) @[lib.scala 89:14]
node _T_286 = cat(_T_285, _T_282) @[lib.scala 89:14]
node _T_287 = cat(_T_286, _T_279) @[lib.scala 89:14]
node _T_288 = cat(_T_48[17], _T_48[16]) @[lib.scala 89:14]
node _T_289 = cat(_T_48[19], _T_48[18]) @[lib.scala 89:14]
node _T_290 = cat(_T_289, _T_288) @[lib.scala 89:14]
node _T_291 = cat(_T_48[21], _T_48[20]) @[lib.scala 89:14]
node _T_292 = cat(_T_48[23], _T_48[22]) @[lib.scala 89:14]
node _T_293 = cat(_T_292, _T_291) @[lib.scala 89:14]
node _T_294 = cat(_T_293, _T_290) @[lib.scala 89:14]
node _T_295 = cat(_T_48[25], _T_48[24]) @[lib.scala 89:14]
node _T_296 = cat(_T_48[27], _T_48[26]) @[lib.scala 89:14]
node _T_297 = cat(_T_296, _T_295) @[lib.scala 89:14]
node _T_298 = cat(_T_48[29], _T_48[28]) @[lib.scala 89:14]
node _T_299 = cat(_T_48[31], _T_48[30]) @[lib.scala 89:14]
node _T_300 = cat(_T_299, _T_298) @[lib.scala 89:14]
node _T_301 = cat(_T_300, _T_297) @[lib.scala 89:14]
node _T_302 = cat(_T_301, _T_294) @[lib.scala 89:14]
node _T_303 = cat(_T_302, _T_287) @[lib.scala 89:14]
2020-12-10 19:50:23 +08:00
node _T_304 = andr(_T_303) @[lib.scala 89:25]
node _T_305 = and(_T_46, _T_304) @[lsu_trigger.scala 19:92]
node _T_306 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71]
node _T_307 = and(io.lsu_pkt_m.valid, _T_306) @[lsu_trigger.scala 18:69]
node _T_308 = and(io.trigger_pkt_any[1].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126]
node _T_309 = and(io.trigger_pkt_any[1].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33]
node _T_310 = eq(io.trigger_pkt_any[1].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60]
node _T_311 = and(_T_309, _T_310) @[lsu_trigger.scala 19:58]
node _T_312 = or(_T_308, _T_311) @[lsu_trigger.scala 18:152]
node _T_313 = and(_T_307, _T_312) @[lsu_trigger.scala 18:94]
node _T_314 = bits(io.trigger_pkt_any[1].match_pkt, 0, 0) @[lsu_trigger.scala 20:107]
wire _T_315 : UInt<1>[32] @[lib.scala 84:24]
node _T_316 = andr(io.trigger_pkt_any[1].tdata2) @[lib.scala 85:45]
node _T_317 = not(_T_316) @[lib.scala 85:39]
node _T_318 = and(_T_314, _T_317) @[lib.scala 85:37]
node _T_319 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[lib.scala 86:48]
node _T_320 = bits(lsu_match_data_1, 0, 0) @[lib.scala 86:60]
node _T_321 = eq(_T_319, _T_320) @[lib.scala 86:52]
node _T_322 = or(_T_318, _T_321) @[lib.scala 86:41]
_T_315[0] <= _T_322 @[lib.scala 86:18]
node _T_323 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[lib.scala 88:28]
node _T_324 = andr(_T_323) @[lib.scala 88:36]
node _T_325 = and(_T_324, _T_318) @[lib.scala 88:41]
node _T_326 = bits(io.trigger_pkt_any[1].tdata2, 1, 1) @[lib.scala 88:74]
node _T_327 = bits(lsu_match_data_1, 1, 1) @[lib.scala 88:86]
node _T_328 = eq(_T_326, _T_327) @[lib.scala 88:78]
node _T_329 = mux(_T_325, UInt<1>("h01"), _T_328) @[lib.scala 88:23]
_T_315[1] <= _T_329 @[lib.scala 88:17]
node _T_330 = bits(io.trigger_pkt_any[1].tdata2, 1, 0) @[lib.scala 88:28]
node _T_331 = andr(_T_330) @[lib.scala 88:36]
node _T_332 = and(_T_331, _T_318) @[lib.scala 88:41]
node _T_333 = bits(io.trigger_pkt_any[1].tdata2, 2, 2) @[lib.scala 88:74]
node _T_334 = bits(lsu_match_data_1, 2, 2) @[lib.scala 88:86]
node _T_335 = eq(_T_333, _T_334) @[lib.scala 88:78]
node _T_336 = mux(_T_332, UInt<1>("h01"), _T_335) @[lib.scala 88:23]
_T_315[2] <= _T_336 @[lib.scala 88:17]
node _T_337 = bits(io.trigger_pkt_any[1].tdata2, 2, 0) @[lib.scala 88:28]
node _T_338 = andr(_T_337) @[lib.scala 88:36]
node _T_339 = and(_T_338, _T_318) @[lib.scala 88:41]
node _T_340 = bits(io.trigger_pkt_any[1].tdata2, 3, 3) @[lib.scala 88:74]
node _T_341 = bits(lsu_match_data_1, 3, 3) @[lib.scala 88:86]
node _T_342 = eq(_T_340, _T_341) @[lib.scala 88:78]
node _T_343 = mux(_T_339, UInt<1>("h01"), _T_342) @[lib.scala 88:23]
_T_315[3] <= _T_343 @[lib.scala 88:17]
node _T_344 = bits(io.trigger_pkt_any[1].tdata2, 3, 0) @[lib.scala 88:28]
node _T_345 = andr(_T_344) @[lib.scala 88:36]
node _T_346 = and(_T_345, _T_318) @[lib.scala 88:41]
node _T_347 = bits(io.trigger_pkt_any[1].tdata2, 4, 4) @[lib.scala 88:74]
node _T_348 = bits(lsu_match_data_1, 4, 4) @[lib.scala 88:86]
node _T_349 = eq(_T_347, _T_348) @[lib.scala 88:78]
node _T_350 = mux(_T_346, UInt<1>("h01"), _T_349) @[lib.scala 88:23]
_T_315[4] <= _T_350 @[lib.scala 88:17]
node _T_351 = bits(io.trigger_pkt_any[1].tdata2, 4, 0) @[lib.scala 88:28]
node _T_352 = andr(_T_351) @[lib.scala 88:36]
node _T_353 = and(_T_352, _T_318) @[lib.scala 88:41]
node _T_354 = bits(io.trigger_pkt_any[1].tdata2, 5, 5) @[lib.scala 88:74]
node _T_355 = bits(lsu_match_data_1, 5, 5) @[lib.scala 88:86]
node _T_356 = eq(_T_354, _T_355) @[lib.scala 88:78]
node _T_357 = mux(_T_353, UInt<1>("h01"), _T_356) @[lib.scala 88:23]
_T_315[5] <= _T_357 @[lib.scala 88:17]
node _T_358 = bits(io.trigger_pkt_any[1].tdata2, 5, 0) @[lib.scala 88:28]
node _T_359 = andr(_T_358) @[lib.scala 88:36]
node _T_360 = and(_T_359, _T_318) @[lib.scala 88:41]
node _T_361 = bits(io.trigger_pkt_any[1].tdata2, 6, 6) @[lib.scala 88:74]
node _T_362 = bits(lsu_match_data_1, 6, 6) @[lib.scala 88:86]
node _T_363 = eq(_T_361, _T_362) @[lib.scala 88:78]
node _T_364 = mux(_T_360, UInt<1>("h01"), _T_363) @[lib.scala 88:23]
_T_315[6] <= _T_364 @[lib.scala 88:17]
node _T_365 = bits(io.trigger_pkt_any[1].tdata2, 6, 0) @[lib.scala 88:28]
node _T_366 = andr(_T_365) @[lib.scala 88:36]
node _T_367 = and(_T_366, _T_318) @[lib.scala 88:41]
node _T_368 = bits(io.trigger_pkt_any[1].tdata2, 7, 7) @[lib.scala 88:74]
node _T_369 = bits(lsu_match_data_1, 7, 7) @[lib.scala 88:86]
node _T_370 = eq(_T_368, _T_369) @[lib.scala 88:78]
node _T_371 = mux(_T_367, UInt<1>("h01"), _T_370) @[lib.scala 88:23]
_T_315[7] <= _T_371 @[lib.scala 88:17]
node _T_372 = bits(io.trigger_pkt_any[1].tdata2, 7, 0) @[lib.scala 88:28]
node _T_373 = andr(_T_372) @[lib.scala 88:36]
node _T_374 = and(_T_373, _T_318) @[lib.scala 88:41]
node _T_375 = bits(io.trigger_pkt_any[1].tdata2, 8, 8) @[lib.scala 88:74]
node _T_376 = bits(lsu_match_data_1, 8, 8) @[lib.scala 88:86]
node _T_377 = eq(_T_375, _T_376) @[lib.scala 88:78]
node _T_378 = mux(_T_374, UInt<1>("h01"), _T_377) @[lib.scala 88:23]
_T_315[8] <= _T_378 @[lib.scala 88:17]
node _T_379 = bits(io.trigger_pkt_any[1].tdata2, 8, 0) @[lib.scala 88:28]
node _T_380 = andr(_T_379) @[lib.scala 88:36]
node _T_381 = and(_T_380, _T_318) @[lib.scala 88:41]
node _T_382 = bits(io.trigger_pkt_any[1].tdata2, 9, 9) @[lib.scala 88:74]
node _T_383 = bits(lsu_match_data_1, 9, 9) @[lib.scala 88:86]
node _T_384 = eq(_T_382, _T_383) @[lib.scala 88:78]
node _T_385 = mux(_T_381, UInt<1>("h01"), _T_384) @[lib.scala 88:23]
_T_315[9] <= _T_385 @[lib.scala 88:17]
node _T_386 = bits(io.trigger_pkt_any[1].tdata2, 9, 0) @[lib.scala 88:28]
node _T_387 = andr(_T_386) @[lib.scala 88:36]
node _T_388 = and(_T_387, _T_318) @[lib.scala 88:41]
node _T_389 = bits(io.trigger_pkt_any[1].tdata2, 10, 10) @[lib.scala 88:74]
node _T_390 = bits(lsu_match_data_1, 10, 10) @[lib.scala 88:86]
node _T_391 = eq(_T_389, _T_390) @[lib.scala 88:78]
node _T_392 = mux(_T_388, UInt<1>("h01"), _T_391) @[lib.scala 88:23]
_T_315[10] <= _T_392 @[lib.scala 88:17]
node _T_393 = bits(io.trigger_pkt_any[1].tdata2, 10, 0) @[lib.scala 88:28]
node _T_394 = andr(_T_393) @[lib.scala 88:36]
node _T_395 = and(_T_394, _T_318) @[lib.scala 88:41]
node _T_396 = bits(io.trigger_pkt_any[1].tdata2, 11, 11) @[lib.scala 88:74]
node _T_397 = bits(lsu_match_data_1, 11, 11) @[lib.scala 88:86]
node _T_398 = eq(_T_396, _T_397) @[lib.scala 88:78]
node _T_399 = mux(_T_395, UInt<1>("h01"), _T_398) @[lib.scala 88:23]
_T_315[11] <= _T_399 @[lib.scala 88:17]
node _T_400 = bits(io.trigger_pkt_any[1].tdata2, 11, 0) @[lib.scala 88:28]
node _T_401 = andr(_T_400) @[lib.scala 88:36]
node _T_402 = and(_T_401, _T_318) @[lib.scala 88:41]
node _T_403 = bits(io.trigger_pkt_any[1].tdata2, 12, 12) @[lib.scala 88:74]
node _T_404 = bits(lsu_match_data_1, 12, 12) @[lib.scala 88:86]
node _T_405 = eq(_T_403, _T_404) @[lib.scala 88:78]
node _T_406 = mux(_T_402, UInt<1>("h01"), _T_405) @[lib.scala 88:23]
_T_315[12] <= _T_406 @[lib.scala 88:17]
node _T_407 = bits(io.trigger_pkt_any[1].tdata2, 12, 0) @[lib.scala 88:28]
node _T_408 = andr(_T_407) @[lib.scala 88:36]
node _T_409 = and(_T_408, _T_318) @[lib.scala 88:41]
node _T_410 = bits(io.trigger_pkt_any[1].tdata2, 13, 13) @[lib.scala 88:74]
node _T_411 = bits(lsu_match_data_1, 13, 13) @[lib.scala 88:86]
node _T_412 = eq(_T_410, _T_411) @[lib.scala 88:78]
node _T_413 = mux(_T_409, UInt<1>("h01"), _T_412) @[lib.scala 88:23]
_T_315[13] <= _T_413 @[lib.scala 88:17]
node _T_414 = bits(io.trigger_pkt_any[1].tdata2, 13, 0) @[lib.scala 88:28]
node _T_415 = andr(_T_414) @[lib.scala 88:36]
node _T_416 = and(_T_415, _T_318) @[lib.scala 88:41]
node _T_417 = bits(io.trigger_pkt_any[1].tdata2, 14, 14) @[lib.scala 88:74]
node _T_418 = bits(lsu_match_data_1, 14, 14) @[lib.scala 88:86]
node _T_419 = eq(_T_417, _T_418) @[lib.scala 88:78]
node _T_420 = mux(_T_416, UInt<1>("h01"), _T_419) @[lib.scala 88:23]
_T_315[14] <= _T_420 @[lib.scala 88:17]
node _T_421 = bits(io.trigger_pkt_any[1].tdata2, 14, 0) @[lib.scala 88:28]
node _T_422 = andr(_T_421) @[lib.scala 88:36]
node _T_423 = and(_T_422, _T_318) @[lib.scala 88:41]
node _T_424 = bits(io.trigger_pkt_any[1].tdata2, 15, 15) @[lib.scala 88:74]
node _T_425 = bits(lsu_match_data_1, 15, 15) @[lib.scala 88:86]
node _T_426 = eq(_T_424, _T_425) @[lib.scala 88:78]
node _T_427 = mux(_T_423, UInt<1>("h01"), _T_426) @[lib.scala 88:23]
_T_315[15] <= _T_427 @[lib.scala 88:17]
node _T_428 = bits(io.trigger_pkt_any[1].tdata2, 15, 0) @[lib.scala 88:28]
node _T_429 = andr(_T_428) @[lib.scala 88:36]
node _T_430 = and(_T_429, _T_318) @[lib.scala 88:41]
node _T_431 = bits(io.trigger_pkt_any[1].tdata2, 16, 16) @[lib.scala 88:74]
node _T_432 = bits(lsu_match_data_1, 16, 16) @[lib.scala 88:86]
node _T_433 = eq(_T_431, _T_432) @[lib.scala 88:78]
node _T_434 = mux(_T_430, UInt<1>("h01"), _T_433) @[lib.scala 88:23]
_T_315[16] <= _T_434 @[lib.scala 88:17]
node _T_435 = bits(io.trigger_pkt_any[1].tdata2, 16, 0) @[lib.scala 88:28]
node _T_436 = andr(_T_435) @[lib.scala 88:36]
node _T_437 = and(_T_436, _T_318) @[lib.scala 88:41]
node _T_438 = bits(io.trigger_pkt_any[1].tdata2, 17, 17) @[lib.scala 88:74]
node _T_439 = bits(lsu_match_data_1, 17, 17) @[lib.scala 88:86]
node _T_440 = eq(_T_438, _T_439) @[lib.scala 88:78]
node _T_441 = mux(_T_437, UInt<1>("h01"), _T_440) @[lib.scala 88:23]
_T_315[17] <= _T_441 @[lib.scala 88:17]
node _T_442 = bits(io.trigger_pkt_any[1].tdata2, 17, 0) @[lib.scala 88:28]
node _T_443 = andr(_T_442) @[lib.scala 88:36]
node _T_444 = and(_T_443, _T_318) @[lib.scala 88:41]
node _T_445 = bits(io.trigger_pkt_any[1].tdata2, 18, 18) @[lib.scala 88:74]
node _T_446 = bits(lsu_match_data_1, 18, 18) @[lib.scala 88:86]
node _T_447 = eq(_T_445, _T_446) @[lib.scala 88:78]
node _T_448 = mux(_T_444, UInt<1>("h01"), _T_447) @[lib.scala 88:23]
_T_315[18] <= _T_448 @[lib.scala 88:17]
node _T_449 = bits(io.trigger_pkt_any[1].tdata2, 18, 0) @[lib.scala 88:28]
node _T_450 = andr(_T_449) @[lib.scala 88:36]
node _T_451 = and(_T_450, _T_318) @[lib.scala 88:41]
node _T_452 = bits(io.trigger_pkt_any[1].tdata2, 19, 19) @[lib.scala 88:74]
node _T_453 = bits(lsu_match_data_1, 19, 19) @[lib.scala 88:86]
node _T_454 = eq(_T_452, _T_453) @[lib.scala 88:78]
node _T_455 = mux(_T_451, UInt<1>("h01"), _T_454) @[lib.scala 88:23]
_T_315[19] <= _T_455 @[lib.scala 88:17]
node _T_456 = bits(io.trigger_pkt_any[1].tdata2, 19, 0) @[lib.scala 88:28]
node _T_457 = andr(_T_456) @[lib.scala 88:36]
node _T_458 = and(_T_457, _T_318) @[lib.scala 88:41]
node _T_459 = bits(io.trigger_pkt_any[1].tdata2, 20, 20) @[lib.scala 88:74]
node _T_460 = bits(lsu_match_data_1, 20, 20) @[lib.scala 88:86]
node _T_461 = eq(_T_459, _T_460) @[lib.scala 88:78]
node _T_462 = mux(_T_458, UInt<1>("h01"), _T_461) @[lib.scala 88:23]
_T_315[20] <= _T_462 @[lib.scala 88:17]
node _T_463 = bits(io.trigger_pkt_any[1].tdata2, 20, 0) @[lib.scala 88:28]
node _T_464 = andr(_T_463) @[lib.scala 88:36]
node _T_465 = and(_T_464, _T_318) @[lib.scala 88:41]
node _T_466 = bits(io.trigger_pkt_any[1].tdata2, 21, 21) @[lib.scala 88:74]
node _T_467 = bits(lsu_match_data_1, 21, 21) @[lib.scala 88:86]
node _T_468 = eq(_T_466, _T_467) @[lib.scala 88:78]
node _T_469 = mux(_T_465, UInt<1>("h01"), _T_468) @[lib.scala 88:23]
_T_315[21] <= _T_469 @[lib.scala 88:17]
node _T_470 = bits(io.trigger_pkt_any[1].tdata2, 21, 0) @[lib.scala 88:28]
node _T_471 = andr(_T_470) @[lib.scala 88:36]
node _T_472 = and(_T_471, _T_318) @[lib.scala 88:41]
node _T_473 = bits(io.trigger_pkt_any[1].tdata2, 22, 22) @[lib.scala 88:74]
node _T_474 = bits(lsu_match_data_1, 22, 22) @[lib.scala 88:86]
node _T_475 = eq(_T_473, _T_474) @[lib.scala 88:78]
node _T_476 = mux(_T_472, UInt<1>("h01"), _T_475) @[lib.scala 88:23]
_T_315[22] <= _T_476 @[lib.scala 88:17]
node _T_477 = bits(io.trigger_pkt_any[1].tdata2, 22, 0) @[lib.scala 88:28]
node _T_478 = andr(_T_477) @[lib.scala 88:36]
node _T_479 = and(_T_478, _T_318) @[lib.scala 88:41]
node _T_480 = bits(io.trigger_pkt_any[1].tdata2, 23, 23) @[lib.scala 88:74]
node _T_481 = bits(lsu_match_data_1, 23, 23) @[lib.scala 88:86]
node _T_482 = eq(_T_480, _T_481) @[lib.scala 88:78]
node _T_483 = mux(_T_479, UInt<1>("h01"), _T_482) @[lib.scala 88:23]
_T_315[23] <= _T_483 @[lib.scala 88:17]
node _T_484 = bits(io.trigger_pkt_any[1].tdata2, 23, 0) @[lib.scala 88:28]
node _T_485 = andr(_T_484) @[lib.scala 88:36]
node _T_486 = and(_T_485, _T_318) @[lib.scala 88:41]
node _T_487 = bits(io.trigger_pkt_any[1].tdata2, 24, 24) @[lib.scala 88:74]
node _T_488 = bits(lsu_match_data_1, 24, 24) @[lib.scala 88:86]
node _T_489 = eq(_T_487, _T_488) @[lib.scala 88:78]
node _T_490 = mux(_T_486, UInt<1>("h01"), _T_489) @[lib.scala 88:23]
_T_315[24] <= _T_490 @[lib.scala 88:17]
node _T_491 = bits(io.trigger_pkt_any[1].tdata2, 24, 0) @[lib.scala 88:28]
node _T_492 = andr(_T_491) @[lib.scala 88:36]
node _T_493 = and(_T_492, _T_318) @[lib.scala 88:41]
node _T_494 = bits(io.trigger_pkt_any[1].tdata2, 25, 25) @[lib.scala 88:74]
node _T_495 = bits(lsu_match_data_1, 25, 25) @[lib.scala 88:86]
node _T_496 = eq(_T_494, _T_495) @[lib.scala 88:78]
node _T_497 = mux(_T_493, UInt<1>("h01"), _T_496) @[lib.scala 88:23]
_T_315[25] <= _T_497 @[lib.scala 88:17]
node _T_498 = bits(io.trigger_pkt_any[1].tdata2, 25, 0) @[lib.scala 88:28]
node _T_499 = andr(_T_498) @[lib.scala 88:36]
node _T_500 = and(_T_499, _T_318) @[lib.scala 88:41]
node _T_501 = bits(io.trigger_pkt_any[1].tdata2, 26, 26) @[lib.scala 88:74]
node _T_502 = bits(lsu_match_data_1, 26, 26) @[lib.scala 88:86]
node _T_503 = eq(_T_501, _T_502) @[lib.scala 88:78]
node _T_504 = mux(_T_500, UInt<1>("h01"), _T_503) @[lib.scala 88:23]
_T_315[26] <= _T_504 @[lib.scala 88:17]
node _T_505 = bits(io.trigger_pkt_any[1].tdata2, 26, 0) @[lib.scala 88:28]
node _T_506 = andr(_T_505) @[lib.scala 88:36]
node _T_507 = and(_T_506, _T_318) @[lib.scala 88:41]
node _T_508 = bits(io.trigger_pkt_any[1].tdata2, 27, 27) @[lib.scala 88:74]
node _T_509 = bits(lsu_match_data_1, 27, 27) @[lib.scala 88:86]
node _T_510 = eq(_T_508, _T_509) @[lib.scala 88:78]
node _T_511 = mux(_T_507, UInt<1>("h01"), _T_510) @[lib.scala 88:23]
_T_315[27] <= _T_511 @[lib.scala 88:17]
node _T_512 = bits(io.trigger_pkt_any[1].tdata2, 27, 0) @[lib.scala 88:28]
node _T_513 = andr(_T_512) @[lib.scala 88:36]
node _T_514 = and(_T_513, _T_318) @[lib.scala 88:41]
node _T_515 = bits(io.trigger_pkt_any[1].tdata2, 28, 28) @[lib.scala 88:74]
node _T_516 = bits(lsu_match_data_1, 28, 28) @[lib.scala 88:86]
node _T_517 = eq(_T_515, _T_516) @[lib.scala 88:78]
node _T_518 = mux(_T_514, UInt<1>("h01"), _T_517) @[lib.scala 88:23]
_T_315[28] <= _T_518 @[lib.scala 88:17]
node _T_519 = bits(io.trigger_pkt_any[1].tdata2, 28, 0) @[lib.scala 88:28]
node _T_520 = andr(_T_519) @[lib.scala 88:36]
node _T_521 = and(_T_520, _T_318) @[lib.scala 88:41]
node _T_522 = bits(io.trigger_pkt_any[1].tdata2, 29, 29) @[lib.scala 88:74]
node _T_523 = bits(lsu_match_data_1, 29, 29) @[lib.scala 88:86]
node _T_524 = eq(_T_522, _T_523) @[lib.scala 88:78]
node _T_525 = mux(_T_521, UInt<1>("h01"), _T_524) @[lib.scala 88:23]
_T_315[29] <= _T_525 @[lib.scala 88:17]
node _T_526 = bits(io.trigger_pkt_any[1].tdata2, 29, 0) @[lib.scala 88:28]
node _T_527 = andr(_T_526) @[lib.scala 88:36]
node _T_528 = and(_T_527, _T_318) @[lib.scala 88:41]
node _T_529 = bits(io.trigger_pkt_any[1].tdata2, 30, 30) @[lib.scala 88:74]
node _T_530 = bits(lsu_match_data_1, 30, 30) @[lib.scala 88:86]
node _T_531 = eq(_T_529, _T_530) @[lib.scala 88:78]
node _T_532 = mux(_T_528, UInt<1>("h01"), _T_531) @[lib.scala 88:23]
_T_315[30] <= _T_532 @[lib.scala 88:17]
node _T_533 = bits(io.trigger_pkt_any[1].tdata2, 30, 0) @[lib.scala 88:28]
node _T_534 = andr(_T_533) @[lib.scala 88:36]
node _T_535 = and(_T_534, _T_318) @[lib.scala 88:41]
node _T_536 = bits(io.trigger_pkt_any[1].tdata2, 31, 31) @[lib.scala 88:74]
node _T_537 = bits(lsu_match_data_1, 31, 31) @[lib.scala 88:86]
node _T_538 = eq(_T_536, _T_537) @[lib.scala 88:78]
node _T_539 = mux(_T_535, UInt<1>("h01"), _T_538) @[lib.scala 88:23]
_T_315[31] <= _T_539 @[lib.scala 88:17]
node _T_540 = cat(_T_315[1], _T_315[0]) @[lib.scala 89:14]
node _T_541 = cat(_T_315[3], _T_315[2]) @[lib.scala 89:14]
node _T_542 = cat(_T_541, _T_540) @[lib.scala 89:14]
node _T_543 = cat(_T_315[5], _T_315[4]) @[lib.scala 89:14]
node _T_544 = cat(_T_315[7], _T_315[6]) @[lib.scala 89:14]
node _T_545 = cat(_T_544, _T_543) @[lib.scala 89:14]
node _T_546 = cat(_T_545, _T_542) @[lib.scala 89:14]
node _T_547 = cat(_T_315[9], _T_315[8]) @[lib.scala 89:14]
node _T_548 = cat(_T_315[11], _T_315[10]) @[lib.scala 89:14]
node _T_549 = cat(_T_548, _T_547) @[lib.scala 89:14]
node _T_550 = cat(_T_315[13], _T_315[12]) @[lib.scala 89:14]
node _T_551 = cat(_T_315[15], _T_315[14]) @[lib.scala 89:14]
node _T_552 = cat(_T_551, _T_550) @[lib.scala 89:14]
node _T_553 = cat(_T_552, _T_549) @[lib.scala 89:14]
node _T_554 = cat(_T_553, _T_546) @[lib.scala 89:14]
node _T_555 = cat(_T_315[17], _T_315[16]) @[lib.scala 89:14]
node _T_556 = cat(_T_315[19], _T_315[18]) @[lib.scala 89:14]
node _T_557 = cat(_T_556, _T_555) @[lib.scala 89:14]
node _T_558 = cat(_T_315[21], _T_315[20]) @[lib.scala 89:14]
node _T_559 = cat(_T_315[23], _T_315[22]) @[lib.scala 89:14]
node _T_560 = cat(_T_559, _T_558) @[lib.scala 89:14]
node _T_561 = cat(_T_560, _T_557) @[lib.scala 89:14]
node _T_562 = cat(_T_315[25], _T_315[24]) @[lib.scala 89:14]
node _T_563 = cat(_T_315[27], _T_315[26]) @[lib.scala 89:14]
node _T_564 = cat(_T_563, _T_562) @[lib.scala 89:14]
node _T_565 = cat(_T_315[29], _T_315[28]) @[lib.scala 89:14]
node _T_566 = cat(_T_315[31], _T_315[30]) @[lib.scala 89:14]
node _T_567 = cat(_T_566, _T_565) @[lib.scala 89:14]
node _T_568 = cat(_T_567, _T_564) @[lib.scala 89:14]
node _T_569 = cat(_T_568, _T_561) @[lib.scala 89:14]
node _T_570 = cat(_T_569, _T_554) @[lib.scala 89:14]
node _T_571 = andr(_T_570) @[lib.scala 89:25]
node _T_572 = and(_T_313, _T_571) @[lsu_trigger.scala 19:92]
node _T_573 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71]
node _T_574 = and(io.lsu_pkt_m.valid, _T_573) @[lsu_trigger.scala 18:69]
node _T_575 = and(io.trigger_pkt_any[2].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126]
node _T_576 = and(io.trigger_pkt_any[2].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33]
node _T_577 = eq(io.trigger_pkt_any[2].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60]
node _T_578 = and(_T_576, _T_577) @[lsu_trigger.scala 19:58]
node _T_579 = or(_T_575, _T_578) @[lsu_trigger.scala 18:152]
node _T_580 = and(_T_574, _T_579) @[lsu_trigger.scala 18:94]
node _T_581 = bits(io.trigger_pkt_any[2].match_pkt, 0, 0) @[lsu_trigger.scala 20:107]
wire _T_582 : UInt<1>[32] @[lib.scala 84:24]
node _T_583 = andr(io.trigger_pkt_any[2].tdata2) @[lib.scala 85:45]
node _T_584 = not(_T_583) @[lib.scala 85:39]
node _T_585 = and(_T_581, _T_584) @[lib.scala 85:37]
node _T_586 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[lib.scala 86:48]
node _T_587 = bits(lsu_match_data_2, 0, 0) @[lib.scala 86:60]
node _T_588 = eq(_T_586, _T_587) @[lib.scala 86:52]
node _T_589 = or(_T_585, _T_588) @[lib.scala 86:41]
_T_582[0] <= _T_589 @[lib.scala 86:18]
node _T_590 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[lib.scala 88:28]
node _T_591 = andr(_T_590) @[lib.scala 88:36]
node _T_592 = and(_T_591, _T_585) @[lib.scala 88:41]
node _T_593 = bits(io.trigger_pkt_any[2].tdata2, 1, 1) @[lib.scala 88:74]
node _T_594 = bits(lsu_match_data_2, 1, 1) @[lib.scala 88:86]
node _T_595 = eq(_T_593, _T_594) @[lib.scala 88:78]
node _T_596 = mux(_T_592, UInt<1>("h01"), _T_595) @[lib.scala 88:23]
_T_582[1] <= _T_596 @[lib.scala 88:17]
node _T_597 = bits(io.trigger_pkt_any[2].tdata2, 1, 0) @[lib.scala 88:28]
node _T_598 = andr(_T_597) @[lib.scala 88:36]
node _T_599 = and(_T_598, _T_585) @[lib.scala 88:41]
node _T_600 = bits(io.trigger_pkt_any[2].tdata2, 2, 2) @[lib.scala 88:74]
node _T_601 = bits(lsu_match_data_2, 2, 2) @[lib.scala 88:86]
node _T_602 = eq(_T_600, _T_601) @[lib.scala 88:78]
node _T_603 = mux(_T_599, UInt<1>("h01"), _T_602) @[lib.scala 88:23]
_T_582[2] <= _T_603 @[lib.scala 88:17]
node _T_604 = bits(io.trigger_pkt_any[2].tdata2, 2, 0) @[lib.scala 88:28]
node _T_605 = andr(_T_604) @[lib.scala 88:36]
node _T_606 = and(_T_605, _T_585) @[lib.scala 88:41]
node _T_607 = bits(io.trigger_pkt_any[2].tdata2, 3, 3) @[lib.scala 88:74]
node _T_608 = bits(lsu_match_data_2, 3, 3) @[lib.scala 88:86]
node _T_609 = eq(_T_607, _T_608) @[lib.scala 88:78]
node _T_610 = mux(_T_606, UInt<1>("h01"), _T_609) @[lib.scala 88:23]
_T_582[3] <= _T_610 @[lib.scala 88:17]
node _T_611 = bits(io.trigger_pkt_any[2].tdata2, 3, 0) @[lib.scala 88:28]
node _T_612 = andr(_T_611) @[lib.scala 88:36]
node _T_613 = and(_T_612, _T_585) @[lib.scala 88:41]
node _T_614 = bits(io.trigger_pkt_any[2].tdata2, 4, 4) @[lib.scala 88:74]
node _T_615 = bits(lsu_match_data_2, 4, 4) @[lib.scala 88:86]
node _T_616 = eq(_T_614, _T_615) @[lib.scala 88:78]
node _T_617 = mux(_T_613, UInt<1>("h01"), _T_616) @[lib.scala 88:23]
_T_582[4] <= _T_617 @[lib.scala 88:17]
node _T_618 = bits(io.trigger_pkt_any[2].tdata2, 4, 0) @[lib.scala 88:28]
node _T_619 = andr(_T_618) @[lib.scala 88:36]
node _T_620 = and(_T_619, _T_585) @[lib.scala 88:41]
node _T_621 = bits(io.trigger_pkt_any[2].tdata2, 5, 5) @[lib.scala 88:74]
node _T_622 = bits(lsu_match_data_2, 5, 5) @[lib.scala 88:86]
node _T_623 = eq(_T_621, _T_622) @[lib.scala 88:78]
node _T_624 = mux(_T_620, UInt<1>("h01"), _T_623) @[lib.scala 88:23]
_T_582[5] <= _T_624 @[lib.scala 88:17]
node _T_625 = bits(io.trigger_pkt_any[2].tdata2, 5, 0) @[lib.scala 88:28]
node _T_626 = andr(_T_625) @[lib.scala 88:36]
node _T_627 = and(_T_626, _T_585) @[lib.scala 88:41]
node _T_628 = bits(io.trigger_pkt_any[2].tdata2, 6, 6) @[lib.scala 88:74]
node _T_629 = bits(lsu_match_data_2, 6, 6) @[lib.scala 88:86]
node _T_630 = eq(_T_628, _T_629) @[lib.scala 88:78]
node _T_631 = mux(_T_627, UInt<1>("h01"), _T_630) @[lib.scala 88:23]
_T_582[6] <= _T_631 @[lib.scala 88:17]
node _T_632 = bits(io.trigger_pkt_any[2].tdata2, 6, 0) @[lib.scala 88:28]
node _T_633 = andr(_T_632) @[lib.scala 88:36]
node _T_634 = and(_T_633, _T_585) @[lib.scala 88:41]
node _T_635 = bits(io.trigger_pkt_any[2].tdata2, 7, 7) @[lib.scala 88:74]
node _T_636 = bits(lsu_match_data_2, 7, 7) @[lib.scala 88:86]
node _T_637 = eq(_T_635, _T_636) @[lib.scala 88:78]
node _T_638 = mux(_T_634, UInt<1>("h01"), _T_637) @[lib.scala 88:23]
_T_582[7] <= _T_638 @[lib.scala 88:17]
node _T_639 = bits(io.trigger_pkt_any[2].tdata2, 7, 0) @[lib.scala 88:28]
node _T_640 = andr(_T_639) @[lib.scala 88:36]
node _T_641 = and(_T_640, _T_585) @[lib.scala 88:41]
node _T_642 = bits(io.trigger_pkt_any[2].tdata2, 8, 8) @[lib.scala 88:74]
node _T_643 = bits(lsu_match_data_2, 8, 8) @[lib.scala 88:86]
node _T_644 = eq(_T_642, _T_643) @[lib.scala 88:78]
node _T_645 = mux(_T_641, UInt<1>("h01"), _T_644) @[lib.scala 88:23]
_T_582[8] <= _T_645 @[lib.scala 88:17]
node _T_646 = bits(io.trigger_pkt_any[2].tdata2, 8, 0) @[lib.scala 88:28]
node _T_647 = andr(_T_646) @[lib.scala 88:36]
node _T_648 = and(_T_647, _T_585) @[lib.scala 88:41]
node _T_649 = bits(io.trigger_pkt_any[2].tdata2, 9, 9) @[lib.scala 88:74]
node _T_650 = bits(lsu_match_data_2, 9, 9) @[lib.scala 88:86]
node _T_651 = eq(_T_649, _T_650) @[lib.scala 88:78]
node _T_652 = mux(_T_648, UInt<1>("h01"), _T_651) @[lib.scala 88:23]
_T_582[9] <= _T_652 @[lib.scala 88:17]
node _T_653 = bits(io.trigger_pkt_any[2].tdata2, 9, 0) @[lib.scala 88:28]
node _T_654 = andr(_T_653) @[lib.scala 88:36]
node _T_655 = and(_T_654, _T_585) @[lib.scala 88:41]
node _T_656 = bits(io.trigger_pkt_any[2].tdata2, 10, 10) @[lib.scala 88:74]
node _T_657 = bits(lsu_match_data_2, 10, 10) @[lib.scala 88:86]
node _T_658 = eq(_T_656, _T_657) @[lib.scala 88:78]
node _T_659 = mux(_T_655, UInt<1>("h01"), _T_658) @[lib.scala 88:23]
_T_582[10] <= _T_659 @[lib.scala 88:17]
node _T_660 = bits(io.trigger_pkt_any[2].tdata2, 10, 0) @[lib.scala 88:28]
node _T_661 = andr(_T_660) @[lib.scala 88:36]
node _T_662 = and(_T_661, _T_585) @[lib.scala 88:41]
node _T_663 = bits(io.trigger_pkt_any[2].tdata2, 11, 11) @[lib.scala 88:74]
node _T_664 = bits(lsu_match_data_2, 11, 11) @[lib.scala 88:86]
node _T_665 = eq(_T_663, _T_664) @[lib.scala 88:78]
node _T_666 = mux(_T_662, UInt<1>("h01"), _T_665) @[lib.scala 88:23]
_T_582[11] <= _T_666 @[lib.scala 88:17]
node _T_667 = bits(io.trigger_pkt_any[2].tdata2, 11, 0) @[lib.scala 88:28]
node _T_668 = andr(_T_667) @[lib.scala 88:36]
node _T_669 = and(_T_668, _T_585) @[lib.scala 88:41]
node _T_670 = bits(io.trigger_pkt_any[2].tdata2, 12, 12) @[lib.scala 88:74]
node _T_671 = bits(lsu_match_data_2, 12, 12) @[lib.scala 88:86]
node _T_672 = eq(_T_670, _T_671) @[lib.scala 88:78]
node _T_673 = mux(_T_669, UInt<1>("h01"), _T_672) @[lib.scala 88:23]
_T_582[12] <= _T_673 @[lib.scala 88:17]
node _T_674 = bits(io.trigger_pkt_any[2].tdata2, 12, 0) @[lib.scala 88:28]
node _T_675 = andr(_T_674) @[lib.scala 88:36]
node _T_676 = and(_T_675, _T_585) @[lib.scala 88:41]
node _T_677 = bits(io.trigger_pkt_any[2].tdata2, 13, 13) @[lib.scala 88:74]
node _T_678 = bits(lsu_match_data_2, 13, 13) @[lib.scala 88:86]
node _T_679 = eq(_T_677, _T_678) @[lib.scala 88:78]
node _T_680 = mux(_T_676, UInt<1>("h01"), _T_679) @[lib.scala 88:23]
_T_582[13] <= _T_680 @[lib.scala 88:17]
node _T_681 = bits(io.trigger_pkt_any[2].tdata2, 13, 0) @[lib.scala 88:28]
node _T_682 = andr(_T_681) @[lib.scala 88:36]
node _T_683 = and(_T_682, _T_585) @[lib.scala 88:41]
node _T_684 = bits(io.trigger_pkt_any[2].tdata2, 14, 14) @[lib.scala 88:74]
node _T_685 = bits(lsu_match_data_2, 14, 14) @[lib.scala 88:86]
node _T_686 = eq(_T_684, _T_685) @[lib.scala 88:78]
node _T_687 = mux(_T_683, UInt<1>("h01"), _T_686) @[lib.scala 88:23]
_T_582[14] <= _T_687 @[lib.scala 88:17]
node _T_688 = bits(io.trigger_pkt_any[2].tdata2, 14, 0) @[lib.scala 88:28]
node _T_689 = andr(_T_688) @[lib.scala 88:36]
node _T_690 = and(_T_689, _T_585) @[lib.scala 88:41]
node _T_691 = bits(io.trigger_pkt_any[2].tdata2, 15, 15) @[lib.scala 88:74]
node _T_692 = bits(lsu_match_data_2, 15, 15) @[lib.scala 88:86]
node _T_693 = eq(_T_691, _T_692) @[lib.scala 88:78]
node _T_694 = mux(_T_690, UInt<1>("h01"), _T_693) @[lib.scala 88:23]
_T_582[15] <= _T_694 @[lib.scala 88:17]
node _T_695 = bits(io.trigger_pkt_any[2].tdata2, 15, 0) @[lib.scala 88:28]
node _T_696 = andr(_T_695) @[lib.scala 88:36]
node _T_697 = and(_T_696, _T_585) @[lib.scala 88:41]
node _T_698 = bits(io.trigger_pkt_any[2].tdata2, 16, 16) @[lib.scala 88:74]
node _T_699 = bits(lsu_match_data_2, 16, 16) @[lib.scala 88:86]
node _T_700 = eq(_T_698, _T_699) @[lib.scala 88:78]
node _T_701 = mux(_T_697, UInt<1>("h01"), _T_700) @[lib.scala 88:23]
_T_582[16] <= _T_701 @[lib.scala 88:17]
node _T_702 = bits(io.trigger_pkt_any[2].tdata2, 16, 0) @[lib.scala 88:28]
node _T_703 = andr(_T_702) @[lib.scala 88:36]
node _T_704 = and(_T_703, _T_585) @[lib.scala 88:41]
node _T_705 = bits(io.trigger_pkt_any[2].tdata2, 17, 17) @[lib.scala 88:74]
node _T_706 = bits(lsu_match_data_2, 17, 17) @[lib.scala 88:86]
node _T_707 = eq(_T_705, _T_706) @[lib.scala 88:78]
node _T_708 = mux(_T_704, UInt<1>("h01"), _T_707) @[lib.scala 88:23]
_T_582[17] <= _T_708 @[lib.scala 88:17]
node _T_709 = bits(io.trigger_pkt_any[2].tdata2, 17, 0) @[lib.scala 88:28]
node _T_710 = andr(_T_709) @[lib.scala 88:36]
node _T_711 = and(_T_710, _T_585) @[lib.scala 88:41]
node _T_712 = bits(io.trigger_pkt_any[2].tdata2, 18, 18) @[lib.scala 88:74]
node _T_713 = bits(lsu_match_data_2, 18, 18) @[lib.scala 88:86]
node _T_714 = eq(_T_712, _T_713) @[lib.scala 88:78]
node _T_715 = mux(_T_711, UInt<1>("h01"), _T_714) @[lib.scala 88:23]
_T_582[18] <= _T_715 @[lib.scala 88:17]
node _T_716 = bits(io.trigger_pkt_any[2].tdata2, 18, 0) @[lib.scala 88:28]
node _T_717 = andr(_T_716) @[lib.scala 88:36]
node _T_718 = and(_T_717, _T_585) @[lib.scala 88:41]
node _T_719 = bits(io.trigger_pkt_any[2].tdata2, 19, 19) @[lib.scala 88:74]
node _T_720 = bits(lsu_match_data_2, 19, 19) @[lib.scala 88:86]
node _T_721 = eq(_T_719, _T_720) @[lib.scala 88:78]
node _T_722 = mux(_T_718, UInt<1>("h01"), _T_721) @[lib.scala 88:23]
_T_582[19] <= _T_722 @[lib.scala 88:17]
node _T_723 = bits(io.trigger_pkt_any[2].tdata2, 19, 0) @[lib.scala 88:28]
node _T_724 = andr(_T_723) @[lib.scala 88:36]
node _T_725 = and(_T_724, _T_585) @[lib.scala 88:41]
node _T_726 = bits(io.trigger_pkt_any[2].tdata2, 20, 20) @[lib.scala 88:74]
node _T_727 = bits(lsu_match_data_2, 20, 20) @[lib.scala 88:86]
node _T_728 = eq(_T_726, _T_727) @[lib.scala 88:78]
node _T_729 = mux(_T_725, UInt<1>("h01"), _T_728) @[lib.scala 88:23]
_T_582[20] <= _T_729 @[lib.scala 88:17]
node _T_730 = bits(io.trigger_pkt_any[2].tdata2, 20, 0) @[lib.scala 88:28]
node _T_731 = andr(_T_730) @[lib.scala 88:36]
node _T_732 = and(_T_731, _T_585) @[lib.scala 88:41]
node _T_733 = bits(io.trigger_pkt_any[2].tdata2, 21, 21) @[lib.scala 88:74]
node _T_734 = bits(lsu_match_data_2, 21, 21) @[lib.scala 88:86]
node _T_735 = eq(_T_733, _T_734) @[lib.scala 88:78]
node _T_736 = mux(_T_732, UInt<1>("h01"), _T_735) @[lib.scala 88:23]
_T_582[21] <= _T_736 @[lib.scala 88:17]
node _T_737 = bits(io.trigger_pkt_any[2].tdata2, 21, 0) @[lib.scala 88:28]
node _T_738 = andr(_T_737) @[lib.scala 88:36]
node _T_739 = and(_T_738, _T_585) @[lib.scala 88:41]
node _T_740 = bits(io.trigger_pkt_any[2].tdata2, 22, 22) @[lib.scala 88:74]
node _T_741 = bits(lsu_match_data_2, 22, 22) @[lib.scala 88:86]
node _T_742 = eq(_T_740, _T_741) @[lib.scala 88:78]
node _T_743 = mux(_T_739, UInt<1>("h01"), _T_742) @[lib.scala 88:23]
_T_582[22] <= _T_743 @[lib.scala 88:17]
node _T_744 = bits(io.trigger_pkt_any[2].tdata2, 22, 0) @[lib.scala 88:28]
node _T_745 = andr(_T_744) @[lib.scala 88:36]
node _T_746 = and(_T_745, _T_585) @[lib.scala 88:41]
node _T_747 = bits(io.trigger_pkt_any[2].tdata2, 23, 23) @[lib.scala 88:74]
node _T_748 = bits(lsu_match_data_2, 23, 23) @[lib.scala 88:86]
node _T_749 = eq(_T_747, _T_748) @[lib.scala 88:78]
node _T_750 = mux(_T_746, UInt<1>("h01"), _T_749) @[lib.scala 88:23]
_T_582[23] <= _T_750 @[lib.scala 88:17]
node _T_751 = bits(io.trigger_pkt_any[2].tdata2, 23, 0) @[lib.scala 88:28]
node _T_752 = andr(_T_751) @[lib.scala 88:36]
node _T_753 = and(_T_752, _T_585) @[lib.scala 88:41]
node _T_754 = bits(io.trigger_pkt_any[2].tdata2, 24, 24) @[lib.scala 88:74]
node _T_755 = bits(lsu_match_data_2, 24, 24) @[lib.scala 88:86]
node _T_756 = eq(_T_754, _T_755) @[lib.scala 88:78]
node _T_757 = mux(_T_753, UInt<1>("h01"), _T_756) @[lib.scala 88:23]
_T_582[24] <= _T_757 @[lib.scala 88:17]
node _T_758 = bits(io.trigger_pkt_any[2].tdata2, 24, 0) @[lib.scala 88:28]
node _T_759 = andr(_T_758) @[lib.scala 88:36]
node _T_760 = and(_T_759, _T_585) @[lib.scala 88:41]
node _T_761 = bits(io.trigger_pkt_any[2].tdata2, 25, 25) @[lib.scala 88:74]
node _T_762 = bits(lsu_match_data_2, 25, 25) @[lib.scala 88:86]
node _T_763 = eq(_T_761, _T_762) @[lib.scala 88:78]
node _T_764 = mux(_T_760, UInt<1>("h01"), _T_763) @[lib.scala 88:23]
_T_582[25] <= _T_764 @[lib.scala 88:17]
node _T_765 = bits(io.trigger_pkt_any[2].tdata2, 25, 0) @[lib.scala 88:28]
node _T_766 = andr(_T_765) @[lib.scala 88:36]
node _T_767 = and(_T_766, _T_585) @[lib.scala 88:41]
node _T_768 = bits(io.trigger_pkt_any[2].tdata2, 26, 26) @[lib.scala 88:74]
node _T_769 = bits(lsu_match_data_2, 26, 26) @[lib.scala 88:86]
node _T_770 = eq(_T_768, _T_769) @[lib.scala 88:78]
node _T_771 = mux(_T_767, UInt<1>("h01"), _T_770) @[lib.scala 88:23]
_T_582[26] <= _T_771 @[lib.scala 88:17]
node _T_772 = bits(io.trigger_pkt_any[2].tdata2, 26, 0) @[lib.scala 88:28]
node _T_773 = andr(_T_772) @[lib.scala 88:36]
node _T_774 = and(_T_773, _T_585) @[lib.scala 88:41]
node _T_775 = bits(io.trigger_pkt_any[2].tdata2, 27, 27) @[lib.scala 88:74]
node _T_776 = bits(lsu_match_data_2, 27, 27) @[lib.scala 88:86]
node _T_777 = eq(_T_775, _T_776) @[lib.scala 88:78]
node _T_778 = mux(_T_774, UInt<1>("h01"), _T_777) @[lib.scala 88:23]
_T_582[27] <= _T_778 @[lib.scala 88:17]
node _T_779 = bits(io.trigger_pkt_any[2].tdata2, 27, 0) @[lib.scala 88:28]
node _T_780 = andr(_T_779) @[lib.scala 88:36]
node _T_781 = and(_T_780, _T_585) @[lib.scala 88:41]
node _T_782 = bits(io.trigger_pkt_any[2].tdata2, 28, 28) @[lib.scala 88:74]
node _T_783 = bits(lsu_match_data_2, 28, 28) @[lib.scala 88:86]
node _T_784 = eq(_T_782, _T_783) @[lib.scala 88:78]
node _T_785 = mux(_T_781, UInt<1>("h01"), _T_784) @[lib.scala 88:23]
_T_582[28] <= _T_785 @[lib.scala 88:17]
node _T_786 = bits(io.trigger_pkt_any[2].tdata2, 28, 0) @[lib.scala 88:28]
node _T_787 = andr(_T_786) @[lib.scala 88:36]
node _T_788 = and(_T_787, _T_585) @[lib.scala 88:41]
node _T_789 = bits(io.trigger_pkt_any[2].tdata2, 29, 29) @[lib.scala 88:74]
node _T_790 = bits(lsu_match_data_2, 29, 29) @[lib.scala 88:86]
node _T_791 = eq(_T_789, _T_790) @[lib.scala 88:78]
node _T_792 = mux(_T_788, UInt<1>("h01"), _T_791) @[lib.scala 88:23]
_T_582[29] <= _T_792 @[lib.scala 88:17]
node _T_793 = bits(io.trigger_pkt_any[2].tdata2, 29, 0) @[lib.scala 88:28]
node _T_794 = andr(_T_793) @[lib.scala 88:36]
node _T_795 = and(_T_794, _T_585) @[lib.scala 88:41]
node _T_796 = bits(io.trigger_pkt_any[2].tdata2, 30, 30) @[lib.scala 88:74]
node _T_797 = bits(lsu_match_data_2, 30, 30) @[lib.scala 88:86]
node _T_798 = eq(_T_796, _T_797) @[lib.scala 88:78]
node _T_799 = mux(_T_795, UInt<1>("h01"), _T_798) @[lib.scala 88:23]
_T_582[30] <= _T_799 @[lib.scala 88:17]
node _T_800 = bits(io.trigger_pkt_any[2].tdata2, 30, 0) @[lib.scala 88:28]
node _T_801 = andr(_T_800) @[lib.scala 88:36]
node _T_802 = and(_T_801, _T_585) @[lib.scala 88:41]
node _T_803 = bits(io.trigger_pkt_any[2].tdata2, 31, 31) @[lib.scala 88:74]
node _T_804 = bits(lsu_match_data_2, 31, 31) @[lib.scala 88:86]
node _T_805 = eq(_T_803, _T_804) @[lib.scala 88:78]
node _T_806 = mux(_T_802, UInt<1>("h01"), _T_805) @[lib.scala 88:23]
_T_582[31] <= _T_806 @[lib.scala 88:17]
node _T_807 = cat(_T_582[1], _T_582[0]) @[lib.scala 89:14]
node _T_808 = cat(_T_582[3], _T_582[2]) @[lib.scala 89:14]
node _T_809 = cat(_T_808, _T_807) @[lib.scala 89:14]
node _T_810 = cat(_T_582[5], _T_582[4]) @[lib.scala 89:14]
node _T_811 = cat(_T_582[7], _T_582[6]) @[lib.scala 89:14]
node _T_812 = cat(_T_811, _T_810) @[lib.scala 89:14]
node _T_813 = cat(_T_812, _T_809) @[lib.scala 89:14]
node _T_814 = cat(_T_582[9], _T_582[8]) @[lib.scala 89:14]
node _T_815 = cat(_T_582[11], _T_582[10]) @[lib.scala 89:14]
node _T_816 = cat(_T_815, _T_814) @[lib.scala 89:14]
node _T_817 = cat(_T_582[13], _T_582[12]) @[lib.scala 89:14]
node _T_818 = cat(_T_582[15], _T_582[14]) @[lib.scala 89:14]
node _T_819 = cat(_T_818, _T_817) @[lib.scala 89:14]
node _T_820 = cat(_T_819, _T_816) @[lib.scala 89:14]
node _T_821 = cat(_T_820, _T_813) @[lib.scala 89:14]
node _T_822 = cat(_T_582[17], _T_582[16]) @[lib.scala 89:14]
node _T_823 = cat(_T_582[19], _T_582[18]) @[lib.scala 89:14]
node _T_824 = cat(_T_823, _T_822) @[lib.scala 89:14]
node _T_825 = cat(_T_582[21], _T_582[20]) @[lib.scala 89:14]
node _T_826 = cat(_T_582[23], _T_582[22]) @[lib.scala 89:14]
node _T_827 = cat(_T_826, _T_825) @[lib.scala 89:14]
node _T_828 = cat(_T_827, _T_824) @[lib.scala 89:14]
node _T_829 = cat(_T_582[25], _T_582[24]) @[lib.scala 89:14]
node _T_830 = cat(_T_582[27], _T_582[26]) @[lib.scala 89:14]
node _T_831 = cat(_T_830, _T_829) @[lib.scala 89:14]
node _T_832 = cat(_T_582[29], _T_582[28]) @[lib.scala 89:14]
node _T_833 = cat(_T_582[31], _T_582[30]) @[lib.scala 89:14]
node _T_834 = cat(_T_833, _T_832) @[lib.scala 89:14]
node _T_835 = cat(_T_834, _T_831) @[lib.scala 89:14]
node _T_836 = cat(_T_835, _T_828) @[lib.scala 89:14]
node _T_837 = cat(_T_836, _T_821) @[lib.scala 89:14]
node _T_838 = andr(_T_837) @[lib.scala 89:25]
node _T_839 = and(_T_580, _T_838) @[lsu_trigger.scala 19:92]
node _T_840 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71]
node _T_841 = and(io.lsu_pkt_m.valid, _T_840) @[lsu_trigger.scala 18:69]
node _T_842 = and(io.trigger_pkt_any[3].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126]
node _T_843 = and(io.trigger_pkt_any[3].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33]
node _T_844 = eq(io.trigger_pkt_any[3].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60]
node _T_845 = and(_T_843, _T_844) @[lsu_trigger.scala 19:58]
node _T_846 = or(_T_842, _T_845) @[lsu_trigger.scala 18:152]
node _T_847 = and(_T_841, _T_846) @[lsu_trigger.scala 18:94]
node _T_848 = bits(io.trigger_pkt_any[3].match_pkt, 0, 0) @[lsu_trigger.scala 20:107]
wire _T_849 : UInt<1>[32] @[lib.scala 84:24]
node _T_850 = andr(io.trigger_pkt_any[3].tdata2) @[lib.scala 85:45]
node _T_851 = not(_T_850) @[lib.scala 85:39]
node _T_852 = and(_T_848, _T_851) @[lib.scala 85:37]
node _T_853 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[lib.scala 86:48]
node _T_854 = bits(lsu_match_data_3, 0, 0) @[lib.scala 86:60]
node _T_855 = eq(_T_853, _T_854) @[lib.scala 86:52]
node _T_856 = or(_T_852, _T_855) @[lib.scala 86:41]
_T_849[0] <= _T_856 @[lib.scala 86:18]
node _T_857 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[lib.scala 88:28]
node _T_858 = andr(_T_857) @[lib.scala 88:36]
node _T_859 = and(_T_858, _T_852) @[lib.scala 88:41]
node _T_860 = bits(io.trigger_pkt_any[3].tdata2, 1, 1) @[lib.scala 88:74]
node _T_861 = bits(lsu_match_data_3, 1, 1) @[lib.scala 88:86]
node _T_862 = eq(_T_860, _T_861) @[lib.scala 88:78]
node _T_863 = mux(_T_859, UInt<1>("h01"), _T_862) @[lib.scala 88:23]
_T_849[1] <= _T_863 @[lib.scala 88:17]
node _T_864 = bits(io.trigger_pkt_any[3].tdata2, 1, 0) @[lib.scala 88:28]
node _T_865 = andr(_T_864) @[lib.scala 88:36]
node _T_866 = and(_T_865, _T_852) @[lib.scala 88:41]
node _T_867 = bits(io.trigger_pkt_any[3].tdata2, 2, 2) @[lib.scala 88:74]
node _T_868 = bits(lsu_match_data_3, 2, 2) @[lib.scala 88:86]
node _T_869 = eq(_T_867, _T_868) @[lib.scala 88:78]
node _T_870 = mux(_T_866, UInt<1>("h01"), _T_869) @[lib.scala 88:23]
_T_849[2] <= _T_870 @[lib.scala 88:17]
node _T_871 = bits(io.trigger_pkt_any[3].tdata2, 2, 0) @[lib.scala 88:28]
node _T_872 = andr(_T_871) @[lib.scala 88:36]
node _T_873 = and(_T_872, _T_852) @[lib.scala 88:41]
node _T_874 = bits(io.trigger_pkt_any[3].tdata2, 3, 3) @[lib.scala 88:74]
node _T_875 = bits(lsu_match_data_3, 3, 3) @[lib.scala 88:86]
node _T_876 = eq(_T_874, _T_875) @[lib.scala 88:78]
node _T_877 = mux(_T_873, UInt<1>("h01"), _T_876) @[lib.scala 88:23]
_T_849[3] <= _T_877 @[lib.scala 88:17]
node _T_878 = bits(io.trigger_pkt_any[3].tdata2, 3, 0) @[lib.scala 88:28]
node _T_879 = andr(_T_878) @[lib.scala 88:36]
node _T_880 = and(_T_879, _T_852) @[lib.scala 88:41]
node _T_881 = bits(io.trigger_pkt_any[3].tdata2, 4, 4) @[lib.scala 88:74]
node _T_882 = bits(lsu_match_data_3, 4, 4) @[lib.scala 88:86]
node _T_883 = eq(_T_881, _T_882) @[lib.scala 88:78]
node _T_884 = mux(_T_880, UInt<1>("h01"), _T_883) @[lib.scala 88:23]
_T_849[4] <= _T_884 @[lib.scala 88:17]
node _T_885 = bits(io.trigger_pkt_any[3].tdata2, 4, 0) @[lib.scala 88:28]
node _T_886 = andr(_T_885) @[lib.scala 88:36]
node _T_887 = and(_T_886, _T_852) @[lib.scala 88:41]
node _T_888 = bits(io.trigger_pkt_any[3].tdata2, 5, 5) @[lib.scala 88:74]
node _T_889 = bits(lsu_match_data_3, 5, 5) @[lib.scala 88:86]
node _T_890 = eq(_T_888, _T_889) @[lib.scala 88:78]
node _T_891 = mux(_T_887, UInt<1>("h01"), _T_890) @[lib.scala 88:23]
_T_849[5] <= _T_891 @[lib.scala 88:17]
node _T_892 = bits(io.trigger_pkt_any[3].tdata2, 5, 0) @[lib.scala 88:28]
node _T_893 = andr(_T_892) @[lib.scala 88:36]
node _T_894 = and(_T_893, _T_852) @[lib.scala 88:41]
node _T_895 = bits(io.trigger_pkt_any[3].tdata2, 6, 6) @[lib.scala 88:74]
node _T_896 = bits(lsu_match_data_3, 6, 6) @[lib.scala 88:86]
node _T_897 = eq(_T_895, _T_896) @[lib.scala 88:78]
node _T_898 = mux(_T_894, UInt<1>("h01"), _T_897) @[lib.scala 88:23]
_T_849[6] <= _T_898 @[lib.scala 88:17]
node _T_899 = bits(io.trigger_pkt_any[3].tdata2, 6, 0) @[lib.scala 88:28]
node _T_900 = andr(_T_899) @[lib.scala 88:36]
node _T_901 = and(_T_900, _T_852) @[lib.scala 88:41]
node _T_902 = bits(io.trigger_pkt_any[3].tdata2, 7, 7) @[lib.scala 88:74]
node _T_903 = bits(lsu_match_data_3, 7, 7) @[lib.scala 88:86]
node _T_904 = eq(_T_902, _T_903) @[lib.scala 88:78]
node _T_905 = mux(_T_901, UInt<1>("h01"), _T_904) @[lib.scala 88:23]
_T_849[7] <= _T_905 @[lib.scala 88:17]
node _T_906 = bits(io.trigger_pkt_any[3].tdata2, 7, 0) @[lib.scala 88:28]
node _T_907 = andr(_T_906) @[lib.scala 88:36]
node _T_908 = and(_T_907, _T_852) @[lib.scala 88:41]
node _T_909 = bits(io.trigger_pkt_any[3].tdata2, 8, 8) @[lib.scala 88:74]
node _T_910 = bits(lsu_match_data_3, 8, 8) @[lib.scala 88:86]
node _T_911 = eq(_T_909, _T_910) @[lib.scala 88:78]
node _T_912 = mux(_T_908, UInt<1>("h01"), _T_911) @[lib.scala 88:23]
_T_849[8] <= _T_912 @[lib.scala 88:17]
node _T_913 = bits(io.trigger_pkt_any[3].tdata2, 8, 0) @[lib.scala 88:28]
node _T_914 = andr(_T_913) @[lib.scala 88:36]
node _T_915 = and(_T_914, _T_852) @[lib.scala 88:41]
node _T_916 = bits(io.trigger_pkt_any[3].tdata2, 9, 9) @[lib.scala 88:74]
node _T_917 = bits(lsu_match_data_3, 9, 9) @[lib.scala 88:86]
node _T_918 = eq(_T_916, _T_917) @[lib.scala 88:78]
node _T_919 = mux(_T_915, UInt<1>("h01"), _T_918) @[lib.scala 88:23]
_T_849[9] <= _T_919 @[lib.scala 88:17]
node _T_920 = bits(io.trigger_pkt_any[3].tdata2, 9, 0) @[lib.scala 88:28]
node _T_921 = andr(_T_920) @[lib.scala 88:36]
node _T_922 = and(_T_921, _T_852) @[lib.scala 88:41]
node _T_923 = bits(io.trigger_pkt_any[3].tdata2, 10, 10) @[lib.scala 88:74]
node _T_924 = bits(lsu_match_data_3, 10, 10) @[lib.scala 88:86]
node _T_925 = eq(_T_923, _T_924) @[lib.scala 88:78]
node _T_926 = mux(_T_922, UInt<1>("h01"), _T_925) @[lib.scala 88:23]
_T_849[10] <= _T_926 @[lib.scala 88:17]
node _T_927 = bits(io.trigger_pkt_any[3].tdata2, 10, 0) @[lib.scala 88:28]
node _T_928 = andr(_T_927) @[lib.scala 88:36]
node _T_929 = and(_T_928, _T_852) @[lib.scala 88:41]
node _T_930 = bits(io.trigger_pkt_any[3].tdata2, 11, 11) @[lib.scala 88:74]
node _T_931 = bits(lsu_match_data_3, 11, 11) @[lib.scala 88:86]
node _T_932 = eq(_T_930, _T_931) @[lib.scala 88:78]
node _T_933 = mux(_T_929, UInt<1>("h01"), _T_932) @[lib.scala 88:23]
_T_849[11] <= _T_933 @[lib.scala 88:17]
node _T_934 = bits(io.trigger_pkt_any[3].tdata2, 11, 0) @[lib.scala 88:28]
node _T_935 = andr(_T_934) @[lib.scala 88:36]
node _T_936 = and(_T_935, _T_852) @[lib.scala 88:41]
node _T_937 = bits(io.trigger_pkt_any[3].tdata2, 12, 12) @[lib.scala 88:74]
node _T_938 = bits(lsu_match_data_3, 12, 12) @[lib.scala 88:86]
node _T_939 = eq(_T_937, _T_938) @[lib.scala 88:78]
node _T_940 = mux(_T_936, UInt<1>("h01"), _T_939) @[lib.scala 88:23]
_T_849[12] <= _T_940 @[lib.scala 88:17]
node _T_941 = bits(io.trigger_pkt_any[3].tdata2, 12, 0) @[lib.scala 88:28]
node _T_942 = andr(_T_941) @[lib.scala 88:36]
node _T_943 = and(_T_942, _T_852) @[lib.scala 88:41]
node _T_944 = bits(io.trigger_pkt_any[3].tdata2, 13, 13) @[lib.scala 88:74]
node _T_945 = bits(lsu_match_data_3, 13, 13) @[lib.scala 88:86]
node _T_946 = eq(_T_944, _T_945) @[lib.scala 88:78]
node _T_947 = mux(_T_943, UInt<1>("h01"), _T_946) @[lib.scala 88:23]
_T_849[13] <= _T_947 @[lib.scala 88:17]
node _T_948 = bits(io.trigger_pkt_any[3].tdata2, 13, 0) @[lib.scala 88:28]
node _T_949 = andr(_T_948) @[lib.scala 88:36]
node _T_950 = and(_T_949, _T_852) @[lib.scala 88:41]
node _T_951 = bits(io.trigger_pkt_any[3].tdata2, 14, 14) @[lib.scala 88:74]
node _T_952 = bits(lsu_match_data_3, 14, 14) @[lib.scala 88:86]
node _T_953 = eq(_T_951, _T_952) @[lib.scala 88:78]
node _T_954 = mux(_T_950, UInt<1>("h01"), _T_953) @[lib.scala 88:23]
_T_849[14] <= _T_954 @[lib.scala 88:17]
node _T_955 = bits(io.trigger_pkt_any[3].tdata2, 14, 0) @[lib.scala 88:28]
node _T_956 = andr(_T_955) @[lib.scala 88:36]
node _T_957 = and(_T_956, _T_852) @[lib.scala 88:41]
node _T_958 = bits(io.trigger_pkt_any[3].tdata2, 15, 15) @[lib.scala 88:74]
node _T_959 = bits(lsu_match_data_3, 15, 15) @[lib.scala 88:86]
node _T_960 = eq(_T_958, _T_959) @[lib.scala 88:78]
node _T_961 = mux(_T_957, UInt<1>("h01"), _T_960) @[lib.scala 88:23]
_T_849[15] <= _T_961 @[lib.scala 88:17]
node _T_962 = bits(io.trigger_pkt_any[3].tdata2, 15, 0) @[lib.scala 88:28]
node _T_963 = andr(_T_962) @[lib.scala 88:36]
node _T_964 = and(_T_963, _T_852) @[lib.scala 88:41]
node _T_965 = bits(io.trigger_pkt_any[3].tdata2, 16, 16) @[lib.scala 88:74]
node _T_966 = bits(lsu_match_data_3, 16, 16) @[lib.scala 88:86]
node _T_967 = eq(_T_965, _T_966) @[lib.scala 88:78]
node _T_968 = mux(_T_964, UInt<1>("h01"), _T_967) @[lib.scala 88:23]
_T_849[16] <= _T_968 @[lib.scala 88:17]
node _T_969 = bits(io.trigger_pkt_any[3].tdata2, 16, 0) @[lib.scala 88:28]
node _T_970 = andr(_T_969) @[lib.scala 88:36]
node _T_971 = and(_T_970, _T_852) @[lib.scala 88:41]
node _T_972 = bits(io.trigger_pkt_any[3].tdata2, 17, 17) @[lib.scala 88:74]
node _T_973 = bits(lsu_match_data_3, 17, 17) @[lib.scala 88:86]
node _T_974 = eq(_T_972, _T_973) @[lib.scala 88:78]
node _T_975 = mux(_T_971, UInt<1>("h01"), _T_974) @[lib.scala 88:23]
_T_849[17] <= _T_975 @[lib.scala 88:17]
node _T_976 = bits(io.trigger_pkt_any[3].tdata2, 17, 0) @[lib.scala 88:28]
node _T_977 = andr(_T_976) @[lib.scala 88:36]
node _T_978 = and(_T_977, _T_852) @[lib.scala 88:41]
node _T_979 = bits(io.trigger_pkt_any[3].tdata2, 18, 18) @[lib.scala 88:74]
node _T_980 = bits(lsu_match_data_3, 18, 18) @[lib.scala 88:86]
node _T_981 = eq(_T_979, _T_980) @[lib.scala 88:78]
node _T_982 = mux(_T_978, UInt<1>("h01"), _T_981) @[lib.scala 88:23]
_T_849[18] <= _T_982 @[lib.scala 88:17]
node _T_983 = bits(io.trigger_pkt_any[3].tdata2, 18, 0) @[lib.scala 88:28]
node _T_984 = andr(_T_983) @[lib.scala 88:36]
node _T_985 = and(_T_984, _T_852) @[lib.scala 88:41]
node _T_986 = bits(io.trigger_pkt_any[3].tdata2, 19, 19) @[lib.scala 88:74]
node _T_987 = bits(lsu_match_data_3, 19, 19) @[lib.scala 88:86]
node _T_988 = eq(_T_986, _T_987) @[lib.scala 88:78]
node _T_989 = mux(_T_985, UInt<1>("h01"), _T_988) @[lib.scala 88:23]
_T_849[19] <= _T_989 @[lib.scala 88:17]
node _T_990 = bits(io.trigger_pkt_any[3].tdata2, 19, 0) @[lib.scala 88:28]
node _T_991 = andr(_T_990) @[lib.scala 88:36]
node _T_992 = and(_T_991, _T_852) @[lib.scala 88:41]
node _T_993 = bits(io.trigger_pkt_any[3].tdata2, 20, 20) @[lib.scala 88:74]
node _T_994 = bits(lsu_match_data_3, 20, 20) @[lib.scala 88:86]
node _T_995 = eq(_T_993, _T_994) @[lib.scala 88:78]
node _T_996 = mux(_T_992, UInt<1>("h01"), _T_995) @[lib.scala 88:23]
_T_849[20] <= _T_996 @[lib.scala 88:17]
node _T_997 = bits(io.trigger_pkt_any[3].tdata2, 20, 0) @[lib.scala 88:28]
node _T_998 = andr(_T_997) @[lib.scala 88:36]
node _T_999 = and(_T_998, _T_852) @[lib.scala 88:41]
node _T_1000 = bits(io.trigger_pkt_any[3].tdata2, 21, 21) @[lib.scala 88:74]
node _T_1001 = bits(lsu_match_data_3, 21, 21) @[lib.scala 88:86]
node _T_1002 = eq(_T_1000, _T_1001) @[lib.scala 88:78]
node _T_1003 = mux(_T_999, UInt<1>("h01"), _T_1002) @[lib.scala 88:23]
_T_849[21] <= _T_1003 @[lib.scala 88:17]
node _T_1004 = bits(io.trigger_pkt_any[3].tdata2, 21, 0) @[lib.scala 88:28]
node _T_1005 = andr(_T_1004) @[lib.scala 88:36]
node _T_1006 = and(_T_1005, _T_852) @[lib.scala 88:41]
node _T_1007 = bits(io.trigger_pkt_any[3].tdata2, 22, 22) @[lib.scala 88:74]
node _T_1008 = bits(lsu_match_data_3, 22, 22) @[lib.scala 88:86]
node _T_1009 = eq(_T_1007, _T_1008) @[lib.scala 88:78]
node _T_1010 = mux(_T_1006, UInt<1>("h01"), _T_1009) @[lib.scala 88:23]
_T_849[22] <= _T_1010 @[lib.scala 88:17]
node _T_1011 = bits(io.trigger_pkt_any[3].tdata2, 22, 0) @[lib.scala 88:28]
node _T_1012 = andr(_T_1011) @[lib.scala 88:36]
node _T_1013 = and(_T_1012, _T_852) @[lib.scala 88:41]
node _T_1014 = bits(io.trigger_pkt_any[3].tdata2, 23, 23) @[lib.scala 88:74]
node _T_1015 = bits(lsu_match_data_3, 23, 23) @[lib.scala 88:86]
node _T_1016 = eq(_T_1014, _T_1015) @[lib.scala 88:78]
node _T_1017 = mux(_T_1013, UInt<1>("h01"), _T_1016) @[lib.scala 88:23]
_T_849[23] <= _T_1017 @[lib.scala 88:17]
node _T_1018 = bits(io.trigger_pkt_any[3].tdata2, 23, 0) @[lib.scala 88:28]
node _T_1019 = andr(_T_1018) @[lib.scala 88:36]
node _T_1020 = and(_T_1019, _T_852) @[lib.scala 88:41]
node _T_1021 = bits(io.trigger_pkt_any[3].tdata2, 24, 24) @[lib.scala 88:74]
node _T_1022 = bits(lsu_match_data_3, 24, 24) @[lib.scala 88:86]
node _T_1023 = eq(_T_1021, _T_1022) @[lib.scala 88:78]
node _T_1024 = mux(_T_1020, UInt<1>("h01"), _T_1023) @[lib.scala 88:23]
_T_849[24] <= _T_1024 @[lib.scala 88:17]
node _T_1025 = bits(io.trigger_pkt_any[3].tdata2, 24, 0) @[lib.scala 88:28]
node _T_1026 = andr(_T_1025) @[lib.scala 88:36]
node _T_1027 = and(_T_1026, _T_852) @[lib.scala 88:41]
node _T_1028 = bits(io.trigger_pkt_any[3].tdata2, 25, 25) @[lib.scala 88:74]
node _T_1029 = bits(lsu_match_data_3, 25, 25) @[lib.scala 88:86]
node _T_1030 = eq(_T_1028, _T_1029) @[lib.scala 88:78]
node _T_1031 = mux(_T_1027, UInt<1>("h01"), _T_1030) @[lib.scala 88:23]
_T_849[25] <= _T_1031 @[lib.scala 88:17]
node _T_1032 = bits(io.trigger_pkt_any[3].tdata2, 25, 0) @[lib.scala 88:28]
node _T_1033 = andr(_T_1032) @[lib.scala 88:36]
node _T_1034 = and(_T_1033, _T_852) @[lib.scala 88:41]
node _T_1035 = bits(io.trigger_pkt_any[3].tdata2, 26, 26) @[lib.scala 88:74]
node _T_1036 = bits(lsu_match_data_3, 26, 26) @[lib.scala 88:86]
node _T_1037 = eq(_T_1035, _T_1036) @[lib.scala 88:78]
node _T_1038 = mux(_T_1034, UInt<1>("h01"), _T_1037) @[lib.scala 88:23]
_T_849[26] <= _T_1038 @[lib.scala 88:17]
node _T_1039 = bits(io.trigger_pkt_any[3].tdata2, 26, 0) @[lib.scala 88:28]
node _T_1040 = andr(_T_1039) @[lib.scala 88:36]
node _T_1041 = and(_T_1040, _T_852) @[lib.scala 88:41]
node _T_1042 = bits(io.trigger_pkt_any[3].tdata2, 27, 27) @[lib.scala 88:74]
node _T_1043 = bits(lsu_match_data_3, 27, 27) @[lib.scala 88:86]
node _T_1044 = eq(_T_1042, _T_1043) @[lib.scala 88:78]
node _T_1045 = mux(_T_1041, UInt<1>("h01"), _T_1044) @[lib.scala 88:23]
_T_849[27] <= _T_1045 @[lib.scala 88:17]
node _T_1046 = bits(io.trigger_pkt_any[3].tdata2, 27, 0) @[lib.scala 88:28]
node _T_1047 = andr(_T_1046) @[lib.scala 88:36]
node _T_1048 = and(_T_1047, _T_852) @[lib.scala 88:41]
node _T_1049 = bits(io.trigger_pkt_any[3].tdata2, 28, 28) @[lib.scala 88:74]
node _T_1050 = bits(lsu_match_data_3, 28, 28) @[lib.scala 88:86]
node _T_1051 = eq(_T_1049, _T_1050) @[lib.scala 88:78]
node _T_1052 = mux(_T_1048, UInt<1>("h01"), _T_1051) @[lib.scala 88:23]
_T_849[28] <= _T_1052 @[lib.scala 88:17]
node _T_1053 = bits(io.trigger_pkt_any[3].tdata2, 28, 0) @[lib.scala 88:28]
node _T_1054 = andr(_T_1053) @[lib.scala 88:36]
node _T_1055 = and(_T_1054, _T_852) @[lib.scala 88:41]
node _T_1056 = bits(io.trigger_pkt_any[3].tdata2, 29, 29) @[lib.scala 88:74]
node _T_1057 = bits(lsu_match_data_3, 29, 29) @[lib.scala 88:86]
node _T_1058 = eq(_T_1056, _T_1057) @[lib.scala 88:78]
node _T_1059 = mux(_T_1055, UInt<1>("h01"), _T_1058) @[lib.scala 88:23]
_T_849[29] <= _T_1059 @[lib.scala 88:17]
node _T_1060 = bits(io.trigger_pkt_any[3].tdata2, 29, 0) @[lib.scala 88:28]
node _T_1061 = andr(_T_1060) @[lib.scala 88:36]
node _T_1062 = and(_T_1061, _T_852) @[lib.scala 88:41]
node _T_1063 = bits(io.trigger_pkt_any[3].tdata2, 30, 30) @[lib.scala 88:74]
node _T_1064 = bits(lsu_match_data_3, 30, 30) @[lib.scala 88:86]
node _T_1065 = eq(_T_1063, _T_1064) @[lib.scala 88:78]
node _T_1066 = mux(_T_1062, UInt<1>("h01"), _T_1065) @[lib.scala 88:23]
_T_849[30] <= _T_1066 @[lib.scala 88:17]
node _T_1067 = bits(io.trigger_pkt_any[3].tdata2, 30, 0) @[lib.scala 88:28]
node _T_1068 = andr(_T_1067) @[lib.scala 88:36]
node _T_1069 = and(_T_1068, _T_852) @[lib.scala 88:41]
node _T_1070 = bits(io.trigger_pkt_any[3].tdata2, 31, 31) @[lib.scala 88:74]
node _T_1071 = bits(lsu_match_data_3, 31, 31) @[lib.scala 88:86]
node _T_1072 = eq(_T_1070, _T_1071) @[lib.scala 88:78]
node _T_1073 = mux(_T_1069, UInt<1>("h01"), _T_1072) @[lib.scala 88:23]
_T_849[31] <= _T_1073 @[lib.scala 88:17]
node _T_1074 = cat(_T_849[1], _T_849[0]) @[lib.scala 89:14]
node _T_1075 = cat(_T_849[3], _T_849[2]) @[lib.scala 89:14]
2020-12-10 19:02:23 +08:00
node _T_1076 = cat(_T_1075, _T_1074) @[lib.scala 89:14]
2020-12-10 19:50:23 +08:00
node _T_1077 = cat(_T_849[5], _T_849[4]) @[lib.scala 89:14]
node _T_1078 = cat(_T_849[7], _T_849[6]) @[lib.scala 89:14]
node _T_1079 = cat(_T_1078, _T_1077) @[lib.scala 89:14]
node _T_1080 = cat(_T_1079, _T_1076) @[lib.scala 89:14]
node _T_1081 = cat(_T_849[9], _T_849[8]) @[lib.scala 89:14]
node _T_1082 = cat(_T_849[11], _T_849[10]) @[lib.scala 89:14]
2020-12-10 19:02:23 +08:00
node _T_1083 = cat(_T_1082, _T_1081) @[lib.scala 89:14]
2020-12-10 19:50:23 +08:00
node _T_1084 = cat(_T_849[13], _T_849[12]) @[lib.scala 89:14]
node _T_1085 = cat(_T_849[15], _T_849[14]) @[lib.scala 89:14]
node _T_1086 = cat(_T_1085, _T_1084) @[lib.scala 89:14]
node _T_1087 = cat(_T_1086, _T_1083) @[lib.scala 89:14]
node _T_1088 = cat(_T_1087, _T_1080) @[lib.scala 89:14]
node _T_1089 = cat(_T_849[17], _T_849[16]) @[lib.scala 89:14]
node _T_1090 = cat(_T_849[19], _T_849[18]) @[lib.scala 89:14]
2020-12-10 19:02:23 +08:00
node _T_1091 = cat(_T_1090, _T_1089) @[lib.scala 89:14]
2020-12-10 19:50:23 +08:00
node _T_1092 = cat(_T_849[21], _T_849[20]) @[lib.scala 89:14]
node _T_1093 = cat(_T_849[23], _T_849[22]) @[lib.scala 89:14]
node _T_1094 = cat(_T_1093, _T_1092) @[lib.scala 89:14]
node _T_1095 = cat(_T_1094, _T_1091) @[lib.scala 89:14]
node _T_1096 = cat(_T_849[25], _T_849[24]) @[lib.scala 89:14]
node _T_1097 = cat(_T_849[27], _T_849[26]) @[lib.scala 89:14]
2020-12-10 19:02:23 +08:00
node _T_1098 = cat(_T_1097, _T_1096) @[lib.scala 89:14]
2020-12-10 19:50:23 +08:00
node _T_1099 = cat(_T_849[29], _T_849[28]) @[lib.scala 89:14]
node _T_1100 = cat(_T_849[31], _T_849[30]) @[lib.scala 89:14]
node _T_1101 = cat(_T_1100, _T_1099) @[lib.scala 89:14]
node _T_1102 = cat(_T_1101, _T_1098) @[lib.scala 89:14]
node _T_1103 = cat(_T_1102, _T_1095) @[lib.scala 89:14]
node _T_1104 = cat(_T_1103, _T_1088) @[lib.scala 89:14]
node _T_1105 = andr(_T_1104) @[lib.scala 89:25]
node _T_1106 = and(_T_847, _T_1105) @[lsu_trigger.scala 19:92]
node _T_1107 = cat(_T_1106, _T_839) @[Cat.scala 29:58]
node _T_1108 = cat(_T_1107, _T_572) @[Cat.scala 29:58]
node _T_1109 = cat(_T_1108, _T_305) @[Cat.scala 29:58]
io.lsu_trigger_match_m <= _T_1109 @[lsu_trigger.scala 18:26]
2020-12-10 19:02:23 +08:00
extmodule gated_latch_12 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_12 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_12 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_13 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_13 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_13 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_14 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_14 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_14 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_15 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_15 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_15 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_16 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_16 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_16 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_17 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_17 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_17 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_18 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_18 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_18 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_19 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_19 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_19 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_20 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_20 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_20 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_21 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_21 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_21 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_22 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_22 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_22 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_23 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_23 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_23 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
module lsu_clkdomain :
input clock : Clock
input reset : AsyncReset
output io : {flip free_clk : Clock, flip clk_override : UInt<1>, flip addr_in_dccm_m : UInt<1>, flip dma_dccm_req : UInt<1>, flip ldst_stbuf_reqvld_r : UInt<1>, flip stbuf_reqvld_any : UInt<1>, flip stbuf_reqvld_flushed_any : UInt<1>, flip lsu_busreq_r : UInt<1>, flip lsu_bus_buffer_pend_any : UInt<1>, flip lsu_bus_buffer_empty_any : UInt<1>, flip lsu_stbuf_empty_any : UInt<1>, flip lsu_bus_clk_en : UInt<1>, flip lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, lsu_c1_m_clk : Clock, lsu_c1_r_clk : Clock, lsu_c2_m_clk : Clock, lsu_c2_r_clk : Clock, lsu_store_c1_m_clk : Clock, lsu_store_c1_r_clk : Clock, lsu_stbuf_c1_clk : Clock, lsu_bus_obuf_c1_clk : Clock, lsu_bus_ibuf_c1_clk : Clock, lsu_bus_buf_c1_clk : Clock, lsu_busm_clk : Clock, lsu_free_c2_clk : Clock, flip scan_mode : UInt<1>}
wire lsu_c1_d_clken_q : UInt<1> @[lsu_clkdomain.scala 58:36]
wire lsu_c1_m_clken_q : UInt<1> @[lsu_clkdomain.scala 59:36]
wire lsu_c1_r_clken_q : UInt<1> @[lsu_clkdomain.scala 60:36]
wire lsu_free_c1_clken_q : UInt<1> @[lsu_clkdomain.scala 61:36]
node _T = or(io.lsu_p.valid, io.dma_dccm_req) @[lsu_clkdomain.scala 63:51]
node lsu_c1_d_clken = or(_T, io.clk_override) @[lsu_clkdomain.scala 63:70]
node _T_1 = or(io.lsu_pkt_d.valid, lsu_c1_d_clken_q) @[lsu_clkdomain.scala 64:51]
node lsu_c1_m_clken = or(_T_1, io.clk_override) @[lsu_clkdomain.scala 64:70]
node _T_2 = or(io.lsu_pkt_m.valid, lsu_c1_m_clken_q) @[lsu_clkdomain.scala 65:51]
node lsu_c1_r_clken = or(_T_2, io.clk_override) @[lsu_clkdomain.scala 65:70]
node _T_3 = or(lsu_c1_m_clken, lsu_c1_m_clken_q) @[lsu_clkdomain.scala 67:47]
node lsu_c2_m_clken = or(_T_3, io.clk_override) @[lsu_clkdomain.scala 67:66]
node _T_4 = or(lsu_c1_r_clken, lsu_c1_r_clken_q) @[lsu_clkdomain.scala 68:47]
node lsu_c2_r_clken = or(_T_4, io.clk_override) @[lsu_clkdomain.scala 68:66]
node _T_5 = and(lsu_c1_m_clken, io.lsu_pkt_d.bits.store) @[lsu_clkdomain.scala 70:49]
node lsu_store_c1_m_clken = or(_T_5, io.clk_override) @[lsu_clkdomain.scala 70:76]
node _T_6 = and(lsu_c1_r_clken, io.lsu_pkt_m.bits.store) @[lsu_clkdomain.scala 71:49]
node lsu_store_c1_r_clken = or(_T_6, io.clk_override) @[lsu_clkdomain.scala 71:76]
node _T_7 = or(io.ldst_stbuf_reqvld_r, io.stbuf_reqvld_any) @[lsu_clkdomain.scala 72:55]
node _T_8 = or(_T_7, io.stbuf_reqvld_flushed_any) @[lsu_clkdomain.scala 72:77]
node lsu_stbuf_c1_clken = or(_T_8, io.clk_override) @[lsu_clkdomain.scala 72:107]
node lsu_bus_ibuf_c1_clken = or(io.lsu_busreq_r, io.clk_override) @[lsu_clkdomain.scala 73:49]
node _T_9 = or(io.lsu_bus_buffer_pend_any, io.lsu_busreq_r) @[lsu_clkdomain.scala 74:61]
node _T_10 = or(_T_9, io.clk_override) @[lsu_clkdomain.scala 74:79]
node lsu_bus_obuf_c1_clken = and(_T_10, io.lsu_bus_clk_en) @[lsu_clkdomain.scala 74:98]
node _T_11 = eq(io.lsu_bus_buffer_empty_any, UInt<1>("h00")) @[lsu_clkdomain.scala 75:32]
node _T_12 = or(_T_11, io.lsu_busreq_r) @[lsu_clkdomain.scala 75:61]
node lsu_bus_buf_c1_clken = or(_T_12, io.clk_override) @[lsu_clkdomain.scala 75:79]
node _T_13 = or(io.lsu_p.valid, io.lsu_pkt_d.valid) @[lsu_clkdomain.scala 77:48]
node _T_14 = or(_T_13, io.lsu_pkt_m.valid) @[lsu_clkdomain.scala 77:69]
node _T_15 = or(_T_14, io.lsu_pkt_r.valid) @[lsu_clkdomain.scala 77:90]
node _T_16 = eq(io.lsu_bus_buffer_empty_any, UInt<1>("h00")) @[lsu_clkdomain.scala 77:114]
node _T_17 = or(_T_15, _T_16) @[lsu_clkdomain.scala 77:112]
node _T_18 = eq(io.lsu_stbuf_empty_any, UInt<1>("h00")) @[lsu_clkdomain.scala 77:145]
node _T_19 = or(_T_17, _T_18) @[lsu_clkdomain.scala 77:143]
node lsu_free_c1_clken = or(_T_19, io.clk_override) @[lsu_clkdomain.scala 77:169]
node _T_20 = or(lsu_free_c1_clken, lsu_free_c1_clken_q) @[lsu_clkdomain.scala 78:50]
node lsu_free_c2_clken = or(_T_20, io.clk_override) @[lsu_clkdomain.scala 78:72]
reg _T_21 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 81:60]
_T_21 <= lsu_free_c1_clken @[lsu_clkdomain.scala 81:60]
lsu_free_c1_clken_q <= _T_21 @[lsu_clkdomain.scala 81:26]
reg _T_22 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 82:67]
_T_22 <= lsu_c1_d_clken @[lsu_clkdomain.scala 82:67]
lsu_c1_d_clken_q <= _T_22 @[lsu_clkdomain.scala 82:26]
reg _T_23 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 83:67]
_T_23 <= lsu_c1_m_clken @[lsu_clkdomain.scala 83:67]
lsu_c1_m_clken_q <= _T_23 @[lsu_clkdomain.scala 83:26]
reg _T_24 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 84:67]
_T_24 <= lsu_c1_r_clken @[lsu_clkdomain.scala 84:67]
lsu_c1_r_clken_q <= _T_24 @[lsu_clkdomain.scala 84:26]
node _T_25 = bits(lsu_c1_m_clken, 0, 0) @[lsu_clkdomain.scala 86:59]
inst rvclkhdr of rvclkhdr_12 @[lib.scala 327:22]
rvclkhdr.clock <= clock
rvclkhdr.reset <= reset
rvclkhdr.io.clk <= clock @[lib.scala 328:17]
rvclkhdr.io.en <= _T_25 @[lib.scala 329:16]
rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 330:23]
io.lsu_c1_m_clk <= rvclkhdr.io.l1clk @[lsu_clkdomain.scala 86:26]
node _T_26 = bits(lsu_c1_r_clken, 0, 0) @[lsu_clkdomain.scala 87:59]
inst rvclkhdr_1 of rvclkhdr_13 @[lib.scala 327:22]
rvclkhdr_1.clock <= clock
rvclkhdr_1.reset <= reset
rvclkhdr_1.io.clk <= clock @[lib.scala 328:17]
rvclkhdr_1.io.en <= _T_26 @[lib.scala 329:16]
rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 330:23]
io.lsu_c1_r_clk <= rvclkhdr_1.io.l1clk @[lsu_clkdomain.scala 87:26]
node _T_27 = bits(lsu_c2_m_clken, 0, 0) @[lsu_clkdomain.scala 88:59]
inst rvclkhdr_2 of rvclkhdr_14 @[lib.scala 327:22]
rvclkhdr_2.clock <= clock
rvclkhdr_2.reset <= reset
rvclkhdr_2.io.clk <= clock @[lib.scala 328:17]
rvclkhdr_2.io.en <= _T_27 @[lib.scala 329:16]
rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 330:23]
io.lsu_c2_m_clk <= rvclkhdr_2.io.l1clk @[lsu_clkdomain.scala 88:26]
node _T_28 = bits(lsu_c2_r_clken, 0, 0) @[lsu_clkdomain.scala 89:59]
inst rvclkhdr_3 of rvclkhdr_15 @[lib.scala 327:22]
rvclkhdr_3.clock <= clock
rvclkhdr_3.reset <= reset
rvclkhdr_3.io.clk <= clock @[lib.scala 328:17]
rvclkhdr_3.io.en <= _T_28 @[lib.scala 329:16]
rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 330:23]
io.lsu_c2_r_clk <= rvclkhdr_3.io.l1clk @[lsu_clkdomain.scala 89:26]
node _T_29 = bits(lsu_store_c1_m_clken, 0, 0) @[lsu_clkdomain.scala 90:65]
inst rvclkhdr_4 of rvclkhdr_16 @[lib.scala 327:22]
rvclkhdr_4.clock <= clock
rvclkhdr_4.reset <= reset
rvclkhdr_4.io.clk <= clock @[lib.scala 328:17]
rvclkhdr_4.io.en <= _T_29 @[lib.scala 329:16]
rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 330:23]
io.lsu_store_c1_m_clk <= rvclkhdr_4.io.l1clk @[lsu_clkdomain.scala 90:26]
node _T_30 = bits(lsu_store_c1_r_clken, 0, 0) @[lsu_clkdomain.scala 91:65]
inst rvclkhdr_5 of rvclkhdr_17 @[lib.scala 327:22]
rvclkhdr_5.clock <= clock
rvclkhdr_5.reset <= reset
rvclkhdr_5.io.clk <= clock @[lib.scala 328:17]
rvclkhdr_5.io.en <= _T_30 @[lib.scala 329:16]
rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 330:23]
io.lsu_store_c1_r_clk <= rvclkhdr_5.io.l1clk @[lsu_clkdomain.scala 91:26]
node _T_31 = bits(lsu_stbuf_c1_clken, 0, 0) @[lsu_clkdomain.scala 92:63]
inst rvclkhdr_6 of rvclkhdr_18 @[lib.scala 327:22]
rvclkhdr_6.clock <= clock
rvclkhdr_6.reset <= reset
rvclkhdr_6.io.clk <= clock @[lib.scala 328:17]
rvclkhdr_6.io.en <= _T_31 @[lib.scala 329:16]
rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 330:23]
io.lsu_stbuf_c1_clk <= rvclkhdr_6.io.l1clk @[lsu_clkdomain.scala 92:26]
node _T_32 = bits(lsu_bus_ibuf_c1_clken, 0, 0) @[lsu_clkdomain.scala 93:66]
inst rvclkhdr_7 of rvclkhdr_19 @[lib.scala 327:22]
rvclkhdr_7.clock <= clock
rvclkhdr_7.reset <= reset
rvclkhdr_7.io.clk <= clock @[lib.scala 328:17]
rvclkhdr_7.io.en <= _T_32 @[lib.scala 329:16]
rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 330:23]
io.lsu_bus_ibuf_c1_clk <= rvclkhdr_7.io.l1clk @[lsu_clkdomain.scala 93:26]
node _T_33 = bits(lsu_bus_obuf_c1_clken, 0, 0) @[lsu_clkdomain.scala 94:66]
inst rvclkhdr_8 of rvclkhdr_20 @[lib.scala 327:22]
rvclkhdr_8.clock <= clock
rvclkhdr_8.reset <= reset
rvclkhdr_8.io.clk <= clock @[lib.scala 328:17]
rvclkhdr_8.io.en <= _T_33 @[lib.scala 329:16]
rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 330:23]
io.lsu_bus_obuf_c1_clk <= rvclkhdr_8.io.l1clk @[lsu_clkdomain.scala 94:26]
node _T_34 = bits(lsu_bus_buf_c1_clken, 0, 0) @[lsu_clkdomain.scala 95:65]
inst rvclkhdr_9 of rvclkhdr_21 @[lib.scala 327:22]
rvclkhdr_9.clock <= clock
rvclkhdr_9.reset <= reset
rvclkhdr_9.io.clk <= clock @[lib.scala 328:17]
rvclkhdr_9.io.en <= _T_34 @[lib.scala 329:16]
rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 330:23]
io.lsu_bus_buf_c1_clk <= rvclkhdr_9.io.l1clk @[lsu_clkdomain.scala 95:26]
node _T_35 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_clkdomain.scala 96:62]
inst rvclkhdr_10 of rvclkhdr_22 @[lib.scala 327:22]
rvclkhdr_10.clock <= clock
rvclkhdr_10.reset <= reset
rvclkhdr_10.io.clk <= clock @[lib.scala 328:17]
rvclkhdr_10.io.en <= _T_35 @[lib.scala 329:16]
rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 330:23]
io.lsu_busm_clk <= rvclkhdr_10.io.l1clk @[lsu_clkdomain.scala 96:26]
node _T_36 = bits(lsu_free_c2_clken, 0, 0) @[lsu_clkdomain.scala 97:62]
inst rvclkhdr_11 of rvclkhdr_23 @[lib.scala 327:22]
rvclkhdr_11.clock <= clock
rvclkhdr_11.reset <= reset
rvclkhdr_11.io.clk <= clock @[lib.scala 328:17]
rvclkhdr_11.io.en <= _T_36 @[lib.scala 329:16]
rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 330:23]
io.lsu_free_c2_clk <= rvclkhdr_11.io.l1clk @[lsu_clkdomain.scala 97:26]
extmodule gated_latch_24 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_24 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_24 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_25 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_25 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_25 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_26 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_26 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_26 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_27 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_27 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_27 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_28 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_28 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_28 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_29 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_29 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_29 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_30 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_30 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_30 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_31 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_31 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_31 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_32 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_32 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_32 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_33 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_33 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_33 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_34 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_34 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_34 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
extmodule gated_latch_35 :
output Q : Clock
input CK : Clock
input EN : UInt<1>
input SE : UInt<1>
defname = gated_latch
module rvclkhdr_35 :
input clock : Clock
input reset : Reset
output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>}
inst clkhdr of gated_latch_35 @[lib.scala 318:26]
clkhdr.SE is invalid
clkhdr.EN is invalid
clkhdr.CK is invalid
clkhdr.Q is invalid
io.l1clk <= clkhdr.Q @[lib.scala 319:14]
clkhdr.CK <= io.clk @[lib.scala 320:18]
clkhdr.EN <= io.en @[lib.scala 321:18]
clkhdr.SE <= io.scan_mode @[lib.scala 322:18]
module lsu_bus_buffer :
input clock : Clock
input reset : AsyncReset
output io : {flip scan_mode : UInt<1>, tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}, flip dec_tlu_force_halt : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, lsu_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>}
wire buf_addr : UInt<32>[4] @[lsu_bus_buffer.scala 66:22]
wire buf_state : UInt<3>[4] @[lsu_bus_buffer.scala 67:23]
wire buf_write : UInt<4>
buf_write <= UInt<1>("h00")
wire CmdPtr0 : UInt<2>
CmdPtr0 <= UInt<1>("h00")
node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[lsu_bus_buffer.scala 72:46]
node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[lsu_bus_buffer.scala 73:46]
node _T = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 75:66]
node _T_1 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 75:89]
node _T_2 = eq(_T, _T_1) @[lsu_bus_buffer.scala 75:74]
node _T_3 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 75:109]
node _T_4 = and(_T_2, _T_3) @[lsu_bus_buffer.scala 75:98]
node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 75:129]
node _T_6 = and(_T_4, _T_5) @[lsu_bus_buffer.scala 75:113]
node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[lsu_bus_buffer.scala 75:141]
node _T_7 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 75:66]
node _T_8 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 75:89]
node _T_9 = eq(_T_7, _T_8) @[lsu_bus_buffer.scala 75:74]
node _T_10 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 75:109]
node _T_11 = and(_T_9, _T_10) @[lsu_bus_buffer.scala 75:98]
node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 75:129]
node _T_13 = and(_T_11, _T_12) @[lsu_bus_buffer.scala 75:113]
node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[lsu_bus_buffer.scala 75:141]
node _T_14 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 75:66]
node _T_15 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 75:89]
node _T_16 = eq(_T_14, _T_15) @[lsu_bus_buffer.scala 75:74]
node _T_17 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 75:109]
node _T_18 = and(_T_16, _T_17) @[lsu_bus_buffer.scala 75:98]
node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 75:129]
node _T_20 = and(_T_18, _T_19) @[lsu_bus_buffer.scala 75:113]
node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[lsu_bus_buffer.scala 75:141]
node _T_21 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 75:66]
node _T_22 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 75:89]
node _T_23 = eq(_T_21, _T_22) @[lsu_bus_buffer.scala 75:74]
node _T_24 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 75:109]
node _T_25 = and(_T_23, _T_24) @[lsu_bus_buffer.scala 75:98]
node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 75:129]
node _T_27 = and(_T_25, _T_26) @[lsu_bus_buffer.scala 75:113]
node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[lsu_bus_buffer.scala 75:141]
node _T_28 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66]
node _T_29 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 76:89]
node _T_30 = eq(_T_28, _T_29) @[lsu_bus_buffer.scala 76:74]
node _T_31 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 76:109]
node _T_32 = and(_T_30, _T_31) @[lsu_bus_buffer.scala 76:98]
node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129]
node _T_34 = and(_T_32, _T_33) @[lsu_bus_buffer.scala 76:113]
node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141]
node _T_35 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66]
node _T_36 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 76:89]
node _T_37 = eq(_T_35, _T_36) @[lsu_bus_buffer.scala 76:74]
node _T_38 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 76:109]
node _T_39 = and(_T_37, _T_38) @[lsu_bus_buffer.scala 76:98]
node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129]
node _T_41 = and(_T_39, _T_40) @[lsu_bus_buffer.scala 76:113]
node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141]
node _T_42 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66]
node _T_43 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 76:89]
node _T_44 = eq(_T_42, _T_43) @[lsu_bus_buffer.scala 76:74]
node _T_45 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 76:109]
node _T_46 = and(_T_44, _T_45) @[lsu_bus_buffer.scala 76:98]
node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129]
node _T_48 = and(_T_46, _T_47) @[lsu_bus_buffer.scala 76:113]
node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141]
node _T_49 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66]
node _T_50 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 76:89]
node _T_51 = eq(_T_49, _T_50) @[lsu_bus_buffer.scala 76:74]
node _T_52 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 76:109]
node _T_53 = and(_T_51, _T_52) @[lsu_bus_buffer.scala 76:98]
node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129]
node _T_55 = and(_T_53, _T_54) @[lsu_bus_buffer.scala 76:113]
node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141]
wire ld_byte_hitvecfn_lo : UInt<4>[4] @[lsu_bus_buffer.scala 77:33]
wire ld_byte_ibuf_hit_lo : UInt<4>
ld_byte_ibuf_hit_lo <= UInt<1>("h00")
wire ld_byte_hitvecfn_hi : UInt<4>[4] @[lsu_bus_buffer.scala 79:33]
wire ld_byte_ibuf_hit_hi : UInt<4>
ld_byte_ibuf_hit_hi <= UInt<1>("h00")
wire buf_byteen : UInt<4>[4] @[lsu_bus_buffer.scala 81:24]
buf_byteen[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 82:14]
buf_byteen[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 82:14]
buf_byteen[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 82:14]
buf_byteen[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 82:14]
wire buf_nxtstate : UInt<3>[4] @[lsu_bus_buffer.scala 83:26]
buf_nxtstate[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 84:16]
buf_nxtstate[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 84:16]
buf_nxtstate[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 84:16]
buf_nxtstate[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 84:16]
wire buf_wr_en : UInt<1>[4] @[lsu_bus_buffer.scala 85:23]
buf_wr_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 86:13]
buf_wr_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 86:13]
buf_wr_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 86:13]
buf_wr_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 86:13]
wire buf_data_en : UInt<1>[4] @[lsu_bus_buffer.scala 87:25]
buf_data_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 88:15]
buf_data_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 88:15]
buf_data_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 88:15]
buf_data_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 88:15]
wire buf_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 89:30]
buf_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 90:20]
buf_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 90:20]
buf_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 90:20]
buf_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 90:20]
wire buf_ldfwd_in : UInt<1>[4] @[lsu_bus_buffer.scala 91:26]
buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 92:16]
buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 92:16]
buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 92:16]
buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 92:16]
wire buf_ldfwd_en : UInt<1>[4] @[lsu_bus_buffer.scala 93:26]
buf_ldfwd_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 94:16]
buf_ldfwd_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 94:16]
buf_ldfwd_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 94:16]
buf_ldfwd_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 94:16]
wire buf_data_in : UInt<32>[4] @[lsu_bus_buffer.scala 95:25]
buf_data_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 96:15]
buf_data_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 96:15]
buf_data_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 96:15]
buf_data_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 96:15]
wire buf_ldfwdtag_in : UInt<2>[4] @[lsu_bus_buffer.scala 97:29]
buf_ldfwdtag_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 98:19]
buf_ldfwdtag_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 98:19]
buf_ldfwdtag_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 98:19]
buf_ldfwdtag_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 98:19]
wire buf_error_en : UInt<1>[4] @[lsu_bus_buffer.scala 99:26]
buf_error_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 100:16]
buf_error_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 100:16]
buf_error_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 100:16]
buf_error_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 100:16]
wire bus_rsp_read_error : UInt<1>
bus_rsp_read_error <= UInt<1>("h00")
wire bus_rsp_rdata : UInt<64>
bus_rsp_rdata <= UInt<1>("h00")
wire bus_rsp_write_error : UInt<1>
bus_rsp_write_error <= UInt<1>("h00")
wire buf_dualtag : UInt<2>[4] @[lsu_bus_buffer.scala 104:25]
buf_dualtag[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 105:15]
buf_dualtag[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 105:15]
buf_dualtag[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 105:15]
buf_dualtag[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 105:15]
wire buf_ldfwd : UInt<4>
buf_ldfwd <= UInt<1>("h00")
wire buf_resp_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 107:35]
buf_resp_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 108:25]
buf_resp_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 108:25]
buf_resp_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 108:25]
buf_resp_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 108:25]
wire any_done_wait_state : UInt<1>
any_done_wait_state <= UInt<1>("h00")
wire bus_rsp_write : UInt<1>
bus_rsp_write <= UInt<1>("h00")
wire bus_rsp_write_tag : UInt<3>
bus_rsp_write_tag <= UInt<1>("h00")
wire buf_ldfwdtag : UInt<2>[4] @[lsu_bus_buffer.scala 112:26]
buf_ldfwdtag[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 113:16]
buf_ldfwdtag[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 113:16]
buf_ldfwdtag[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 113:16]
buf_ldfwdtag[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 113:16]
wire buf_rst : UInt<1>[4] @[lsu_bus_buffer.scala 114:21]
buf_rst[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 115:11]
buf_rst[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 115:11]
buf_rst[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 115:11]
buf_rst[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 115:11]
wire ibuf_drainvec_vld : UInt<4>
ibuf_drainvec_vld <= UInt<1>("h00")
wire buf_byteen_in : UInt<4>[4] @[lsu_bus_buffer.scala 117:27]
buf_byteen_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 118:17]
buf_byteen_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 118:17]
buf_byteen_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 118:17]
buf_byteen_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 118:17]
wire buf_addr_in : UInt<32>[4] @[lsu_bus_buffer.scala 119:25]
buf_addr_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 120:15]
buf_addr_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 120:15]
buf_addr_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 120:15]
buf_addr_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 120:15]
wire buf_dual_in : UInt<4>
buf_dual_in <= UInt<1>("h00")
wire buf_samedw_in : UInt<4>
buf_samedw_in <= UInt<1>("h00")
wire buf_nomerge_in : UInt<4>
buf_nomerge_in <= UInt<1>("h00")
wire buf_dualhi_in : UInt<4>
buf_dualhi_in <= UInt<1>("h00")
wire buf_dualtag_in : UInt<2>[4] @[lsu_bus_buffer.scala 125:28]
buf_dualtag_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 126:18]
buf_dualtag_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 126:18]
buf_dualtag_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 126:18]
buf_dualtag_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 126:18]
wire buf_sideeffect_in : UInt<4>
buf_sideeffect_in <= UInt<1>("h00")
wire buf_unsign_in : UInt<4>
buf_unsign_in <= UInt<1>("h00")
wire buf_sz_in : UInt<2>[4] @[lsu_bus_buffer.scala 129:23]
buf_sz_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 130:13]
buf_sz_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 130:13]
buf_sz_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 130:13]
buf_sz_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 130:13]
wire buf_write_in : UInt<4>
buf_write_in <= UInt<1>("h00")
wire buf_unsign : UInt<4>
buf_unsign <= UInt<1>("h00")
wire buf_error : UInt<4>
buf_error <= UInt<1>("h00")
wire CmdPtr1 : UInt<2>
CmdPtr1 <= UInt<1>("h00")
wire ibuf_data : UInt<32>
ibuf_data <= UInt<1>("h00")
node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[lsu_bus_buffer.scala 137:73]
node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 137:98]
node _T_58 = or(_T_56, _T_57) @[lsu_bus_buffer.scala 137:77]
node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[lsu_bus_buffer.scala 137:73]
node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 137:98]
node _T_61 = or(_T_59, _T_60) @[lsu_bus_buffer.scala 137:77]
node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[lsu_bus_buffer.scala 137:73]
node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 137:98]
node _T_64 = or(_T_62, _T_63) @[lsu_bus_buffer.scala 137:77]
node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[lsu_bus_buffer.scala 137:73]
node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 137:98]
node _T_67 = or(_T_65, _T_66) @[lsu_bus_buffer.scala 137:77]
node _T_68 = cat(_T_67, _T_64) @[Cat.scala 29:58]
node _T_69 = cat(_T_68, _T_61) @[Cat.scala 29:58]
node _T_70 = cat(_T_69, _T_58) @[Cat.scala 29:58]
io.ld_byte_hit_buf_lo <= _T_70 @[lsu_bus_buffer.scala 137:25]
node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[lsu_bus_buffer.scala 138:73]
node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 138:98]
node _T_73 = or(_T_71, _T_72) @[lsu_bus_buffer.scala 138:77]
node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[lsu_bus_buffer.scala 138:73]
node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 138:98]
node _T_76 = or(_T_74, _T_75) @[lsu_bus_buffer.scala 138:77]
node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[lsu_bus_buffer.scala 138:73]
node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 138:98]
node _T_79 = or(_T_77, _T_78) @[lsu_bus_buffer.scala 138:77]
node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[lsu_bus_buffer.scala 138:73]
node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 138:98]
node _T_82 = or(_T_80, _T_81) @[lsu_bus_buffer.scala 138:77]
node _T_83 = cat(_T_82, _T_79) @[Cat.scala 29:58]
node _T_84 = cat(_T_83, _T_76) @[Cat.scala 29:58]
node _T_85 = cat(_T_84, _T_73) @[Cat.scala 29:58]
io.ld_byte_hit_buf_hi <= _T_85 @[lsu_bus_buffer.scala 138:25]
node _T_86 = bits(buf_byteen[0], 0, 0) @[lsu_bus_buffer.scala 140:110]
node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[lsu_bus_buffer.scala 140:95]
node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 140:132]
node _T_89 = and(_T_87, _T_88) @[lsu_bus_buffer.scala 140:114]
node _T_90 = bits(buf_byteen[1], 0, 0) @[lsu_bus_buffer.scala 140:110]
node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[lsu_bus_buffer.scala 140:95]
node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 140:132]
node _T_93 = and(_T_91, _T_92) @[lsu_bus_buffer.scala 140:114]
node _T_94 = bits(buf_byteen[2], 0, 0) @[lsu_bus_buffer.scala 140:110]
node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[lsu_bus_buffer.scala 140:95]
node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 140:132]
node _T_97 = and(_T_95, _T_96) @[lsu_bus_buffer.scala 140:114]
node _T_98 = bits(buf_byteen[3], 0, 0) @[lsu_bus_buffer.scala 140:110]
node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[lsu_bus_buffer.scala 140:95]
node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 140:132]
node _T_101 = and(_T_99, _T_100) @[lsu_bus_buffer.scala 140:114]
node _T_102 = cat(_T_101, _T_97) @[Cat.scala 29:58]
node _T_103 = cat(_T_102, _T_93) @[Cat.scala 29:58]
node ld_byte_hitvec_lo_0 = cat(_T_103, _T_89) @[Cat.scala 29:58]
node _T_104 = bits(buf_byteen[0], 1, 1) @[lsu_bus_buffer.scala 140:110]
node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[lsu_bus_buffer.scala 140:95]
node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 140:132]
node _T_107 = and(_T_105, _T_106) @[lsu_bus_buffer.scala 140:114]
node _T_108 = bits(buf_byteen[1], 1, 1) @[lsu_bus_buffer.scala 140:110]
node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[lsu_bus_buffer.scala 140:95]
node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 140:132]
node _T_111 = and(_T_109, _T_110) @[lsu_bus_buffer.scala 140:114]
node _T_112 = bits(buf_byteen[2], 1, 1) @[lsu_bus_buffer.scala 140:110]
node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[lsu_bus_buffer.scala 140:95]
node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 140:132]
node _T_115 = and(_T_113, _T_114) @[lsu_bus_buffer.scala 140:114]
node _T_116 = bits(buf_byteen[3], 1, 1) @[lsu_bus_buffer.scala 140:110]
node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[lsu_bus_buffer.scala 140:95]
node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 140:132]
node _T_119 = and(_T_117, _T_118) @[lsu_bus_buffer.scala 140:114]
node _T_120 = cat(_T_119, _T_115) @[Cat.scala 29:58]
node _T_121 = cat(_T_120, _T_111) @[Cat.scala 29:58]
node ld_byte_hitvec_lo_1 = cat(_T_121, _T_107) @[Cat.scala 29:58]
node _T_122 = bits(buf_byteen[0], 2, 2) @[lsu_bus_buffer.scala 140:110]
node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[lsu_bus_buffer.scala 140:95]
node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 140:132]
node _T_125 = and(_T_123, _T_124) @[lsu_bus_buffer.scala 140:114]
node _T_126 = bits(buf_byteen[1], 2, 2) @[lsu_bus_buffer.scala 140:110]
node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[lsu_bus_buffer.scala 140:95]
node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 140:132]
node _T_129 = and(_T_127, _T_128) @[lsu_bus_buffer.scala 140:114]
node _T_130 = bits(buf_byteen[2], 2, 2) @[lsu_bus_buffer.scala 140:110]
node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[lsu_bus_buffer.scala 140:95]
node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 140:132]
node _T_133 = and(_T_131, _T_132) @[lsu_bus_buffer.scala 140:114]
node _T_134 = bits(buf_byteen[3], 2, 2) @[lsu_bus_buffer.scala 140:110]
node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[lsu_bus_buffer.scala 140:95]
node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 140:132]
node _T_137 = and(_T_135, _T_136) @[lsu_bus_buffer.scala 140:114]
node _T_138 = cat(_T_137, _T_133) @[Cat.scala 29:58]
node _T_139 = cat(_T_138, _T_129) @[Cat.scala 29:58]
node ld_byte_hitvec_lo_2 = cat(_T_139, _T_125) @[Cat.scala 29:58]
node _T_140 = bits(buf_byteen[0], 3, 3) @[lsu_bus_buffer.scala 140:110]
node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[lsu_bus_buffer.scala 140:95]
node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 140:132]
node _T_143 = and(_T_141, _T_142) @[lsu_bus_buffer.scala 140:114]
node _T_144 = bits(buf_byteen[1], 3, 3) @[lsu_bus_buffer.scala 140:110]
node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[lsu_bus_buffer.scala 140:95]
node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 140:132]
node _T_147 = and(_T_145, _T_146) @[lsu_bus_buffer.scala 140:114]
node _T_148 = bits(buf_byteen[2], 3, 3) @[lsu_bus_buffer.scala 140:110]
node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[lsu_bus_buffer.scala 140:95]
node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 140:132]
node _T_151 = and(_T_149, _T_150) @[lsu_bus_buffer.scala 140:114]
node _T_152 = bits(buf_byteen[3], 3, 3) @[lsu_bus_buffer.scala 140:110]
node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[lsu_bus_buffer.scala 140:95]
node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 140:132]
node _T_155 = and(_T_153, _T_154) @[lsu_bus_buffer.scala 140:114]
node _T_156 = cat(_T_155, _T_151) @[Cat.scala 29:58]
node _T_157 = cat(_T_156, _T_147) @[Cat.scala 29:58]
node ld_byte_hitvec_lo_3 = cat(_T_157, _T_143) @[Cat.scala 29:58]
node _T_158 = bits(buf_byteen[0], 0, 0) @[lsu_bus_buffer.scala 141:110]
node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[lsu_bus_buffer.scala 141:95]
node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 141:132]
node _T_161 = and(_T_159, _T_160) @[lsu_bus_buffer.scala 141:114]
node _T_162 = bits(buf_byteen[1], 0, 0) @[lsu_bus_buffer.scala 141:110]
node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[lsu_bus_buffer.scala 141:95]
node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 141:132]
node _T_165 = and(_T_163, _T_164) @[lsu_bus_buffer.scala 141:114]
node _T_166 = bits(buf_byteen[2], 0, 0) @[lsu_bus_buffer.scala 141:110]
node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[lsu_bus_buffer.scala 141:95]
node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 141:132]
node _T_169 = and(_T_167, _T_168) @[lsu_bus_buffer.scala 141:114]
node _T_170 = bits(buf_byteen[3], 0, 0) @[lsu_bus_buffer.scala 141:110]
node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[lsu_bus_buffer.scala 141:95]
node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 141:132]
node _T_173 = and(_T_171, _T_172) @[lsu_bus_buffer.scala 141:114]
node _T_174 = cat(_T_173, _T_169) @[Cat.scala 29:58]
node _T_175 = cat(_T_174, _T_165) @[Cat.scala 29:58]
node ld_byte_hitvec_hi_0 = cat(_T_175, _T_161) @[Cat.scala 29:58]
node _T_176 = bits(buf_byteen[0], 1, 1) @[lsu_bus_buffer.scala 141:110]
node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[lsu_bus_buffer.scala 141:95]
node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 141:132]
node _T_179 = and(_T_177, _T_178) @[lsu_bus_buffer.scala 141:114]
node _T_180 = bits(buf_byteen[1], 1, 1) @[lsu_bus_buffer.scala 141:110]
node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[lsu_bus_buffer.scala 141:95]
node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 141:132]
node _T_183 = and(_T_181, _T_182) @[lsu_bus_buffer.scala 141:114]
node _T_184 = bits(buf_byteen[2], 1, 1) @[lsu_bus_buffer.scala 141:110]
node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[lsu_bus_buffer.scala 141:95]
node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 141:132]
node _T_187 = and(_T_185, _T_186) @[lsu_bus_buffer.scala 141:114]
node _T_188 = bits(buf_byteen[3], 1, 1) @[lsu_bus_buffer.scala 141:110]
node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[lsu_bus_buffer.scala 141:95]
node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 141:132]
node _T_191 = and(_T_189, _T_190) @[lsu_bus_buffer.scala 141:114]
node _T_192 = cat(_T_191, _T_187) @[Cat.scala 29:58]
node _T_193 = cat(_T_192, _T_183) @[Cat.scala 29:58]
node ld_byte_hitvec_hi_1 = cat(_T_193, _T_179) @[Cat.scala 29:58]
node _T_194 = bits(buf_byteen[0], 2, 2) @[lsu_bus_buffer.scala 141:110]
node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[lsu_bus_buffer.scala 141:95]
node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 141:132]
node _T_197 = and(_T_195, _T_196) @[lsu_bus_buffer.scala 141:114]
node _T_198 = bits(buf_byteen[1], 2, 2) @[lsu_bus_buffer.scala 141:110]
node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[lsu_bus_buffer.scala 141:95]
node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 141:132]
node _T_201 = and(_T_199, _T_200) @[lsu_bus_buffer.scala 141:114]
node _T_202 = bits(buf_byteen[2], 2, 2) @[lsu_bus_buffer.scala 141:110]
node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[lsu_bus_buffer.scala 141:95]
node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 141:132]
node _T_205 = and(_T_203, _T_204) @[lsu_bus_buffer.scala 141:114]
node _T_206 = bits(buf_byteen[3], 2, 2) @[lsu_bus_buffer.scala 141:110]
node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[lsu_bus_buffer.scala 141:95]
node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 141:132]
node _T_209 = and(_T_207, _T_208) @[lsu_bus_buffer.scala 141:114]
node _T_210 = cat(_T_209, _T_205) @[Cat.scala 29:58]
node _T_211 = cat(_T_210, _T_201) @[Cat.scala 29:58]
node ld_byte_hitvec_hi_2 = cat(_T_211, _T_197) @[Cat.scala 29:58]
node _T_212 = bits(buf_byteen[0], 3, 3) @[lsu_bus_buffer.scala 141:110]
node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[lsu_bus_buffer.scala 141:95]
node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 141:132]
node _T_215 = and(_T_213, _T_214) @[lsu_bus_buffer.scala 141:114]
node _T_216 = bits(buf_byteen[1], 3, 3) @[lsu_bus_buffer.scala 141:110]
node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[lsu_bus_buffer.scala 141:95]
node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 141:132]
node _T_219 = and(_T_217, _T_218) @[lsu_bus_buffer.scala 141:114]
node _T_220 = bits(buf_byteen[2], 3, 3) @[lsu_bus_buffer.scala 141:110]
node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[lsu_bus_buffer.scala 141:95]
node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 141:132]
node _T_223 = and(_T_221, _T_222) @[lsu_bus_buffer.scala 141:114]
node _T_224 = bits(buf_byteen[3], 3, 3) @[lsu_bus_buffer.scala 141:110]
node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[lsu_bus_buffer.scala 141:95]
node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 141:132]
node _T_227 = and(_T_225, _T_226) @[lsu_bus_buffer.scala 141:114]
node _T_228 = cat(_T_227, _T_223) @[Cat.scala 29:58]
node _T_229 = cat(_T_228, _T_219) @[Cat.scala 29:58]
node ld_byte_hitvec_hi_3 = cat(_T_229, _T_215) @[Cat.scala 29:58]
wire buf_age_younger : UInt<4>[4] @[lsu_bus_buffer.scala 143:29]
buf_age_younger[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 144:19]
buf_age_younger[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 144:19]
buf_age_younger[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 144:19]
buf_age_younger[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 144:19]
node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[lsu_bus_buffer.scala 145:93]
node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[lsu_bus_buffer.scala 145:122]
node _T_232 = orr(_T_231) @[lsu_bus_buffer.scala 145:144]
node _T_233 = eq(_T_232, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99]
node _T_234 = and(_T_230, _T_233) @[lsu_bus_buffer.scala 145:97]
node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 145:170]
node _T_236 = eq(_T_235, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150]
node _T_237 = and(_T_234, _T_236) @[lsu_bus_buffer.scala 145:148]
node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[lsu_bus_buffer.scala 145:93]
node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[lsu_bus_buffer.scala 145:122]
node _T_240 = orr(_T_239) @[lsu_bus_buffer.scala 145:144]
node _T_241 = eq(_T_240, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99]
node _T_242 = and(_T_238, _T_241) @[lsu_bus_buffer.scala 145:97]
node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 145:170]
node _T_244 = eq(_T_243, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150]
node _T_245 = and(_T_242, _T_244) @[lsu_bus_buffer.scala 145:148]
node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[lsu_bus_buffer.scala 145:93]
node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[lsu_bus_buffer.scala 145:122]
node _T_248 = orr(_T_247) @[lsu_bus_buffer.scala 145:144]
node _T_249 = eq(_T_248, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99]
node _T_250 = and(_T_246, _T_249) @[lsu_bus_buffer.scala 145:97]
node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 145:170]
node _T_252 = eq(_T_251, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150]
node _T_253 = and(_T_250, _T_252) @[lsu_bus_buffer.scala 145:148]
node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[lsu_bus_buffer.scala 145:93]
node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[lsu_bus_buffer.scala 145:122]
node _T_256 = orr(_T_255) @[lsu_bus_buffer.scala 145:144]
node _T_257 = eq(_T_256, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99]
node _T_258 = and(_T_254, _T_257) @[lsu_bus_buffer.scala 145:97]
node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 145:170]
node _T_260 = eq(_T_259, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150]
node _T_261 = and(_T_258, _T_260) @[lsu_bus_buffer.scala 145:148]
node _T_262 = cat(_T_261, _T_253) @[Cat.scala 29:58]
node _T_263 = cat(_T_262, _T_245) @[Cat.scala 29:58]
node _T_264 = cat(_T_263, _T_237) @[Cat.scala 29:58]
node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[lsu_bus_buffer.scala 145:93]
node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[lsu_bus_buffer.scala 145:122]
node _T_267 = orr(_T_266) @[lsu_bus_buffer.scala 145:144]
node _T_268 = eq(_T_267, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99]
node _T_269 = and(_T_265, _T_268) @[lsu_bus_buffer.scala 145:97]
node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 145:170]
node _T_271 = eq(_T_270, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150]
node _T_272 = and(_T_269, _T_271) @[lsu_bus_buffer.scala 145:148]
node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[lsu_bus_buffer.scala 145:93]
node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[lsu_bus_buffer.scala 145:122]
node _T_275 = orr(_T_274) @[lsu_bus_buffer.scala 145:144]
node _T_276 = eq(_T_275, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99]
node _T_277 = and(_T_273, _T_276) @[lsu_bus_buffer.scala 145:97]
node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 145:170]
node _T_279 = eq(_T_278, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150]
node _T_280 = and(_T_277, _T_279) @[lsu_bus_buffer.scala 145:148]
node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[lsu_bus_buffer.scala 145:93]
node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[lsu_bus_buffer.scala 145:122]
node _T_283 = orr(_T_282) @[lsu_bus_buffer.scala 145:144]
node _T_284 = eq(_T_283, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99]
node _T_285 = and(_T_281, _T_284) @[lsu_bus_buffer.scala 145:97]
node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 145:170]
node _T_287 = eq(_T_286, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150]
node _T_288 = and(_T_285, _T_287) @[lsu_bus_buffer.scala 145:148]
node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[lsu_bus_buffer.scala 145:93]
node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[lsu_bus_buffer.scala 145:122]
node _T_291 = orr(_T_290) @[lsu_bus_buffer.scala 145:144]
node _T_292 = eq(_T_291, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99]
node _T_293 = and(_T_289, _T_292) @[lsu_bus_buffer.scala 145:97]
node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 145:170]
node _T_295 = eq(_T_294, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150]
node _T_296 = and(_T_293, _T_295) @[lsu_bus_buffer.scala 145:148]
node _T_297 = cat(_T_296, _T_288) @[Cat.scala 29:58]
node _T_298 = cat(_T_297, _T_280) @[Cat.scala 29:58]
node _T_299 = cat(_T_298, _T_272) @[Cat.scala 29:58]
node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[lsu_bus_buffer.scala 145:93]
node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[lsu_bus_buffer.scala 145:122]
node _T_302 = orr(_T_301) @[lsu_bus_buffer.scala 145:144]
node _T_303 = eq(_T_302, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99]
node _T_304 = and(_T_300, _T_303) @[lsu_bus_buffer.scala 145:97]
node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 145:170]
node _T_306 = eq(_T_305, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150]
node _T_307 = and(_T_304, _T_306) @[lsu_bus_buffer.scala 145:148]
node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[lsu_bus_buffer.scala 145:93]
node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[lsu_bus_buffer.scala 145:122]
node _T_310 = orr(_T_309) @[lsu_bus_buffer.scala 145:144]
node _T_311 = eq(_T_310, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99]
node _T_312 = and(_T_308, _T_311) @[lsu_bus_buffer.scala 145:97]
node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 145:170]
node _T_314 = eq(_T_313, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150]
node _T_315 = and(_T_312, _T_314) @[lsu_bus_buffer.scala 145:148]
node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[lsu_bus_buffer.scala 145:93]
node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[lsu_bus_buffer.scala 145:122]
node _T_318 = orr(_T_317) @[lsu_bus_buffer.scala 145:144]
node _T_319 = eq(_T_318, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99]
node _T_320 = and(_T_316, _T_319) @[lsu_bus_buffer.scala 145:97]
node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 145:170]
node _T_322 = eq(_T_321, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150]
node _T_323 = and(_T_320, _T_322) @[lsu_bus_buffer.scala 145:148]
node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[lsu_bus_buffer.scala 145:93]
node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[lsu_bus_buffer.scala 145:122]
node _T_326 = orr(_T_325) @[lsu_bus_buffer.scala 145:144]
node _T_327 = eq(_T_326, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99]
node _T_328 = and(_T_324, _T_327) @[lsu_bus_buffer.scala 145:97]
node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 145:170]
node _T_330 = eq(_T_329, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150]
node _T_331 = and(_T_328, _T_330) @[lsu_bus_buffer.scala 145:148]
node _T_332 = cat(_T_331, _T_323) @[Cat.scala 29:58]
node _T_333 = cat(_T_332, _T_315) @[Cat.scala 29:58]
node _T_334 = cat(_T_333, _T_307) @[Cat.scala 29:58]
node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[lsu_bus_buffer.scala 145:93]
node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[lsu_bus_buffer.scala 145:122]
node _T_337 = orr(_T_336) @[lsu_bus_buffer.scala 145:144]
node _T_338 = eq(_T_337, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99]
node _T_339 = and(_T_335, _T_338) @[lsu_bus_buffer.scala 145:97]
node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 145:170]
node _T_341 = eq(_T_340, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150]
node _T_342 = and(_T_339, _T_341) @[lsu_bus_buffer.scala 145:148]
node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[lsu_bus_buffer.scala 145:93]
node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[lsu_bus_buffer.scala 145:122]
node _T_345 = orr(_T_344) @[lsu_bus_buffer.scala 145:144]
node _T_346 = eq(_T_345, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99]
node _T_347 = and(_T_343, _T_346) @[lsu_bus_buffer.scala 145:97]
node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 145:170]
node _T_349 = eq(_T_348, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150]
node _T_350 = and(_T_347, _T_349) @[lsu_bus_buffer.scala 145:148]
node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[lsu_bus_buffer.scala 145:93]
node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[lsu_bus_buffer.scala 145:122]
node _T_353 = orr(_T_352) @[lsu_bus_buffer.scala 145:144]
node _T_354 = eq(_T_353, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99]
node _T_355 = and(_T_351, _T_354) @[lsu_bus_buffer.scala 145:97]
node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 145:170]
node _T_357 = eq(_T_356, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150]
node _T_358 = and(_T_355, _T_357) @[lsu_bus_buffer.scala 145:148]
node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[lsu_bus_buffer.scala 145:93]
node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[lsu_bus_buffer.scala 145:122]
node _T_361 = orr(_T_360) @[lsu_bus_buffer.scala 145:144]
node _T_362 = eq(_T_361, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99]
node _T_363 = and(_T_359, _T_362) @[lsu_bus_buffer.scala 145:97]
node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 145:170]
node _T_365 = eq(_T_364, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150]
node _T_366 = and(_T_363, _T_365) @[lsu_bus_buffer.scala 145:148]
node _T_367 = cat(_T_366, _T_358) @[Cat.scala 29:58]
node _T_368 = cat(_T_367, _T_350) @[Cat.scala 29:58]
node _T_369 = cat(_T_368, _T_342) @[Cat.scala 29:58]
ld_byte_hitvecfn_lo[0] <= _T_264 @[lsu_bus_buffer.scala 145:23]
ld_byte_hitvecfn_lo[1] <= _T_299 @[lsu_bus_buffer.scala 145:23]
ld_byte_hitvecfn_lo[2] <= _T_334 @[lsu_bus_buffer.scala 145:23]
ld_byte_hitvecfn_lo[3] <= _T_369 @[lsu_bus_buffer.scala 145:23]
node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[lsu_bus_buffer.scala 146:93]
node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122]
node _T_372 = orr(_T_371) @[lsu_bus_buffer.scala 146:144]
node _T_373 = eq(_T_372, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99]
node _T_374 = and(_T_370, _T_373) @[lsu_bus_buffer.scala 146:97]
node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 146:170]
node _T_376 = eq(_T_375, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150]
node _T_377 = and(_T_374, _T_376) @[lsu_bus_buffer.scala 146:148]
node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[lsu_bus_buffer.scala 146:93]
node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122]
node _T_380 = orr(_T_379) @[lsu_bus_buffer.scala 146:144]
node _T_381 = eq(_T_380, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99]
node _T_382 = and(_T_378, _T_381) @[lsu_bus_buffer.scala 146:97]
node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 146:170]
node _T_384 = eq(_T_383, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150]
node _T_385 = and(_T_382, _T_384) @[lsu_bus_buffer.scala 146:148]
node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[lsu_bus_buffer.scala 146:93]
node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122]
node _T_388 = orr(_T_387) @[lsu_bus_buffer.scala 146:144]
node _T_389 = eq(_T_388, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99]
node _T_390 = and(_T_386, _T_389) @[lsu_bus_buffer.scala 146:97]
node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 146:170]
node _T_392 = eq(_T_391, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150]
node _T_393 = and(_T_390, _T_392) @[lsu_bus_buffer.scala 146:148]
node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[lsu_bus_buffer.scala 146:93]
node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122]
node _T_396 = orr(_T_395) @[lsu_bus_buffer.scala 146:144]
node _T_397 = eq(_T_396, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99]
node _T_398 = and(_T_394, _T_397) @[lsu_bus_buffer.scala 146:97]
node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 146:170]
node _T_400 = eq(_T_399, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150]
node _T_401 = and(_T_398, _T_400) @[lsu_bus_buffer.scala 146:148]
node _T_402 = cat(_T_401, _T_393) @[Cat.scala 29:58]
node _T_403 = cat(_T_402, _T_385) @[Cat.scala 29:58]
node _T_404 = cat(_T_403, _T_377) @[Cat.scala 29:58]
node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[lsu_bus_buffer.scala 146:93]
node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122]
node _T_407 = orr(_T_406) @[lsu_bus_buffer.scala 146:144]
node _T_408 = eq(_T_407, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99]
node _T_409 = and(_T_405, _T_408) @[lsu_bus_buffer.scala 146:97]
node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 146:170]
node _T_411 = eq(_T_410, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150]
node _T_412 = and(_T_409, _T_411) @[lsu_bus_buffer.scala 146:148]
node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[lsu_bus_buffer.scala 146:93]
node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122]
node _T_415 = orr(_T_414) @[lsu_bus_buffer.scala 146:144]
node _T_416 = eq(_T_415, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99]
node _T_417 = and(_T_413, _T_416) @[lsu_bus_buffer.scala 146:97]
node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 146:170]
node _T_419 = eq(_T_418, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150]
node _T_420 = and(_T_417, _T_419) @[lsu_bus_buffer.scala 146:148]
node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[lsu_bus_buffer.scala 146:93]
node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122]
node _T_423 = orr(_T_422) @[lsu_bus_buffer.scala 146:144]
node _T_424 = eq(_T_423, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99]
node _T_425 = and(_T_421, _T_424) @[lsu_bus_buffer.scala 146:97]
node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 146:170]
node _T_427 = eq(_T_426, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150]
node _T_428 = and(_T_425, _T_427) @[lsu_bus_buffer.scala 146:148]
node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[lsu_bus_buffer.scala 146:93]
node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122]
node _T_431 = orr(_T_430) @[lsu_bus_buffer.scala 146:144]
node _T_432 = eq(_T_431, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99]
node _T_433 = and(_T_429, _T_432) @[lsu_bus_buffer.scala 146:97]
node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 146:170]
node _T_435 = eq(_T_434, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150]
node _T_436 = and(_T_433, _T_435) @[lsu_bus_buffer.scala 146:148]
node _T_437 = cat(_T_436, _T_428) @[Cat.scala 29:58]
node _T_438 = cat(_T_437, _T_420) @[Cat.scala 29:58]
node _T_439 = cat(_T_438, _T_412) @[Cat.scala 29:58]
node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[lsu_bus_buffer.scala 146:93]
node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122]
node _T_442 = orr(_T_441) @[lsu_bus_buffer.scala 146:144]
node _T_443 = eq(_T_442, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99]
node _T_444 = and(_T_440, _T_443) @[lsu_bus_buffer.scala 146:97]
node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 146:170]
node _T_446 = eq(_T_445, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150]
node _T_447 = and(_T_444, _T_446) @[lsu_bus_buffer.scala 146:148]
node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[lsu_bus_buffer.scala 146:93]
node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122]
node _T_450 = orr(_T_449) @[lsu_bus_buffer.scala 146:144]
node _T_451 = eq(_T_450, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99]
node _T_452 = and(_T_448, _T_451) @[lsu_bus_buffer.scala 146:97]
node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 146:170]
node _T_454 = eq(_T_453, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150]
node _T_455 = and(_T_452, _T_454) @[lsu_bus_buffer.scala 146:148]
node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[lsu_bus_buffer.scala 146:93]
node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122]
node _T_458 = orr(_T_457) @[lsu_bus_buffer.scala 146:144]
node _T_459 = eq(_T_458, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99]
node _T_460 = and(_T_456, _T_459) @[lsu_bus_buffer.scala 146:97]
node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 146:170]
node _T_462 = eq(_T_461, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150]
node _T_463 = and(_T_460, _T_462) @[lsu_bus_buffer.scala 146:148]
node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[lsu_bus_buffer.scala 146:93]
node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122]
node _T_466 = orr(_T_465) @[lsu_bus_buffer.scala 146:144]
node _T_467 = eq(_T_466, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99]
node _T_468 = and(_T_464, _T_467) @[lsu_bus_buffer.scala 146:97]
node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 146:170]
node _T_470 = eq(_T_469, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150]
node _T_471 = and(_T_468, _T_470) @[lsu_bus_buffer.scala 146:148]
node _T_472 = cat(_T_471, _T_463) @[Cat.scala 29:58]
node _T_473 = cat(_T_472, _T_455) @[Cat.scala 29:58]
node _T_474 = cat(_T_473, _T_447) @[Cat.scala 29:58]
node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[lsu_bus_buffer.scala 146:93]
node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122]
node _T_477 = orr(_T_476) @[lsu_bus_buffer.scala 146:144]
node _T_478 = eq(_T_477, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99]
node _T_479 = and(_T_475, _T_478) @[lsu_bus_buffer.scala 146:97]
node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 146:170]
node _T_481 = eq(_T_480, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150]
node _T_482 = and(_T_479, _T_481) @[lsu_bus_buffer.scala 146:148]
node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[lsu_bus_buffer.scala 146:93]
node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122]
node _T_485 = orr(_T_484) @[lsu_bus_buffer.scala 146:144]
node _T_486 = eq(_T_485, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99]
node _T_487 = and(_T_483, _T_486) @[lsu_bus_buffer.scala 146:97]
node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 146:170]
node _T_489 = eq(_T_488, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150]
node _T_490 = and(_T_487, _T_489) @[lsu_bus_buffer.scala 146:148]
node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[lsu_bus_buffer.scala 146:93]
node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122]
node _T_493 = orr(_T_492) @[lsu_bus_buffer.scala 146:144]
node _T_494 = eq(_T_493, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99]
node _T_495 = and(_T_491, _T_494) @[lsu_bus_buffer.scala 146:97]
node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 146:170]
node _T_497 = eq(_T_496, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150]
node _T_498 = and(_T_495, _T_497) @[lsu_bus_buffer.scala 146:148]
node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[lsu_bus_buffer.scala 146:93]
node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122]
node _T_501 = orr(_T_500) @[lsu_bus_buffer.scala 146:144]
node _T_502 = eq(_T_501, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99]
node _T_503 = and(_T_499, _T_502) @[lsu_bus_buffer.scala 146:97]
node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 146:170]
node _T_505 = eq(_T_504, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150]
node _T_506 = and(_T_503, _T_505) @[lsu_bus_buffer.scala 146:148]
node _T_507 = cat(_T_506, _T_498) @[Cat.scala 29:58]
node _T_508 = cat(_T_507, _T_490) @[Cat.scala 29:58]
node _T_509 = cat(_T_508, _T_482) @[Cat.scala 29:58]
ld_byte_hitvecfn_hi[0] <= _T_404 @[lsu_bus_buffer.scala 146:23]
ld_byte_hitvecfn_hi[1] <= _T_439 @[lsu_bus_buffer.scala 146:23]
ld_byte_hitvecfn_hi[2] <= _T_474 @[lsu_bus_buffer.scala 146:23]
ld_byte_hitvecfn_hi[3] <= _T_509 @[lsu_bus_buffer.scala 146:23]
wire ibuf_addr : UInt<32>
ibuf_addr <= UInt<1>("h00")
wire ibuf_write : UInt<1>
ibuf_write <= UInt<1>("h00")
wire ibuf_valid : UInt<1>
ibuf_valid <= UInt<1>("h00")
node _T_510 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 151:43]
node _T_511 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 151:64]
node _T_512 = eq(_T_510, _T_511) @[lsu_bus_buffer.scala 151:51]
node _T_513 = and(_T_512, ibuf_write) @[lsu_bus_buffer.scala 151:73]
node _T_514 = and(_T_513, ibuf_valid) @[lsu_bus_buffer.scala 151:86]
node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[lsu_bus_buffer.scala 151:99]
node _T_515 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 152:43]
node _T_516 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 152:64]
node _T_517 = eq(_T_515, _T_516) @[lsu_bus_buffer.scala 152:51]
node _T_518 = and(_T_517, ibuf_write) @[lsu_bus_buffer.scala 152:73]
node _T_519 = and(_T_518, ibuf_valid) @[lsu_bus_buffer.scala 152:86]
node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[lsu_bus_buffer.scala 152:99]
wire ibuf_byteen : UInt<4>
ibuf_byteen <= UInt<1>("h00")
node _T_520 = bits(ld_addr_ibuf_hit_lo, 0, 0) @[Bitwise.scala 72:15]
node _T_521 = mux(_T_520, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_522 = and(_T_521, ibuf_byteen) @[lsu_bus_buffer.scala 156:55]
node _T_523 = and(_T_522, ldst_byteen_lo_m) @[lsu_bus_buffer.scala 156:69]
ld_byte_ibuf_hit_lo <= _T_523 @[lsu_bus_buffer.scala 156:23]
node _T_524 = bits(ld_addr_ibuf_hit_hi, 0, 0) @[Bitwise.scala 72:15]
node _T_525 = mux(_T_524, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_526 = and(_T_525, ibuf_byteen) @[lsu_bus_buffer.scala 157:55]
node _T_527 = and(_T_526, ldst_byteen_hi_m) @[lsu_bus_buffer.scala 157:69]
ld_byte_ibuf_hit_hi <= _T_527 @[lsu_bus_buffer.scala 157:23]
wire buf_data : UInt<32>[4] @[lsu_bus_buffer.scala 159:22]
buf_data[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 160:12]
buf_data[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 160:12]
buf_data[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 160:12]
buf_data[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 160:12]
wire fwd_data : UInt<32>
fwd_data <= UInt<1>("h00")
node _T_528 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 162:81]
node _T_529 = bits(_T_528, 0, 0) @[Bitwise.scala 72:15]
node _T_530 = mux(_T_529, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_531 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 162:81]
node _T_532 = bits(_T_531, 0, 0) @[Bitwise.scala 72:15]
node _T_533 = mux(_T_532, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_534 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 162:81]
node _T_535 = bits(_T_534, 0, 0) @[Bitwise.scala 72:15]
node _T_536 = mux(_T_535, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_537 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 162:81]
node _T_538 = bits(_T_537, 0, 0) @[Bitwise.scala 72:15]
node _T_539 = mux(_T_538, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_540 = cat(_T_539, _T_536) @[Cat.scala 29:58]
node _T_541 = cat(_T_540, _T_533) @[Cat.scala 29:58]
node ld_fwddata_buf_lo_initial = cat(_T_541, _T_530) @[Cat.scala 29:58]
node _T_542 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 163:81]
node _T_543 = bits(_T_542, 0, 0) @[Bitwise.scala 72:15]
node _T_544 = mux(_T_543, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_545 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 163:81]
node _T_546 = bits(_T_545, 0, 0) @[Bitwise.scala 72:15]
node _T_547 = mux(_T_546, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_548 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 163:81]
node _T_549 = bits(_T_548, 0, 0) @[Bitwise.scala 72:15]
node _T_550 = mux(_T_549, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_551 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 163:81]
node _T_552 = bits(_T_551, 0, 0) @[Bitwise.scala 72:15]
node _T_553 = mux(_T_552, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_554 = cat(_T_553, _T_550) @[Cat.scala 29:58]
node _T_555 = cat(_T_554, _T_547) @[Cat.scala 29:58]
node ld_fwddata_buf_hi_initial = cat(_T_555, _T_544) @[Cat.scala 29:58]
node _T_556 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[lsu_bus_buffer.scala 164:86]
node _T_557 = bits(_T_556, 0, 0) @[Bitwise.scala 72:15]
node _T_558 = mux(_T_557, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_559 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 164:104]
node _T_560 = and(_T_558, _T_559) @[lsu_bus_buffer.scala 164:91]
node _T_561 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[lsu_bus_buffer.scala 164:86]
node _T_562 = bits(_T_561, 0, 0) @[Bitwise.scala 72:15]
node _T_563 = mux(_T_562, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_564 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 164:104]
node _T_565 = and(_T_563, _T_564) @[lsu_bus_buffer.scala 164:91]
node _T_566 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[lsu_bus_buffer.scala 164:86]
node _T_567 = bits(_T_566, 0, 0) @[Bitwise.scala 72:15]
node _T_568 = mux(_T_567, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_569 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 164:104]
node _T_570 = and(_T_568, _T_569) @[lsu_bus_buffer.scala 164:91]
node _T_571 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[lsu_bus_buffer.scala 164:86]
node _T_572 = bits(_T_571, 0, 0) @[Bitwise.scala 72:15]
node _T_573 = mux(_T_572, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_574 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 164:104]
node _T_575 = and(_T_573, _T_574) @[lsu_bus_buffer.scala 164:91]
node _T_576 = or(_T_560, _T_565) @[lsu_bus_buffer.scala 164:123]
node _T_577 = or(_T_576, _T_570) @[lsu_bus_buffer.scala 164:123]
node _T_578 = or(_T_577, _T_575) @[lsu_bus_buffer.scala 164:123]
node _T_579 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[lsu_bus_buffer.scala 165:60]
node _T_580 = bits(_T_579, 0, 0) @[Bitwise.scala 72:15]
node _T_581 = mux(_T_580, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_582 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 165:78]
node _T_583 = and(_T_581, _T_582) @[lsu_bus_buffer.scala 165:65]
node _T_584 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[lsu_bus_buffer.scala 165:60]
node _T_585 = bits(_T_584, 0, 0) @[Bitwise.scala 72:15]
node _T_586 = mux(_T_585, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_587 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 165:78]
node _T_588 = and(_T_586, _T_587) @[lsu_bus_buffer.scala 165:65]
node _T_589 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[lsu_bus_buffer.scala 165:60]
node _T_590 = bits(_T_589, 0, 0) @[Bitwise.scala 72:15]
node _T_591 = mux(_T_590, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_592 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 165:78]
node _T_593 = and(_T_591, _T_592) @[lsu_bus_buffer.scala 165:65]
node _T_594 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[lsu_bus_buffer.scala 165:60]
node _T_595 = bits(_T_594, 0, 0) @[Bitwise.scala 72:15]
node _T_596 = mux(_T_595, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_597 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 165:78]
node _T_598 = and(_T_596, _T_597) @[lsu_bus_buffer.scala 165:65]
node _T_599 = or(_T_583, _T_588) @[lsu_bus_buffer.scala 165:97]
node _T_600 = or(_T_599, _T_593) @[lsu_bus_buffer.scala 165:97]
node _T_601 = or(_T_600, _T_598) @[lsu_bus_buffer.scala 165:97]
node _T_602 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[lsu_bus_buffer.scala 166:60]
node _T_603 = bits(_T_602, 0, 0) @[Bitwise.scala 72:15]
node _T_604 = mux(_T_603, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_605 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 166:78]
node _T_606 = and(_T_604, _T_605) @[lsu_bus_buffer.scala 166:65]
node _T_607 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[lsu_bus_buffer.scala 166:60]
node _T_608 = bits(_T_607, 0, 0) @[Bitwise.scala 72:15]
node _T_609 = mux(_T_608, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_610 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 166:78]
node _T_611 = and(_T_609, _T_610) @[lsu_bus_buffer.scala 166:65]
node _T_612 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[lsu_bus_buffer.scala 166:60]
node _T_613 = bits(_T_612, 0, 0) @[Bitwise.scala 72:15]
node _T_614 = mux(_T_613, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_615 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 166:78]
node _T_616 = and(_T_614, _T_615) @[lsu_bus_buffer.scala 166:65]
node _T_617 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[lsu_bus_buffer.scala 166:60]
node _T_618 = bits(_T_617, 0, 0) @[Bitwise.scala 72:15]
node _T_619 = mux(_T_618, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_620 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 166:78]
node _T_621 = and(_T_619, _T_620) @[lsu_bus_buffer.scala 166:65]
node _T_622 = or(_T_606, _T_611) @[lsu_bus_buffer.scala 166:97]
node _T_623 = or(_T_622, _T_616) @[lsu_bus_buffer.scala 166:97]
node _T_624 = or(_T_623, _T_621) @[lsu_bus_buffer.scala 166:97]
node _T_625 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[lsu_bus_buffer.scala 167:60]
node _T_626 = bits(_T_625, 0, 0) @[Bitwise.scala 72:15]
node _T_627 = mux(_T_626, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_628 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 167:78]
node _T_629 = and(_T_627, _T_628) @[lsu_bus_buffer.scala 167:65]
node _T_630 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[lsu_bus_buffer.scala 167:60]
node _T_631 = bits(_T_630, 0, 0) @[Bitwise.scala 72:15]
node _T_632 = mux(_T_631, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_633 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 167:78]
node _T_634 = and(_T_632, _T_633) @[lsu_bus_buffer.scala 167:65]
node _T_635 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[lsu_bus_buffer.scala 167:60]
node _T_636 = bits(_T_635, 0, 0) @[Bitwise.scala 72:15]
node _T_637 = mux(_T_636, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_638 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 167:78]
node _T_639 = and(_T_637, _T_638) @[lsu_bus_buffer.scala 167:65]
node _T_640 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[lsu_bus_buffer.scala 167:60]
node _T_641 = bits(_T_640, 0, 0) @[Bitwise.scala 72:15]
node _T_642 = mux(_T_641, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_643 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 167:78]
node _T_644 = and(_T_642, _T_643) @[lsu_bus_buffer.scala 167:65]
node _T_645 = or(_T_629, _T_634) @[lsu_bus_buffer.scala 167:97]
node _T_646 = or(_T_645, _T_639) @[lsu_bus_buffer.scala 167:97]
node _T_647 = or(_T_646, _T_644) @[lsu_bus_buffer.scala 167:97]
node _T_648 = cat(_T_624, _T_647) @[Cat.scala 29:58]
node _T_649 = cat(_T_578, _T_601) @[Cat.scala 29:58]
node _T_650 = cat(_T_649, _T_648) @[Cat.scala 29:58]
node _T_651 = and(ld_fwddata_buf_lo_initial, ibuf_data) @[lsu_bus_buffer.scala 168:32]
node _T_652 = or(_T_650, _T_651) @[lsu_bus_buffer.scala 167:103]
io.ld_fwddata_buf_lo <= _T_652 @[lsu_bus_buffer.scala 164:24]
node _T_653 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[lsu_bus_buffer.scala 170:86]
node _T_654 = bits(_T_653, 0, 0) @[Bitwise.scala 72:15]
node _T_655 = mux(_T_654, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_656 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 170:104]
node _T_657 = and(_T_655, _T_656) @[lsu_bus_buffer.scala 170:91]
node _T_658 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[lsu_bus_buffer.scala 170:86]
node _T_659 = bits(_T_658, 0, 0) @[Bitwise.scala 72:15]
node _T_660 = mux(_T_659, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_661 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 170:104]
node _T_662 = and(_T_660, _T_661) @[lsu_bus_buffer.scala 170:91]
node _T_663 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[lsu_bus_buffer.scala 170:86]
node _T_664 = bits(_T_663, 0, 0) @[Bitwise.scala 72:15]
node _T_665 = mux(_T_664, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_666 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 170:104]
node _T_667 = and(_T_665, _T_666) @[lsu_bus_buffer.scala 170:91]
node _T_668 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[lsu_bus_buffer.scala 170:86]
node _T_669 = bits(_T_668, 0, 0) @[Bitwise.scala 72:15]
node _T_670 = mux(_T_669, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_671 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 170:104]
node _T_672 = and(_T_670, _T_671) @[lsu_bus_buffer.scala 170:91]
node _T_673 = or(_T_657, _T_662) @[lsu_bus_buffer.scala 170:123]
node _T_674 = or(_T_673, _T_667) @[lsu_bus_buffer.scala 170:123]
node _T_675 = or(_T_674, _T_672) @[lsu_bus_buffer.scala 170:123]
node _T_676 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[lsu_bus_buffer.scala 171:60]
node _T_677 = bits(_T_676, 0, 0) @[Bitwise.scala 72:15]
node _T_678 = mux(_T_677, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_679 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 171:78]
node _T_680 = and(_T_678, _T_679) @[lsu_bus_buffer.scala 171:65]
node _T_681 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[lsu_bus_buffer.scala 171:60]
node _T_682 = bits(_T_681, 0, 0) @[Bitwise.scala 72:15]
node _T_683 = mux(_T_682, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_684 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 171:78]
node _T_685 = and(_T_683, _T_684) @[lsu_bus_buffer.scala 171:65]
node _T_686 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[lsu_bus_buffer.scala 171:60]
node _T_687 = bits(_T_686, 0, 0) @[Bitwise.scala 72:15]
node _T_688 = mux(_T_687, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_689 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 171:78]
node _T_690 = and(_T_688, _T_689) @[lsu_bus_buffer.scala 171:65]
node _T_691 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[lsu_bus_buffer.scala 171:60]
node _T_692 = bits(_T_691, 0, 0) @[Bitwise.scala 72:15]
node _T_693 = mux(_T_692, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_694 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 171:78]
node _T_695 = and(_T_693, _T_694) @[lsu_bus_buffer.scala 171:65]
node _T_696 = or(_T_680, _T_685) @[lsu_bus_buffer.scala 171:97]
node _T_697 = or(_T_696, _T_690) @[lsu_bus_buffer.scala 171:97]
node _T_698 = or(_T_697, _T_695) @[lsu_bus_buffer.scala 171:97]
node _T_699 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[lsu_bus_buffer.scala 172:60]
node _T_700 = bits(_T_699, 0, 0) @[Bitwise.scala 72:15]
node _T_701 = mux(_T_700, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_702 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 172:78]
node _T_703 = and(_T_701, _T_702) @[lsu_bus_buffer.scala 172:65]
node _T_704 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[lsu_bus_buffer.scala 172:60]
node _T_705 = bits(_T_704, 0, 0) @[Bitwise.scala 72:15]
node _T_706 = mux(_T_705, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_707 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 172:78]
node _T_708 = and(_T_706, _T_707) @[lsu_bus_buffer.scala 172:65]
node _T_709 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[lsu_bus_buffer.scala 172:60]
node _T_710 = bits(_T_709, 0, 0) @[Bitwise.scala 72:15]
node _T_711 = mux(_T_710, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_712 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 172:78]
node _T_713 = and(_T_711, _T_712) @[lsu_bus_buffer.scala 172:65]
node _T_714 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[lsu_bus_buffer.scala 172:60]
node _T_715 = bits(_T_714, 0, 0) @[Bitwise.scala 72:15]
node _T_716 = mux(_T_715, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_717 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 172:78]
node _T_718 = and(_T_716, _T_717) @[lsu_bus_buffer.scala 172:65]
node _T_719 = or(_T_703, _T_708) @[lsu_bus_buffer.scala 172:97]
node _T_720 = or(_T_719, _T_713) @[lsu_bus_buffer.scala 172:97]
node _T_721 = or(_T_720, _T_718) @[lsu_bus_buffer.scala 172:97]
node _T_722 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[lsu_bus_buffer.scala 173:60]
node _T_723 = bits(_T_722, 0, 0) @[Bitwise.scala 72:15]
node _T_724 = mux(_T_723, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_725 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 173:78]
node _T_726 = and(_T_724, _T_725) @[lsu_bus_buffer.scala 173:65]
node _T_727 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[lsu_bus_buffer.scala 173:60]
node _T_728 = bits(_T_727, 0, 0) @[Bitwise.scala 72:15]
node _T_729 = mux(_T_728, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_730 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 173:78]
node _T_731 = and(_T_729, _T_730) @[lsu_bus_buffer.scala 173:65]
node _T_732 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[lsu_bus_buffer.scala 173:60]
node _T_733 = bits(_T_732, 0, 0) @[Bitwise.scala 72:15]
node _T_734 = mux(_T_733, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_735 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 173:78]
node _T_736 = and(_T_734, _T_735) @[lsu_bus_buffer.scala 173:65]
node _T_737 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[lsu_bus_buffer.scala 173:60]
node _T_738 = bits(_T_737, 0, 0) @[Bitwise.scala 72:15]
node _T_739 = mux(_T_738, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_740 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 173:78]
node _T_741 = and(_T_739, _T_740) @[lsu_bus_buffer.scala 173:65]
node _T_742 = or(_T_726, _T_731) @[lsu_bus_buffer.scala 173:97]
node _T_743 = or(_T_742, _T_736) @[lsu_bus_buffer.scala 173:97]
node _T_744 = or(_T_743, _T_741) @[lsu_bus_buffer.scala 173:97]
node _T_745 = cat(_T_721, _T_744) @[Cat.scala 29:58]
node _T_746 = cat(_T_675, _T_698) @[Cat.scala 29:58]
node _T_747 = cat(_T_746, _T_745) @[Cat.scala 29:58]
node _T_748 = and(ld_fwddata_buf_hi_initial, ibuf_data) @[lsu_bus_buffer.scala 174:32]
node _T_749 = or(_T_747, _T_748) @[lsu_bus_buffer.scala 173:103]
io.ld_fwddata_buf_hi <= _T_749 @[lsu_bus_buffer.scala 170:24]
node bus_coalescing_disable = or(io.tlu_busbuff.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 176:77]
node _T_750 = mux(io.lsu_pkt_r.bits.by, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_751 = mux(io.lsu_pkt_r.bits.half, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_752 = mux(io.lsu_pkt_r.bits.word, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_753 = or(_T_750, _T_751) @[Mux.scala 27:72]
node _T_754 = or(_T_753, _T_752) @[Mux.scala 27:72]
wire ldst_byteen_r : UInt<4> @[Mux.scala 27:72]
ldst_byteen_r <= _T_754 @[Mux.scala 27:72]
node _T_755 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 181:50]
node _T_756 = eq(_T_755, UInt<1>("h00")) @[lsu_bus_buffer.scala 181:55]
node _T_757 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 182:19]
node _T_758 = eq(_T_757, UInt<1>("h01")) @[lsu_bus_buffer.scala 182:24]
node _T_759 = bits(ldst_byteen_r, 3, 3) @[lsu_bus_buffer.scala 182:60]
node _T_760 = cat(UInt<3>("h00"), _T_759) @[Cat.scala 29:58]
node _T_761 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 183:19]
node _T_762 = eq(_T_761, UInt<2>("h02")) @[lsu_bus_buffer.scala 183:24]
node _T_763 = bits(ldst_byteen_r, 3, 2) @[lsu_bus_buffer.scala 183:60]
node _T_764 = cat(UInt<2>("h00"), _T_763) @[Cat.scala 29:58]
node _T_765 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 184:19]
node _T_766 = eq(_T_765, UInt<2>("h03")) @[lsu_bus_buffer.scala 184:24]
node _T_767 = bits(ldst_byteen_r, 3, 1) @[lsu_bus_buffer.scala 184:60]
node _T_768 = cat(UInt<1>("h00"), _T_767) @[Cat.scala 29:58]
node _T_769 = mux(_T_756, UInt<4>("h00"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_770 = mux(_T_758, _T_760, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_771 = mux(_T_762, _T_764, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_772 = mux(_T_766, _T_768, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_773 = or(_T_769, _T_770) @[Mux.scala 27:72]
node _T_774 = or(_T_773, _T_771) @[Mux.scala 27:72]
node _T_775 = or(_T_774, _T_772) @[Mux.scala 27:72]
wire ldst_byteen_hi_r : UInt<4> @[Mux.scala 27:72]
ldst_byteen_hi_r <= _T_775 @[Mux.scala 27:72]
node _T_776 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 185:50]
node _T_777 = eq(_T_776, UInt<1>("h00")) @[lsu_bus_buffer.scala 185:55]
node _T_778 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 186:19]
node _T_779 = eq(_T_778, UInt<1>("h01")) @[lsu_bus_buffer.scala 186:24]
node _T_780 = bits(ldst_byteen_r, 2, 0) @[lsu_bus_buffer.scala 186:50]
node _T_781 = cat(_T_780, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_782 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 187:19]
node _T_783 = eq(_T_782, UInt<2>("h02")) @[lsu_bus_buffer.scala 187:24]
node _T_784 = bits(ldst_byteen_r, 1, 0) @[lsu_bus_buffer.scala 187:50]
node _T_785 = cat(_T_784, UInt<2>("h00")) @[Cat.scala 29:58]
node _T_786 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 188:19]
node _T_787 = eq(_T_786, UInt<2>("h03")) @[lsu_bus_buffer.scala 188:24]
node _T_788 = bits(ldst_byteen_r, 0, 0) @[lsu_bus_buffer.scala 188:50]
node _T_789 = cat(_T_788, UInt<3>("h00")) @[Cat.scala 29:58]
node _T_790 = mux(_T_777, ldst_byteen_r, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_791 = mux(_T_779, _T_781, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_792 = mux(_T_783, _T_785, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_793 = mux(_T_787, _T_789, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_794 = or(_T_790, _T_791) @[Mux.scala 27:72]
node _T_795 = or(_T_794, _T_792) @[Mux.scala 27:72]
node _T_796 = or(_T_795, _T_793) @[Mux.scala 27:72]
wire ldst_byteen_lo_r : UInt<4> @[Mux.scala 27:72]
ldst_byteen_lo_r <= _T_796 @[Mux.scala 27:72]
node _T_797 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 190:49]
node _T_798 = eq(_T_797, UInt<1>("h00")) @[lsu_bus_buffer.scala 190:54]
node _T_799 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 191:19]
node _T_800 = eq(_T_799, UInt<1>("h01")) @[lsu_bus_buffer.scala 191:24]
node _T_801 = bits(io.store_data_r, 31, 24) @[lsu_bus_buffer.scala 191:64]
node _T_802 = cat(UInt<24>("h00"), _T_801) @[Cat.scala 29:58]
node _T_803 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 192:19]
node _T_804 = eq(_T_803, UInt<2>("h02")) @[lsu_bus_buffer.scala 192:24]
node _T_805 = bits(io.store_data_r, 31, 16) @[lsu_bus_buffer.scala 192:63]
node _T_806 = cat(UInt<16>("h00"), _T_805) @[Cat.scala 29:58]
node _T_807 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 193:19]
node _T_808 = eq(_T_807, UInt<2>("h03")) @[lsu_bus_buffer.scala 193:24]
node _T_809 = bits(io.store_data_r, 31, 8) @[lsu_bus_buffer.scala 193:62]
node _T_810 = cat(UInt<8>("h00"), _T_809) @[Cat.scala 29:58]
node _T_811 = mux(_T_798, UInt<32>("h00"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_812 = mux(_T_800, _T_802, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_813 = mux(_T_804, _T_806, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_814 = mux(_T_808, _T_810, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_815 = or(_T_811, _T_812) @[Mux.scala 27:72]
node _T_816 = or(_T_815, _T_813) @[Mux.scala 27:72]
node _T_817 = or(_T_816, _T_814) @[Mux.scala 27:72]
wire store_data_hi_r : UInt<32> @[Mux.scala 27:72]
store_data_hi_r <= _T_817 @[Mux.scala 27:72]
node _T_818 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 195:49]
node _T_819 = eq(_T_818, UInt<1>("h00")) @[lsu_bus_buffer.scala 195:54]
node _T_820 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 196:19]
node _T_821 = eq(_T_820, UInt<1>("h01")) @[lsu_bus_buffer.scala 196:24]
node _T_822 = bits(io.store_data_r, 23, 0) @[lsu_bus_buffer.scala 196:52]
node _T_823 = cat(_T_822, UInt<8>("h00")) @[Cat.scala 29:58]
node _T_824 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 197:19]
node _T_825 = eq(_T_824, UInt<2>("h02")) @[lsu_bus_buffer.scala 197:24]
node _T_826 = bits(io.store_data_r, 15, 0) @[lsu_bus_buffer.scala 197:52]
node _T_827 = cat(_T_826, UInt<16>("h00")) @[Cat.scala 29:58]
node _T_828 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 198:19]
node _T_829 = eq(_T_828, UInt<2>("h03")) @[lsu_bus_buffer.scala 198:24]
node _T_830 = bits(io.store_data_r, 7, 0) @[lsu_bus_buffer.scala 198:52]
node _T_831 = cat(_T_830, UInt<24>("h00")) @[Cat.scala 29:58]
node _T_832 = mux(_T_819, io.store_data_r, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_833 = mux(_T_821, _T_823, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_834 = mux(_T_825, _T_827, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_835 = mux(_T_829, _T_831, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_836 = or(_T_832, _T_833) @[Mux.scala 27:72]
node _T_837 = or(_T_836, _T_834) @[Mux.scala 27:72]
node _T_838 = or(_T_837, _T_835) @[Mux.scala 27:72]
wire store_data_lo_r : UInt<32> @[Mux.scala 27:72]
store_data_lo_r <= _T_838 @[Mux.scala 27:72]
node _T_839 = bits(io.lsu_addr_r, 3, 3) @[lsu_bus_buffer.scala 201:36]
node _T_840 = bits(io.end_addr_r, 3, 3) @[lsu_bus_buffer.scala 201:57]
node ldst_samedw_r = eq(_T_839, _T_840) @[lsu_bus_buffer.scala 201:40]
node _T_841 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 202:72]
node _T_842 = eq(_T_841, UInt<1>("h00")) @[lsu_bus_buffer.scala 202:79]
node _T_843 = bits(io.lsu_addr_r, 0, 0) @[lsu_bus_buffer.scala 203:45]
node _T_844 = eq(_T_843, UInt<1>("h00")) @[lsu_bus_buffer.scala 203:31]
node _T_845 = mux(io.lsu_pkt_r.bits.word, _T_842, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_846 = mux(io.lsu_pkt_r.bits.half, _T_844, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_847 = mux(io.lsu_pkt_r.bits.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_848 = or(_T_845, _T_846) @[Mux.scala 27:72]
node _T_849 = or(_T_848, _T_847) @[Mux.scala 27:72]
wire is_aligned_r : UInt<1> @[Mux.scala 27:72]
is_aligned_r <= _T_849 @[Mux.scala 27:72]
node _T_850 = or(io.lsu_pkt_r.bits.load, io.no_word_merge_r) @[lsu_bus_buffer.scala 205:60]
node _T_851 = and(io.lsu_busreq_r, _T_850) @[lsu_bus_buffer.scala 205:34]
node _T_852 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 205:84]
node ibuf_byp = and(_T_851, _T_852) @[lsu_bus_buffer.scala 205:82]
node _T_853 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 206:36]
node _T_854 = eq(ibuf_byp, UInt<1>("h00")) @[lsu_bus_buffer.scala 206:56]
node ibuf_wr_en = and(_T_853, _T_854) @[lsu_bus_buffer.scala 206:54]
wire ibuf_drain_vld : UInt<1>
ibuf_drain_vld <= UInt<1>("h00")
node _T_855 = eq(ibuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 208:36]
node _T_856 = and(ibuf_drain_vld, _T_855) @[lsu_bus_buffer.scala 208:34]
node ibuf_rst = or(_T_856, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 208:49]
node _T_857 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 209:44]
node _T_858 = and(io.lsu_busreq_m, _T_857) @[lsu_bus_buffer.scala 209:42]
node _T_859 = and(_T_858, ibuf_valid) @[lsu_bus_buffer.scala 209:61]
node _T_860 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 209:112]
node _T_861 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 209:137]
node _T_862 = neq(_T_860, _T_861) @[lsu_bus_buffer.scala 209:120]
node _T_863 = or(io.lsu_pkt_m.bits.load, _T_862) @[lsu_bus_buffer.scala 209:100]
node ibuf_force_drain = and(_T_859, _T_863) @[lsu_bus_buffer.scala 209:74]
wire ibuf_sideeffect : UInt<1>
ibuf_sideeffect <= UInt<1>("h00")
wire ibuf_timer : UInt<3>
ibuf_timer <= UInt<1>("h00")
wire ibuf_merge_en : UInt<1>
ibuf_merge_en <= UInt<1>("h00")
wire ibuf_merge_in : UInt<1>
ibuf_merge_in <= UInt<1>("h00")
node _T_864 = eq(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 214:62]
node _T_865 = or(ibuf_wr_en, _T_864) @[lsu_bus_buffer.scala 214:48]
node _T_866 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 214:98]
node _T_867 = eq(_T_866, UInt<1>("h00")) @[lsu_bus_buffer.scala 214:82]
node _T_868 = and(_T_865, _T_867) @[lsu_bus_buffer.scala 214:80]
node _T_869 = or(_T_868, ibuf_byp) @[lsu_bus_buffer.scala 215:5]
node _T_870 = or(_T_869, ibuf_force_drain) @[lsu_bus_buffer.scala 215:16]
node _T_871 = or(_T_870, ibuf_sideeffect) @[lsu_bus_buffer.scala 215:35]
node _T_872 = eq(ibuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 215:55]
node _T_873 = or(_T_871, _T_872) @[lsu_bus_buffer.scala 215:53]
node _T_874 = or(_T_873, bus_coalescing_disable) @[lsu_bus_buffer.scala 215:67]
node _T_875 = and(ibuf_valid, _T_874) @[lsu_bus_buffer.scala 214:32]
ibuf_drain_vld <= _T_875 @[lsu_bus_buffer.scala 214:18]
wire ibuf_tag : UInt<2>
ibuf_tag <= UInt<1>("h00")
wire WrPtr1_r : UInt<2>
WrPtr1_r <= UInt<1>("h00")
wire WrPtr0_r : UInt<2>
WrPtr0_r <= UInt<1>("h00")
node _T_876 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 220:39]
node _T_877 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[lsu_bus_buffer.scala 220:69]
node ibuf_tag_in = mux(_T_876, ibuf_tag, _T_877) @[lsu_bus_buffer.scala 220:24]
node ibuf_sz_in = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58]
node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 223:25]
node _T_878 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 224:42]
node _T_879 = bits(ibuf_byteen, 3, 0) @[lsu_bus_buffer.scala 224:70]
node _T_880 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 224:95]
node _T_881 = or(_T_879, _T_880) @[lsu_bus_buffer.scala 224:77]
node _T_882 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 225:41]
node _T_883 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 225:65]
node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[lsu_bus_buffer.scala 225:8]
node ibuf_byteen_in = mux(_T_878, _T_881, _T_884) @[lsu_bus_buffer.scala 224:27]
node _T_885 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 228:61]
node _T_886 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 229:25]
node _T_887 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 229:45]
node _T_888 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 229:76]
node _T_889 = mux(_T_886, _T_887, _T_888) @[lsu_bus_buffer.scala 229:8]
node _T_890 = bits(store_data_hi_r, 7, 0) @[lsu_bus_buffer.scala 230:40]
node _T_891 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 230:77]
node _T_892 = mux(io.ldst_dual_r, _T_890, _T_891) @[lsu_bus_buffer.scala 230:8]
node _T_893 = mux(_T_885, _T_889, _T_892) @[lsu_bus_buffer.scala 228:46]
node _T_894 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 228:61]
node _T_895 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 229:25]
node _T_896 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 229:45]
node _T_897 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 229:76]
node _T_898 = mux(_T_895, _T_896, _T_897) @[lsu_bus_buffer.scala 229:8]
node _T_899 = bits(store_data_hi_r, 15, 8) @[lsu_bus_buffer.scala 230:40]
node _T_900 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 230:77]
node _T_901 = mux(io.ldst_dual_r, _T_899, _T_900) @[lsu_bus_buffer.scala 230:8]
node _T_902 = mux(_T_894, _T_898, _T_901) @[lsu_bus_buffer.scala 228:46]
node _T_903 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 228:61]
node _T_904 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 229:25]
node _T_905 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 229:45]
node _T_906 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 229:76]
node _T_907 = mux(_T_904, _T_905, _T_906) @[lsu_bus_buffer.scala 229:8]
node _T_908 = bits(store_data_hi_r, 23, 16) @[lsu_bus_buffer.scala 230:40]
node _T_909 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 230:77]
node _T_910 = mux(io.ldst_dual_r, _T_908, _T_909) @[lsu_bus_buffer.scala 230:8]
node _T_911 = mux(_T_903, _T_907, _T_910) @[lsu_bus_buffer.scala 228:46]
node _T_912 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 228:61]
node _T_913 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 229:25]
node _T_914 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 229:45]
node _T_915 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 229:76]
node _T_916 = mux(_T_913, _T_914, _T_915) @[lsu_bus_buffer.scala 229:8]
node _T_917 = bits(store_data_hi_r, 31, 24) @[lsu_bus_buffer.scala 230:40]
node _T_918 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 230:77]
node _T_919 = mux(io.ldst_dual_r, _T_917, _T_918) @[lsu_bus_buffer.scala 230:8]
node _T_920 = mux(_T_912, _T_916, _T_919) @[lsu_bus_buffer.scala 228:46]
node _T_921 = cat(_T_920, _T_911) @[Cat.scala 29:58]
node _T_922 = cat(_T_921, _T_902) @[Cat.scala 29:58]
node ibuf_data_in = cat(_T_922, _T_893) @[Cat.scala 29:58]
node _T_923 = lt(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 231:59]
node _T_924 = bits(_T_923, 0, 0) @[lsu_bus_buffer.scala 231:79]
node _T_925 = add(ibuf_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 231:93]
node _T_926 = tail(_T_925, 1) @[lsu_bus_buffer.scala 231:93]
node _T_927 = mux(_T_924, _T_926, ibuf_timer) @[lsu_bus_buffer.scala 231:47]
node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_927) @[lsu_bus_buffer.scala 231:26]
node _T_928 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 233:36]
node _T_929 = and(_T_928, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 233:54]
node _T_930 = and(_T_929, ibuf_valid) @[lsu_bus_buffer.scala 233:80]
node _T_931 = and(_T_930, ibuf_write) @[lsu_bus_buffer.scala 233:93]
node _T_932 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_buffer.scala 233:122]
node _T_933 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 233:142]
node _T_934 = eq(_T_932, _T_933) @[lsu_bus_buffer.scala 233:129]
node _T_935 = and(_T_931, _T_934) @[lsu_bus_buffer.scala 233:106]
node _T_936 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 233:152]
node _T_937 = and(_T_935, _T_936) @[lsu_bus_buffer.scala 233:150]
node _T_938 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 233:175]
node _T_939 = and(_T_937, _T_938) @[lsu_bus_buffer.scala 233:173]
ibuf_merge_en <= _T_939 @[lsu_bus_buffer.scala 233:17]
node _T_940 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 234:20]
ibuf_merge_in <= _T_940 @[lsu_bus_buffer.scala 234:17]
node _T_941 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 235:65]
node _T_942 = and(ibuf_merge_en, _T_941) @[lsu_bus_buffer.scala 235:63]
node _T_943 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 235:92]
node _T_944 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 235:114]
node _T_945 = or(_T_943, _T_944) @[lsu_bus_buffer.scala 235:96]
node _T_946 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 235:130]
node _T_947 = mux(_T_942, _T_945, _T_946) @[lsu_bus_buffer.scala 235:48]
node _T_948 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 235:65]
node _T_949 = and(ibuf_merge_en, _T_948) @[lsu_bus_buffer.scala 235:63]
node _T_950 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 235:92]
node _T_951 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 235:114]
node _T_952 = or(_T_950, _T_951) @[lsu_bus_buffer.scala 235:96]
node _T_953 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 235:130]
node _T_954 = mux(_T_949, _T_952, _T_953) @[lsu_bus_buffer.scala 235:48]
node _T_955 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 235:65]
node _T_956 = and(ibuf_merge_en, _T_955) @[lsu_bus_buffer.scala 235:63]
node _T_957 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 235:92]
node _T_958 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 235:114]
node _T_959 = or(_T_957, _T_958) @[lsu_bus_buffer.scala 235:96]
node _T_960 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 235:130]
node _T_961 = mux(_T_956, _T_959, _T_960) @[lsu_bus_buffer.scala 235:48]
node _T_962 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 235:65]
node _T_963 = and(ibuf_merge_en, _T_962) @[lsu_bus_buffer.scala 235:63]
node _T_964 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 235:92]
node _T_965 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 235:114]
node _T_966 = or(_T_964, _T_965) @[lsu_bus_buffer.scala 235:96]
node _T_967 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 235:130]
node _T_968 = mux(_T_963, _T_966, _T_967) @[lsu_bus_buffer.scala 235:48]
node _T_969 = cat(_T_968, _T_961) @[Cat.scala 29:58]
node _T_970 = cat(_T_969, _T_954) @[Cat.scala 29:58]
node ibuf_byteen_out = cat(_T_970, _T_947) @[Cat.scala 29:58]
node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:62]
node _T_972 = and(ibuf_merge_en, _T_971) @[lsu_bus_buffer.scala 236:60]
node _T_973 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 236:98]
node _T_974 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 236:118]
node _T_975 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 236:143]
node _T_976 = mux(_T_973, _T_974, _T_975) @[lsu_bus_buffer.scala 236:81]
node _T_977 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 236:169]
node _T_978 = mux(_T_972, _T_976, _T_977) @[lsu_bus_buffer.scala 236:45]
node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:62]
node _T_980 = and(ibuf_merge_en, _T_979) @[lsu_bus_buffer.scala 236:60]
node _T_981 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 236:98]
node _T_982 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 236:118]
node _T_983 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 236:143]
node _T_984 = mux(_T_981, _T_982, _T_983) @[lsu_bus_buffer.scala 236:81]
node _T_985 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 236:169]
node _T_986 = mux(_T_980, _T_984, _T_985) @[lsu_bus_buffer.scala 236:45]
node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:62]
node _T_988 = and(ibuf_merge_en, _T_987) @[lsu_bus_buffer.scala 236:60]
node _T_989 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 236:98]
node _T_990 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 236:118]
node _T_991 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 236:143]
node _T_992 = mux(_T_989, _T_990, _T_991) @[lsu_bus_buffer.scala 236:81]
node _T_993 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 236:169]
node _T_994 = mux(_T_988, _T_992, _T_993) @[lsu_bus_buffer.scala 236:45]
node _T_995 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:62]
node _T_996 = and(ibuf_merge_en, _T_995) @[lsu_bus_buffer.scala 236:60]
node _T_997 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 236:98]
node _T_998 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 236:118]
node _T_999 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 236:143]
node _T_1000 = mux(_T_997, _T_998, _T_999) @[lsu_bus_buffer.scala 236:81]
node _T_1001 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 236:169]
node _T_1002 = mux(_T_996, _T_1000, _T_1001) @[lsu_bus_buffer.scala 236:45]
node _T_1003 = cat(_T_1002, _T_994) @[Cat.scala 29:58]
node _T_1004 = cat(_T_1003, _T_986) @[Cat.scala 29:58]
node ibuf_data_out = cat(_T_1004, _T_978) @[Cat.scala 29:58]
node _T_1005 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[lsu_bus_buffer.scala 238:58]
node _T_1006 = eq(ibuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 238:93]
node _T_1007 = and(_T_1005, _T_1006) @[lsu_bus_buffer.scala 238:91]
reg _T_1008 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 238:54]
_T_1008 <= _T_1007 @[lsu_bus_buffer.scala 238:54]
ibuf_valid <= _T_1008 @[lsu_bus_buffer.scala 238:14]
reg _T_1009 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when ibuf_wr_en : @[Reg.scala 28:19]
_T_1009 <= ibuf_tag_in @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
ibuf_tag <= _T_1009 @[lsu_bus_buffer.scala 239:12]
reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when ibuf_wr_en : @[Reg.scala 28:19]
ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
reg ibuf_dual : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when ibuf_wr_en : @[Reg.scala 28:19]
ibuf_dual <= io.ldst_dual_r @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
reg ibuf_samedw : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when ibuf_wr_en : @[Reg.scala 28:19]
ibuf_samedw <= ldst_samedw_r @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
reg ibuf_nomerge : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when ibuf_wr_en : @[Reg.scala 28:19]
ibuf_nomerge <= io.no_dword_merge_r @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
reg _T_1010 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when ibuf_wr_en : @[Reg.scala 28:19]
_T_1010 <= io.is_sideeffects_r @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
ibuf_sideeffect <= _T_1010 @[lsu_bus_buffer.scala 244:19]
reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when ibuf_wr_en : @[Reg.scala 28:19]
ibuf_unsign <= io.lsu_pkt_r.bits.unsign @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
reg _T_1011 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when ibuf_wr_en : @[Reg.scala 28:19]
_T_1011 <= io.lsu_pkt_r.bits.store @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
ibuf_write <= _T_1011 @[lsu_bus_buffer.scala 246:14]
reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when ibuf_wr_en : @[Reg.scala 28:19]
ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
inst rvclkhdr of rvclkhdr_24 @[lib.scala 352:23]
rvclkhdr.clock <= clock
rvclkhdr.reset <= reset
rvclkhdr.io.clk <= clock @[lib.scala 354:18]
rvclkhdr.io.en <= ibuf_wr_en @[lib.scala 355:17]
rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 356:24]
reg _T_1012 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16]
_T_1012 <= ibuf_addr_in @[lib.scala 358:16]
ibuf_addr <= _T_1012 @[lsu_bus_buffer.scala 248:13]
reg _T_1013 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when ibuf_wr_en : @[Reg.scala 28:19]
_T_1013 <= ibuf_byteen_in @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
ibuf_byteen <= _T_1013 @[lsu_bus_buffer.scala 249:15]
inst rvclkhdr_1 of rvclkhdr_25 @[lib.scala 352:23]
rvclkhdr_1.clock <= clock
rvclkhdr_1.reset <= reset
rvclkhdr_1.io.clk <= clock @[lib.scala 354:18]
rvclkhdr_1.io.en <= ibuf_wr_en @[lib.scala 355:17]
rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 356:24]
reg _T_1014 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16]
_T_1014 <= ibuf_data_in @[lib.scala 358:16]
ibuf_data <= _T_1014 @[lsu_bus_buffer.scala 250:13]
reg _T_1015 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 251:55]
_T_1015 <= ibuf_timer_in @[lsu_bus_buffer.scala 251:55]
ibuf_timer <= _T_1015 @[lsu_bus_buffer.scala 251:14]
wire buf_numvld_wrcmd_any : UInt<4>
buf_numvld_wrcmd_any <= UInt<1>("h00")
wire buf_numvld_cmd_any : UInt<4>
buf_numvld_cmd_any <= UInt<1>("h00")
wire obuf_wr_timer : UInt<3>
obuf_wr_timer <= UInt<1>("h00")
wire buf_nomerge : UInt<1>[4] @[lsu_bus_buffer.scala 255:25]
buf_nomerge[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 256:15]
buf_nomerge[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 256:15]
buf_nomerge[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 256:15]
buf_nomerge[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 256:15]
wire buf_sideeffect : UInt<4>
buf_sideeffect <= UInt<1>("h00")
wire obuf_force_wr_en : UInt<1>
obuf_force_wr_en <= UInt<1>("h00")
wire obuf_wr_en : UInt<1>
obuf_wr_en <= UInt<1>("h00")
node _T_1016 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 261:43]
node _T_1017 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 261:72]
node _T_1018 = and(_T_1016, _T_1017) @[lsu_bus_buffer.scala 261:51]
node _T_1019 = neq(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 261:97]
node _T_1020 = and(_T_1018, _T_1019) @[lsu_bus_buffer.scala 261:80]
node _T_1021 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 262:5]
node _T_1022 = and(_T_1020, _T_1021) @[lsu_bus_buffer.scala 261:114]
node _T_1023 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 262:114]
node _T_1024 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 262:114]
node _T_1025 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 262:114]
node _T_1026 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 262:114]
node _T_1027 = mux(_T_1023, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1028 = mux(_T_1024, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1029 = mux(_T_1025, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1030 = mux(_T_1026, buf_nomerge[3], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1031 = or(_T_1027, _T_1028) @[Mux.scala 27:72]
node _T_1032 = or(_T_1031, _T_1029) @[Mux.scala 27:72]
node _T_1033 = or(_T_1032, _T_1030) @[Mux.scala 27:72]
wire _T_1034 : UInt<1> @[Mux.scala 27:72]
_T_1034 <= _T_1033 @[Mux.scala 27:72]
node _T_1035 = eq(_T_1034, UInt<1>("h00")) @[lsu_bus_buffer.scala 262:31]
node _T_1036 = and(_T_1022, _T_1035) @[lsu_bus_buffer.scala 262:29]
node _T_1037 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 263:88]
node _T_1038 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 263:111]
node _T_1039 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 263:88]
node _T_1040 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 263:111]
node _T_1041 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 263:88]
node _T_1042 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 263:111]
node _T_1043 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 263:88]
node _T_1044 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 263:111]
node _T_1045 = mux(_T_1037, _T_1038, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1046 = mux(_T_1039, _T_1040, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1047 = mux(_T_1041, _T_1042, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1048 = mux(_T_1043, _T_1044, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1049 = or(_T_1045, _T_1046) @[Mux.scala 27:72]
node _T_1050 = or(_T_1049, _T_1047) @[Mux.scala 27:72]
node _T_1051 = or(_T_1050, _T_1048) @[Mux.scala 27:72]
wire _T_1052 : UInt<1> @[Mux.scala 27:72]
_T_1052 <= _T_1051 @[Mux.scala 27:72]
node _T_1053 = eq(_T_1052, UInt<1>("h00")) @[lsu_bus_buffer.scala 263:5]
node _T_1054 = and(_T_1036, _T_1053) @[lsu_bus_buffer.scala 262:140]
node _T_1055 = eq(obuf_force_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 263:119]
node obuf_wr_wait = and(_T_1054, _T_1055) @[lsu_bus_buffer.scala 263:117]
node _T_1056 = orr(buf_numvld_cmd_any) @[lsu_bus_buffer.scala 264:75]
node _T_1057 = lt(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 264:95]
node _T_1058 = and(_T_1056, _T_1057) @[lsu_bus_buffer.scala 264:79]
node _T_1059 = add(obuf_wr_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 264:123]
node _T_1060 = tail(_T_1059, 1) @[lsu_bus_buffer.scala 264:123]
node _T_1061 = mux(_T_1058, _T_1060, obuf_wr_timer) @[lsu_bus_buffer.scala 264:55]
node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1061) @[lsu_bus_buffer.scala 264:29]
node _T_1062 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 265:41]
node _T_1063 = and(io.lsu_busreq_m, _T_1062) @[lsu_bus_buffer.scala 265:39]
node _T_1064 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 265:60]
node _T_1065 = and(_T_1063, _T_1064) @[lsu_bus_buffer.scala 265:58]
node _T_1066 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 265:93]
node _T_1067 = and(_T_1065, _T_1066) @[lsu_bus_buffer.scala 265:72]
node _T_1068 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 265:117]
node _T_1069 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 265:208]
node _T_1070 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 265:228]
node _T_1071 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 265:208]
node _T_1072 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 265:228]
node _T_1073 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 265:208]
node _T_1074 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 265:228]
node _T_1075 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 265:208]
node _T_1076 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 265:228]
node _T_1077 = mux(_T_1069, _T_1070, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1078 = mux(_T_1071, _T_1072, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1079 = mux(_T_1073, _T_1074, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1080 = mux(_T_1075, _T_1076, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1081 = or(_T_1077, _T_1078) @[Mux.scala 27:72]
node _T_1082 = or(_T_1081, _T_1079) @[Mux.scala 27:72]
node _T_1083 = or(_T_1082, _T_1080) @[Mux.scala 27:72]
wire _T_1084 : UInt<30> @[Mux.scala 27:72]
_T_1084 <= _T_1083 @[Mux.scala 27:72]
node _T_1085 = neq(_T_1068, _T_1084) @[lsu_bus_buffer.scala 265:123]
node _T_1086 = and(_T_1067, _T_1085) @[lsu_bus_buffer.scala 265:101]
obuf_force_wr_en <= _T_1086 @[lsu_bus_buffer.scala 265:20]
wire buf_numvld_pend_any : UInt<4>
buf_numvld_pend_any <= UInt<1>("h00")
node _T_1087 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 267:53]
node _T_1088 = and(ibuf_byp, _T_1087) @[lsu_bus_buffer.scala 267:31]
node _T_1089 = eq(io.lsu_pkt_r.bits.store, UInt<1>("h00")) @[lsu_bus_buffer.scala 267:64]
node _T_1090 = or(_T_1089, io.no_dword_merge_r) @[lsu_bus_buffer.scala 267:89]
node ibuf_buf_byp = and(_T_1088, _T_1090) @[lsu_bus_buffer.scala 267:61]
wire bus_sideeffect_pend : UInt<1>
bus_sideeffect_pend <= UInt<1>("h00")
wire found_cmdptr0 : UInt<1>
found_cmdptr0 <= UInt<1>("h00")
wire buf_cmd_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 270:34]
buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 271:24]
buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 271:24]
buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 271:24]
buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 271:24]
wire buf_dual : UInt<1>[4] @[lsu_bus_buffer.scala 272:22]
buf_dual[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 273:12]
buf_dual[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 273:12]
buf_dual[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 273:12]
buf_dual[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 273:12]
wire buf_samedw : UInt<1>[4] @[lsu_bus_buffer.scala 274:24]
buf_samedw[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 275:14]
buf_samedw[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 275:14]
buf_samedw[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 275:14]
buf_samedw[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 275:14]
wire found_cmdptr1 : UInt<1>
found_cmdptr1 <= UInt<1>("h00")
wire bus_cmd_ready : UInt<1>
bus_cmd_ready <= UInt<1>("h00")
wire obuf_valid : UInt<1>
obuf_valid <= UInt<1>("h00")
wire obuf_nosend : UInt<1>
obuf_nosend <= UInt<1>("h00")
wire lsu_bus_cntr_overflow : UInt<1>
lsu_bus_cntr_overflow <= UInt<1>("h00")
wire bus_addr_match_pending : UInt<1>
bus_addr_match_pending <= UInt<1>("h00")
node _T_1091 = and(ibuf_buf_byp, io.lsu_commit_r) @[lsu_bus_buffer.scala 282:32]
node _T_1092 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[lsu_bus_buffer.scala 282:74]
node _T_1093 = eq(_T_1092, UInt<1>("h00")) @[lsu_bus_buffer.scala 282:52]
node _T_1094 = and(_T_1091, _T_1093) @[lsu_bus_buffer.scala 282:50]
node _T_1095 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123]
node _T_1096 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123]
node _T_1097 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123]
node _T_1098 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123]
node _T_1099 = mux(_T_1095, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1100 = mux(_T_1096, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1101 = mux(_T_1097, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1102 = mux(_T_1098, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1103 = or(_T_1099, _T_1100) @[Mux.scala 27:72]
node _T_1104 = or(_T_1103, _T_1101) @[Mux.scala 27:72]
node _T_1105 = or(_T_1104, _T_1102) @[Mux.scala 27:72]
wire _T_1106 : UInt<3> @[Mux.scala 27:72]
_T_1106 <= _T_1105 @[Mux.scala 27:72]
node _T_1107 = eq(_T_1106, UInt<3>("h02")) @[lsu_bus_buffer.scala 283:36]
node _T_1108 = and(_T_1107, found_cmdptr0) @[lsu_bus_buffer.scala 283:47]
node _T_1109 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58]
node _T_1110 = cat(_T_1109, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58]
node _T_1111 = cat(_T_1110, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58]
node _T_1112 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118]
node _T_1113 = bits(_T_1111, 0, 0) @[lsu_bus_buffer.scala 56:129]
node _T_1114 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118]
node _T_1115 = bits(_T_1111, 1, 1) @[lsu_bus_buffer.scala 56:129]
node _T_1116 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118]
node _T_1117 = bits(_T_1111, 2, 2) @[lsu_bus_buffer.scala 56:129]
node _T_1118 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118]
node _T_1119 = bits(_T_1111, 3, 3) @[lsu_bus_buffer.scala 56:129]
node _T_1120 = mux(_T_1112, _T_1113, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1121 = mux(_T_1114, _T_1115, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1122 = mux(_T_1116, _T_1117, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1123 = mux(_T_1118, _T_1119, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1124 = or(_T_1120, _T_1121) @[Mux.scala 27:72]
node _T_1125 = or(_T_1124, _T_1122) @[Mux.scala 27:72]
node _T_1126 = or(_T_1125, _T_1123) @[Mux.scala 27:72]
wire _T_1127 : UInt<1> @[Mux.scala 27:72]
_T_1127 <= _T_1126 @[Mux.scala 27:72]
node _T_1128 = eq(_T_1127, UInt<1>("h00")) @[lsu_bus_buffer.scala 284:23]
node _T_1129 = and(_T_1108, _T_1128) @[lsu_bus_buffer.scala 284:21]
node _T_1130 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118]
node _T_1131 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 56:129]
node _T_1132 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118]
node _T_1133 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 56:129]
node _T_1134 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118]
node _T_1135 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 56:129]
node _T_1136 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118]
node _T_1137 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 56:129]
node _T_1138 = mux(_T_1130, _T_1131, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1139 = mux(_T_1132, _T_1133, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1140 = mux(_T_1134, _T_1135, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1141 = mux(_T_1136, _T_1137, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1142 = or(_T_1138, _T_1139) @[Mux.scala 27:72]
node _T_1143 = or(_T_1142, _T_1140) @[Mux.scala 27:72]
node _T_1144 = or(_T_1143, _T_1141) @[Mux.scala 27:72]
wire _T_1145 : UInt<1> @[Mux.scala 27:72]
_T_1145 <= _T_1144 @[Mux.scala 27:72]
node _T_1146 = and(_T_1145, bus_sideeffect_pend) @[lsu_bus_buffer.scala 284:141]
node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[lsu_bus_buffer.scala 284:105]
node _T_1148 = and(_T_1129, _T_1147) @[lsu_bus_buffer.scala 284:103]
node _T_1149 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58]
node _T_1150 = cat(_T_1149, buf_dual[1]) @[Cat.scala 29:58]
node _T_1151 = cat(_T_1150, buf_dual[0]) @[Cat.scala 29:58]
node _T_1152 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118]
node _T_1153 = bits(_T_1151, 0, 0) @[lsu_bus_buffer.scala 56:129]
node _T_1154 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118]
node _T_1155 = bits(_T_1151, 1, 1) @[lsu_bus_buffer.scala 56:129]
node _T_1156 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118]
node _T_1157 = bits(_T_1151, 2, 2) @[lsu_bus_buffer.scala 56:129]
node _T_1158 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118]
node _T_1159 = bits(_T_1151, 3, 3) @[lsu_bus_buffer.scala 56:129]
node _T_1160 = mux(_T_1152, _T_1153, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1161 = mux(_T_1154, _T_1155, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1162 = mux(_T_1156, _T_1157, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1163 = mux(_T_1158, _T_1159, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1164 = or(_T_1160, _T_1161) @[Mux.scala 27:72]
node _T_1165 = or(_T_1164, _T_1162) @[Mux.scala 27:72]
node _T_1166 = or(_T_1165, _T_1163) @[Mux.scala 27:72]
wire _T_1167 : UInt<1> @[Mux.scala 27:72]
_T_1167 <= _T_1166 @[Mux.scala 27:72]
node _T_1168 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58]
node _T_1169 = cat(_T_1168, buf_samedw[1]) @[Cat.scala 29:58]
node _T_1170 = cat(_T_1169, buf_samedw[0]) @[Cat.scala 29:58]
node _T_1171 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118]
node _T_1172 = bits(_T_1170, 0, 0) @[lsu_bus_buffer.scala 56:129]
node _T_1173 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118]
node _T_1174 = bits(_T_1170, 1, 1) @[lsu_bus_buffer.scala 56:129]
node _T_1175 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118]
node _T_1176 = bits(_T_1170, 2, 2) @[lsu_bus_buffer.scala 56:129]
node _T_1177 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118]
node _T_1178 = bits(_T_1170, 3, 3) @[lsu_bus_buffer.scala 56:129]
node _T_1179 = mux(_T_1171, _T_1172, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1180 = mux(_T_1173, _T_1174, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1181 = mux(_T_1175, _T_1176, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1182 = mux(_T_1177, _T_1178, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1183 = or(_T_1179, _T_1180) @[Mux.scala 27:72]
node _T_1184 = or(_T_1183, _T_1181) @[Mux.scala 27:72]
node _T_1185 = or(_T_1184, _T_1182) @[Mux.scala 27:72]
wire _T_1186 : UInt<1> @[Mux.scala 27:72]
_T_1186 <= _T_1185 @[Mux.scala 27:72]
node _T_1187 = and(_T_1167, _T_1186) @[lsu_bus_buffer.scala 285:77]
node _T_1188 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118]
node _T_1189 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 56:129]
node _T_1190 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118]
node _T_1191 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 56:129]
node _T_1192 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118]
node _T_1193 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 56:129]
node _T_1194 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118]
node _T_1195 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 56:129]
node _T_1196 = mux(_T_1188, _T_1189, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1197 = mux(_T_1190, _T_1191, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1198 = mux(_T_1192, _T_1193, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1199 = mux(_T_1194, _T_1195, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1200 = or(_T_1196, _T_1197) @[Mux.scala 27:72]
node _T_1201 = or(_T_1200, _T_1198) @[Mux.scala 27:72]
node _T_1202 = or(_T_1201, _T_1199) @[Mux.scala 27:72]
wire _T_1203 : UInt<1> @[Mux.scala 27:72]
_T_1203 <= _T_1202 @[Mux.scala 27:72]
node _T_1204 = eq(_T_1203, UInt<1>("h00")) @[lsu_bus_buffer.scala 285:150]
node _T_1205 = and(_T_1187, _T_1204) @[lsu_bus_buffer.scala 285:148]
node _T_1206 = eq(_T_1205, UInt<1>("h00")) @[lsu_bus_buffer.scala 285:8]
node _T_1207 = or(_T_1206, found_cmdptr1) @[lsu_bus_buffer.scala 285:181]
node _T_1208 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58]
node _T_1209 = cat(_T_1208, buf_nomerge[1]) @[Cat.scala 29:58]
node _T_1210 = cat(_T_1209, buf_nomerge[0]) @[Cat.scala 29:58]
node _T_1211 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118]
node _T_1212 = bits(_T_1210, 0, 0) @[lsu_bus_buffer.scala 56:129]
node _T_1213 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118]
node _T_1214 = bits(_T_1210, 1, 1) @[lsu_bus_buffer.scala 56:129]
node _T_1215 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118]
node _T_1216 = bits(_T_1210, 2, 2) @[lsu_bus_buffer.scala 56:129]
node _T_1217 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118]
node _T_1218 = bits(_T_1210, 3, 3) @[lsu_bus_buffer.scala 56:129]
node _T_1219 = mux(_T_1211, _T_1212, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1220 = mux(_T_1213, _T_1214, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1221 = mux(_T_1215, _T_1216, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1222 = mux(_T_1217, _T_1218, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1223 = or(_T_1219, _T_1220) @[Mux.scala 27:72]
node _T_1224 = or(_T_1223, _T_1221) @[Mux.scala 27:72]
node _T_1225 = or(_T_1224, _T_1222) @[Mux.scala 27:72]
wire _T_1226 : UInt<1> @[Mux.scala 27:72]
_T_1226 <= _T_1225 @[Mux.scala 27:72]
node _T_1227 = or(_T_1207, _T_1226) @[lsu_bus_buffer.scala 285:197]
node _T_1228 = or(_T_1227, obuf_force_wr_en) @[lsu_bus_buffer.scala 285:269]
node _T_1229 = and(_T_1148, _T_1228) @[lsu_bus_buffer.scala 284:164]
node _T_1230 = or(_T_1094, _T_1229) @[lsu_bus_buffer.scala 282:98]
node _T_1231 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:48]
node _T_1232 = or(bus_cmd_ready, _T_1231) @[lsu_bus_buffer.scala 286:46]
node _T_1233 = or(_T_1232, obuf_nosend) @[lsu_bus_buffer.scala 286:60]
node _T_1234 = and(_T_1230, _T_1233) @[lsu_bus_buffer.scala 286:29]
node _T_1235 = eq(obuf_wr_wait, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:77]
node _T_1236 = and(_T_1234, _T_1235) @[lsu_bus_buffer.scala 286:75]
node _T_1237 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:93]
node _T_1238 = and(_T_1236, _T_1237) @[lsu_bus_buffer.scala 286:91]
node _T_1239 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:118]
node _T_1240 = and(_T_1238, _T_1239) @[lsu_bus_buffer.scala 286:116]
node _T_1241 = and(_T_1240, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 286:142]
obuf_wr_en <= _T_1241 @[lsu_bus_buffer.scala 282:14]
wire bus_cmd_sent : UInt<1>
bus_cmd_sent <= UInt<1>("h00")
node _T_1242 = and(obuf_valid, obuf_nosend) @[lsu_bus_buffer.scala 288:47]
node _T_1243 = or(bus_cmd_sent, _T_1242) @[lsu_bus_buffer.scala 288:33]
node _T_1244 = eq(obuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 288:65]
node _T_1245 = and(_T_1243, _T_1244) @[lsu_bus_buffer.scala 288:63]
node _T_1246 = and(_T_1245, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 288:77]
node obuf_rst = or(_T_1246, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 288:98]
node _T_1247 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118]
node _T_1248 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 56:129]
node _T_1249 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118]
node _T_1250 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 56:129]
node _T_1251 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118]
node _T_1252 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 56:129]
node _T_1253 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118]
node _T_1254 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 56:129]
node _T_1255 = mux(_T_1247, _T_1248, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1256 = mux(_T_1249, _T_1250, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1257 = mux(_T_1251, _T_1252, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1258 = mux(_T_1253, _T_1254, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1259 = or(_T_1255, _T_1256) @[Mux.scala 27:72]
node _T_1260 = or(_T_1259, _T_1257) @[Mux.scala 27:72]
node _T_1261 = or(_T_1260, _T_1258) @[Mux.scala 27:72]
wire _T_1262 : UInt<1> @[Mux.scala 27:72]
_T_1262 <= _T_1261 @[Mux.scala 27:72]
node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.bits.store, _T_1262) @[lsu_bus_buffer.scala 289:26]
node _T_1263 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118]
node _T_1264 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 56:129]
node _T_1265 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118]
node _T_1266 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 56:129]
node _T_1267 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118]
node _T_1268 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 56:129]
node _T_1269 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118]
node _T_1270 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 56:129]
node _T_1271 = mux(_T_1263, _T_1264, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1272 = mux(_T_1265, _T_1266, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1273 = mux(_T_1267, _T_1268, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1274 = mux(_T_1269, _T_1270, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1275 = or(_T_1271, _T_1272) @[Mux.scala 27:72]
node _T_1276 = or(_T_1275, _T_1273) @[Mux.scala 27:72]
node _T_1277 = or(_T_1276, _T_1274) @[Mux.scala 27:72]
wire _T_1278 : UInt<1> @[Mux.scala 27:72]
_T_1278 <= _T_1277 @[Mux.scala 27:72]
node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1278) @[lsu_bus_buffer.scala 290:31]
node _T_1279 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123]
node _T_1280 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123]
node _T_1281 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123]
node _T_1282 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123]
node _T_1283 = mux(_T_1279, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1284 = mux(_T_1280, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1285 = mux(_T_1281, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1286 = mux(_T_1282, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1287 = or(_T_1283, _T_1284) @[Mux.scala 27:72]
node _T_1288 = or(_T_1287, _T_1285) @[Mux.scala 27:72]
node _T_1289 = or(_T_1288, _T_1286) @[Mux.scala 27:72]
wire _T_1290 : UInt<32> @[Mux.scala 27:72]
_T_1290 <= _T_1289 @[Mux.scala 27:72]
node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1290) @[lsu_bus_buffer.scala 291:25]
wire buf_sz : UInt<2>[4] @[lsu_bus_buffer.scala 292:20]
buf_sz[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 293:10]
buf_sz[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 293:10]
buf_sz[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 293:10]
buf_sz[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 293:10]
node _T_1291 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58]
node _T_1292 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123]
node _T_1293 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123]
node _T_1294 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123]
node _T_1295 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123]
node _T_1296 = mux(_T_1292, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1297 = mux(_T_1293, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1298 = mux(_T_1294, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1299 = mux(_T_1295, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1300 = or(_T_1296, _T_1297) @[Mux.scala 27:72]
node _T_1301 = or(_T_1300, _T_1298) @[Mux.scala 27:72]
node _T_1302 = or(_T_1301, _T_1299) @[Mux.scala 27:72]
wire _T_1303 : UInt<2> @[Mux.scala 27:72]
_T_1303 <= _T_1302 @[Mux.scala 27:72]
node obuf_sz_in = mux(ibuf_buf_byp, _T_1291, _T_1303) @[lsu_bus_buffer.scala 294:23]
wire obuf_merge_en : UInt<1>
obuf_merge_en <= UInt<1>("h00")
node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[lsu_bus_buffer.scala 297:25]
node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, CmdPtr1) @[lsu_bus_buffer.scala 300:25]
wire obuf_cmd_done : UInt<1>
obuf_cmd_done <= UInt<1>("h00")
wire bus_wcmd_sent : UInt<1>
bus_wcmd_sent <= UInt<1>("h00")
node _T_1304 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 303:39]
node _T_1305 = eq(_T_1304, UInt<1>("h00")) @[lsu_bus_buffer.scala 303:26]
node _T_1306 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 303:68]
node obuf_cmd_done_in = and(_T_1305, _T_1306) @[lsu_bus_buffer.scala 303:51]
wire obuf_data_done : UInt<1>
obuf_data_done <= UInt<1>("h00")
wire bus_wdata_sent : UInt<1>
bus_wdata_sent <= UInt<1>("h00")
node _T_1307 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 306:40]
node _T_1308 = eq(_T_1307, UInt<1>("h00")) @[lsu_bus_buffer.scala 306:27]
node _T_1309 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 306:70]
node obuf_data_done_in = and(_T_1308, _T_1309) @[lsu_bus_buffer.scala 306:52]
node _T_1310 = bits(obuf_sz_in, 1, 0) @[lsu_bus_buffer.scala 307:67]
node _T_1311 = eq(_T_1310, UInt<1>("h00")) @[lsu_bus_buffer.scala 307:72]
node _T_1312 = bits(obuf_sz_in, 0, 0) @[lsu_bus_buffer.scala 307:92]
node _T_1313 = bits(obuf_addr_in, 0, 0) @[lsu_bus_buffer.scala 307:111]
node _T_1314 = eq(_T_1313, UInt<1>("h00")) @[lsu_bus_buffer.scala 307:98]
node _T_1315 = and(_T_1312, _T_1314) @[lsu_bus_buffer.scala 307:96]
node _T_1316 = or(_T_1311, _T_1315) @[lsu_bus_buffer.scala 307:79]
node _T_1317 = bits(obuf_sz_in, 1, 1) @[lsu_bus_buffer.scala 307:129]
node _T_1318 = bits(obuf_addr_in, 1, 0) @[lsu_bus_buffer.scala 307:147]
node _T_1319 = orr(_T_1318) @[lsu_bus_buffer.scala 307:153]
node _T_1320 = eq(_T_1319, UInt<1>("h00")) @[lsu_bus_buffer.scala 307:134]
node _T_1321 = and(_T_1317, _T_1320) @[lsu_bus_buffer.scala 307:132]
node _T_1322 = or(_T_1316, _T_1321) @[lsu_bus_buffer.scala 307:116]
node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1322) @[lsu_bus_buffer.scala 307:28]
wire obuf_nosend_in : UInt<1>
obuf_nosend_in <= UInt<1>("h00")
wire obuf_rdrsp_pend : UInt<1>
obuf_rdrsp_pend <= UInt<1>("h00")
wire bus_rsp_read : UInt<1>
bus_rsp_read <= UInt<1>("h00")
wire bus_rsp_read_tag : UInt<3>
bus_rsp_read_tag <= UInt<1>("h00")
wire obuf_rdrsp_tag : UInt<3>
obuf_rdrsp_tag <= UInt<1>("h00")
wire obuf_write : UInt<1>
obuf_write <= UInt<1>("h00")
node _T_1323 = eq(obuf_nosend_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 315:44]
node _T_1324 = and(obuf_wr_en, _T_1323) @[lsu_bus_buffer.scala 315:42]
node _T_1325 = eq(_T_1324, UInt<1>("h00")) @[lsu_bus_buffer.scala 315:29]
node _T_1326 = and(_T_1325, obuf_rdrsp_pend) @[lsu_bus_buffer.scala 315:61]
node _T_1327 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 315:116]
node _T_1328 = and(bus_rsp_read, _T_1327) @[lsu_bus_buffer.scala 315:96]
node _T_1329 = eq(_T_1328, UInt<1>("h00")) @[lsu_bus_buffer.scala 315:81]
node _T_1330 = and(_T_1326, _T_1329) @[lsu_bus_buffer.scala 315:79]
node _T_1331 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 316:22]
node _T_1332 = and(bus_cmd_sent, _T_1331) @[lsu_bus_buffer.scala 316:20]
node _T_1333 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 316:37]
node _T_1334 = and(_T_1332, _T_1333) @[lsu_bus_buffer.scala 316:35]
node obuf_rdrsp_pend_in = or(_T_1330, _T_1334) @[lsu_bus_buffer.scala 315:138]
wire obuf_tag0 : UInt<3>
obuf_tag0 <= UInt<1>("h00")
node _T_1335 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 318:46]
node _T_1336 = and(bus_cmd_sent, _T_1335) @[lsu_bus_buffer.scala 318:44]
node obuf_rdrsp_tag_in = mux(_T_1336, obuf_tag0, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 318:30]
wire obuf_addr : UInt<32>
obuf_addr <= UInt<1>("h00")
wire obuf_sideeffect : UInt<1>
obuf_sideeffect <= UInt<1>("h00")
node _T_1337 = bits(obuf_addr_in, 31, 3) @[lsu_bus_buffer.scala 321:34]
node _T_1338 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 321:52]
node _T_1339 = eq(_T_1337, _T_1338) @[lsu_bus_buffer.scala 321:40]
node _T_1340 = and(_T_1339, obuf_aligned_in) @[lsu_bus_buffer.scala 321:60]
node _T_1341 = eq(obuf_sideeffect, UInt<1>("h00")) @[lsu_bus_buffer.scala 321:80]
node _T_1342 = and(_T_1340, _T_1341) @[lsu_bus_buffer.scala 321:78]
node _T_1343 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 321:99]
node _T_1344 = and(_T_1342, _T_1343) @[lsu_bus_buffer.scala 321:97]
node _T_1345 = eq(obuf_write_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 321:113]
node _T_1346 = and(_T_1344, _T_1345) @[lsu_bus_buffer.scala 321:111]
node _T_1347 = eq(io.tlu_busbuff.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 321:130]
node _T_1348 = and(_T_1346, _T_1347) @[lsu_bus_buffer.scala 321:128]
node _T_1349 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:20]
node _T_1350 = and(obuf_valid, _T_1349) @[lsu_bus_buffer.scala 322:18]
node _T_1351 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 322:90]
node _T_1352 = and(bus_rsp_read, _T_1351) @[lsu_bus_buffer.scala 322:70]
node _T_1353 = eq(_T_1352, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:55]
node _T_1354 = and(obuf_rdrsp_pend, _T_1353) @[lsu_bus_buffer.scala 322:53]
node _T_1355 = or(_T_1350, _T_1354) @[lsu_bus_buffer.scala 322:34]
node _T_1356 = and(_T_1348, _T_1355) @[lsu_bus_buffer.scala 321:177]
obuf_nosend_in <= _T_1356 @[lsu_bus_buffer.scala 321:18]
node _T_1357 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 323:60]
node _T_1358 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58]
node _T_1359 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58]
node _T_1360 = mux(_T_1357, _T_1358, _T_1359) @[lsu_bus_buffer.scala 323:46]
node _T_1361 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123]
node _T_1362 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123]
node _T_1363 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123]
node _T_1364 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123]
node _T_1365 = mux(_T_1361, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1366 = mux(_T_1362, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1367 = mux(_T_1363, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1368 = mux(_T_1364, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1369 = or(_T_1365, _T_1366) @[Mux.scala 27:72]
node _T_1370 = or(_T_1369, _T_1367) @[Mux.scala 27:72]
node _T_1371 = or(_T_1370, _T_1368) @[Mux.scala 27:72]
wire _T_1372 : UInt<32> @[Mux.scala 27:72]
_T_1372 <= _T_1371 @[Mux.scala 27:72]
node _T_1373 = bits(_T_1372, 2, 2) @[lsu_bus_buffer.scala 324:36]
node _T_1374 = bits(_T_1373, 0, 0) @[lsu_bus_buffer.scala 324:46]
node _T_1375 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123]
node _T_1376 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123]
node _T_1377 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123]
node _T_1378 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123]
node _T_1379 = mux(_T_1375, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1380 = mux(_T_1376, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1381 = mux(_T_1377, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1382 = mux(_T_1378, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1383 = or(_T_1379, _T_1380) @[Mux.scala 27:72]
node _T_1384 = or(_T_1383, _T_1381) @[Mux.scala 27:72]
node _T_1385 = or(_T_1384, _T_1382) @[Mux.scala 27:72]
wire _T_1386 : UInt<4> @[Mux.scala 27:72]
_T_1386 <= _T_1385 @[Mux.scala 27:72]
node _T_1387 = cat(_T_1386, UInt<4>("h00")) @[Cat.scala 29:58]
node _T_1388 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123]
node _T_1389 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123]
node _T_1390 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123]
node _T_1391 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123]
node _T_1392 = mux(_T_1388, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1393 = mux(_T_1389, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1394 = mux(_T_1390, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1395 = mux(_T_1391, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1396 = or(_T_1392, _T_1393) @[Mux.scala 27:72]
node _T_1397 = or(_T_1396, _T_1394) @[Mux.scala 27:72]
node _T_1398 = or(_T_1397, _T_1395) @[Mux.scala 27:72]
wire _T_1399 : UInt<4> @[Mux.scala 27:72]
_T_1399 <= _T_1398 @[Mux.scala 27:72]
node _T_1400 = cat(UInt<4>("h00"), _T_1399) @[Cat.scala 29:58]
node _T_1401 = mux(_T_1374, _T_1387, _T_1400) @[lsu_bus_buffer.scala 324:8]
node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1360, _T_1401) @[lsu_bus_buffer.scala 323:28]
node _T_1402 = bits(io.end_addr_r, 2, 2) @[lsu_bus_buffer.scala 325:60]
node _T_1403 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58]
node _T_1404 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58]
node _T_1405 = mux(_T_1402, _T_1403, _T_1404) @[lsu_bus_buffer.scala 325:46]
node _T_1406 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123]
node _T_1407 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123]
node _T_1408 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123]
node _T_1409 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123]
node _T_1410 = mux(_T_1406, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1411 = mux(_T_1407, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1412 = mux(_T_1408, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1413 = mux(_T_1409, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1414 = or(_T_1410, _T_1411) @[Mux.scala 27:72]
node _T_1415 = or(_T_1414, _T_1412) @[Mux.scala 27:72]
node _T_1416 = or(_T_1415, _T_1413) @[Mux.scala 27:72]
wire _T_1417 : UInt<32> @[Mux.scala 27:72]
_T_1417 <= _T_1416 @[Mux.scala 27:72]
node _T_1418 = bits(_T_1417, 2, 2) @[lsu_bus_buffer.scala 326:36]
node _T_1419 = bits(_T_1418, 0, 0) @[lsu_bus_buffer.scala 326:46]
node _T_1420 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123]
node _T_1421 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123]
node _T_1422 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123]
node _T_1423 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123]
node _T_1424 = mux(_T_1420, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1425 = mux(_T_1421, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1426 = mux(_T_1422, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1427 = mux(_T_1423, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1428 = or(_T_1424, _T_1425) @[Mux.scala 27:72]
node _T_1429 = or(_T_1428, _T_1426) @[Mux.scala 27:72]
node _T_1430 = or(_T_1429, _T_1427) @[Mux.scala 27:72]
wire _T_1431 : UInt<4> @[Mux.scala 27:72]
_T_1431 <= _T_1430 @[Mux.scala 27:72]
node _T_1432 = cat(_T_1431, UInt<4>("h00")) @[Cat.scala 29:58]
node _T_1433 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123]
node _T_1434 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123]
node _T_1435 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123]
node _T_1436 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123]
node _T_1437 = mux(_T_1433, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1438 = mux(_T_1434, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1439 = mux(_T_1435, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1440 = mux(_T_1436, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1441 = or(_T_1437, _T_1438) @[Mux.scala 27:72]
node _T_1442 = or(_T_1441, _T_1439) @[Mux.scala 27:72]
node _T_1443 = or(_T_1442, _T_1440) @[Mux.scala 27:72]
wire _T_1444 : UInt<4> @[Mux.scala 27:72]
_T_1444 <= _T_1443 @[Mux.scala 27:72]
node _T_1445 = cat(UInt<4>("h00"), _T_1444) @[Cat.scala 29:58]
node _T_1446 = mux(_T_1419, _T_1432, _T_1445) @[lsu_bus_buffer.scala 326:8]
node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1405, _T_1446) @[lsu_bus_buffer.scala 325:28]
node _T_1447 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 328:58]
node _T_1448 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58]
node _T_1449 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58]
node _T_1450 = mux(_T_1447, _T_1448, _T_1449) @[lsu_bus_buffer.scala 328:44]
node _T_1451 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123]
node _T_1452 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123]
node _T_1453 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123]
node _T_1454 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123]
node _T_1455 = mux(_T_1451, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1456 = mux(_T_1452, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1457 = mux(_T_1453, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1458 = mux(_T_1454, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1459 = or(_T_1455, _T_1456) @[Mux.scala 27:72]
node _T_1460 = or(_T_1459, _T_1457) @[Mux.scala 27:72]
node _T_1461 = or(_T_1460, _T_1458) @[Mux.scala 27:72]
wire _T_1462 : UInt<32> @[Mux.scala 27:72]
_T_1462 <= _T_1461 @[Mux.scala 27:72]
node _T_1463 = bits(_T_1462, 2, 2) @[lsu_bus_buffer.scala 329:36]
node _T_1464 = bits(_T_1463, 0, 0) @[lsu_bus_buffer.scala 329:46]
node _T_1465 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123]
node _T_1466 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123]
node _T_1467 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123]
node _T_1468 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123]
node _T_1469 = mux(_T_1465, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1470 = mux(_T_1466, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1471 = mux(_T_1467, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1472 = mux(_T_1468, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1473 = or(_T_1469, _T_1470) @[Mux.scala 27:72]
node _T_1474 = or(_T_1473, _T_1471) @[Mux.scala 27:72]
node _T_1475 = or(_T_1474, _T_1472) @[Mux.scala 27:72]
wire _T_1476 : UInt<32> @[Mux.scala 27:72]
_T_1476 <= _T_1475 @[Mux.scala 27:72]
node _T_1477 = cat(_T_1476, UInt<32>("h00")) @[Cat.scala 29:58]
node _T_1478 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123]
node _T_1479 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123]
node _T_1480 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123]
node _T_1481 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123]
node _T_1482 = mux(_T_1478, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1483 = mux(_T_1479, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1484 = mux(_T_1480, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1485 = mux(_T_1481, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1486 = or(_T_1482, _T_1483) @[Mux.scala 27:72]
node _T_1487 = or(_T_1486, _T_1484) @[Mux.scala 27:72]
node _T_1488 = or(_T_1487, _T_1485) @[Mux.scala 27:72]
wire _T_1489 : UInt<32> @[Mux.scala 27:72]
_T_1489 <= _T_1488 @[Mux.scala 27:72]
node _T_1490 = cat(UInt<32>("h00"), _T_1489) @[Cat.scala 29:58]
node _T_1491 = mux(_T_1464, _T_1477, _T_1490) @[lsu_bus_buffer.scala 329:8]
node obuf_data0_in = mux(ibuf_buf_byp, _T_1450, _T_1491) @[lsu_bus_buffer.scala 328:26]
node _T_1492 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 330:58]
node _T_1493 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58]
node _T_1494 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58]
node _T_1495 = mux(_T_1492, _T_1493, _T_1494) @[lsu_bus_buffer.scala 330:44]
node _T_1496 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123]
node _T_1497 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123]
node _T_1498 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123]
node _T_1499 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123]
node _T_1500 = mux(_T_1496, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1501 = mux(_T_1497, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1502 = mux(_T_1498, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1503 = mux(_T_1499, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1504 = or(_T_1500, _T_1501) @[Mux.scala 27:72]
node _T_1505 = or(_T_1504, _T_1502) @[Mux.scala 27:72]
node _T_1506 = or(_T_1505, _T_1503) @[Mux.scala 27:72]
wire _T_1507 : UInt<32> @[Mux.scala 27:72]
_T_1507 <= _T_1506 @[Mux.scala 27:72]
node _T_1508 = bits(_T_1507, 2, 2) @[lsu_bus_buffer.scala 331:36]
node _T_1509 = bits(_T_1508, 0, 0) @[lsu_bus_buffer.scala 331:46]
node _T_1510 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123]
node _T_1511 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123]
node _T_1512 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123]
node _T_1513 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123]
node _T_1514 = mux(_T_1510, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1515 = mux(_T_1511, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1516 = mux(_T_1512, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1517 = mux(_T_1513, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1518 = or(_T_1514, _T_1515) @[Mux.scala 27:72]
node _T_1519 = or(_T_1518, _T_1516) @[Mux.scala 27:72]
node _T_1520 = or(_T_1519, _T_1517) @[Mux.scala 27:72]
wire _T_1521 : UInt<32> @[Mux.scala 27:72]
_T_1521 <= _T_1520 @[Mux.scala 27:72]
node _T_1522 = cat(_T_1521, UInt<32>("h00")) @[Cat.scala 29:58]
node _T_1523 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123]
node _T_1524 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123]
node _T_1525 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123]
node _T_1526 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123]
node _T_1527 = mux(_T_1523, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1528 = mux(_T_1524, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1529 = mux(_T_1525, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1530 = mux(_T_1526, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1531 = or(_T_1527, _T_1528) @[Mux.scala 27:72]
node _T_1532 = or(_T_1531, _T_1529) @[Mux.scala 27:72]
node _T_1533 = or(_T_1532, _T_1530) @[Mux.scala 27:72]
wire _T_1534 : UInt<32> @[Mux.scala 27:72]
_T_1534 <= _T_1533 @[Mux.scala 27:72]
node _T_1535 = cat(UInt<32>("h00"), _T_1534) @[Cat.scala 29:58]
node _T_1536 = mux(_T_1509, _T_1522, _T_1535) @[lsu_bus_buffer.scala 331:8]
node obuf_data1_in = mux(ibuf_buf_byp, _T_1495, _T_1536) @[lsu_bus_buffer.scala 330:26]
node _T_1537 = bits(obuf_byteen0_in, 0, 0) @[lsu_bus_buffer.scala 332:59]
node _T_1538 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 332:97]
node _T_1539 = and(obuf_merge_en, _T_1538) @[lsu_bus_buffer.scala 332:80]
node _T_1540 = or(_T_1537, _T_1539) @[lsu_bus_buffer.scala 332:63]
node _T_1541 = bits(obuf_byteen0_in, 1, 1) @[lsu_bus_buffer.scala 332:59]
node _T_1542 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 332:97]
node _T_1543 = and(obuf_merge_en, _T_1542) @[lsu_bus_buffer.scala 332:80]
node _T_1544 = or(_T_1541, _T_1543) @[lsu_bus_buffer.scala 332:63]
node _T_1545 = bits(obuf_byteen0_in, 2, 2) @[lsu_bus_buffer.scala 332:59]
node _T_1546 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 332:97]
node _T_1547 = and(obuf_merge_en, _T_1546) @[lsu_bus_buffer.scala 332:80]
node _T_1548 = or(_T_1545, _T_1547) @[lsu_bus_buffer.scala 332:63]
node _T_1549 = bits(obuf_byteen0_in, 3, 3) @[lsu_bus_buffer.scala 332:59]
node _T_1550 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 332:97]
node _T_1551 = and(obuf_merge_en, _T_1550) @[lsu_bus_buffer.scala 332:80]
node _T_1552 = or(_T_1549, _T_1551) @[lsu_bus_buffer.scala 332:63]
node _T_1553 = bits(obuf_byteen0_in, 4, 4) @[lsu_bus_buffer.scala 332:59]
node _T_1554 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 332:97]
node _T_1555 = and(obuf_merge_en, _T_1554) @[lsu_bus_buffer.scala 332:80]
node _T_1556 = or(_T_1553, _T_1555) @[lsu_bus_buffer.scala 332:63]
node _T_1557 = bits(obuf_byteen0_in, 5, 5) @[lsu_bus_buffer.scala 332:59]
node _T_1558 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 332:97]
node _T_1559 = and(obuf_merge_en, _T_1558) @[lsu_bus_buffer.scala 332:80]
node _T_1560 = or(_T_1557, _T_1559) @[lsu_bus_buffer.scala 332:63]
node _T_1561 = bits(obuf_byteen0_in, 6, 6) @[lsu_bus_buffer.scala 332:59]
node _T_1562 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 332:97]
node _T_1563 = and(obuf_merge_en, _T_1562) @[lsu_bus_buffer.scala 332:80]
node _T_1564 = or(_T_1561, _T_1563) @[lsu_bus_buffer.scala 332:63]
node _T_1565 = bits(obuf_byteen0_in, 7, 7) @[lsu_bus_buffer.scala 332:59]
node _T_1566 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 332:97]
node _T_1567 = and(obuf_merge_en, _T_1566) @[lsu_bus_buffer.scala 332:80]
node _T_1568 = or(_T_1565, _T_1567) @[lsu_bus_buffer.scala 332:63]
node _T_1569 = cat(_T_1568, _T_1564) @[Cat.scala 29:58]
node _T_1570 = cat(_T_1569, _T_1560) @[Cat.scala 29:58]
node _T_1571 = cat(_T_1570, _T_1556) @[Cat.scala 29:58]
node _T_1572 = cat(_T_1571, _T_1552) @[Cat.scala 29:58]
node _T_1573 = cat(_T_1572, _T_1548) @[Cat.scala 29:58]
node _T_1574 = cat(_T_1573, _T_1544) @[Cat.scala 29:58]
node obuf_byteen_in = cat(_T_1574, _T_1540) @[Cat.scala 29:58]
node _T_1575 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 333:76]
node _T_1576 = and(obuf_merge_en, _T_1575) @[lsu_bus_buffer.scala 333:59]
node _T_1577 = bits(obuf_data1_in, 7, 0) @[lsu_bus_buffer.scala 333:94]
node _T_1578 = bits(obuf_data0_in, 7, 0) @[lsu_bus_buffer.scala 333:123]
node _T_1579 = mux(_T_1576, _T_1577, _T_1578) @[lsu_bus_buffer.scala 333:44]
node _T_1580 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 333:76]
node _T_1581 = and(obuf_merge_en, _T_1580) @[lsu_bus_buffer.scala 333:59]
node _T_1582 = bits(obuf_data1_in, 15, 8) @[lsu_bus_buffer.scala 333:94]
node _T_1583 = bits(obuf_data0_in, 15, 8) @[lsu_bus_buffer.scala 333:123]
node _T_1584 = mux(_T_1581, _T_1582, _T_1583) @[lsu_bus_buffer.scala 333:44]
node _T_1585 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 333:76]
node _T_1586 = and(obuf_merge_en, _T_1585) @[lsu_bus_buffer.scala 333:59]
node _T_1587 = bits(obuf_data1_in, 23, 16) @[lsu_bus_buffer.scala 333:94]
node _T_1588 = bits(obuf_data0_in, 23, 16) @[lsu_bus_buffer.scala 333:123]
node _T_1589 = mux(_T_1586, _T_1587, _T_1588) @[lsu_bus_buffer.scala 333:44]
node _T_1590 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 333:76]
node _T_1591 = and(obuf_merge_en, _T_1590) @[lsu_bus_buffer.scala 333:59]
node _T_1592 = bits(obuf_data1_in, 31, 24) @[lsu_bus_buffer.scala 333:94]
node _T_1593 = bits(obuf_data0_in, 31, 24) @[lsu_bus_buffer.scala 333:123]
node _T_1594 = mux(_T_1591, _T_1592, _T_1593) @[lsu_bus_buffer.scala 333:44]
node _T_1595 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 333:76]
node _T_1596 = and(obuf_merge_en, _T_1595) @[lsu_bus_buffer.scala 333:59]
node _T_1597 = bits(obuf_data1_in, 39, 32) @[lsu_bus_buffer.scala 333:94]
node _T_1598 = bits(obuf_data0_in, 39, 32) @[lsu_bus_buffer.scala 333:123]
node _T_1599 = mux(_T_1596, _T_1597, _T_1598) @[lsu_bus_buffer.scala 333:44]
node _T_1600 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 333:76]
node _T_1601 = and(obuf_merge_en, _T_1600) @[lsu_bus_buffer.scala 333:59]
node _T_1602 = bits(obuf_data1_in, 47, 40) @[lsu_bus_buffer.scala 333:94]
node _T_1603 = bits(obuf_data0_in, 47, 40) @[lsu_bus_buffer.scala 333:123]
node _T_1604 = mux(_T_1601, _T_1602, _T_1603) @[lsu_bus_buffer.scala 333:44]
node _T_1605 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 333:76]
node _T_1606 = and(obuf_merge_en, _T_1605) @[lsu_bus_buffer.scala 333:59]
node _T_1607 = bits(obuf_data1_in, 55, 48) @[lsu_bus_buffer.scala 333:94]
node _T_1608 = bits(obuf_data0_in, 55, 48) @[lsu_bus_buffer.scala 333:123]
node _T_1609 = mux(_T_1606, _T_1607, _T_1608) @[lsu_bus_buffer.scala 333:44]
node _T_1610 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 333:76]
node _T_1611 = and(obuf_merge_en, _T_1610) @[lsu_bus_buffer.scala 333:59]
node _T_1612 = bits(obuf_data1_in, 63, 56) @[lsu_bus_buffer.scala 333:94]
node _T_1613 = bits(obuf_data0_in, 63, 56) @[lsu_bus_buffer.scala 333:123]
node _T_1614 = mux(_T_1611, _T_1612, _T_1613) @[lsu_bus_buffer.scala 333:44]
node _T_1615 = cat(_T_1614, _T_1609) @[Cat.scala 29:58]
node _T_1616 = cat(_T_1615, _T_1604) @[Cat.scala 29:58]
node _T_1617 = cat(_T_1616, _T_1599) @[Cat.scala 29:58]
node _T_1618 = cat(_T_1617, _T_1594) @[Cat.scala 29:58]
node _T_1619 = cat(_T_1618, _T_1589) @[Cat.scala 29:58]
node _T_1620 = cat(_T_1619, _T_1584) @[Cat.scala 29:58]
node obuf_data_in = cat(_T_1620, _T_1579) @[Cat.scala 29:58]
wire buf_dualhi : UInt<1>[4] @[lsu_bus_buffer.scala 335:24]
buf_dualhi[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 336:14]
buf_dualhi[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 336:14]
buf_dualhi[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 336:14]
buf_dualhi[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 336:14]
node _T_1621 = neq(CmdPtr0, CmdPtr1) @[lsu_bus_buffer.scala 337:30]
node _T_1622 = and(_T_1621, found_cmdptr0) @[lsu_bus_buffer.scala 337:43]
node _T_1623 = and(_T_1622, found_cmdptr1) @[lsu_bus_buffer.scala 337:59]
node _T_1624 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123]
node _T_1625 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123]
node _T_1626 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123]
node _T_1627 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123]
node _T_1628 = mux(_T_1624, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1629 = mux(_T_1625, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1630 = mux(_T_1626, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1631 = mux(_T_1627, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1632 = or(_T_1628, _T_1629) @[Mux.scala 27:72]
node _T_1633 = or(_T_1632, _T_1630) @[Mux.scala 27:72]
node _T_1634 = or(_T_1633, _T_1631) @[Mux.scala 27:72]
wire _T_1635 : UInt<3> @[Mux.scala 27:72]
_T_1635 <= _T_1634 @[Mux.scala 27:72]
node _T_1636 = eq(_T_1635, UInt<3>("h02")) @[lsu_bus_buffer.scala 337:107]
node _T_1637 = and(_T_1623, _T_1636) @[lsu_bus_buffer.scala 337:75]
node _T_1638 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123]
node _T_1639 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123]
node _T_1640 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123]
node _T_1641 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123]
node _T_1642 = mux(_T_1638, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1643 = mux(_T_1639, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1644 = mux(_T_1640, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1645 = mux(_T_1641, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1646 = or(_T_1642, _T_1643) @[Mux.scala 27:72]
node _T_1647 = or(_T_1646, _T_1644) @[Mux.scala 27:72]
node _T_1648 = or(_T_1647, _T_1645) @[Mux.scala 27:72]
wire _T_1649 : UInt<3> @[Mux.scala 27:72]
_T_1649 <= _T_1648 @[Mux.scala 27:72]
node _T_1650 = eq(_T_1649, UInt<3>("h02")) @[lsu_bus_buffer.scala 337:150]
node _T_1651 = and(_T_1637, _T_1650) @[lsu_bus_buffer.scala 337:118]
node _T_1652 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58]
node _T_1653 = cat(_T_1652, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58]
node _T_1654 = cat(_T_1653, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58]
node _T_1655 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118]
node _T_1656 = bits(_T_1654, 0, 0) @[lsu_bus_buffer.scala 56:129]
node _T_1657 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118]
node _T_1658 = bits(_T_1654, 1, 1) @[lsu_bus_buffer.scala 56:129]
node _T_1659 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118]
node _T_1660 = bits(_T_1654, 2, 2) @[lsu_bus_buffer.scala 56:129]
node _T_1661 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118]
node _T_1662 = bits(_T_1654, 3, 3) @[lsu_bus_buffer.scala 56:129]
node _T_1663 = mux(_T_1655, _T_1656, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1664 = mux(_T_1657, _T_1658, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1665 = mux(_T_1659, _T_1660, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1666 = mux(_T_1661, _T_1662, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1667 = or(_T_1663, _T_1664) @[Mux.scala 27:72]
node _T_1668 = or(_T_1667, _T_1665) @[Mux.scala 27:72]
node _T_1669 = or(_T_1668, _T_1666) @[Mux.scala 27:72]
wire _T_1670 : UInt<1> @[Mux.scala 27:72]
_T_1670 <= _T_1669 @[Mux.scala 27:72]
node _T_1671 = eq(_T_1670, UInt<1>("h00")) @[lsu_bus_buffer.scala 338:5]
node _T_1672 = and(_T_1651, _T_1671) @[lsu_bus_buffer.scala 337:161]
node _T_1673 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118]
node _T_1674 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 56:129]
node _T_1675 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118]
node _T_1676 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 56:129]
node _T_1677 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118]
node _T_1678 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 56:129]
node _T_1679 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118]
node _T_1680 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 56:129]
node _T_1681 = mux(_T_1673, _T_1674, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1682 = mux(_T_1675, _T_1676, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1683 = mux(_T_1677, _T_1678, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1684 = mux(_T_1679, _T_1680, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1685 = or(_T_1681, _T_1682) @[Mux.scala 27:72]
node _T_1686 = or(_T_1685, _T_1683) @[Mux.scala 27:72]
node _T_1687 = or(_T_1686, _T_1684) @[Mux.scala 27:72]
wire _T_1688 : UInt<1> @[Mux.scala 27:72]
_T_1688 <= _T_1687 @[Mux.scala 27:72]
node _T_1689 = eq(_T_1688, UInt<1>("h00")) @[lsu_bus_buffer.scala 338:87]
node _T_1690 = and(_T_1672, _T_1689) @[lsu_bus_buffer.scala 338:85]
node _T_1691 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118]
node _T_1692 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 56:129]
node _T_1693 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118]
node _T_1694 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 56:129]
node _T_1695 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118]
node _T_1696 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 56:129]
node _T_1697 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118]
node _T_1698 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 56:129]
node _T_1699 = mux(_T_1691, _T_1692, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1700 = mux(_T_1693, _T_1694, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1701 = mux(_T_1695, _T_1696, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1702 = mux(_T_1697, _T_1698, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1703 = or(_T_1699, _T_1700) @[Mux.scala 27:72]
node _T_1704 = or(_T_1703, _T_1701) @[Mux.scala 27:72]
node _T_1705 = or(_T_1704, _T_1702) @[Mux.scala 27:72]
wire _T_1706 : UInt<1> @[Mux.scala 27:72]
_T_1706 <= _T_1705 @[Mux.scala 27:72]
node _T_1707 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118]
node _T_1708 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 56:129]
node _T_1709 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118]
node _T_1710 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 56:129]
node _T_1711 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118]
node _T_1712 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 56:129]
node _T_1713 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118]
node _T_1714 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 56:129]
node _T_1715 = mux(_T_1707, _T_1708, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1716 = mux(_T_1709, _T_1710, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1717 = mux(_T_1711, _T_1712, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1718 = mux(_T_1713, _T_1714, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1719 = or(_T_1715, _T_1716) @[Mux.scala 27:72]
node _T_1720 = or(_T_1719, _T_1717) @[Mux.scala 27:72]
node _T_1721 = or(_T_1720, _T_1718) @[Mux.scala 27:72]
wire _T_1722 : UInt<1> @[Mux.scala 27:72]
_T_1722 <= _T_1721 @[Mux.scala 27:72]
node _T_1723 = and(_T_1706, _T_1722) @[lsu_bus_buffer.scala 339:36]
node _T_1724 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123]
node _T_1725 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123]
node _T_1726 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123]
node _T_1727 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123]
node _T_1728 = mux(_T_1724, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1729 = mux(_T_1725, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1730 = mux(_T_1726, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1731 = mux(_T_1727, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1732 = or(_T_1728, _T_1729) @[Mux.scala 27:72]
node _T_1733 = or(_T_1732, _T_1730) @[Mux.scala 27:72]
node _T_1734 = or(_T_1733, _T_1731) @[Mux.scala 27:72]
wire _T_1735 : UInt<32> @[Mux.scala 27:72]
_T_1735 <= _T_1734 @[Mux.scala 27:72]
node _T_1736 = bits(_T_1735, 31, 3) @[lsu_bus_buffer.scala 340:35]
node _T_1737 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123]
node _T_1738 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123]
node _T_1739 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123]
node _T_1740 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123]
node _T_1741 = mux(_T_1737, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1742 = mux(_T_1738, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1743 = mux(_T_1739, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1744 = mux(_T_1740, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1745 = or(_T_1741, _T_1742) @[Mux.scala 27:72]
node _T_1746 = or(_T_1745, _T_1743) @[Mux.scala 27:72]
node _T_1747 = or(_T_1746, _T_1744) @[Mux.scala 27:72]
wire _T_1748 : UInt<32> @[Mux.scala 27:72]
_T_1748 <= _T_1747 @[Mux.scala 27:72]
node _T_1749 = bits(_T_1748, 31, 3) @[lsu_bus_buffer.scala 340:71]
node _T_1750 = eq(_T_1736, _T_1749) @[lsu_bus_buffer.scala 340:41]
node _T_1751 = and(_T_1723, _T_1750) @[lsu_bus_buffer.scala 339:67]
node _T_1752 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 340:81]
node _T_1753 = and(_T_1751, _T_1752) @[lsu_bus_buffer.scala 340:79]
node _T_1754 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 340:107]
node _T_1755 = and(_T_1753, _T_1754) @[lsu_bus_buffer.scala 340:105]
node _T_1756 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118]
node _T_1757 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 56:129]
node _T_1758 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118]
node _T_1759 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 56:129]
node _T_1760 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118]
node _T_1761 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 56:129]
node _T_1762 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118]
node _T_1763 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 56:129]
node _T_1764 = mux(_T_1756, _T_1757, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1765 = mux(_T_1758, _T_1759, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1766 = mux(_T_1760, _T_1761, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1767 = mux(_T_1762, _T_1763, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1768 = or(_T_1764, _T_1765) @[Mux.scala 27:72]
node _T_1769 = or(_T_1768, _T_1766) @[Mux.scala 27:72]
node _T_1770 = or(_T_1769, _T_1767) @[Mux.scala 27:72]
wire _T_1771 : UInt<1> @[Mux.scala 27:72]
_T_1771 <= _T_1770 @[Mux.scala 27:72]
node _T_1772 = eq(_T_1771, UInt<1>("h00")) @[lsu_bus_buffer.scala 341:8]
node _T_1773 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58]
node _T_1774 = cat(_T_1773, buf_dual[1]) @[Cat.scala 29:58]
node _T_1775 = cat(_T_1774, buf_dual[0]) @[Cat.scala 29:58]
node _T_1776 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118]
node _T_1777 = bits(_T_1775, 0, 0) @[lsu_bus_buffer.scala 56:129]
node _T_1778 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118]
node _T_1779 = bits(_T_1775, 1, 1) @[lsu_bus_buffer.scala 56:129]
node _T_1780 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118]
node _T_1781 = bits(_T_1775, 2, 2) @[lsu_bus_buffer.scala 56:129]
node _T_1782 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118]
node _T_1783 = bits(_T_1775, 3, 3) @[lsu_bus_buffer.scala 56:129]
node _T_1784 = mux(_T_1776, _T_1777, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1785 = mux(_T_1778, _T_1779, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1786 = mux(_T_1780, _T_1781, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1787 = mux(_T_1782, _T_1783, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1788 = or(_T_1784, _T_1785) @[Mux.scala 27:72]
node _T_1789 = or(_T_1788, _T_1786) @[Mux.scala 27:72]
node _T_1790 = or(_T_1789, _T_1787) @[Mux.scala 27:72]
wire _T_1791 : UInt<1> @[Mux.scala 27:72]
_T_1791 <= _T_1790 @[Mux.scala 27:72]
node _T_1792 = and(_T_1772, _T_1791) @[lsu_bus_buffer.scala 341:38]
node _T_1793 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58]
node _T_1794 = cat(_T_1793, buf_dualhi[1]) @[Cat.scala 29:58]
node _T_1795 = cat(_T_1794, buf_dualhi[0]) @[Cat.scala 29:58]
node _T_1796 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118]
node _T_1797 = bits(_T_1795, 0, 0) @[lsu_bus_buffer.scala 56:129]
node _T_1798 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118]
node _T_1799 = bits(_T_1795, 1, 1) @[lsu_bus_buffer.scala 56:129]
node _T_1800 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118]
node _T_1801 = bits(_T_1795, 2, 2) @[lsu_bus_buffer.scala 56:129]
node _T_1802 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118]
node _T_1803 = bits(_T_1795, 3, 3) @[lsu_bus_buffer.scala 56:129]
node _T_1804 = mux(_T_1796, _T_1797, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1805 = mux(_T_1798, _T_1799, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1806 = mux(_T_1800, _T_1801, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1807 = mux(_T_1802, _T_1803, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1808 = or(_T_1804, _T_1805) @[Mux.scala 27:72]
node _T_1809 = or(_T_1808, _T_1806) @[Mux.scala 27:72]
node _T_1810 = or(_T_1809, _T_1807) @[Mux.scala 27:72]
wire _T_1811 : UInt<1> @[Mux.scala 27:72]
_T_1811 <= _T_1810 @[Mux.scala 27:72]
node _T_1812 = eq(_T_1811, UInt<1>("h00")) @[lsu_bus_buffer.scala 341:109]
node _T_1813 = and(_T_1792, _T_1812) @[lsu_bus_buffer.scala 341:107]
node _T_1814 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58]
node _T_1815 = cat(_T_1814, buf_samedw[1]) @[Cat.scala 29:58]
node _T_1816 = cat(_T_1815, buf_samedw[0]) @[Cat.scala 29:58]
node _T_1817 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118]
node _T_1818 = bits(_T_1816, 0, 0) @[lsu_bus_buffer.scala 56:129]
node _T_1819 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118]
node _T_1820 = bits(_T_1816, 1, 1) @[lsu_bus_buffer.scala 56:129]
node _T_1821 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118]
node _T_1822 = bits(_T_1816, 2, 2) @[lsu_bus_buffer.scala 56:129]
node _T_1823 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118]
node _T_1824 = bits(_T_1816, 3, 3) @[lsu_bus_buffer.scala 56:129]
node _T_1825 = mux(_T_1817, _T_1818, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1826 = mux(_T_1819, _T_1820, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1827 = mux(_T_1821, _T_1822, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1828 = mux(_T_1823, _T_1824, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_1829 = or(_T_1825, _T_1826) @[Mux.scala 27:72]
node _T_1830 = or(_T_1829, _T_1827) @[Mux.scala 27:72]
node _T_1831 = or(_T_1830, _T_1828) @[Mux.scala 27:72]
wire _T_1832 : UInt<1> @[Mux.scala 27:72]
_T_1832 <= _T_1831 @[Mux.scala 27:72]
node _T_1833 = and(_T_1813, _T_1832) @[lsu_bus_buffer.scala 341:179]
node _T_1834 = or(_T_1755, _T_1833) @[lsu_bus_buffer.scala 340:128]
node _T_1835 = and(_T_1690, _T_1834) @[lsu_bus_buffer.scala 338:122]
node _T_1836 = and(ibuf_buf_byp, ldst_samedw_r) @[lsu_bus_buffer.scala 342:19]
node _T_1837 = and(_T_1836, io.ldst_dual_r) @[lsu_bus_buffer.scala 342:35]
node _T_1838 = or(_T_1835, _T_1837) @[lsu_bus_buffer.scala 341:253]
obuf_merge_en <= _T_1838 @[lsu_bus_buffer.scala 337:17]
reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 344:55]
obuf_wr_enQ <= obuf_wr_en @[lsu_bus_buffer.scala 344:55]
node _T_1839 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 345:58]
node _T_1840 = eq(obuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 345:93]
node _T_1841 = and(_T_1839, _T_1840) @[lsu_bus_buffer.scala 345:91]
reg _T_1842 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 345:54]
_T_1842 <= _T_1841 @[lsu_bus_buffer.scala 345:54]
obuf_valid <= _T_1842 @[lsu_bus_buffer.scala 345:14]
reg _T_1843 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when obuf_wr_en : @[Reg.scala 28:19]
_T_1843 <= obuf_nosend_in @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
obuf_nosend <= _T_1843 @[lsu_bus_buffer.scala 346:15]
reg _T_1844 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 347:54]
_T_1844 <= obuf_cmd_done_in @[lsu_bus_buffer.scala 347:54]
obuf_cmd_done <= _T_1844 @[lsu_bus_buffer.scala 347:17]
reg _T_1845 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 348:55]
_T_1845 <= obuf_data_done_in @[lsu_bus_buffer.scala 348:55]
obuf_data_done <= _T_1845 @[lsu_bus_buffer.scala 348:18]
reg _T_1846 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 349:56]
_T_1846 <= obuf_rdrsp_pend_in @[lsu_bus_buffer.scala 349:56]
obuf_rdrsp_pend <= _T_1846 @[lsu_bus_buffer.scala 349:19]
reg _T_1847 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 350:55]
_T_1847 <= obuf_rdrsp_tag_in @[lsu_bus_buffer.scala 350:55]
obuf_rdrsp_tag <= _T_1847 @[lsu_bus_buffer.scala 350:18]
reg _T_1848 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when obuf_wr_en : @[Reg.scala 28:19]
_T_1848 <= obuf_tag0_in @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
obuf_tag0 <= _T_1848 @[lsu_bus_buffer.scala 351:13]
reg obuf_tag1 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when obuf_wr_en : @[Reg.scala 28:19]
obuf_tag1 <= obuf_tag1_in @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
reg obuf_merge : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when obuf_wr_en : @[Reg.scala 28:19]
obuf_merge <= obuf_merge_en @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
reg _T_1849 : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when obuf_wr_en : @[Reg.scala 28:19]
_T_1849 <= obuf_write_in @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
obuf_write <= _T_1849 @[lsu_bus_buffer.scala 354:14]
reg _T_1850 : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when obuf_wr_en : @[Reg.scala 28:19]
_T_1850 <= obuf_sideeffect_in @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
obuf_sideeffect <= _T_1850 @[lsu_bus_buffer.scala 355:19]
reg obuf_sz : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when obuf_wr_en : @[Reg.scala 28:19]
obuf_sz <= obuf_sz_in @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
inst rvclkhdr_2 of rvclkhdr_26 @[lib.scala 352:23]
rvclkhdr_2.clock <= clock
rvclkhdr_2.reset <= reset
rvclkhdr_2.io.clk <= clock @[lib.scala 354:18]
rvclkhdr_2.io.en <= obuf_wr_en @[lib.scala 355:17]
rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 356:24]
reg _T_1851 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16]
_T_1851 <= obuf_addr_in @[lib.scala 358:16]
obuf_addr <= _T_1851 @[lsu_bus_buffer.scala 357:13]
reg obuf_byteen : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when obuf_wr_en : @[Reg.scala 28:19]
obuf_byteen <= obuf_byteen_in @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
inst rvclkhdr_3 of rvclkhdr_27 @[lib.scala 352:23]
rvclkhdr_3.clock <= clock
rvclkhdr_3.reset <= reset
rvclkhdr_3.io.clk <= clock @[lib.scala 354:18]
rvclkhdr_3.io.en <= obuf_wr_en @[lib.scala 355:17]
rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 356:24]
reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16]
obuf_data <= obuf_data_in @[lib.scala 358:16]
reg _T_1852 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 360:54]
_T_1852 <= obuf_wr_timer_in @[lsu_bus_buffer.scala 360:54]
obuf_wr_timer <= _T_1852 @[lsu_bus_buffer.scala 360:17]
wire WrPtr0_m : UInt<2>
WrPtr0_m <= UInt<1>("h00")
node _T_1853 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 363:65]
node _T_1854 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 364:30]
node _T_1855 = and(ibuf_valid, _T_1854) @[lsu_bus_buffer.scala 364:19]
node _T_1856 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:18]
node _T_1857 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:57]
node _T_1858 = and(io.ldst_dual_r, _T_1857) @[lsu_bus_buffer.scala 365:45]
node _T_1859 = or(_T_1856, _T_1858) @[lsu_bus_buffer.scala 365:27]
node _T_1860 = and(io.lsu_busreq_r, _T_1859) @[lsu_bus_buffer.scala 364:58]
node _T_1861 = or(_T_1855, _T_1860) @[lsu_bus_buffer.scala 364:39]
node _T_1862 = eq(_T_1861, UInt<1>("h00")) @[lsu_bus_buffer.scala 364:5]
node _T_1863 = and(_T_1853, _T_1862) @[lsu_bus_buffer.scala 363:76]
node _T_1864 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 363:65]
node _T_1865 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 364:30]
node _T_1866 = and(ibuf_valid, _T_1865) @[lsu_bus_buffer.scala 364:19]
node _T_1867 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 365:18]
node _T_1868 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 365:57]
node _T_1869 = and(io.ldst_dual_r, _T_1868) @[lsu_bus_buffer.scala 365:45]
node _T_1870 = or(_T_1867, _T_1869) @[lsu_bus_buffer.scala 365:27]
node _T_1871 = and(io.lsu_busreq_r, _T_1870) @[lsu_bus_buffer.scala 364:58]
node _T_1872 = or(_T_1866, _T_1871) @[lsu_bus_buffer.scala 364:39]
node _T_1873 = eq(_T_1872, UInt<1>("h00")) @[lsu_bus_buffer.scala 364:5]
node _T_1874 = and(_T_1864, _T_1873) @[lsu_bus_buffer.scala 363:76]
node _T_1875 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 363:65]
node _T_1876 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 364:30]
node _T_1877 = and(ibuf_valid, _T_1876) @[lsu_bus_buffer.scala 364:19]
node _T_1878 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 365:18]
node _T_1879 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 365:57]
node _T_1880 = and(io.ldst_dual_r, _T_1879) @[lsu_bus_buffer.scala 365:45]
node _T_1881 = or(_T_1878, _T_1880) @[lsu_bus_buffer.scala 365:27]
node _T_1882 = and(io.lsu_busreq_r, _T_1881) @[lsu_bus_buffer.scala 364:58]
node _T_1883 = or(_T_1877, _T_1882) @[lsu_bus_buffer.scala 364:39]
node _T_1884 = eq(_T_1883, UInt<1>("h00")) @[lsu_bus_buffer.scala 364:5]
node _T_1885 = and(_T_1875, _T_1884) @[lsu_bus_buffer.scala 363:76]
node _T_1886 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 363:65]
node _T_1887 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 364:30]
node _T_1888 = and(ibuf_valid, _T_1887) @[lsu_bus_buffer.scala 364:19]
node _T_1889 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 365:18]
node _T_1890 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 365:57]
node _T_1891 = and(io.ldst_dual_r, _T_1890) @[lsu_bus_buffer.scala 365:45]
node _T_1892 = or(_T_1889, _T_1891) @[lsu_bus_buffer.scala 365:27]
node _T_1893 = and(io.lsu_busreq_r, _T_1892) @[lsu_bus_buffer.scala 364:58]
node _T_1894 = or(_T_1888, _T_1893) @[lsu_bus_buffer.scala 364:39]
node _T_1895 = eq(_T_1894, UInt<1>("h00")) @[lsu_bus_buffer.scala 364:5]
node _T_1896 = and(_T_1886, _T_1895) @[lsu_bus_buffer.scala 363:76]
node _T_1897 = mux(_T_1896, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16]
node _T_1898 = mux(_T_1885, UInt<2>("h02"), _T_1897) @[Mux.scala 98:16]
node _T_1899 = mux(_T_1874, UInt<1>("h01"), _T_1898) @[Mux.scala 98:16]
node _T_1900 = mux(_T_1863, UInt<1>("h00"), _T_1899) @[Mux.scala 98:16]
WrPtr0_m <= _T_1900 @[lsu_bus_buffer.scala 363:12]
wire WrPtr1_m : UInt<2>
WrPtr1_m <= UInt<1>("h00")
node _T_1901 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65]
node _T_1902 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:103]
node _T_1903 = and(ibuf_valid, _T_1902) @[lsu_bus_buffer.scala 369:92]
node _T_1904 = eq(WrPtr0_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:33]
node _T_1905 = and(io.lsu_busreq_m, _T_1904) @[lsu_bus_buffer.scala 370:22]
node _T_1906 = or(_T_1903, _T_1905) @[lsu_bus_buffer.scala 369:112]
node _T_1907 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 371:36]
node _T_1908 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:34]
node _T_1909 = and(io.ldst_dual_r, _T_1908) @[lsu_bus_buffer.scala 372:23]
node _T_1910 = or(_T_1907, _T_1909) @[lsu_bus_buffer.scala 371:46]
node _T_1911 = and(io.lsu_busreq_r, _T_1910) @[lsu_bus_buffer.scala 371:22]
node _T_1912 = or(_T_1906, _T_1911) @[lsu_bus_buffer.scala 370:42]
node _T_1913 = eq(_T_1912, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:78]
node _T_1914 = and(_T_1901, _T_1913) @[lsu_bus_buffer.scala 369:76]
node _T_1915 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65]
node _T_1916 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 369:103]
node _T_1917 = and(ibuf_valid, _T_1916) @[lsu_bus_buffer.scala 369:92]
node _T_1918 = eq(WrPtr0_m, UInt<1>("h01")) @[lsu_bus_buffer.scala 370:33]
node _T_1919 = and(io.lsu_busreq_m, _T_1918) @[lsu_bus_buffer.scala 370:22]
node _T_1920 = or(_T_1917, _T_1919) @[lsu_bus_buffer.scala 369:112]
node _T_1921 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 371:36]
node _T_1922 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 372:34]
node _T_1923 = and(io.ldst_dual_r, _T_1922) @[lsu_bus_buffer.scala 372:23]
node _T_1924 = or(_T_1921, _T_1923) @[lsu_bus_buffer.scala 371:46]
node _T_1925 = and(io.lsu_busreq_r, _T_1924) @[lsu_bus_buffer.scala 371:22]
node _T_1926 = or(_T_1920, _T_1925) @[lsu_bus_buffer.scala 370:42]
node _T_1927 = eq(_T_1926, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:78]
node _T_1928 = and(_T_1915, _T_1927) @[lsu_bus_buffer.scala 369:76]
node _T_1929 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65]
node _T_1930 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 369:103]
node _T_1931 = and(ibuf_valid, _T_1930) @[lsu_bus_buffer.scala 369:92]
node _T_1932 = eq(WrPtr0_m, UInt<2>("h02")) @[lsu_bus_buffer.scala 370:33]
node _T_1933 = and(io.lsu_busreq_m, _T_1932) @[lsu_bus_buffer.scala 370:22]
node _T_1934 = or(_T_1931, _T_1933) @[lsu_bus_buffer.scala 369:112]
node _T_1935 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 371:36]
node _T_1936 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 372:34]
node _T_1937 = and(io.ldst_dual_r, _T_1936) @[lsu_bus_buffer.scala 372:23]
node _T_1938 = or(_T_1935, _T_1937) @[lsu_bus_buffer.scala 371:46]
node _T_1939 = and(io.lsu_busreq_r, _T_1938) @[lsu_bus_buffer.scala 371:22]
node _T_1940 = or(_T_1934, _T_1939) @[lsu_bus_buffer.scala 370:42]
node _T_1941 = eq(_T_1940, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:78]
node _T_1942 = and(_T_1929, _T_1941) @[lsu_bus_buffer.scala 369:76]
node _T_1943 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65]
node _T_1944 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 369:103]
node _T_1945 = and(ibuf_valid, _T_1944) @[lsu_bus_buffer.scala 369:92]
node _T_1946 = eq(WrPtr0_m, UInt<2>("h03")) @[lsu_bus_buffer.scala 370:33]
node _T_1947 = and(io.lsu_busreq_m, _T_1946) @[lsu_bus_buffer.scala 370:22]
node _T_1948 = or(_T_1945, _T_1947) @[lsu_bus_buffer.scala 369:112]
node _T_1949 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 371:36]
node _T_1950 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 372:34]
node _T_1951 = and(io.ldst_dual_r, _T_1950) @[lsu_bus_buffer.scala 372:23]
node _T_1952 = or(_T_1949, _T_1951) @[lsu_bus_buffer.scala 371:46]
node _T_1953 = and(io.lsu_busreq_r, _T_1952) @[lsu_bus_buffer.scala 371:22]
node _T_1954 = or(_T_1948, _T_1953) @[lsu_bus_buffer.scala 370:42]
node _T_1955 = eq(_T_1954, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:78]
node _T_1956 = and(_T_1943, _T_1955) @[lsu_bus_buffer.scala 369:76]
node _T_1957 = mux(_T_1956, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16]
node _T_1958 = mux(_T_1942, UInt<2>("h02"), _T_1957) @[Mux.scala 98:16]
node _T_1959 = mux(_T_1928, UInt<1>("h01"), _T_1958) @[Mux.scala 98:16]
node _T_1960 = mux(_T_1914, UInt<1>("h00"), _T_1959) @[Mux.scala 98:16]
WrPtr1_m <= _T_1960 @[lsu_bus_buffer.scala 369:12]
wire buf_age : UInt<4>[4] @[lsu_bus_buffer.scala 374:21]
buf_age[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 375:11]
buf_age[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 375:11]
buf_age[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 375:11]
buf_age[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 375:11]
node _T_1961 = orr(buf_age[0]) @[lsu_bus_buffer.scala 377:58]
node _T_1962 = eq(_T_1961, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:45]
node _T_1963 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 377:78]
node _T_1964 = and(_T_1962, _T_1963) @[lsu_bus_buffer.scala 377:63]
node _T_1965 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 377:90]
node _T_1966 = and(_T_1964, _T_1965) @[lsu_bus_buffer.scala 377:88]
node _T_1967 = orr(buf_age[1]) @[lsu_bus_buffer.scala 377:58]
node _T_1968 = eq(_T_1967, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:45]
node _T_1969 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 377:78]
node _T_1970 = and(_T_1968, _T_1969) @[lsu_bus_buffer.scala 377:63]
node _T_1971 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 377:90]
node _T_1972 = and(_T_1970, _T_1971) @[lsu_bus_buffer.scala 377:88]
node _T_1973 = orr(buf_age[2]) @[lsu_bus_buffer.scala 377:58]
node _T_1974 = eq(_T_1973, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:45]
node _T_1975 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 377:78]
node _T_1976 = and(_T_1974, _T_1975) @[lsu_bus_buffer.scala 377:63]
node _T_1977 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 377:90]
node _T_1978 = and(_T_1976, _T_1977) @[lsu_bus_buffer.scala 377:88]
node _T_1979 = orr(buf_age[3]) @[lsu_bus_buffer.scala 377:58]
node _T_1980 = eq(_T_1979, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:45]
node _T_1981 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 377:78]
node _T_1982 = and(_T_1980, _T_1981) @[lsu_bus_buffer.scala 377:63]
node _T_1983 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 377:90]
node _T_1984 = and(_T_1982, _T_1983) @[lsu_bus_buffer.scala 377:88]
node _T_1985 = cat(_T_1984, _T_1978) @[Cat.scala 29:58]
node _T_1986 = cat(_T_1985, _T_1972) @[Cat.scala 29:58]
node CmdPtr0Dec = cat(_T_1986, _T_1966) @[Cat.scala 29:58]
node _T_1987 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 378:62]
node _T_1988 = and(buf_age[0], _T_1987) @[lsu_bus_buffer.scala 378:59]
node _T_1989 = orr(_T_1988) @[lsu_bus_buffer.scala 378:76]
node _T_1990 = eq(_T_1989, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45]
node _T_1991 = bits(CmdPtr0Dec, 0, 0) @[lsu_bus_buffer.scala 378:94]
node _T_1992 = eq(_T_1991, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:83]
node _T_1993 = and(_T_1990, _T_1992) @[lsu_bus_buffer.scala 378:81]
node _T_1994 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:113]
node _T_1995 = and(_T_1993, _T_1994) @[lsu_bus_buffer.scala 378:98]
node _T_1996 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:125]
node _T_1997 = and(_T_1995, _T_1996) @[lsu_bus_buffer.scala 378:123]
node _T_1998 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 378:62]
node _T_1999 = and(buf_age[1], _T_1998) @[lsu_bus_buffer.scala 378:59]
node _T_2000 = orr(_T_1999) @[lsu_bus_buffer.scala 378:76]
node _T_2001 = eq(_T_2000, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45]
node _T_2002 = bits(CmdPtr0Dec, 1, 1) @[lsu_bus_buffer.scala 378:94]
node _T_2003 = eq(_T_2002, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:83]
node _T_2004 = and(_T_2001, _T_2003) @[lsu_bus_buffer.scala 378:81]
node _T_2005 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:113]
node _T_2006 = and(_T_2004, _T_2005) @[lsu_bus_buffer.scala 378:98]
node _T_2007 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:125]
node _T_2008 = and(_T_2006, _T_2007) @[lsu_bus_buffer.scala 378:123]
node _T_2009 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 378:62]
node _T_2010 = and(buf_age[2], _T_2009) @[lsu_bus_buffer.scala 378:59]
node _T_2011 = orr(_T_2010) @[lsu_bus_buffer.scala 378:76]
node _T_2012 = eq(_T_2011, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45]
node _T_2013 = bits(CmdPtr0Dec, 2, 2) @[lsu_bus_buffer.scala 378:94]
node _T_2014 = eq(_T_2013, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:83]
node _T_2015 = and(_T_2012, _T_2014) @[lsu_bus_buffer.scala 378:81]
node _T_2016 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:113]
node _T_2017 = and(_T_2015, _T_2016) @[lsu_bus_buffer.scala 378:98]
node _T_2018 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:125]
node _T_2019 = and(_T_2017, _T_2018) @[lsu_bus_buffer.scala 378:123]
node _T_2020 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 378:62]
node _T_2021 = and(buf_age[3], _T_2020) @[lsu_bus_buffer.scala 378:59]
node _T_2022 = orr(_T_2021) @[lsu_bus_buffer.scala 378:76]
node _T_2023 = eq(_T_2022, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45]
node _T_2024 = bits(CmdPtr0Dec, 3, 3) @[lsu_bus_buffer.scala 378:94]
node _T_2025 = eq(_T_2024, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:83]
node _T_2026 = and(_T_2023, _T_2025) @[lsu_bus_buffer.scala 378:81]
node _T_2027 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:113]
node _T_2028 = and(_T_2026, _T_2027) @[lsu_bus_buffer.scala 378:98]
node _T_2029 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:125]
node _T_2030 = and(_T_2028, _T_2029) @[lsu_bus_buffer.scala 378:123]
node _T_2031 = cat(_T_2030, _T_2019) @[Cat.scala 29:58]
node _T_2032 = cat(_T_2031, _T_2008) @[Cat.scala 29:58]
node CmdPtr1Dec = cat(_T_2032, _T_1997) @[Cat.scala 29:58]
wire buf_rsp_pickage : UInt<4>[4] @[lsu_bus_buffer.scala 379:29]
buf_rsp_pickage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 380:19]
buf_rsp_pickage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 380:19]
buf_rsp_pickage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 380:19]
buf_rsp_pickage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 380:19]
node _T_2033 = orr(buf_rsp_pickage[0]) @[lsu_bus_buffer.scala 381:65]
node _T_2034 = eq(_T_2033, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:44]
node _T_2035 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 381:85]
node _T_2036 = and(_T_2034, _T_2035) @[lsu_bus_buffer.scala 381:70]
node _T_2037 = orr(buf_rsp_pickage[1]) @[lsu_bus_buffer.scala 381:65]
node _T_2038 = eq(_T_2037, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:44]
node _T_2039 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 381:85]
node _T_2040 = and(_T_2038, _T_2039) @[lsu_bus_buffer.scala 381:70]
node _T_2041 = orr(buf_rsp_pickage[2]) @[lsu_bus_buffer.scala 381:65]
node _T_2042 = eq(_T_2041, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:44]
node _T_2043 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 381:85]
node _T_2044 = and(_T_2042, _T_2043) @[lsu_bus_buffer.scala 381:70]
node _T_2045 = orr(buf_rsp_pickage[3]) @[lsu_bus_buffer.scala 381:65]
node _T_2046 = eq(_T_2045, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:44]
node _T_2047 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 381:85]
node _T_2048 = and(_T_2046, _T_2047) @[lsu_bus_buffer.scala 381:70]
node _T_2049 = cat(_T_2048, _T_2044) @[Cat.scala 29:58]
node _T_2050 = cat(_T_2049, _T_2040) @[Cat.scala 29:58]
node RspPtrDec = cat(_T_2050, _T_2036) @[Cat.scala 29:58]
node _T_2051 = orr(CmdPtr0Dec) @[lsu_bus_buffer.scala 382:31]
found_cmdptr0 <= _T_2051 @[lsu_bus_buffer.scala 382:17]
node _T_2052 = orr(CmdPtr1Dec) @[lsu_bus_buffer.scala 383:31]
found_cmdptr1 <= _T_2052 @[lsu_bus_buffer.scala 383:17]
wire RspPtr : UInt<2>
RspPtr <= UInt<1>("h00")
node _T_2053 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_2054 = cat(_T_2053, CmdPtr0Dec) @[Cat.scala 29:58]
node _T_2055 = bits(_T_2054, 4, 4) @[lsu_bus_buffer.scala 385:39]
node _T_2056 = bits(_T_2054, 5, 5) @[lsu_bus_buffer.scala 385:45]
node _T_2057 = or(_T_2055, _T_2056) @[lsu_bus_buffer.scala 385:42]
node _T_2058 = bits(_T_2054, 6, 6) @[lsu_bus_buffer.scala 385:51]
node _T_2059 = or(_T_2057, _T_2058) @[lsu_bus_buffer.scala 385:48]
node _T_2060 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 385:57]
node _T_2061 = or(_T_2059, _T_2060) @[lsu_bus_buffer.scala 385:54]
node _T_2062 = bits(_T_2054, 2, 2) @[lsu_bus_buffer.scala 385:64]
node _T_2063 = bits(_T_2054, 3, 3) @[lsu_bus_buffer.scala 385:70]
node _T_2064 = or(_T_2062, _T_2063) @[lsu_bus_buffer.scala 385:67]
node _T_2065 = bits(_T_2054, 6, 6) @[lsu_bus_buffer.scala 385:76]
node _T_2066 = or(_T_2064, _T_2065) @[lsu_bus_buffer.scala 385:73]
node _T_2067 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 385:82]
node _T_2068 = or(_T_2066, _T_2067) @[lsu_bus_buffer.scala 385:79]
node _T_2069 = bits(_T_2054, 1, 1) @[lsu_bus_buffer.scala 385:89]
node _T_2070 = bits(_T_2054, 3, 3) @[lsu_bus_buffer.scala 385:95]
node _T_2071 = or(_T_2069, _T_2070) @[lsu_bus_buffer.scala 385:92]
node _T_2072 = bits(_T_2054, 5, 5) @[lsu_bus_buffer.scala 385:101]
node _T_2073 = or(_T_2071, _T_2072) @[lsu_bus_buffer.scala 385:98]
node _T_2074 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 385:107]
node _T_2075 = or(_T_2073, _T_2074) @[lsu_bus_buffer.scala 385:104]
node _T_2076 = cat(_T_2061, _T_2068) @[Cat.scala 29:58]
node _T_2077 = cat(_T_2076, _T_2075) @[Cat.scala 29:58]
CmdPtr0 <= _T_2077 @[lsu_bus_buffer.scala 390:11]
node _T_2078 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_2079 = cat(_T_2078, CmdPtr1Dec) @[Cat.scala 29:58]
node _T_2080 = bits(_T_2079, 4, 4) @[lsu_bus_buffer.scala 385:39]
node _T_2081 = bits(_T_2079, 5, 5) @[lsu_bus_buffer.scala 385:45]
node _T_2082 = or(_T_2080, _T_2081) @[lsu_bus_buffer.scala 385:42]
node _T_2083 = bits(_T_2079, 6, 6) @[lsu_bus_buffer.scala 385:51]
node _T_2084 = or(_T_2082, _T_2083) @[lsu_bus_buffer.scala 385:48]
node _T_2085 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 385:57]
node _T_2086 = or(_T_2084, _T_2085) @[lsu_bus_buffer.scala 385:54]
node _T_2087 = bits(_T_2079, 2, 2) @[lsu_bus_buffer.scala 385:64]
node _T_2088 = bits(_T_2079, 3, 3) @[lsu_bus_buffer.scala 385:70]
node _T_2089 = or(_T_2087, _T_2088) @[lsu_bus_buffer.scala 385:67]
node _T_2090 = bits(_T_2079, 6, 6) @[lsu_bus_buffer.scala 385:76]
node _T_2091 = or(_T_2089, _T_2090) @[lsu_bus_buffer.scala 385:73]
node _T_2092 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 385:82]
node _T_2093 = or(_T_2091, _T_2092) @[lsu_bus_buffer.scala 385:79]
node _T_2094 = bits(_T_2079, 1, 1) @[lsu_bus_buffer.scala 385:89]
node _T_2095 = bits(_T_2079, 3, 3) @[lsu_bus_buffer.scala 385:95]
node _T_2096 = or(_T_2094, _T_2095) @[lsu_bus_buffer.scala 385:92]
node _T_2097 = bits(_T_2079, 5, 5) @[lsu_bus_buffer.scala 385:101]
node _T_2098 = or(_T_2096, _T_2097) @[lsu_bus_buffer.scala 385:98]
node _T_2099 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 385:107]
node _T_2100 = or(_T_2098, _T_2099) @[lsu_bus_buffer.scala 385:104]
node _T_2101 = cat(_T_2086, _T_2093) @[Cat.scala 29:58]
node _T_2102 = cat(_T_2101, _T_2100) @[Cat.scala 29:58]
CmdPtr1 <= _T_2102 @[lsu_bus_buffer.scala 392:11]
node _T_2103 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12]
node _T_2104 = cat(_T_2103, RspPtrDec) @[Cat.scala 29:58]
node _T_2105 = bits(_T_2104, 4, 4) @[lsu_bus_buffer.scala 385:39]
node _T_2106 = bits(_T_2104, 5, 5) @[lsu_bus_buffer.scala 385:45]
node _T_2107 = or(_T_2105, _T_2106) @[lsu_bus_buffer.scala 385:42]
node _T_2108 = bits(_T_2104, 6, 6) @[lsu_bus_buffer.scala 385:51]
node _T_2109 = or(_T_2107, _T_2108) @[lsu_bus_buffer.scala 385:48]
node _T_2110 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 385:57]
node _T_2111 = or(_T_2109, _T_2110) @[lsu_bus_buffer.scala 385:54]
node _T_2112 = bits(_T_2104, 2, 2) @[lsu_bus_buffer.scala 385:64]
node _T_2113 = bits(_T_2104, 3, 3) @[lsu_bus_buffer.scala 385:70]
node _T_2114 = or(_T_2112, _T_2113) @[lsu_bus_buffer.scala 385:67]
node _T_2115 = bits(_T_2104, 6, 6) @[lsu_bus_buffer.scala 385:76]
node _T_2116 = or(_T_2114, _T_2115) @[lsu_bus_buffer.scala 385:73]
node _T_2117 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 385:82]
node _T_2118 = or(_T_2116, _T_2117) @[lsu_bus_buffer.scala 385:79]
node _T_2119 = bits(_T_2104, 1, 1) @[lsu_bus_buffer.scala 385:89]
node _T_2120 = bits(_T_2104, 3, 3) @[lsu_bus_buffer.scala 385:95]
node _T_2121 = or(_T_2119, _T_2120) @[lsu_bus_buffer.scala 385:92]
node _T_2122 = bits(_T_2104, 5, 5) @[lsu_bus_buffer.scala 385:101]
node _T_2123 = or(_T_2121, _T_2122) @[lsu_bus_buffer.scala 385:98]
node _T_2124 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 385:107]
node _T_2125 = or(_T_2123, _T_2124) @[lsu_bus_buffer.scala 385:104]
node _T_2126 = cat(_T_2111, _T_2118) @[Cat.scala 29:58]
node _T_2127 = cat(_T_2126, _T_2125) @[Cat.scala 29:58]
RspPtr <= _T_2127 @[lsu_bus_buffer.scala 393:10]
wire buf_state_en : UInt<1>[4] @[lsu_bus_buffer.scala 394:26]
buf_state_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 395:16]
buf_state_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 395:16]
buf_state_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 395:16]
buf_state_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 395:16]
wire buf_rspageQ : UInt<4>[4] @[lsu_bus_buffer.scala 396:25]
buf_rspageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 397:15]
buf_rspageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 397:15]
buf_rspageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 397:15]
buf_rspageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 397:15]
wire buf_rspage_set : UInt<4>[4] @[lsu_bus_buffer.scala 398:28]
buf_rspage_set[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 399:18]
buf_rspage_set[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 399:18]
buf_rspage_set[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 399:18]
buf_rspage_set[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 399:18]
wire buf_rspage_in : UInt<4>[4] @[lsu_bus_buffer.scala 400:27]
buf_rspage_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:17]
buf_rspage_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:17]
buf_rspage_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:17]
buf_rspage_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:17]
wire buf_rspage : UInt<4>[4] @[lsu_bus_buffer.scala 402:24]
buf_rspage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:14]
buf_rspage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:14]
buf_rspage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:14]
buf_rspage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:14]
node _T_2128 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83]
node _T_2129 = and(_T_2128, buf_state_en[0]) @[lsu_bus_buffer.scala 405:94]
node _T_2130 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20]
node _T_2131 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47]
node _T_2132 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59]
node _T_2133 = and(_T_2131, _T_2132) @[lsu_bus_buffer.scala 406:57]
node _T_2134 = or(_T_2130, _T_2133) @[lsu_bus_buffer.scala 406:31]
node _T_2135 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23]
node _T_2136 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53]
node _T_2137 = and(_T_2135, _T_2136) @[lsu_bus_buffer.scala 407:41]
node _T_2138 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:83]
node _T_2139 = and(_T_2137, _T_2138) @[lsu_bus_buffer.scala 407:71]
node _T_2140 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:104]
node _T_2141 = and(_T_2139, _T_2140) @[lsu_bus_buffer.scala 407:92]
node _T_2142 = or(_T_2134, _T_2141) @[lsu_bus_buffer.scala 406:86]
node _T_2143 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17]
node _T_2144 = and(_T_2143, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35]
node _T_2145 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:64]
node _T_2146 = and(_T_2144, _T_2145) @[lsu_bus_buffer.scala 408:52]
node _T_2147 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:85]
node _T_2148 = and(_T_2146, _T_2147) @[lsu_bus_buffer.scala 408:73]
node _T_2149 = or(_T_2142, _T_2148) @[lsu_bus_buffer.scala 407:114]
node _T_2150 = and(_T_2129, _T_2149) @[lsu_bus_buffer.scala 405:113]
node _T_2151 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 408:109]
node _T_2152 = or(_T_2150, _T_2151) @[lsu_bus_buffer.scala 408:97]
node _T_2153 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83]
node _T_2154 = and(_T_2153, buf_state_en[0]) @[lsu_bus_buffer.scala 405:94]
node _T_2155 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20]
node _T_2156 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47]
node _T_2157 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59]
node _T_2158 = and(_T_2156, _T_2157) @[lsu_bus_buffer.scala 406:57]
node _T_2159 = or(_T_2155, _T_2158) @[lsu_bus_buffer.scala 406:31]
node _T_2160 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23]
node _T_2161 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53]
node _T_2162 = and(_T_2160, _T_2161) @[lsu_bus_buffer.scala 407:41]
node _T_2163 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:83]
node _T_2164 = and(_T_2162, _T_2163) @[lsu_bus_buffer.scala 407:71]
node _T_2165 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:104]
node _T_2166 = and(_T_2164, _T_2165) @[lsu_bus_buffer.scala 407:92]
node _T_2167 = or(_T_2159, _T_2166) @[lsu_bus_buffer.scala 406:86]
node _T_2168 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17]
node _T_2169 = and(_T_2168, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35]
node _T_2170 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:64]
node _T_2171 = and(_T_2169, _T_2170) @[lsu_bus_buffer.scala 408:52]
node _T_2172 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:85]
node _T_2173 = and(_T_2171, _T_2172) @[lsu_bus_buffer.scala 408:73]
node _T_2174 = or(_T_2167, _T_2173) @[lsu_bus_buffer.scala 407:114]
node _T_2175 = and(_T_2154, _T_2174) @[lsu_bus_buffer.scala 405:113]
node _T_2176 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 408:109]
node _T_2177 = or(_T_2175, _T_2176) @[lsu_bus_buffer.scala 408:97]
node _T_2178 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83]
node _T_2179 = and(_T_2178, buf_state_en[0]) @[lsu_bus_buffer.scala 405:94]
node _T_2180 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20]
node _T_2181 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47]
node _T_2182 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59]
node _T_2183 = and(_T_2181, _T_2182) @[lsu_bus_buffer.scala 406:57]
node _T_2184 = or(_T_2180, _T_2183) @[lsu_bus_buffer.scala 406:31]
node _T_2185 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23]
node _T_2186 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53]
node _T_2187 = and(_T_2185, _T_2186) @[lsu_bus_buffer.scala 407:41]
node _T_2188 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:83]
node _T_2189 = and(_T_2187, _T_2188) @[lsu_bus_buffer.scala 407:71]
node _T_2190 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:104]
node _T_2191 = and(_T_2189, _T_2190) @[lsu_bus_buffer.scala 407:92]
node _T_2192 = or(_T_2184, _T_2191) @[lsu_bus_buffer.scala 406:86]
node _T_2193 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17]
node _T_2194 = and(_T_2193, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35]
node _T_2195 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:64]
node _T_2196 = and(_T_2194, _T_2195) @[lsu_bus_buffer.scala 408:52]
node _T_2197 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:85]
node _T_2198 = and(_T_2196, _T_2197) @[lsu_bus_buffer.scala 408:73]
node _T_2199 = or(_T_2192, _T_2198) @[lsu_bus_buffer.scala 407:114]
node _T_2200 = and(_T_2179, _T_2199) @[lsu_bus_buffer.scala 405:113]
node _T_2201 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 408:109]
node _T_2202 = or(_T_2200, _T_2201) @[lsu_bus_buffer.scala 408:97]
node _T_2203 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83]
node _T_2204 = and(_T_2203, buf_state_en[0]) @[lsu_bus_buffer.scala 405:94]
node _T_2205 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20]
node _T_2206 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47]
node _T_2207 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59]
node _T_2208 = and(_T_2206, _T_2207) @[lsu_bus_buffer.scala 406:57]
node _T_2209 = or(_T_2205, _T_2208) @[lsu_bus_buffer.scala 406:31]
node _T_2210 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23]
node _T_2211 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53]
node _T_2212 = and(_T_2210, _T_2211) @[lsu_bus_buffer.scala 407:41]
node _T_2213 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:83]
node _T_2214 = and(_T_2212, _T_2213) @[lsu_bus_buffer.scala 407:71]
node _T_2215 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:104]
node _T_2216 = and(_T_2214, _T_2215) @[lsu_bus_buffer.scala 407:92]
node _T_2217 = or(_T_2209, _T_2216) @[lsu_bus_buffer.scala 406:86]
node _T_2218 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17]
node _T_2219 = and(_T_2218, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35]
node _T_2220 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:64]
node _T_2221 = and(_T_2219, _T_2220) @[lsu_bus_buffer.scala 408:52]
node _T_2222 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:85]
node _T_2223 = and(_T_2221, _T_2222) @[lsu_bus_buffer.scala 408:73]
node _T_2224 = or(_T_2217, _T_2223) @[lsu_bus_buffer.scala 407:114]
node _T_2225 = and(_T_2204, _T_2224) @[lsu_bus_buffer.scala 405:113]
node _T_2226 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 408:109]
node _T_2227 = or(_T_2225, _T_2226) @[lsu_bus_buffer.scala 408:97]
node _T_2228 = cat(_T_2227, _T_2202) @[Cat.scala 29:58]
node _T_2229 = cat(_T_2228, _T_2177) @[Cat.scala 29:58]
node buf_age_in_0 = cat(_T_2229, _T_2152) @[Cat.scala 29:58]
node _T_2230 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83]
node _T_2231 = and(_T_2230, buf_state_en[1]) @[lsu_bus_buffer.scala 405:94]
node _T_2232 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20]
node _T_2233 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47]
node _T_2234 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59]
node _T_2235 = and(_T_2233, _T_2234) @[lsu_bus_buffer.scala 406:57]
node _T_2236 = or(_T_2232, _T_2235) @[lsu_bus_buffer.scala 406:31]
node _T_2237 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23]
node _T_2238 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53]
node _T_2239 = and(_T_2237, _T_2238) @[lsu_bus_buffer.scala 407:41]
node _T_2240 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:83]
node _T_2241 = and(_T_2239, _T_2240) @[lsu_bus_buffer.scala 407:71]
node _T_2242 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:104]
node _T_2243 = and(_T_2241, _T_2242) @[lsu_bus_buffer.scala 407:92]
node _T_2244 = or(_T_2236, _T_2243) @[lsu_bus_buffer.scala 406:86]
node _T_2245 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17]
node _T_2246 = and(_T_2245, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35]
node _T_2247 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:64]
node _T_2248 = and(_T_2246, _T_2247) @[lsu_bus_buffer.scala 408:52]
node _T_2249 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:85]
node _T_2250 = and(_T_2248, _T_2249) @[lsu_bus_buffer.scala 408:73]
node _T_2251 = or(_T_2244, _T_2250) @[lsu_bus_buffer.scala 407:114]
node _T_2252 = and(_T_2231, _T_2251) @[lsu_bus_buffer.scala 405:113]
node _T_2253 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 408:109]
node _T_2254 = or(_T_2252, _T_2253) @[lsu_bus_buffer.scala 408:97]
node _T_2255 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83]
node _T_2256 = and(_T_2255, buf_state_en[1]) @[lsu_bus_buffer.scala 405:94]
node _T_2257 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20]
node _T_2258 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47]
node _T_2259 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59]
node _T_2260 = and(_T_2258, _T_2259) @[lsu_bus_buffer.scala 406:57]
node _T_2261 = or(_T_2257, _T_2260) @[lsu_bus_buffer.scala 406:31]
node _T_2262 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23]
node _T_2263 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53]
node _T_2264 = and(_T_2262, _T_2263) @[lsu_bus_buffer.scala 407:41]
node _T_2265 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:83]
node _T_2266 = and(_T_2264, _T_2265) @[lsu_bus_buffer.scala 407:71]
node _T_2267 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:104]
node _T_2268 = and(_T_2266, _T_2267) @[lsu_bus_buffer.scala 407:92]
node _T_2269 = or(_T_2261, _T_2268) @[lsu_bus_buffer.scala 406:86]
node _T_2270 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17]
node _T_2271 = and(_T_2270, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35]
node _T_2272 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:64]
node _T_2273 = and(_T_2271, _T_2272) @[lsu_bus_buffer.scala 408:52]
node _T_2274 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:85]
node _T_2275 = and(_T_2273, _T_2274) @[lsu_bus_buffer.scala 408:73]
node _T_2276 = or(_T_2269, _T_2275) @[lsu_bus_buffer.scala 407:114]
node _T_2277 = and(_T_2256, _T_2276) @[lsu_bus_buffer.scala 405:113]
node _T_2278 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 408:109]
node _T_2279 = or(_T_2277, _T_2278) @[lsu_bus_buffer.scala 408:97]
node _T_2280 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83]
node _T_2281 = and(_T_2280, buf_state_en[1]) @[lsu_bus_buffer.scala 405:94]
node _T_2282 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20]
node _T_2283 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47]
node _T_2284 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59]
node _T_2285 = and(_T_2283, _T_2284) @[lsu_bus_buffer.scala 406:57]
node _T_2286 = or(_T_2282, _T_2285) @[lsu_bus_buffer.scala 406:31]
node _T_2287 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23]
node _T_2288 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53]
node _T_2289 = and(_T_2287, _T_2288) @[lsu_bus_buffer.scala 407:41]
node _T_2290 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:83]
node _T_2291 = and(_T_2289, _T_2290) @[lsu_bus_buffer.scala 407:71]
node _T_2292 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:104]
node _T_2293 = and(_T_2291, _T_2292) @[lsu_bus_buffer.scala 407:92]
node _T_2294 = or(_T_2286, _T_2293) @[lsu_bus_buffer.scala 406:86]
node _T_2295 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17]
node _T_2296 = and(_T_2295, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35]
node _T_2297 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:64]
node _T_2298 = and(_T_2296, _T_2297) @[lsu_bus_buffer.scala 408:52]
node _T_2299 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:85]
node _T_2300 = and(_T_2298, _T_2299) @[lsu_bus_buffer.scala 408:73]
node _T_2301 = or(_T_2294, _T_2300) @[lsu_bus_buffer.scala 407:114]
node _T_2302 = and(_T_2281, _T_2301) @[lsu_bus_buffer.scala 405:113]
node _T_2303 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 408:109]
node _T_2304 = or(_T_2302, _T_2303) @[lsu_bus_buffer.scala 408:97]
node _T_2305 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83]
node _T_2306 = and(_T_2305, buf_state_en[1]) @[lsu_bus_buffer.scala 405:94]
node _T_2307 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20]
node _T_2308 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47]
node _T_2309 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59]
node _T_2310 = and(_T_2308, _T_2309) @[lsu_bus_buffer.scala 406:57]
node _T_2311 = or(_T_2307, _T_2310) @[lsu_bus_buffer.scala 406:31]
node _T_2312 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23]
node _T_2313 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53]
node _T_2314 = and(_T_2312, _T_2313) @[lsu_bus_buffer.scala 407:41]
node _T_2315 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:83]
node _T_2316 = and(_T_2314, _T_2315) @[lsu_bus_buffer.scala 407:71]
node _T_2317 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:104]
node _T_2318 = and(_T_2316, _T_2317) @[lsu_bus_buffer.scala 407:92]
node _T_2319 = or(_T_2311, _T_2318) @[lsu_bus_buffer.scala 406:86]
node _T_2320 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17]
node _T_2321 = and(_T_2320, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35]
node _T_2322 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:64]
node _T_2323 = and(_T_2321, _T_2322) @[lsu_bus_buffer.scala 408:52]
node _T_2324 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:85]
node _T_2325 = and(_T_2323, _T_2324) @[lsu_bus_buffer.scala 408:73]
node _T_2326 = or(_T_2319, _T_2325) @[lsu_bus_buffer.scala 407:114]
node _T_2327 = and(_T_2306, _T_2326) @[lsu_bus_buffer.scala 405:113]
node _T_2328 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 408:109]
node _T_2329 = or(_T_2327, _T_2328) @[lsu_bus_buffer.scala 408:97]
node _T_2330 = cat(_T_2329, _T_2304) @[Cat.scala 29:58]
node _T_2331 = cat(_T_2330, _T_2279) @[Cat.scala 29:58]
node buf_age_in_1 = cat(_T_2331, _T_2254) @[Cat.scala 29:58]
node _T_2332 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83]
node _T_2333 = and(_T_2332, buf_state_en[2]) @[lsu_bus_buffer.scala 405:94]
node _T_2334 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20]
node _T_2335 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47]
node _T_2336 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59]
node _T_2337 = and(_T_2335, _T_2336) @[lsu_bus_buffer.scala 406:57]
node _T_2338 = or(_T_2334, _T_2337) @[lsu_bus_buffer.scala 406:31]
node _T_2339 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23]
node _T_2340 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53]
node _T_2341 = and(_T_2339, _T_2340) @[lsu_bus_buffer.scala 407:41]
node _T_2342 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:83]
node _T_2343 = and(_T_2341, _T_2342) @[lsu_bus_buffer.scala 407:71]
node _T_2344 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:104]
node _T_2345 = and(_T_2343, _T_2344) @[lsu_bus_buffer.scala 407:92]
node _T_2346 = or(_T_2338, _T_2345) @[lsu_bus_buffer.scala 406:86]
node _T_2347 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17]
node _T_2348 = and(_T_2347, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35]
node _T_2349 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:64]
node _T_2350 = and(_T_2348, _T_2349) @[lsu_bus_buffer.scala 408:52]
node _T_2351 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:85]
node _T_2352 = and(_T_2350, _T_2351) @[lsu_bus_buffer.scala 408:73]
node _T_2353 = or(_T_2346, _T_2352) @[lsu_bus_buffer.scala 407:114]
node _T_2354 = and(_T_2333, _T_2353) @[lsu_bus_buffer.scala 405:113]
node _T_2355 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 408:109]
node _T_2356 = or(_T_2354, _T_2355) @[lsu_bus_buffer.scala 408:97]
node _T_2357 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83]
node _T_2358 = and(_T_2357, buf_state_en[2]) @[lsu_bus_buffer.scala 405:94]
node _T_2359 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20]
node _T_2360 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47]
node _T_2361 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59]
node _T_2362 = and(_T_2360, _T_2361) @[lsu_bus_buffer.scala 406:57]
node _T_2363 = or(_T_2359, _T_2362) @[lsu_bus_buffer.scala 406:31]
node _T_2364 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23]
node _T_2365 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53]
node _T_2366 = and(_T_2364, _T_2365) @[lsu_bus_buffer.scala 407:41]
node _T_2367 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:83]
node _T_2368 = and(_T_2366, _T_2367) @[lsu_bus_buffer.scala 407:71]
node _T_2369 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:104]
node _T_2370 = and(_T_2368, _T_2369) @[lsu_bus_buffer.scala 407:92]
node _T_2371 = or(_T_2363, _T_2370) @[lsu_bus_buffer.scala 406:86]
node _T_2372 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17]
node _T_2373 = and(_T_2372, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35]
node _T_2374 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:64]
node _T_2375 = and(_T_2373, _T_2374) @[lsu_bus_buffer.scala 408:52]
node _T_2376 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:85]
node _T_2377 = and(_T_2375, _T_2376) @[lsu_bus_buffer.scala 408:73]
node _T_2378 = or(_T_2371, _T_2377) @[lsu_bus_buffer.scala 407:114]
node _T_2379 = and(_T_2358, _T_2378) @[lsu_bus_buffer.scala 405:113]
node _T_2380 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 408:109]
node _T_2381 = or(_T_2379, _T_2380) @[lsu_bus_buffer.scala 408:97]
node _T_2382 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83]
node _T_2383 = and(_T_2382, buf_state_en[2]) @[lsu_bus_buffer.scala 405:94]
node _T_2384 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20]
node _T_2385 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47]
node _T_2386 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59]
node _T_2387 = and(_T_2385, _T_2386) @[lsu_bus_buffer.scala 406:57]
node _T_2388 = or(_T_2384, _T_2387) @[lsu_bus_buffer.scala 406:31]
node _T_2389 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23]
node _T_2390 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53]
node _T_2391 = and(_T_2389, _T_2390) @[lsu_bus_buffer.scala 407:41]
node _T_2392 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:83]
node _T_2393 = and(_T_2391, _T_2392) @[lsu_bus_buffer.scala 407:71]
node _T_2394 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:104]
node _T_2395 = and(_T_2393, _T_2394) @[lsu_bus_buffer.scala 407:92]
node _T_2396 = or(_T_2388, _T_2395) @[lsu_bus_buffer.scala 406:86]
node _T_2397 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17]
node _T_2398 = and(_T_2397, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35]
node _T_2399 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:64]
node _T_2400 = and(_T_2398, _T_2399) @[lsu_bus_buffer.scala 408:52]
node _T_2401 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:85]
node _T_2402 = and(_T_2400, _T_2401) @[lsu_bus_buffer.scala 408:73]
node _T_2403 = or(_T_2396, _T_2402) @[lsu_bus_buffer.scala 407:114]
node _T_2404 = and(_T_2383, _T_2403) @[lsu_bus_buffer.scala 405:113]
node _T_2405 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 408:109]
node _T_2406 = or(_T_2404, _T_2405) @[lsu_bus_buffer.scala 408:97]
node _T_2407 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83]
node _T_2408 = and(_T_2407, buf_state_en[2]) @[lsu_bus_buffer.scala 405:94]
node _T_2409 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20]
node _T_2410 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47]
node _T_2411 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59]
node _T_2412 = and(_T_2410, _T_2411) @[lsu_bus_buffer.scala 406:57]
node _T_2413 = or(_T_2409, _T_2412) @[lsu_bus_buffer.scala 406:31]
node _T_2414 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23]
node _T_2415 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53]
node _T_2416 = and(_T_2414, _T_2415) @[lsu_bus_buffer.scala 407:41]
node _T_2417 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:83]
node _T_2418 = and(_T_2416, _T_2417) @[lsu_bus_buffer.scala 407:71]
node _T_2419 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:104]
node _T_2420 = and(_T_2418, _T_2419) @[lsu_bus_buffer.scala 407:92]
node _T_2421 = or(_T_2413, _T_2420) @[lsu_bus_buffer.scala 406:86]
node _T_2422 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17]
node _T_2423 = and(_T_2422, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35]
node _T_2424 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:64]
node _T_2425 = and(_T_2423, _T_2424) @[lsu_bus_buffer.scala 408:52]
node _T_2426 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:85]
node _T_2427 = and(_T_2425, _T_2426) @[lsu_bus_buffer.scala 408:73]
node _T_2428 = or(_T_2421, _T_2427) @[lsu_bus_buffer.scala 407:114]
node _T_2429 = and(_T_2408, _T_2428) @[lsu_bus_buffer.scala 405:113]
node _T_2430 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 408:109]
node _T_2431 = or(_T_2429, _T_2430) @[lsu_bus_buffer.scala 408:97]
node _T_2432 = cat(_T_2431, _T_2406) @[Cat.scala 29:58]
node _T_2433 = cat(_T_2432, _T_2381) @[Cat.scala 29:58]
node buf_age_in_2 = cat(_T_2433, _T_2356) @[Cat.scala 29:58]
node _T_2434 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83]
node _T_2435 = and(_T_2434, buf_state_en[3]) @[lsu_bus_buffer.scala 405:94]
node _T_2436 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20]
node _T_2437 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47]
node _T_2438 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59]
node _T_2439 = and(_T_2437, _T_2438) @[lsu_bus_buffer.scala 406:57]
node _T_2440 = or(_T_2436, _T_2439) @[lsu_bus_buffer.scala 406:31]
node _T_2441 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23]
node _T_2442 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53]
node _T_2443 = and(_T_2441, _T_2442) @[lsu_bus_buffer.scala 407:41]
node _T_2444 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:83]
node _T_2445 = and(_T_2443, _T_2444) @[lsu_bus_buffer.scala 407:71]
node _T_2446 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:104]
node _T_2447 = and(_T_2445, _T_2446) @[lsu_bus_buffer.scala 407:92]
node _T_2448 = or(_T_2440, _T_2447) @[lsu_bus_buffer.scala 406:86]
node _T_2449 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17]
node _T_2450 = and(_T_2449, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35]
node _T_2451 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:64]
node _T_2452 = and(_T_2450, _T_2451) @[lsu_bus_buffer.scala 408:52]
node _T_2453 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:85]
node _T_2454 = and(_T_2452, _T_2453) @[lsu_bus_buffer.scala 408:73]
node _T_2455 = or(_T_2448, _T_2454) @[lsu_bus_buffer.scala 407:114]
node _T_2456 = and(_T_2435, _T_2455) @[lsu_bus_buffer.scala 405:113]
node _T_2457 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 408:109]
node _T_2458 = or(_T_2456, _T_2457) @[lsu_bus_buffer.scala 408:97]
node _T_2459 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83]
node _T_2460 = and(_T_2459, buf_state_en[3]) @[lsu_bus_buffer.scala 405:94]
node _T_2461 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20]
node _T_2462 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47]
node _T_2463 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59]
node _T_2464 = and(_T_2462, _T_2463) @[lsu_bus_buffer.scala 406:57]
node _T_2465 = or(_T_2461, _T_2464) @[lsu_bus_buffer.scala 406:31]
node _T_2466 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23]
node _T_2467 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53]
node _T_2468 = and(_T_2466, _T_2467) @[lsu_bus_buffer.scala 407:41]
node _T_2469 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:83]
node _T_2470 = and(_T_2468, _T_2469) @[lsu_bus_buffer.scala 407:71]
node _T_2471 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:104]
node _T_2472 = and(_T_2470, _T_2471) @[lsu_bus_buffer.scala 407:92]
node _T_2473 = or(_T_2465, _T_2472) @[lsu_bus_buffer.scala 406:86]
node _T_2474 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17]
node _T_2475 = and(_T_2474, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35]
node _T_2476 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:64]
node _T_2477 = and(_T_2475, _T_2476) @[lsu_bus_buffer.scala 408:52]
node _T_2478 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:85]
node _T_2479 = and(_T_2477, _T_2478) @[lsu_bus_buffer.scala 408:73]
node _T_2480 = or(_T_2473, _T_2479) @[lsu_bus_buffer.scala 407:114]
node _T_2481 = and(_T_2460, _T_2480) @[lsu_bus_buffer.scala 405:113]
node _T_2482 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 408:109]
node _T_2483 = or(_T_2481, _T_2482) @[lsu_bus_buffer.scala 408:97]
node _T_2484 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83]
node _T_2485 = and(_T_2484, buf_state_en[3]) @[lsu_bus_buffer.scala 405:94]
node _T_2486 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20]
node _T_2487 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47]
node _T_2488 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59]
node _T_2489 = and(_T_2487, _T_2488) @[lsu_bus_buffer.scala 406:57]
node _T_2490 = or(_T_2486, _T_2489) @[lsu_bus_buffer.scala 406:31]
node _T_2491 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23]
node _T_2492 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53]
node _T_2493 = and(_T_2491, _T_2492) @[lsu_bus_buffer.scala 407:41]
node _T_2494 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:83]
node _T_2495 = and(_T_2493, _T_2494) @[lsu_bus_buffer.scala 407:71]
node _T_2496 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:104]
node _T_2497 = and(_T_2495, _T_2496) @[lsu_bus_buffer.scala 407:92]
node _T_2498 = or(_T_2490, _T_2497) @[lsu_bus_buffer.scala 406:86]
node _T_2499 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17]
node _T_2500 = and(_T_2499, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35]
node _T_2501 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:64]
node _T_2502 = and(_T_2500, _T_2501) @[lsu_bus_buffer.scala 408:52]
node _T_2503 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:85]
node _T_2504 = and(_T_2502, _T_2503) @[lsu_bus_buffer.scala 408:73]
node _T_2505 = or(_T_2498, _T_2504) @[lsu_bus_buffer.scala 407:114]
node _T_2506 = and(_T_2485, _T_2505) @[lsu_bus_buffer.scala 405:113]
node _T_2507 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 408:109]
node _T_2508 = or(_T_2506, _T_2507) @[lsu_bus_buffer.scala 408:97]
node _T_2509 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83]
node _T_2510 = and(_T_2509, buf_state_en[3]) @[lsu_bus_buffer.scala 405:94]
node _T_2511 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20]
node _T_2512 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47]
node _T_2513 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59]
node _T_2514 = and(_T_2512, _T_2513) @[lsu_bus_buffer.scala 406:57]
node _T_2515 = or(_T_2511, _T_2514) @[lsu_bus_buffer.scala 406:31]
node _T_2516 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23]
node _T_2517 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53]
node _T_2518 = and(_T_2516, _T_2517) @[lsu_bus_buffer.scala 407:41]
node _T_2519 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:83]
node _T_2520 = and(_T_2518, _T_2519) @[lsu_bus_buffer.scala 407:71]
node _T_2521 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:104]
node _T_2522 = and(_T_2520, _T_2521) @[lsu_bus_buffer.scala 407:92]
node _T_2523 = or(_T_2515, _T_2522) @[lsu_bus_buffer.scala 406:86]
node _T_2524 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17]
node _T_2525 = and(_T_2524, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35]
node _T_2526 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:64]
node _T_2527 = and(_T_2525, _T_2526) @[lsu_bus_buffer.scala 408:52]
node _T_2528 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:85]
node _T_2529 = and(_T_2527, _T_2528) @[lsu_bus_buffer.scala 408:73]
node _T_2530 = or(_T_2523, _T_2529) @[lsu_bus_buffer.scala 407:114]
node _T_2531 = and(_T_2510, _T_2530) @[lsu_bus_buffer.scala 405:113]
node _T_2532 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 408:109]
node _T_2533 = or(_T_2531, _T_2532) @[lsu_bus_buffer.scala 408:97]
node _T_2534 = cat(_T_2533, _T_2508) @[Cat.scala 29:58]
node _T_2535 = cat(_T_2534, _T_2483) @[Cat.scala 29:58]
node buf_age_in_3 = cat(_T_2535, _T_2458) @[Cat.scala 29:58]
wire buf_ageQ : UInt<4>[4] @[lsu_bus_buffer.scala 409:22]
buf_ageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 410:12]
buf_ageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 410:12]
buf_ageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 410:12]
buf_ageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 410:12]
node _T_2536 = bits(buf_ageQ[0], 0, 0) @[lsu_bus_buffer.scala 411:72]
node _T_2537 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93]
node _T_2538 = and(_T_2537, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 411:103]
node _T_2539 = eq(_T_2538, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78]
node _T_2540 = and(_T_2536, _T_2539) @[lsu_bus_buffer.scala 411:76]
node _T_2541 = bits(buf_ageQ[0], 1, 1) @[lsu_bus_buffer.scala 411:72]
node _T_2542 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93]
node _T_2543 = and(_T_2542, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 411:103]
node _T_2544 = eq(_T_2543, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78]
node _T_2545 = and(_T_2541, _T_2544) @[lsu_bus_buffer.scala 411:76]
node _T_2546 = bits(buf_ageQ[0], 2, 2) @[lsu_bus_buffer.scala 411:72]
node _T_2547 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93]
node _T_2548 = and(_T_2547, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 411:103]
node _T_2549 = eq(_T_2548, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78]
node _T_2550 = and(_T_2546, _T_2549) @[lsu_bus_buffer.scala 411:76]
node _T_2551 = bits(buf_ageQ[0], 3, 3) @[lsu_bus_buffer.scala 411:72]
node _T_2552 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93]
node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 411:103]
node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78]
node _T_2555 = and(_T_2551, _T_2554) @[lsu_bus_buffer.scala 411:76]
node _T_2556 = cat(_T_2555, _T_2550) @[Cat.scala 29:58]
node _T_2557 = cat(_T_2556, _T_2545) @[Cat.scala 29:58]
node _T_2558 = cat(_T_2557, _T_2540) @[Cat.scala 29:58]
node _T_2559 = bits(buf_ageQ[1], 0, 0) @[lsu_bus_buffer.scala 411:72]
node _T_2560 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93]
node _T_2561 = and(_T_2560, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 411:103]
node _T_2562 = eq(_T_2561, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78]
node _T_2563 = and(_T_2559, _T_2562) @[lsu_bus_buffer.scala 411:76]
node _T_2564 = bits(buf_ageQ[1], 1, 1) @[lsu_bus_buffer.scala 411:72]
node _T_2565 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93]
node _T_2566 = and(_T_2565, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 411:103]
node _T_2567 = eq(_T_2566, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78]
node _T_2568 = and(_T_2564, _T_2567) @[lsu_bus_buffer.scala 411:76]
node _T_2569 = bits(buf_ageQ[1], 2, 2) @[lsu_bus_buffer.scala 411:72]
node _T_2570 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93]
node _T_2571 = and(_T_2570, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 411:103]
node _T_2572 = eq(_T_2571, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78]
node _T_2573 = and(_T_2569, _T_2572) @[lsu_bus_buffer.scala 411:76]
node _T_2574 = bits(buf_ageQ[1], 3, 3) @[lsu_bus_buffer.scala 411:72]
node _T_2575 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93]
node _T_2576 = and(_T_2575, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 411:103]
node _T_2577 = eq(_T_2576, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78]
node _T_2578 = and(_T_2574, _T_2577) @[lsu_bus_buffer.scala 411:76]
node _T_2579 = cat(_T_2578, _T_2573) @[Cat.scala 29:58]
node _T_2580 = cat(_T_2579, _T_2568) @[Cat.scala 29:58]
node _T_2581 = cat(_T_2580, _T_2563) @[Cat.scala 29:58]
node _T_2582 = bits(buf_ageQ[2], 0, 0) @[lsu_bus_buffer.scala 411:72]
node _T_2583 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93]
node _T_2584 = and(_T_2583, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 411:103]
node _T_2585 = eq(_T_2584, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78]
node _T_2586 = and(_T_2582, _T_2585) @[lsu_bus_buffer.scala 411:76]
node _T_2587 = bits(buf_ageQ[2], 1, 1) @[lsu_bus_buffer.scala 411:72]
node _T_2588 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93]
node _T_2589 = and(_T_2588, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 411:103]
node _T_2590 = eq(_T_2589, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78]
node _T_2591 = and(_T_2587, _T_2590) @[lsu_bus_buffer.scala 411:76]
node _T_2592 = bits(buf_ageQ[2], 2, 2) @[lsu_bus_buffer.scala 411:72]
node _T_2593 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93]
node _T_2594 = and(_T_2593, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 411:103]
node _T_2595 = eq(_T_2594, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78]
node _T_2596 = and(_T_2592, _T_2595) @[lsu_bus_buffer.scala 411:76]
node _T_2597 = bits(buf_ageQ[2], 3, 3) @[lsu_bus_buffer.scala 411:72]
node _T_2598 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93]
node _T_2599 = and(_T_2598, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 411:103]
node _T_2600 = eq(_T_2599, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78]
node _T_2601 = and(_T_2597, _T_2600) @[lsu_bus_buffer.scala 411:76]
node _T_2602 = cat(_T_2601, _T_2596) @[Cat.scala 29:58]
node _T_2603 = cat(_T_2602, _T_2591) @[Cat.scala 29:58]
node _T_2604 = cat(_T_2603, _T_2586) @[Cat.scala 29:58]
node _T_2605 = bits(buf_ageQ[3], 0, 0) @[lsu_bus_buffer.scala 411:72]
node _T_2606 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93]
node _T_2607 = and(_T_2606, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 411:103]
node _T_2608 = eq(_T_2607, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78]
node _T_2609 = and(_T_2605, _T_2608) @[lsu_bus_buffer.scala 411:76]
node _T_2610 = bits(buf_ageQ[3], 1, 1) @[lsu_bus_buffer.scala 411:72]
node _T_2611 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93]
node _T_2612 = and(_T_2611, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 411:103]
node _T_2613 = eq(_T_2612, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78]
node _T_2614 = and(_T_2610, _T_2613) @[lsu_bus_buffer.scala 411:76]
node _T_2615 = bits(buf_ageQ[3], 2, 2) @[lsu_bus_buffer.scala 411:72]
node _T_2616 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93]
node _T_2617 = and(_T_2616, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 411:103]
node _T_2618 = eq(_T_2617, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78]
node _T_2619 = and(_T_2615, _T_2618) @[lsu_bus_buffer.scala 411:76]
node _T_2620 = bits(buf_ageQ[3], 3, 3) @[lsu_bus_buffer.scala 411:72]
node _T_2621 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93]
node _T_2622 = and(_T_2621, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 411:103]
node _T_2623 = eq(_T_2622, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78]
node _T_2624 = and(_T_2620, _T_2623) @[lsu_bus_buffer.scala 411:76]
node _T_2625 = cat(_T_2624, _T_2619) @[Cat.scala 29:58]
node _T_2626 = cat(_T_2625, _T_2614) @[Cat.scala 29:58]
node _T_2627 = cat(_T_2626, _T_2609) @[Cat.scala 29:58]
buf_age[0] <= _T_2558 @[lsu_bus_buffer.scala 411:11]
buf_age[1] <= _T_2581 @[lsu_bus_buffer.scala 411:11]
buf_age[2] <= _T_2604 @[lsu_bus_buffer.scala 411:11]
buf_age[3] <= _T_2627 @[lsu_bus_buffer.scala 411:11]
node _T_2628 = eq(UInt<1>("h00"), UInt<1>("h00")) @[lsu_bus_buffer.scala 412:76]
node _T_2629 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 412:100]
node _T_2630 = eq(_T_2629, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89]
node _T_2631 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119]
node _T_2632 = and(_T_2630, _T_2631) @[lsu_bus_buffer.scala 412:104]
node _T_2633 = mux(_T_2628, UInt<1>("h00"), _T_2632) @[lsu_bus_buffer.scala 412:72]
node _T_2634 = eq(UInt<1>("h00"), UInt<1>("h01")) @[lsu_bus_buffer.scala 412:76]
node _T_2635 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 412:100]
node _T_2636 = eq(_T_2635, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89]
node _T_2637 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119]
node _T_2638 = and(_T_2636, _T_2637) @[lsu_bus_buffer.scala 412:104]
node _T_2639 = mux(_T_2634, UInt<1>("h00"), _T_2638) @[lsu_bus_buffer.scala 412:72]
node _T_2640 = eq(UInt<1>("h00"), UInt<2>("h02")) @[lsu_bus_buffer.scala 412:76]
node _T_2641 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 412:100]
node _T_2642 = eq(_T_2641, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89]
node _T_2643 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119]
node _T_2644 = and(_T_2642, _T_2643) @[lsu_bus_buffer.scala 412:104]
node _T_2645 = mux(_T_2640, UInt<1>("h00"), _T_2644) @[lsu_bus_buffer.scala 412:72]
node _T_2646 = eq(UInt<1>("h00"), UInt<2>("h03")) @[lsu_bus_buffer.scala 412:76]
node _T_2647 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 412:100]
node _T_2648 = eq(_T_2647, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89]
node _T_2649 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119]
node _T_2650 = and(_T_2648, _T_2649) @[lsu_bus_buffer.scala 412:104]
node _T_2651 = mux(_T_2646, UInt<1>("h00"), _T_2650) @[lsu_bus_buffer.scala 412:72]
node _T_2652 = cat(_T_2651, _T_2645) @[Cat.scala 29:58]
node _T_2653 = cat(_T_2652, _T_2639) @[Cat.scala 29:58]
node _T_2654 = cat(_T_2653, _T_2633) @[Cat.scala 29:58]
node _T_2655 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 412:76]
node _T_2656 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 412:100]
node _T_2657 = eq(_T_2656, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89]
node _T_2658 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119]
node _T_2659 = and(_T_2657, _T_2658) @[lsu_bus_buffer.scala 412:104]
node _T_2660 = mux(_T_2655, UInt<1>("h00"), _T_2659) @[lsu_bus_buffer.scala 412:72]
node _T_2661 = eq(UInt<1>("h01"), UInt<1>("h01")) @[lsu_bus_buffer.scala 412:76]
node _T_2662 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 412:100]
node _T_2663 = eq(_T_2662, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89]
node _T_2664 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119]
node _T_2665 = and(_T_2663, _T_2664) @[lsu_bus_buffer.scala 412:104]
node _T_2666 = mux(_T_2661, UInt<1>("h00"), _T_2665) @[lsu_bus_buffer.scala 412:72]
node _T_2667 = eq(UInt<1>("h01"), UInt<2>("h02")) @[lsu_bus_buffer.scala 412:76]
node _T_2668 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 412:100]
node _T_2669 = eq(_T_2668, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89]
node _T_2670 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119]
node _T_2671 = and(_T_2669, _T_2670) @[lsu_bus_buffer.scala 412:104]
node _T_2672 = mux(_T_2667, UInt<1>("h00"), _T_2671) @[lsu_bus_buffer.scala 412:72]
node _T_2673 = eq(UInt<1>("h01"), UInt<2>("h03")) @[lsu_bus_buffer.scala 412:76]
node _T_2674 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 412:100]
node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89]
node _T_2676 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119]
node _T_2677 = and(_T_2675, _T_2676) @[lsu_bus_buffer.scala 412:104]
node _T_2678 = mux(_T_2673, UInt<1>("h00"), _T_2677) @[lsu_bus_buffer.scala 412:72]
node _T_2679 = cat(_T_2678, _T_2672) @[Cat.scala 29:58]
node _T_2680 = cat(_T_2679, _T_2666) @[Cat.scala 29:58]
node _T_2681 = cat(_T_2680, _T_2660) @[Cat.scala 29:58]
node _T_2682 = eq(UInt<2>("h02"), UInt<1>("h00")) @[lsu_bus_buffer.scala 412:76]
node _T_2683 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 412:100]
node _T_2684 = eq(_T_2683, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89]
node _T_2685 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119]
node _T_2686 = and(_T_2684, _T_2685) @[lsu_bus_buffer.scala 412:104]
node _T_2687 = mux(_T_2682, UInt<1>("h00"), _T_2686) @[lsu_bus_buffer.scala 412:72]
node _T_2688 = eq(UInt<2>("h02"), UInt<1>("h01")) @[lsu_bus_buffer.scala 412:76]
node _T_2689 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 412:100]
node _T_2690 = eq(_T_2689, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89]
node _T_2691 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119]
node _T_2692 = and(_T_2690, _T_2691) @[lsu_bus_buffer.scala 412:104]
node _T_2693 = mux(_T_2688, UInt<1>("h00"), _T_2692) @[lsu_bus_buffer.scala 412:72]
node _T_2694 = eq(UInt<2>("h02"), UInt<2>("h02")) @[lsu_bus_buffer.scala 412:76]
node _T_2695 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 412:100]
node _T_2696 = eq(_T_2695, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89]
node _T_2697 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119]
node _T_2698 = and(_T_2696, _T_2697) @[lsu_bus_buffer.scala 412:104]
node _T_2699 = mux(_T_2694, UInt<1>("h00"), _T_2698) @[lsu_bus_buffer.scala 412:72]
node _T_2700 = eq(UInt<2>("h02"), UInt<2>("h03")) @[lsu_bus_buffer.scala 412:76]
node _T_2701 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 412:100]
node _T_2702 = eq(_T_2701, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89]
node _T_2703 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119]
node _T_2704 = and(_T_2702, _T_2703) @[lsu_bus_buffer.scala 412:104]
node _T_2705 = mux(_T_2700, UInt<1>("h00"), _T_2704) @[lsu_bus_buffer.scala 412:72]
node _T_2706 = cat(_T_2705, _T_2699) @[Cat.scala 29:58]
node _T_2707 = cat(_T_2706, _T_2693) @[Cat.scala 29:58]
node _T_2708 = cat(_T_2707, _T_2687) @[Cat.scala 29:58]
node _T_2709 = eq(UInt<2>("h03"), UInt<1>("h00")) @[lsu_bus_buffer.scala 412:76]
node _T_2710 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 412:100]
node _T_2711 = eq(_T_2710, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89]
node _T_2712 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119]
node _T_2713 = and(_T_2711, _T_2712) @[lsu_bus_buffer.scala 412:104]
node _T_2714 = mux(_T_2709, UInt<1>("h00"), _T_2713) @[lsu_bus_buffer.scala 412:72]
node _T_2715 = eq(UInt<2>("h03"), UInt<1>("h01")) @[lsu_bus_buffer.scala 412:76]
node _T_2716 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 412:100]
node _T_2717 = eq(_T_2716, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89]
node _T_2718 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119]
node _T_2719 = and(_T_2717, _T_2718) @[lsu_bus_buffer.scala 412:104]
node _T_2720 = mux(_T_2715, UInt<1>("h00"), _T_2719) @[lsu_bus_buffer.scala 412:72]
node _T_2721 = eq(UInt<2>("h03"), UInt<2>("h02")) @[lsu_bus_buffer.scala 412:76]
node _T_2722 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 412:100]
node _T_2723 = eq(_T_2722, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89]
node _T_2724 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119]
node _T_2725 = and(_T_2723, _T_2724) @[lsu_bus_buffer.scala 412:104]
node _T_2726 = mux(_T_2721, UInt<1>("h00"), _T_2725) @[lsu_bus_buffer.scala 412:72]
node _T_2727 = eq(UInt<2>("h03"), UInt<2>("h03")) @[lsu_bus_buffer.scala 412:76]
node _T_2728 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 412:100]
node _T_2729 = eq(_T_2728, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89]
node _T_2730 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119]
node _T_2731 = and(_T_2729, _T_2730) @[lsu_bus_buffer.scala 412:104]
node _T_2732 = mux(_T_2727, UInt<1>("h00"), _T_2731) @[lsu_bus_buffer.scala 412:72]
node _T_2733 = cat(_T_2732, _T_2726) @[Cat.scala 29:58]
node _T_2734 = cat(_T_2733, _T_2720) @[Cat.scala 29:58]
node _T_2735 = cat(_T_2734, _T_2714) @[Cat.scala 29:58]
buf_age_younger[0] <= _T_2654 @[lsu_bus_buffer.scala 412:19]
buf_age_younger[1] <= _T_2681 @[lsu_bus_buffer.scala 412:19]
buf_age_younger[2] <= _T_2708 @[lsu_bus_buffer.scala 412:19]
buf_age_younger[3] <= _T_2735 @[lsu_bus_buffer.scala 412:19]
node _T_2736 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 413:83]
node _T_2737 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102]
node _T_2738 = and(_T_2736, _T_2737) @[lsu_bus_buffer.scala 413:87]
node _T_2739 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 413:83]
node _T_2740 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102]
node _T_2741 = and(_T_2739, _T_2740) @[lsu_bus_buffer.scala 413:87]
node _T_2742 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 413:83]
node _T_2743 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102]
node _T_2744 = and(_T_2742, _T_2743) @[lsu_bus_buffer.scala 413:87]
node _T_2745 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 413:83]
node _T_2746 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102]
node _T_2747 = and(_T_2745, _T_2746) @[lsu_bus_buffer.scala 413:87]
node _T_2748 = cat(_T_2747, _T_2744) @[Cat.scala 29:58]
node _T_2749 = cat(_T_2748, _T_2741) @[Cat.scala 29:58]
node _T_2750 = cat(_T_2749, _T_2738) @[Cat.scala 29:58]
node _T_2751 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 413:83]
node _T_2752 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102]
node _T_2753 = and(_T_2751, _T_2752) @[lsu_bus_buffer.scala 413:87]
node _T_2754 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 413:83]
node _T_2755 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102]
node _T_2756 = and(_T_2754, _T_2755) @[lsu_bus_buffer.scala 413:87]
node _T_2757 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 413:83]
node _T_2758 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102]
node _T_2759 = and(_T_2757, _T_2758) @[lsu_bus_buffer.scala 413:87]
node _T_2760 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 413:83]
node _T_2761 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102]
node _T_2762 = and(_T_2760, _T_2761) @[lsu_bus_buffer.scala 413:87]
node _T_2763 = cat(_T_2762, _T_2759) @[Cat.scala 29:58]
node _T_2764 = cat(_T_2763, _T_2756) @[Cat.scala 29:58]
node _T_2765 = cat(_T_2764, _T_2753) @[Cat.scala 29:58]
node _T_2766 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 413:83]
node _T_2767 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102]
node _T_2768 = and(_T_2766, _T_2767) @[lsu_bus_buffer.scala 413:87]
node _T_2769 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 413:83]
node _T_2770 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102]
node _T_2771 = and(_T_2769, _T_2770) @[lsu_bus_buffer.scala 413:87]
node _T_2772 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 413:83]
node _T_2773 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102]
node _T_2774 = and(_T_2772, _T_2773) @[lsu_bus_buffer.scala 413:87]
node _T_2775 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 413:83]
node _T_2776 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102]
node _T_2777 = and(_T_2775, _T_2776) @[lsu_bus_buffer.scala 413:87]
node _T_2778 = cat(_T_2777, _T_2774) @[Cat.scala 29:58]
node _T_2779 = cat(_T_2778, _T_2771) @[Cat.scala 29:58]
node _T_2780 = cat(_T_2779, _T_2768) @[Cat.scala 29:58]
node _T_2781 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 413:83]
node _T_2782 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102]
node _T_2783 = and(_T_2781, _T_2782) @[lsu_bus_buffer.scala 413:87]
node _T_2784 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 413:83]
node _T_2785 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102]
node _T_2786 = and(_T_2784, _T_2785) @[lsu_bus_buffer.scala 413:87]
node _T_2787 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 413:83]
node _T_2788 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102]
node _T_2789 = and(_T_2787, _T_2788) @[lsu_bus_buffer.scala 413:87]
node _T_2790 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 413:83]
node _T_2791 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102]
node _T_2792 = and(_T_2790, _T_2791) @[lsu_bus_buffer.scala 413:87]
node _T_2793 = cat(_T_2792, _T_2789) @[Cat.scala 29:58]
node _T_2794 = cat(_T_2793, _T_2786) @[Cat.scala 29:58]
node _T_2795 = cat(_T_2794, _T_2783) @[Cat.scala 29:58]
buf_rsp_pickage[0] <= _T_2750 @[lsu_bus_buffer.scala 413:19]
buf_rsp_pickage[1] <= _T_2765 @[lsu_bus_buffer.scala 413:19]
buf_rsp_pickage[2] <= _T_2780 @[lsu_bus_buffer.scala 413:19]
buf_rsp_pickage[3] <= _T_2795 @[lsu_bus_buffer.scala 413:19]
node _T_2796 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82]
node _T_2797 = and(_T_2796, buf_state_en[0]) @[lsu_bus_buffer.scala 415:93]
node _T_2798 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21]
node _T_2799 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47]
node _T_2800 = or(_T_2798, _T_2799) @[lsu_bus_buffer.scala 416:32]
node _T_2801 = eq(_T_2800, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6]
node _T_2802 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23]
node _T_2803 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53]
node _T_2804 = and(_T_2802, _T_2803) @[lsu_bus_buffer.scala 417:41]
node _T_2805 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:82]
node _T_2806 = and(_T_2804, _T_2805) @[lsu_bus_buffer.scala 417:71]
node _T_2807 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:101]
node _T_2808 = and(_T_2806, _T_2807) @[lsu_bus_buffer.scala 417:90]
node _T_2809 = or(_T_2801, _T_2808) @[lsu_bus_buffer.scala 416:59]
node _T_2810 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17]
node _T_2811 = and(_T_2810, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35]
node _T_2812 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:63]
node _T_2813 = and(_T_2811, _T_2812) @[lsu_bus_buffer.scala 418:52]
node _T_2814 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82]
node _T_2815 = and(_T_2813, _T_2814) @[lsu_bus_buffer.scala 418:71]
node _T_2816 = or(_T_2809, _T_2815) @[lsu_bus_buffer.scala 417:110]
node _T_2817 = and(_T_2797, _T_2816) @[lsu_bus_buffer.scala 415:112]
node _T_2818 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82]
node _T_2819 = and(_T_2818, buf_state_en[0]) @[lsu_bus_buffer.scala 415:93]
node _T_2820 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21]
node _T_2821 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47]
node _T_2822 = or(_T_2820, _T_2821) @[lsu_bus_buffer.scala 416:32]
node _T_2823 = eq(_T_2822, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6]
node _T_2824 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23]
node _T_2825 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53]
node _T_2826 = and(_T_2824, _T_2825) @[lsu_bus_buffer.scala 417:41]
node _T_2827 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:82]
node _T_2828 = and(_T_2826, _T_2827) @[lsu_bus_buffer.scala 417:71]
node _T_2829 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:101]
node _T_2830 = and(_T_2828, _T_2829) @[lsu_bus_buffer.scala 417:90]
node _T_2831 = or(_T_2823, _T_2830) @[lsu_bus_buffer.scala 416:59]
node _T_2832 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17]
node _T_2833 = and(_T_2832, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35]
node _T_2834 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:63]
node _T_2835 = and(_T_2833, _T_2834) @[lsu_bus_buffer.scala 418:52]
node _T_2836 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82]
node _T_2837 = and(_T_2835, _T_2836) @[lsu_bus_buffer.scala 418:71]
node _T_2838 = or(_T_2831, _T_2837) @[lsu_bus_buffer.scala 417:110]
node _T_2839 = and(_T_2819, _T_2838) @[lsu_bus_buffer.scala 415:112]
node _T_2840 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82]
node _T_2841 = and(_T_2840, buf_state_en[0]) @[lsu_bus_buffer.scala 415:93]
node _T_2842 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21]
node _T_2843 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47]
node _T_2844 = or(_T_2842, _T_2843) @[lsu_bus_buffer.scala 416:32]
node _T_2845 = eq(_T_2844, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6]
node _T_2846 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23]
node _T_2847 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53]
node _T_2848 = and(_T_2846, _T_2847) @[lsu_bus_buffer.scala 417:41]
node _T_2849 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:82]
node _T_2850 = and(_T_2848, _T_2849) @[lsu_bus_buffer.scala 417:71]
node _T_2851 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:101]
node _T_2852 = and(_T_2850, _T_2851) @[lsu_bus_buffer.scala 417:90]
node _T_2853 = or(_T_2845, _T_2852) @[lsu_bus_buffer.scala 416:59]
node _T_2854 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17]
node _T_2855 = and(_T_2854, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35]
node _T_2856 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:63]
node _T_2857 = and(_T_2855, _T_2856) @[lsu_bus_buffer.scala 418:52]
node _T_2858 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82]
node _T_2859 = and(_T_2857, _T_2858) @[lsu_bus_buffer.scala 418:71]
node _T_2860 = or(_T_2853, _T_2859) @[lsu_bus_buffer.scala 417:110]
node _T_2861 = and(_T_2841, _T_2860) @[lsu_bus_buffer.scala 415:112]
node _T_2862 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82]
node _T_2863 = and(_T_2862, buf_state_en[0]) @[lsu_bus_buffer.scala 415:93]
node _T_2864 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21]
node _T_2865 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47]
node _T_2866 = or(_T_2864, _T_2865) @[lsu_bus_buffer.scala 416:32]
node _T_2867 = eq(_T_2866, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6]
node _T_2868 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23]
node _T_2869 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53]
node _T_2870 = and(_T_2868, _T_2869) @[lsu_bus_buffer.scala 417:41]
node _T_2871 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:82]
node _T_2872 = and(_T_2870, _T_2871) @[lsu_bus_buffer.scala 417:71]
node _T_2873 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:101]
node _T_2874 = and(_T_2872, _T_2873) @[lsu_bus_buffer.scala 417:90]
node _T_2875 = or(_T_2867, _T_2874) @[lsu_bus_buffer.scala 416:59]
node _T_2876 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17]
node _T_2877 = and(_T_2876, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35]
node _T_2878 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:63]
node _T_2879 = and(_T_2877, _T_2878) @[lsu_bus_buffer.scala 418:52]
node _T_2880 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82]
node _T_2881 = and(_T_2879, _T_2880) @[lsu_bus_buffer.scala 418:71]
node _T_2882 = or(_T_2875, _T_2881) @[lsu_bus_buffer.scala 417:110]
node _T_2883 = and(_T_2863, _T_2882) @[lsu_bus_buffer.scala 415:112]
node _T_2884 = cat(_T_2883, _T_2861) @[Cat.scala 29:58]
node _T_2885 = cat(_T_2884, _T_2839) @[Cat.scala 29:58]
node _T_2886 = cat(_T_2885, _T_2817) @[Cat.scala 29:58]
node _T_2887 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82]
node _T_2888 = and(_T_2887, buf_state_en[1]) @[lsu_bus_buffer.scala 415:93]
node _T_2889 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21]
node _T_2890 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47]
node _T_2891 = or(_T_2889, _T_2890) @[lsu_bus_buffer.scala 416:32]
node _T_2892 = eq(_T_2891, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6]
node _T_2893 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23]
node _T_2894 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53]
node _T_2895 = and(_T_2893, _T_2894) @[lsu_bus_buffer.scala 417:41]
node _T_2896 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:82]
node _T_2897 = and(_T_2895, _T_2896) @[lsu_bus_buffer.scala 417:71]
node _T_2898 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:101]
node _T_2899 = and(_T_2897, _T_2898) @[lsu_bus_buffer.scala 417:90]
node _T_2900 = or(_T_2892, _T_2899) @[lsu_bus_buffer.scala 416:59]
node _T_2901 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17]
node _T_2902 = and(_T_2901, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35]
node _T_2903 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:63]
node _T_2904 = and(_T_2902, _T_2903) @[lsu_bus_buffer.scala 418:52]
node _T_2905 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82]
node _T_2906 = and(_T_2904, _T_2905) @[lsu_bus_buffer.scala 418:71]
node _T_2907 = or(_T_2900, _T_2906) @[lsu_bus_buffer.scala 417:110]
node _T_2908 = and(_T_2888, _T_2907) @[lsu_bus_buffer.scala 415:112]
node _T_2909 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82]
node _T_2910 = and(_T_2909, buf_state_en[1]) @[lsu_bus_buffer.scala 415:93]
node _T_2911 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21]
node _T_2912 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47]
node _T_2913 = or(_T_2911, _T_2912) @[lsu_bus_buffer.scala 416:32]
node _T_2914 = eq(_T_2913, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6]
node _T_2915 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23]
node _T_2916 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53]
node _T_2917 = and(_T_2915, _T_2916) @[lsu_bus_buffer.scala 417:41]
node _T_2918 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:82]
node _T_2919 = and(_T_2917, _T_2918) @[lsu_bus_buffer.scala 417:71]
node _T_2920 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:101]
node _T_2921 = and(_T_2919, _T_2920) @[lsu_bus_buffer.scala 417:90]
node _T_2922 = or(_T_2914, _T_2921) @[lsu_bus_buffer.scala 416:59]
node _T_2923 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17]
node _T_2924 = and(_T_2923, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35]
node _T_2925 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:63]
node _T_2926 = and(_T_2924, _T_2925) @[lsu_bus_buffer.scala 418:52]
node _T_2927 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82]
node _T_2928 = and(_T_2926, _T_2927) @[lsu_bus_buffer.scala 418:71]
node _T_2929 = or(_T_2922, _T_2928) @[lsu_bus_buffer.scala 417:110]
node _T_2930 = and(_T_2910, _T_2929) @[lsu_bus_buffer.scala 415:112]
node _T_2931 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82]
node _T_2932 = and(_T_2931, buf_state_en[1]) @[lsu_bus_buffer.scala 415:93]
node _T_2933 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21]
node _T_2934 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47]
node _T_2935 = or(_T_2933, _T_2934) @[lsu_bus_buffer.scala 416:32]
node _T_2936 = eq(_T_2935, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6]
node _T_2937 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23]
node _T_2938 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53]
node _T_2939 = and(_T_2937, _T_2938) @[lsu_bus_buffer.scala 417:41]
node _T_2940 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:82]
node _T_2941 = and(_T_2939, _T_2940) @[lsu_bus_buffer.scala 417:71]
node _T_2942 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:101]
node _T_2943 = and(_T_2941, _T_2942) @[lsu_bus_buffer.scala 417:90]
node _T_2944 = or(_T_2936, _T_2943) @[lsu_bus_buffer.scala 416:59]
node _T_2945 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17]
node _T_2946 = and(_T_2945, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35]
node _T_2947 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:63]
node _T_2948 = and(_T_2946, _T_2947) @[lsu_bus_buffer.scala 418:52]
node _T_2949 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82]
node _T_2950 = and(_T_2948, _T_2949) @[lsu_bus_buffer.scala 418:71]
node _T_2951 = or(_T_2944, _T_2950) @[lsu_bus_buffer.scala 417:110]
node _T_2952 = and(_T_2932, _T_2951) @[lsu_bus_buffer.scala 415:112]
node _T_2953 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82]
node _T_2954 = and(_T_2953, buf_state_en[1]) @[lsu_bus_buffer.scala 415:93]
node _T_2955 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21]
node _T_2956 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47]
node _T_2957 = or(_T_2955, _T_2956) @[lsu_bus_buffer.scala 416:32]
node _T_2958 = eq(_T_2957, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6]
node _T_2959 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23]
node _T_2960 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53]
node _T_2961 = and(_T_2959, _T_2960) @[lsu_bus_buffer.scala 417:41]
node _T_2962 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:82]
node _T_2963 = and(_T_2961, _T_2962) @[lsu_bus_buffer.scala 417:71]
node _T_2964 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:101]
node _T_2965 = and(_T_2963, _T_2964) @[lsu_bus_buffer.scala 417:90]
node _T_2966 = or(_T_2958, _T_2965) @[lsu_bus_buffer.scala 416:59]
node _T_2967 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17]
node _T_2968 = and(_T_2967, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35]
node _T_2969 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:63]
node _T_2970 = and(_T_2968, _T_2969) @[lsu_bus_buffer.scala 418:52]
node _T_2971 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82]
node _T_2972 = and(_T_2970, _T_2971) @[lsu_bus_buffer.scala 418:71]
node _T_2973 = or(_T_2966, _T_2972) @[lsu_bus_buffer.scala 417:110]
node _T_2974 = and(_T_2954, _T_2973) @[lsu_bus_buffer.scala 415:112]
node _T_2975 = cat(_T_2974, _T_2952) @[Cat.scala 29:58]
node _T_2976 = cat(_T_2975, _T_2930) @[Cat.scala 29:58]
node _T_2977 = cat(_T_2976, _T_2908) @[Cat.scala 29:58]
node _T_2978 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82]
node _T_2979 = and(_T_2978, buf_state_en[2]) @[lsu_bus_buffer.scala 415:93]
node _T_2980 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21]
node _T_2981 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47]
node _T_2982 = or(_T_2980, _T_2981) @[lsu_bus_buffer.scala 416:32]
node _T_2983 = eq(_T_2982, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6]
node _T_2984 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23]
node _T_2985 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53]
node _T_2986 = and(_T_2984, _T_2985) @[lsu_bus_buffer.scala 417:41]
node _T_2987 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:82]
node _T_2988 = and(_T_2986, _T_2987) @[lsu_bus_buffer.scala 417:71]
node _T_2989 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:101]
node _T_2990 = and(_T_2988, _T_2989) @[lsu_bus_buffer.scala 417:90]
node _T_2991 = or(_T_2983, _T_2990) @[lsu_bus_buffer.scala 416:59]
node _T_2992 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17]
node _T_2993 = and(_T_2992, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35]
node _T_2994 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:63]
node _T_2995 = and(_T_2993, _T_2994) @[lsu_bus_buffer.scala 418:52]
node _T_2996 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82]
node _T_2997 = and(_T_2995, _T_2996) @[lsu_bus_buffer.scala 418:71]
node _T_2998 = or(_T_2991, _T_2997) @[lsu_bus_buffer.scala 417:110]
node _T_2999 = and(_T_2979, _T_2998) @[lsu_bus_buffer.scala 415:112]
node _T_3000 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82]
node _T_3001 = and(_T_3000, buf_state_en[2]) @[lsu_bus_buffer.scala 415:93]
node _T_3002 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21]
node _T_3003 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47]
node _T_3004 = or(_T_3002, _T_3003) @[lsu_bus_buffer.scala 416:32]
node _T_3005 = eq(_T_3004, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6]
node _T_3006 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23]
node _T_3007 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53]
node _T_3008 = and(_T_3006, _T_3007) @[lsu_bus_buffer.scala 417:41]
node _T_3009 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:82]
node _T_3010 = and(_T_3008, _T_3009) @[lsu_bus_buffer.scala 417:71]
node _T_3011 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:101]
node _T_3012 = and(_T_3010, _T_3011) @[lsu_bus_buffer.scala 417:90]
node _T_3013 = or(_T_3005, _T_3012) @[lsu_bus_buffer.scala 416:59]
node _T_3014 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17]
node _T_3015 = and(_T_3014, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35]
node _T_3016 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:63]
node _T_3017 = and(_T_3015, _T_3016) @[lsu_bus_buffer.scala 418:52]
node _T_3018 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82]
node _T_3019 = and(_T_3017, _T_3018) @[lsu_bus_buffer.scala 418:71]
node _T_3020 = or(_T_3013, _T_3019) @[lsu_bus_buffer.scala 417:110]
node _T_3021 = and(_T_3001, _T_3020) @[lsu_bus_buffer.scala 415:112]
node _T_3022 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82]
node _T_3023 = and(_T_3022, buf_state_en[2]) @[lsu_bus_buffer.scala 415:93]
node _T_3024 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21]
node _T_3025 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47]
node _T_3026 = or(_T_3024, _T_3025) @[lsu_bus_buffer.scala 416:32]
node _T_3027 = eq(_T_3026, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6]
node _T_3028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23]
node _T_3029 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53]
node _T_3030 = and(_T_3028, _T_3029) @[lsu_bus_buffer.scala 417:41]
node _T_3031 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:82]
node _T_3032 = and(_T_3030, _T_3031) @[lsu_bus_buffer.scala 417:71]
node _T_3033 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:101]
node _T_3034 = and(_T_3032, _T_3033) @[lsu_bus_buffer.scala 417:90]
node _T_3035 = or(_T_3027, _T_3034) @[lsu_bus_buffer.scala 416:59]
node _T_3036 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17]
node _T_3037 = and(_T_3036, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35]
node _T_3038 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:63]
node _T_3039 = and(_T_3037, _T_3038) @[lsu_bus_buffer.scala 418:52]
node _T_3040 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82]
node _T_3041 = and(_T_3039, _T_3040) @[lsu_bus_buffer.scala 418:71]
node _T_3042 = or(_T_3035, _T_3041) @[lsu_bus_buffer.scala 417:110]
node _T_3043 = and(_T_3023, _T_3042) @[lsu_bus_buffer.scala 415:112]
node _T_3044 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82]
node _T_3045 = and(_T_3044, buf_state_en[2]) @[lsu_bus_buffer.scala 415:93]
node _T_3046 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21]
node _T_3047 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47]
node _T_3048 = or(_T_3046, _T_3047) @[lsu_bus_buffer.scala 416:32]
node _T_3049 = eq(_T_3048, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6]
node _T_3050 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23]
node _T_3051 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53]
node _T_3052 = and(_T_3050, _T_3051) @[lsu_bus_buffer.scala 417:41]
node _T_3053 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:82]
node _T_3054 = and(_T_3052, _T_3053) @[lsu_bus_buffer.scala 417:71]
node _T_3055 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:101]
node _T_3056 = and(_T_3054, _T_3055) @[lsu_bus_buffer.scala 417:90]
node _T_3057 = or(_T_3049, _T_3056) @[lsu_bus_buffer.scala 416:59]
node _T_3058 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17]
node _T_3059 = and(_T_3058, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35]
node _T_3060 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:63]
node _T_3061 = and(_T_3059, _T_3060) @[lsu_bus_buffer.scala 418:52]
node _T_3062 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82]
node _T_3063 = and(_T_3061, _T_3062) @[lsu_bus_buffer.scala 418:71]
node _T_3064 = or(_T_3057, _T_3063) @[lsu_bus_buffer.scala 417:110]
node _T_3065 = and(_T_3045, _T_3064) @[lsu_bus_buffer.scala 415:112]
node _T_3066 = cat(_T_3065, _T_3043) @[Cat.scala 29:58]
node _T_3067 = cat(_T_3066, _T_3021) @[Cat.scala 29:58]
node _T_3068 = cat(_T_3067, _T_2999) @[Cat.scala 29:58]
node _T_3069 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82]
node _T_3070 = and(_T_3069, buf_state_en[3]) @[lsu_bus_buffer.scala 415:93]
node _T_3071 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21]
node _T_3072 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47]
node _T_3073 = or(_T_3071, _T_3072) @[lsu_bus_buffer.scala 416:32]
node _T_3074 = eq(_T_3073, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6]
node _T_3075 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23]
node _T_3076 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53]
node _T_3077 = and(_T_3075, _T_3076) @[lsu_bus_buffer.scala 417:41]
node _T_3078 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:82]
node _T_3079 = and(_T_3077, _T_3078) @[lsu_bus_buffer.scala 417:71]
node _T_3080 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:101]
node _T_3081 = and(_T_3079, _T_3080) @[lsu_bus_buffer.scala 417:90]
node _T_3082 = or(_T_3074, _T_3081) @[lsu_bus_buffer.scala 416:59]
node _T_3083 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17]
node _T_3084 = and(_T_3083, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35]
node _T_3085 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:63]
node _T_3086 = and(_T_3084, _T_3085) @[lsu_bus_buffer.scala 418:52]
node _T_3087 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82]
node _T_3088 = and(_T_3086, _T_3087) @[lsu_bus_buffer.scala 418:71]
node _T_3089 = or(_T_3082, _T_3088) @[lsu_bus_buffer.scala 417:110]
node _T_3090 = and(_T_3070, _T_3089) @[lsu_bus_buffer.scala 415:112]
node _T_3091 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82]
node _T_3092 = and(_T_3091, buf_state_en[3]) @[lsu_bus_buffer.scala 415:93]
node _T_3093 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21]
node _T_3094 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47]
node _T_3095 = or(_T_3093, _T_3094) @[lsu_bus_buffer.scala 416:32]
node _T_3096 = eq(_T_3095, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6]
node _T_3097 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23]
node _T_3098 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53]
node _T_3099 = and(_T_3097, _T_3098) @[lsu_bus_buffer.scala 417:41]
node _T_3100 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:82]
node _T_3101 = and(_T_3099, _T_3100) @[lsu_bus_buffer.scala 417:71]
node _T_3102 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:101]
node _T_3103 = and(_T_3101, _T_3102) @[lsu_bus_buffer.scala 417:90]
node _T_3104 = or(_T_3096, _T_3103) @[lsu_bus_buffer.scala 416:59]
node _T_3105 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17]
node _T_3106 = and(_T_3105, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35]
node _T_3107 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:63]
node _T_3108 = and(_T_3106, _T_3107) @[lsu_bus_buffer.scala 418:52]
node _T_3109 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82]
node _T_3110 = and(_T_3108, _T_3109) @[lsu_bus_buffer.scala 418:71]
node _T_3111 = or(_T_3104, _T_3110) @[lsu_bus_buffer.scala 417:110]
node _T_3112 = and(_T_3092, _T_3111) @[lsu_bus_buffer.scala 415:112]
node _T_3113 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82]
node _T_3114 = and(_T_3113, buf_state_en[3]) @[lsu_bus_buffer.scala 415:93]
node _T_3115 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21]
node _T_3116 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47]
node _T_3117 = or(_T_3115, _T_3116) @[lsu_bus_buffer.scala 416:32]
node _T_3118 = eq(_T_3117, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6]
node _T_3119 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23]
node _T_3120 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53]
node _T_3121 = and(_T_3119, _T_3120) @[lsu_bus_buffer.scala 417:41]
node _T_3122 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:82]
node _T_3123 = and(_T_3121, _T_3122) @[lsu_bus_buffer.scala 417:71]
node _T_3124 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:101]
node _T_3125 = and(_T_3123, _T_3124) @[lsu_bus_buffer.scala 417:90]
node _T_3126 = or(_T_3118, _T_3125) @[lsu_bus_buffer.scala 416:59]
node _T_3127 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17]
node _T_3128 = and(_T_3127, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35]
node _T_3129 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:63]
node _T_3130 = and(_T_3128, _T_3129) @[lsu_bus_buffer.scala 418:52]
node _T_3131 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82]
node _T_3132 = and(_T_3130, _T_3131) @[lsu_bus_buffer.scala 418:71]
node _T_3133 = or(_T_3126, _T_3132) @[lsu_bus_buffer.scala 417:110]
node _T_3134 = and(_T_3114, _T_3133) @[lsu_bus_buffer.scala 415:112]
node _T_3135 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82]
node _T_3136 = and(_T_3135, buf_state_en[3]) @[lsu_bus_buffer.scala 415:93]
node _T_3137 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21]
node _T_3138 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47]
node _T_3139 = or(_T_3137, _T_3138) @[lsu_bus_buffer.scala 416:32]
node _T_3140 = eq(_T_3139, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6]
node _T_3141 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23]
node _T_3142 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53]
node _T_3143 = and(_T_3141, _T_3142) @[lsu_bus_buffer.scala 417:41]
node _T_3144 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:82]
node _T_3145 = and(_T_3143, _T_3144) @[lsu_bus_buffer.scala 417:71]
node _T_3146 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:101]
node _T_3147 = and(_T_3145, _T_3146) @[lsu_bus_buffer.scala 417:90]
node _T_3148 = or(_T_3140, _T_3147) @[lsu_bus_buffer.scala 416:59]
node _T_3149 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17]
node _T_3150 = and(_T_3149, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35]
node _T_3151 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:63]
node _T_3152 = and(_T_3150, _T_3151) @[lsu_bus_buffer.scala 418:52]
node _T_3153 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82]
node _T_3154 = and(_T_3152, _T_3153) @[lsu_bus_buffer.scala 418:71]
node _T_3155 = or(_T_3148, _T_3154) @[lsu_bus_buffer.scala 417:110]
node _T_3156 = and(_T_3136, _T_3155) @[lsu_bus_buffer.scala 415:112]
node _T_3157 = cat(_T_3156, _T_3134) @[Cat.scala 29:58]
node _T_3158 = cat(_T_3157, _T_3112) @[Cat.scala 29:58]
node _T_3159 = cat(_T_3158, _T_3090) @[Cat.scala 29:58]
buf_rspage_set[0] <= _T_2886 @[lsu_bus_buffer.scala 415:18]
buf_rspage_set[1] <= _T_2977 @[lsu_bus_buffer.scala 415:18]
buf_rspage_set[2] <= _T_3068 @[lsu_bus_buffer.scala 415:18]
buf_rspage_set[3] <= _T_3159 @[lsu_bus_buffer.scala 415:18]
node _T_3160 = bits(buf_rspage_set[0], 0, 0) @[lsu_bus_buffer.scala 419:84]
node _T_3161 = bits(buf_rspage[0], 0, 0) @[lsu_bus_buffer.scala 419:103]
node _T_3162 = or(_T_3160, _T_3161) @[lsu_bus_buffer.scala 419:88]
node _T_3163 = bits(buf_rspage_set[0], 1, 1) @[lsu_bus_buffer.scala 419:84]
node _T_3164 = bits(buf_rspage[0], 1, 1) @[lsu_bus_buffer.scala 419:103]
node _T_3165 = or(_T_3163, _T_3164) @[lsu_bus_buffer.scala 419:88]
node _T_3166 = bits(buf_rspage_set[0], 2, 2) @[lsu_bus_buffer.scala 419:84]
node _T_3167 = bits(buf_rspage[0], 2, 2) @[lsu_bus_buffer.scala 419:103]
node _T_3168 = or(_T_3166, _T_3167) @[lsu_bus_buffer.scala 419:88]
node _T_3169 = bits(buf_rspage_set[0], 3, 3) @[lsu_bus_buffer.scala 419:84]
node _T_3170 = bits(buf_rspage[0], 3, 3) @[lsu_bus_buffer.scala 419:103]
node _T_3171 = or(_T_3169, _T_3170) @[lsu_bus_buffer.scala 419:88]
node _T_3172 = cat(_T_3171, _T_3168) @[Cat.scala 29:58]
node _T_3173 = cat(_T_3172, _T_3165) @[Cat.scala 29:58]
node _T_3174 = cat(_T_3173, _T_3162) @[Cat.scala 29:58]
node _T_3175 = bits(buf_rspage_set[1], 0, 0) @[lsu_bus_buffer.scala 419:84]
node _T_3176 = bits(buf_rspage[1], 0, 0) @[lsu_bus_buffer.scala 419:103]
node _T_3177 = or(_T_3175, _T_3176) @[lsu_bus_buffer.scala 419:88]
node _T_3178 = bits(buf_rspage_set[1], 1, 1) @[lsu_bus_buffer.scala 419:84]
node _T_3179 = bits(buf_rspage[1], 1, 1) @[lsu_bus_buffer.scala 419:103]
node _T_3180 = or(_T_3178, _T_3179) @[lsu_bus_buffer.scala 419:88]
node _T_3181 = bits(buf_rspage_set[1], 2, 2) @[lsu_bus_buffer.scala 419:84]
node _T_3182 = bits(buf_rspage[1], 2, 2) @[lsu_bus_buffer.scala 419:103]
node _T_3183 = or(_T_3181, _T_3182) @[lsu_bus_buffer.scala 419:88]
node _T_3184 = bits(buf_rspage_set[1], 3, 3) @[lsu_bus_buffer.scala 419:84]
node _T_3185 = bits(buf_rspage[1], 3, 3) @[lsu_bus_buffer.scala 419:103]
node _T_3186 = or(_T_3184, _T_3185) @[lsu_bus_buffer.scala 419:88]
node _T_3187 = cat(_T_3186, _T_3183) @[Cat.scala 29:58]
node _T_3188 = cat(_T_3187, _T_3180) @[Cat.scala 29:58]
node _T_3189 = cat(_T_3188, _T_3177) @[Cat.scala 29:58]
node _T_3190 = bits(buf_rspage_set[2], 0, 0) @[lsu_bus_buffer.scala 419:84]
node _T_3191 = bits(buf_rspage[2], 0, 0) @[lsu_bus_buffer.scala 419:103]
node _T_3192 = or(_T_3190, _T_3191) @[lsu_bus_buffer.scala 419:88]
node _T_3193 = bits(buf_rspage_set[2], 1, 1) @[lsu_bus_buffer.scala 419:84]
node _T_3194 = bits(buf_rspage[2], 1, 1) @[lsu_bus_buffer.scala 419:103]
node _T_3195 = or(_T_3193, _T_3194) @[lsu_bus_buffer.scala 419:88]
node _T_3196 = bits(buf_rspage_set[2], 2, 2) @[lsu_bus_buffer.scala 419:84]
node _T_3197 = bits(buf_rspage[2], 2, 2) @[lsu_bus_buffer.scala 419:103]
node _T_3198 = or(_T_3196, _T_3197) @[lsu_bus_buffer.scala 419:88]
node _T_3199 = bits(buf_rspage_set[2], 3, 3) @[lsu_bus_buffer.scala 419:84]
node _T_3200 = bits(buf_rspage[2], 3, 3) @[lsu_bus_buffer.scala 419:103]
node _T_3201 = or(_T_3199, _T_3200) @[lsu_bus_buffer.scala 419:88]
node _T_3202 = cat(_T_3201, _T_3198) @[Cat.scala 29:58]
node _T_3203 = cat(_T_3202, _T_3195) @[Cat.scala 29:58]
node _T_3204 = cat(_T_3203, _T_3192) @[Cat.scala 29:58]
node _T_3205 = bits(buf_rspage_set[3], 0, 0) @[lsu_bus_buffer.scala 419:84]
node _T_3206 = bits(buf_rspage[3], 0, 0) @[lsu_bus_buffer.scala 419:103]
node _T_3207 = or(_T_3205, _T_3206) @[lsu_bus_buffer.scala 419:88]
node _T_3208 = bits(buf_rspage_set[3], 1, 1) @[lsu_bus_buffer.scala 419:84]
node _T_3209 = bits(buf_rspage[3], 1, 1) @[lsu_bus_buffer.scala 419:103]
node _T_3210 = or(_T_3208, _T_3209) @[lsu_bus_buffer.scala 419:88]
node _T_3211 = bits(buf_rspage_set[3], 2, 2) @[lsu_bus_buffer.scala 419:84]
node _T_3212 = bits(buf_rspage[3], 2, 2) @[lsu_bus_buffer.scala 419:103]
node _T_3213 = or(_T_3211, _T_3212) @[lsu_bus_buffer.scala 419:88]
node _T_3214 = bits(buf_rspage_set[3], 3, 3) @[lsu_bus_buffer.scala 419:84]
node _T_3215 = bits(buf_rspage[3], 3, 3) @[lsu_bus_buffer.scala 419:103]
node _T_3216 = or(_T_3214, _T_3215) @[lsu_bus_buffer.scala 419:88]
node _T_3217 = cat(_T_3216, _T_3213) @[Cat.scala 29:58]
node _T_3218 = cat(_T_3217, _T_3210) @[Cat.scala 29:58]
node _T_3219 = cat(_T_3218, _T_3207) @[Cat.scala 29:58]
buf_rspage_in[0] <= _T_3174 @[lsu_bus_buffer.scala 419:17]
buf_rspage_in[1] <= _T_3189 @[lsu_bus_buffer.scala 419:17]
buf_rspage_in[2] <= _T_3204 @[lsu_bus_buffer.scala 419:17]
buf_rspage_in[3] <= _T_3219 @[lsu_bus_buffer.scala 419:17]
node _T_3220 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 420:78]
node _T_3221 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99]
node _T_3222 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125]
node _T_3223 = or(_T_3221, _T_3222) @[lsu_bus_buffer.scala 420:110]
node _T_3224 = eq(_T_3223, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84]
node _T_3225 = and(_T_3220, _T_3224) @[lsu_bus_buffer.scala 420:82]
node _T_3226 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 420:78]
node _T_3227 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99]
node _T_3228 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125]
node _T_3229 = or(_T_3227, _T_3228) @[lsu_bus_buffer.scala 420:110]
node _T_3230 = eq(_T_3229, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84]
node _T_3231 = and(_T_3226, _T_3230) @[lsu_bus_buffer.scala 420:82]
node _T_3232 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 420:78]
node _T_3233 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99]
node _T_3234 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125]
node _T_3235 = or(_T_3233, _T_3234) @[lsu_bus_buffer.scala 420:110]
node _T_3236 = eq(_T_3235, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84]
node _T_3237 = and(_T_3232, _T_3236) @[lsu_bus_buffer.scala 420:82]
node _T_3238 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 420:78]
node _T_3239 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99]
node _T_3240 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125]
node _T_3241 = or(_T_3239, _T_3240) @[lsu_bus_buffer.scala 420:110]
node _T_3242 = eq(_T_3241, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84]
node _T_3243 = and(_T_3238, _T_3242) @[lsu_bus_buffer.scala 420:82]
node _T_3244 = cat(_T_3243, _T_3237) @[Cat.scala 29:58]
node _T_3245 = cat(_T_3244, _T_3231) @[Cat.scala 29:58]
node _T_3246 = cat(_T_3245, _T_3225) @[Cat.scala 29:58]
node _T_3247 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 420:78]
node _T_3248 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99]
node _T_3249 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125]
node _T_3250 = or(_T_3248, _T_3249) @[lsu_bus_buffer.scala 420:110]
node _T_3251 = eq(_T_3250, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84]
node _T_3252 = and(_T_3247, _T_3251) @[lsu_bus_buffer.scala 420:82]
node _T_3253 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 420:78]
node _T_3254 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99]
node _T_3255 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125]
node _T_3256 = or(_T_3254, _T_3255) @[lsu_bus_buffer.scala 420:110]
node _T_3257 = eq(_T_3256, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84]
node _T_3258 = and(_T_3253, _T_3257) @[lsu_bus_buffer.scala 420:82]
node _T_3259 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 420:78]
node _T_3260 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99]
node _T_3261 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125]
node _T_3262 = or(_T_3260, _T_3261) @[lsu_bus_buffer.scala 420:110]
node _T_3263 = eq(_T_3262, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84]
node _T_3264 = and(_T_3259, _T_3263) @[lsu_bus_buffer.scala 420:82]
node _T_3265 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 420:78]
node _T_3266 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99]
node _T_3267 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125]
node _T_3268 = or(_T_3266, _T_3267) @[lsu_bus_buffer.scala 420:110]
node _T_3269 = eq(_T_3268, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84]
node _T_3270 = and(_T_3265, _T_3269) @[lsu_bus_buffer.scala 420:82]
node _T_3271 = cat(_T_3270, _T_3264) @[Cat.scala 29:58]
node _T_3272 = cat(_T_3271, _T_3258) @[Cat.scala 29:58]
node _T_3273 = cat(_T_3272, _T_3252) @[Cat.scala 29:58]
node _T_3274 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 420:78]
node _T_3275 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99]
node _T_3276 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125]
node _T_3277 = or(_T_3275, _T_3276) @[lsu_bus_buffer.scala 420:110]
node _T_3278 = eq(_T_3277, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84]
node _T_3279 = and(_T_3274, _T_3278) @[lsu_bus_buffer.scala 420:82]
node _T_3280 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 420:78]
node _T_3281 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99]
node _T_3282 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125]
node _T_3283 = or(_T_3281, _T_3282) @[lsu_bus_buffer.scala 420:110]
node _T_3284 = eq(_T_3283, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84]
node _T_3285 = and(_T_3280, _T_3284) @[lsu_bus_buffer.scala 420:82]
node _T_3286 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 420:78]
node _T_3287 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99]
node _T_3288 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125]
node _T_3289 = or(_T_3287, _T_3288) @[lsu_bus_buffer.scala 420:110]
node _T_3290 = eq(_T_3289, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84]
node _T_3291 = and(_T_3286, _T_3290) @[lsu_bus_buffer.scala 420:82]
node _T_3292 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 420:78]
node _T_3293 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99]
node _T_3294 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125]
node _T_3295 = or(_T_3293, _T_3294) @[lsu_bus_buffer.scala 420:110]
node _T_3296 = eq(_T_3295, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84]
node _T_3297 = and(_T_3292, _T_3296) @[lsu_bus_buffer.scala 420:82]
node _T_3298 = cat(_T_3297, _T_3291) @[Cat.scala 29:58]
node _T_3299 = cat(_T_3298, _T_3285) @[Cat.scala 29:58]
node _T_3300 = cat(_T_3299, _T_3279) @[Cat.scala 29:58]
node _T_3301 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 420:78]
node _T_3302 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99]
node _T_3303 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125]
node _T_3304 = or(_T_3302, _T_3303) @[lsu_bus_buffer.scala 420:110]
node _T_3305 = eq(_T_3304, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84]
node _T_3306 = and(_T_3301, _T_3305) @[lsu_bus_buffer.scala 420:82]
node _T_3307 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 420:78]
node _T_3308 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99]
node _T_3309 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125]
node _T_3310 = or(_T_3308, _T_3309) @[lsu_bus_buffer.scala 420:110]
node _T_3311 = eq(_T_3310, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84]
node _T_3312 = and(_T_3307, _T_3311) @[lsu_bus_buffer.scala 420:82]
node _T_3313 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 420:78]
node _T_3314 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99]
node _T_3315 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125]
node _T_3316 = or(_T_3314, _T_3315) @[lsu_bus_buffer.scala 420:110]
node _T_3317 = eq(_T_3316, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84]
node _T_3318 = and(_T_3313, _T_3317) @[lsu_bus_buffer.scala 420:82]
node _T_3319 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 420:78]
node _T_3320 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99]
node _T_3321 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125]
node _T_3322 = or(_T_3320, _T_3321) @[lsu_bus_buffer.scala 420:110]
node _T_3323 = eq(_T_3322, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84]
node _T_3324 = and(_T_3319, _T_3323) @[lsu_bus_buffer.scala 420:82]
node _T_3325 = cat(_T_3324, _T_3318) @[Cat.scala 29:58]
node _T_3326 = cat(_T_3325, _T_3312) @[Cat.scala 29:58]
node _T_3327 = cat(_T_3326, _T_3306) @[Cat.scala 29:58]
buf_rspage[0] <= _T_3246 @[lsu_bus_buffer.scala 420:14]
buf_rspage[1] <= _T_3273 @[lsu_bus_buffer.scala 420:14]
buf_rspage[2] <= _T_3300 @[lsu_bus_buffer.scala 420:14]
buf_rspage[3] <= _T_3327 @[lsu_bus_buffer.scala 420:14]
node _T_3328 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:75]
node _T_3329 = and(ibuf_drain_vld, _T_3328) @[lsu_bus_buffer.scala 425:63]
node _T_3330 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 425:75]
node _T_3331 = and(ibuf_drain_vld, _T_3330) @[lsu_bus_buffer.scala 425:63]
node _T_3332 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 425:75]
node _T_3333 = and(ibuf_drain_vld, _T_3332) @[lsu_bus_buffer.scala 425:63]
node _T_3334 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 425:75]
node _T_3335 = and(ibuf_drain_vld, _T_3334) @[lsu_bus_buffer.scala 425:63]
node _T_3336 = cat(_T_3335, _T_3333) @[Cat.scala 29:58]
node _T_3337 = cat(_T_3336, _T_3331) @[Cat.scala 29:58]
node _T_3338 = cat(_T_3337, _T_3329) @[Cat.scala 29:58]
ibuf_drainvec_vld <= _T_3338 @[lsu_bus_buffer.scala 425:21]
node _T_3339 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 426:64]
node _T_3340 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 426:84]
node _T_3341 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 427:18]
node _T_3342 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 427:46]
node _T_3343 = and(_T_3341, _T_3342) @[lsu_bus_buffer.scala 427:35]
node _T_3344 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 427:71]
node _T_3345 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 427:94]
node _T_3346 = mux(_T_3343, _T_3344, _T_3345) @[lsu_bus_buffer.scala 427:8]
node _T_3347 = mux(_T_3339, _T_3340, _T_3346) @[lsu_bus_buffer.scala 426:46]
node _T_3348 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 426:64]
node _T_3349 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 426:84]
node _T_3350 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 427:18]
node _T_3351 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 427:46]
node _T_3352 = and(_T_3350, _T_3351) @[lsu_bus_buffer.scala 427:35]
node _T_3353 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 427:71]
node _T_3354 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 427:94]
node _T_3355 = mux(_T_3352, _T_3353, _T_3354) @[lsu_bus_buffer.scala 427:8]
node _T_3356 = mux(_T_3348, _T_3349, _T_3355) @[lsu_bus_buffer.scala 426:46]
node _T_3357 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 426:64]
node _T_3358 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 426:84]
node _T_3359 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 427:18]
node _T_3360 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 427:46]
node _T_3361 = and(_T_3359, _T_3360) @[lsu_bus_buffer.scala 427:35]
node _T_3362 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 427:71]
node _T_3363 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 427:94]
node _T_3364 = mux(_T_3361, _T_3362, _T_3363) @[lsu_bus_buffer.scala 427:8]
node _T_3365 = mux(_T_3357, _T_3358, _T_3364) @[lsu_bus_buffer.scala 426:46]
node _T_3366 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 426:64]
node _T_3367 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 426:84]
node _T_3368 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 427:18]
node _T_3369 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 427:46]
node _T_3370 = and(_T_3368, _T_3369) @[lsu_bus_buffer.scala 427:35]
node _T_3371 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 427:71]
node _T_3372 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 427:94]
node _T_3373 = mux(_T_3370, _T_3371, _T_3372) @[lsu_bus_buffer.scala 427:8]
node _T_3374 = mux(_T_3366, _T_3367, _T_3373) @[lsu_bus_buffer.scala 426:46]
buf_byteen_in[0] <= _T_3347 @[lsu_bus_buffer.scala 426:17]
buf_byteen_in[1] <= _T_3356 @[lsu_bus_buffer.scala 426:17]
buf_byteen_in[2] <= _T_3365 @[lsu_bus_buffer.scala 426:17]
buf_byteen_in[3] <= _T_3374 @[lsu_bus_buffer.scala 426:17]
node _T_3375 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 428:62]
node _T_3376 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:91]
node _T_3377 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:119]
node _T_3378 = and(_T_3376, _T_3377) @[lsu_bus_buffer.scala 428:108]
node _T_3379 = mux(_T_3378, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 428:81]
node _T_3380 = mux(_T_3375, ibuf_addr, _T_3379) @[lsu_bus_buffer.scala 428:44]
node _T_3381 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 428:62]
node _T_3382 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:91]
node _T_3383 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 428:119]
node _T_3384 = and(_T_3382, _T_3383) @[lsu_bus_buffer.scala 428:108]
node _T_3385 = mux(_T_3384, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 428:81]
node _T_3386 = mux(_T_3381, ibuf_addr, _T_3385) @[lsu_bus_buffer.scala 428:44]
node _T_3387 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 428:62]
node _T_3388 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:91]
node _T_3389 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 428:119]
node _T_3390 = and(_T_3388, _T_3389) @[lsu_bus_buffer.scala 428:108]
node _T_3391 = mux(_T_3390, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 428:81]
node _T_3392 = mux(_T_3387, ibuf_addr, _T_3391) @[lsu_bus_buffer.scala 428:44]
node _T_3393 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 428:62]
node _T_3394 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:91]
node _T_3395 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 428:119]
node _T_3396 = and(_T_3394, _T_3395) @[lsu_bus_buffer.scala 428:108]
node _T_3397 = mux(_T_3396, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 428:81]
node _T_3398 = mux(_T_3393, ibuf_addr, _T_3397) @[lsu_bus_buffer.scala 428:44]
buf_addr_in[0] <= _T_3380 @[lsu_bus_buffer.scala 428:15]
buf_addr_in[1] <= _T_3386 @[lsu_bus_buffer.scala 428:15]
buf_addr_in[2] <= _T_3392 @[lsu_bus_buffer.scala 428:15]
buf_addr_in[3] <= _T_3398 @[lsu_bus_buffer.scala 428:15]
node _T_3399 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 429:63]
node _T_3400 = mux(_T_3399, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:45]
node _T_3401 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 429:63]
node _T_3402 = mux(_T_3401, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:45]
node _T_3403 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 429:63]
node _T_3404 = mux(_T_3403, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:45]
node _T_3405 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 429:63]
node _T_3406 = mux(_T_3405, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:45]
node _T_3407 = cat(_T_3406, _T_3404) @[Cat.scala 29:58]
node _T_3408 = cat(_T_3407, _T_3402) @[Cat.scala 29:58]
node _T_3409 = cat(_T_3408, _T_3400) @[Cat.scala 29:58]
buf_dual_in <= _T_3409 @[lsu_bus_buffer.scala 429:15]
node _T_3410 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 430:65]
node _T_3411 = mux(_T_3410, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 430:47]
node _T_3412 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 430:65]
node _T_3413 = mux(_T_3412, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 430:47]
node _T_3414 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 430:65]
node _T_3415 = mux(_T_3414, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 430:47]
node _T_3416 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 430:65]
node _T_3417 = mux(_T_3416, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 430:47]
node _T_3418 = cat(_T_3417, _T_3415) @[Cat.scala 29:58]
node _T_3419 = cat(_T_3418, _T_3413) @[Cat.scala 29:58]
node _T_3420 = cat(_T_3419, _T_3411) @[Cat.scala 29:58]
buf_samedw_in <= _T_3420 @[lsu_bus_buffer.scala 430:17]
node _T_3421 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 431:66]
node _T_3422 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 431:84]
node _T_3423 = mux(_T_3421, _T_3422, io.no_dword_merge_r) @[lsu_bus_buffer.scala 431:48]
node _T_3424 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 431:66]
node _T_3425 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 431:84]
node _T_3426 = mux(_T_3424, _T_3425, io.no_dword_merge_r) @[lsu_bus_buffer.scala 431:48]
node _T_3427 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 431:66]
node _T_3428 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 431:84]
node _T_3429 = mux(_T_3427, _T_3428, io.no_dword_merge_r) @[lsu_bus_buffer.scala 431:48]
node _T_3430 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 431:66]
node _T_3431 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 431:84]
node _T_3432 = mux(_T_3430, _T_3431, io.no_dword_merge_r) @[lsu_bus_buffer.scala 431:48]
node _T_3433 = cat(_T_3432, _T_3429) @[Cat.scala 29:58]
node _T_3434 = cat(_T_3433, _T_3426) @[Cat.scala 29:58]
node _T_3435 = cat(_T_3434, _T_3423) @[Cat.scala 29:58]
buf_nomerge_in <= _T_3435 @[lsu_bus_buffer.scala 431:18]
node _T_3436 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 432:65]
node _T_3437 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:90]
node _T_3438 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 432:118]
node _T_3439 = and(_T_3437, _T_3438) @[lsu_bus_buffer.scala 432:107]
node _T_3440 = mux(_T_3436, ibuf_dual, _T_3439) @[lsu_bus_buffer.scala 432:47]
node _T_3441 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 432:65]
node _T_3442 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:90]
node _T_3443 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 432:118]
node _T_3444 = and(_T_3442, _T_3443) @[lsu_bus_buffer.scala 432:107]
node _T_3445 = mux(_T_3441, ibuf_dual, _T_3444) @[lsu_bus_buffer.scala 432:47]
node _T_3446 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 432:65]
node _T_3447 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:90]
node _T_3448 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 432:118]
node _T_3449 = and(_T_3447, _T_3448) @[lsu_bus_buffer.scala 432:107]
node _T_3450 = mux(_T_3446, ibuf_dual, _T_3449) @[lsu_bus_buffer.scala 432:47]
node _T_3451 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 432:65]
node _T_3452 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:90]
node _T_3453 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 432:118]
node _T_3454 = and(_T_3452, _T_3453) @[lsu_bus_buffer.scala 432:107]
node _T_3455 = mux(_T_3451, ibuf_dual, _T_3454) @[lsu_bus_buffer.scala 432:47]
node _T_3456 = cat(_T_3455, _T_3450) @[Cat.scala 29:58]
node _T_3457 = cat(_T_3456, _T_3445) @[Cat.scala 29:58]
node _T_3458 = cat(_T_3457, _T_3440) @[Cat.scala 29:58]
buf_dualhi_in <= _T_3458 @[lsu_bus_buffer.scala 432:17]
node _T_3459 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 433:65]
node _T_3460 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:97]
node _T_3461 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 433:125]
node _T_3462 = and(_T_3460, _T_3461) @[lsu_bus_buffer.scala 433:114]
node _T_3463 = mux(_T_3462, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 433:87]
node _T_3464 = mux(_T_3459, ibuf_dualtag, _T_3463) @[lsu_bus_buffer.scala 433:47]
node _T_3465 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 433:65]
node _T_3466 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:97]
node _T_3467 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 433:125]
node _T_3468 = and(_T_3466, _T_3467) @[lsu_bus_buffer.scala 433:114]
node _T_3469 = mux(_T_3468, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 433:87]
node _T_3470 = mux(_T_3465, ibuf_dualtag, _T_3469) @[lsu_bus_buffer.scala 433:47]
node _T_3471 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 433:65]
node _T_3472 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:97]
node _T_3473 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 433:125]
node _T_3474 = and(_T_3472, _T_3473) @[lsu_bus_buffer.scala 433:114]
node _T_3475 = mux(_T_3474, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 433:87]
node _T_3476 = mux(_T_3471, ibuf_dualtag, _T_3475) @[lsu_bus_buffer.scala 433:47]
node _T_3477 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 433:65]
node _T_3478 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:97]
node _T_3479 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 433:125]
node _T_3480 = and(_T_3478, _T_3479) @[lsu_bus_buffer.scala 433:114]
node _T_3481 = mux(_T_3480, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 433:87]
node _T_3482 = mux(_T_3477, ibuf_dualtag, _T_3481) @[lsu_bus_buffer.scala 433:47]
buf_dualtag_in[0] <= _T_3464 @[lsu_bus_buffer.scala 433:18]
buf_dualtag_in[1] <= _T_3470 @[lsu_bus_buffer.scala 433:18]
buf_dualtag_in[2] <= _T_3476 @[lsu_bus_buffer.scala 433:18]
buf_dualtag_in[3] <= _T_3482 @[lsu_bus_buffer.scala 433:18]
node _T_3483 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 434:69]
node _T_3484 = mux(_T_3483, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 434:51]
node _T_3485 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 434:69]
node _T_3486 = mux(_T_3485, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 434:51]
node _T_3487 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 434:69]
node _T_3488 = mux(_T_3487, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 434:51]
node _T_3489 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 434:69]
node _T_3490 = mux(_T_3489, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 434:51]
node _T_3491 = cat(_T_3490, _T_3488) @[Cat.scala 29:58]
node _T_3492 = cat(_T_3491, _T_3486) @[Cat.scala 29:58]
node _T_3493 = cat(_T_3492, _T_3484) @[Cat.scala 29:58]
buf_sideeffect_in <= _T_3493 @[lsu_bus_buffer.scala 434:21]
node _T_3494 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 435:65]
node _T_3495 = mux(_T_3494, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 435:47]
node _T_3496 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 435:65]
node _T_3497 = mux(_T_3496, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 435:47]
node _T_3498 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 435:65]
node _T_3499 = mux(_T_3498, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 435:47]
node _T_3500 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 435:65]
node _T_3501 = mux(_T_3500, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 435:47]
node _T_3502 = cat(_T_3501, _T_3499) @[Cat.scala 29:58]
node _T_3503 = cat(_T_3502, _T_3497) @[Cat.scala 29:58]
node _T_3504 = cat(_T_3503, _T_3495) @[Cat.scala 29:58]
buf_unsign_in <= _T_3504 @[lsu_bus_buffer.scala 435:17]
node _T_3505 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 436:60]
node _T_3506 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58]
node _T_3507 = mux(_T_3505, ibuf_sz, _T_3506) @[lsu_bus_buffer.scala 436:42]
node _T_3508 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 436:60]
node _T_3509 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58]
node _T_3510 = mux(_T_3508, ibuf_sz, _T_3509) @[lsu_bus_buffer.scala 436:42]
node _T_3511 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 436:60]
node _T_3512 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58]
node _T_3513 = mux(_T_3511, ibuf_sz, _T_3512) @[lsu_bus_buffer.scala 436:42]
node _T_3514 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 436:60]
node _T_3515 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58]
node _T_3516 = mux(_T_3514, ibuf_sz, _T_3515) @[lsu_bus_buffer.scala 436:42]
buf_sz_in[0] <= _T_3507 @[lsu_bus_buffer.scala 436:13]
buf_sz_in[1] <= _T_3510 @[lsu_bus_buffer.scala 436:13]
buf_sz_in[2] <= _T_3513 @[lsu_bus_buffer.scala 436:13]
buf_sz_in[3] <= _T_3516 @[lsu_bus_buffer.scala 436:13]
node _T_3517 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 437:64]
node _T_3518 = mux(_T_3517, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 437:46]
node _T_3519 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 437:64]
node _T_3520 = mux(_T_3519, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 437:46]
node _T_3521 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 437:64]
node _T_3522 = mux(_T_3521, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 437:46]
node _T_3523 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 437:64]
node _T_3524 = mux(_T_3523, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 437:46]
node _T_3525 = cat(_T_3524, _T_3522) @[Cat.scala 29:58]
node _T_3526 = cat(_T_3525, _T_3520) @[Cat.scala 29:58]
node _T_3527 = cat(_T_3526, _T_3518) @[Cat.scala 29:58]
buf_write_in <= _T_3527 @[lsu_bus_buffer.scala 437:16]
node _T_3528 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30]
when _T_3528 : @[Conditional.scala 40:58]
node _T_3529 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56]
node _T_3530 = mux(_T_3529, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 442:31]
buf_nxtstate[0] <= _T_3530 @[lsu_bus_buffer.scala 442:25]
node _T_3531 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 443:45]
node _T_3532 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:77]
node _T_3533 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 443:97]
node _T_3534 = and(_T_3532, _T_3533) @[lsu_bus_buffer.scala 443:95]
node _T_3535 = eq(UInt<1>("h00"), WrPtr0_r) @[lsu_bus_buffer.scala 443:117]
node _T_3536 = and(_T_3534, _T_3535) @[lsu_bus_buffer.scala 443:112]
node _T_3537 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:144]
node _T_3538 = eq(UInt<1>("h00"), WrPtr1_r) @[lsu_bus_buffer.scala 443:166]
node _T_3539 = and(_T_3537, _T_3538) @[lsu_bus_buffer.scala 443:161]
node _T_3540 = or(_T_3536, _T_3539) @[lsu_bus_buffer.scala 443:132]
node _T_3541 = and(_T_3531, _T_3540) @[lsu_bus_buffer.scala 443:63]
node _T_3542 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 443:206]
node _T_3543 = and(ibuf_drain_vld, _T_3542) @[lsu_bus_buffer.scala 443:201]
node _T_3544 = or(_T_3541, _T_3543) @[lsu_bus_buffer.scala 443:183]
buf_state_en[0] <= _T_3544 @[lsu_bus_buffer.scala 443:25]
buf_wr_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 444:22]
buf_data_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 445:24]
node _T_3545 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 446:52]
node _T_3546 = and(ibuf_drain_vld, _T_3545) @[lsu_bus_buffer.scala 446:47]
node _T_3547 = bits(_T_3546, 0, 0) @[lsu_bus_buffer.scala 446:73]
node _T_3548 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 446:90]
node _T_3549 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 446:114]
node _T_3550 = mux(_T_3547, _T_3548, _T_3549) @[lsu_bus_buffer.scala 446:30]
buf_data_in[0] <= _T_3550 @[lsu_bus_buffer.scala 446:24]
skip @[Conditional.scala 40:58]
else : @[Conditional.scala 39:67]
node _T_3551 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30]
when _T_3551 : @[Conditional.scala 39:67]
node _T_3552 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 449:60]
node _T_3553 = mux(_T_3552, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 449:31]
buf_nxtstate[0] <= _T_3553 @[lsu_bus_buffer.scala 449:25]
node _T_3554 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 450:46]
buf_state_en[0] <= _T_3554 @[lsu_bus_buffer.scala 450:25]
skip @[Conditional.scala 39:67]
else : @[Conditional.scala 39:67]
node _T_3555 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30]
when _T_3555 : @[Conditional.scala 39:67]
node _T_3556 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60]
node _T_3557 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 453:89]
node _T_3558 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 453:124]
node _T_3559 = and(_T_3557, _T_3558) @[lsu_bus_buffer.scala 453:104]
node _T_3560 = mux(_T_3559, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 453:75]
node _T_3561 = mux(_T_3556, UInt<3>("h00"), _T_3560) @[lsu_bus_buffer.scala 453:31]
buf_nxtstate[0] <= _T_3561 @[lsu_bus_buffer.scala 453:25]
node _T_3562 = eq(obuf_tag0, UInt<3>("h00")) @[lsu_bus_buffer.scala 454:48]
node _T_3563 = eq(obuf_tag1, UInt<3>("h00")) @[lsu_bus_buffer.scala 454:104]
node _T_3564 = and(obuf_merge, _T_3563) @[lsu_bus_buffer.scala 454:91]
node _T_3565 = or(_T_3562, _T_3564) @[lsu_bus_buffer.scala 454:77]
node _T_3566 = and(_T_3565, obuf_valid) @[lsu_bus_buffer.scala 454:135]
node _T_3567 = and(_T_3566, obuf_wr_enQ) @[lsu_bus_buffer.scala 454:148]
buf_cmd_state_bus_en[0] <= _T_3567 @[lsu_bus_buffer.scala 454:33]
buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[lsu_bus_buffer.scala 455:29]
node _T_3568 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 456:49]
node _T_3569 = or(_T_3568, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:70]
buf_state_en[0] <= _T_3569 @[lsu_bus_buffer.scala 456:25]
buf_ldfwd_in[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 457:25]
node _T_3570 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 458:56]
node _T_3571 = eq(_T_3570, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:46]
node _T_3572 = and(buf_state_en[0], _T_3571) @[lsu_bus_buffer.scala 458:44]
node _T_3573 = and(_T_3572, obuf_nosend) @[lsu_bus_buffer.scala 458:60]
node _T_3574 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:76]
node _T_3575 = and(_T_3573, _T_3574) @[lsu_bus_buffer.scala 458:74]
buf_ldfwd_en[0] <= _T_3575 @[lsu_bus_buffer.scala 458:25]
node _T_3576 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 459:46]
buf_ldfwdtag_in[0] <= _T_3576 @[lsu_bus_buffer.scala 459:28]
node _T_3577 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:47]
node _T_3578 = and(_T_3577, obuf_nosend) @[lsu_bus_buffer.scala 460:67]
node _T_3579 = and(_T_3578, bus_rsp_read) @[lsu_bus_buffer.scala 460:81]
buf_data_en[0] <= _T_3579 @[lsu_bus_buffer.scala 460:24]
node _T_3580 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:48]
node _T_3581 = and(_T_3580, obuf_nosend) @[lsu_bus_buffer.scala 461:68]
node _T_3582 = and(_T_3581, bus_rsp_read_error) @[lsu_bus_buffer.scala 461:82]
buf_error_en[0] <= _T_3582 @[lsu_bus_buffer.scala 461:25]
node _T_3583 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:61]
node _T_3584 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 462:85]
node _T_3585 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 462:103]
node _T_3586 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:126]
node _T_3587 = mux(_T_3584, _T_3585, _T_3586) @[lsu_bus_buffer.scala 462:73]
node _T_3588 = mux(buf_error_en[0], _T_3583, _T_3587) @[lsu_bus_buffer.scala 462:30]
buf_data_in[0] <= _T_3588 @[lsu_bus_buffer.scala 462:24]
skip @[Conditional.scala 39:67]
else : @[Conditional.scala 39:67]
node _T_3589 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30]
when _T_3589 : @[Conditional.scala 39:67]
node _T_3590 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 465:67]
node _T_3591 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 465:94]
node _T_3592 = eq(_T_3591, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:73]
node _T_3593 = and(_T_3590, _T_3592) @[lsu_bus_buffer.scala 465:71]
node _T_3594 = or(io.dec_tlu_force_halt, _T_3593) @[lsu_bus_buffer.scala 465:55]
node _T_3595 = bits(_T_3594, 0, 0) @[lsu_bus_buffer.scala 465:125]
node _T_3596 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 466:30]
node _T_3597 = and(buf_dual[0], _T_3596) @[lsu_bus_buffer.scala 466:28]
node _T_3598 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 466:57]
node _T_3599 = eq(_T_3598, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:47]
node _T_3600 = and(_T_3597, _T_3599) @[lsu_bus_buffer.scala 466:45]
node _T_3601 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 466:90]
node _T_3602 = and(_T_3600, _T_3601) @[lsu_bus_buffer.scala 466:61]
node _T_3603 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 467:27]
node _T_3604 = or(_T_3603, any_done_wait_state) @[lsu_bus_buffer.scala 467:31]
node _T_3605 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:70]
node _T_3606 = and(buf_dual[0], _T_3605) @[lsu_bus_buffer.scala 467:68]
node _T_3607 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 467:97]
node _T_3608 = eq(_T_3607, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87]
node _T_3609 = and(_T_3606, _T_3608) @[lsu_bus_buffer.scala 467:85]
node _T_3610 = eq(buf_dualtag[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118]
node _T_3611 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 56:129]
node _T_3612 = eq(buf_dualtag[0], UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118]
node _T_3613 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 56:129]
node _T_3614 = eq(buf_dualtag[0], UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118]
node _T_3615 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 56:129]
node _T_3616 = eq(buf_dualtag[0], UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118]
node _T_3617 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 56:129]
node _T_3618 = mux(_T_3610, _T_3611, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_3619 = mux(_T_3612, _T_3613, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_3620 = mux(_T_3614, _T_3615, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_3621 = mux(_T_3616, _T_3617, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_3622 = or(_T_3618, _T_3619) @[Mux.scala 27:72]
node _T_3623 = or(_T_3622, _T_3620) @[Mux.scala 27:72]
node _T_3624 = or(_T_3623, _T_3621) @[Mux.scala 27:72]
wire _T_3625 : UInt<1> @[Mux.scala 27:72]
_T_3625 <= _T_3624 @[Mux.scala 27:72]
node _T_3626 = and(_T_3609, _T_3625) @[lsu_bus_buffer.scala 467:101]
node _T_3627 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:167]
node _T_3628 = and(_T_3626, _T_3627) @[lsu_bus_buffer.scala 467:138]
node _T_3629 = and(_T_3628, any_done_wait_state) @[lsu_bus_buffer.scala 467:187]
node _T_3630 = or(_T_3604, _T_3629) @[lsu_bus_buffer.scala 467:53]
node _T_3631 = mux(_T_3630, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 467:16]
node _T_3632 = mux(_T_3602, UInt<3>("h04"), _T_3631) @[lsu_bus_buffer.scala 466:14]
node _T_3633 = mux(_T_3595, UInt<3>("h00"), _T_3632) @[lsu_bus_buffer.scala 465:31]
buf_nxtstate[0] <= _T_3633 @[lsu_bus_buffer.scala 465:25]
node _T_3634 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 468:73]
node _T_3635 = and(bus_rsp_write, _T_3634) @[lsu_bus_buffer.scala 468:52]
node _T_3636 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 469:46]
node _T_3637 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 470:23]
node _T_3638 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 470:47]
node _T_3639 = and(_T_3637, _T_3638) @[lsu_bus_buffer.scala 470:27]
node _T_3640 = or(_T_3636, _T_3639) @[lsu_bus_buffer.scala 469:77]
node _T_3641 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 471:26]
node _T_3642 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 471:54]
node _T_3643 = not(_T_3642) @[lsu_bus_buffer.scala 471:44]
node _T_3644 = and(_T_3641, _T_3643) @[lsu_bus_buffer.scala 471:42]
node _T_3645 = and(_T_3644, buf_samedw[0]) @[lsu_bus_buffer.scala 471:58]
node _T_3646 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 471:94]
node _T_3647 = and(_T_3645, _T_3646) @[lsu_bus_buffer.scala 471:74]
node _T_3648 = or(_T_3640, _T_3647) @[lsu_bus_buffer.scala 470:71]
node _T_3649 = and(bus_rsp_read, _T_3648) @[lsu_bus_buffer.scala 469:25]
node _T_3650 = or(_T_3635, _T_3649) @[lsu_bus_buffer.scala 468:105]
buf_resp_state_bus_en[0] <= _T_3650 @[lsu_bus_buffer.scala 468:34]
buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[lsu_bus_buffer.scala 472:29]
node _T_3651 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 473:49]
node _T_3652 = or(_T_3651, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 473:70]
buf_state_en[0] <= _T_3652 @[lsu_bus_buffer.scala 473:25]
node _T_3653 = and(buf_state_bus_en[0], bus_rsp_read) @[lsu_bus_buffer.scala 474:47]
node _T_3654 = and(_T_3653, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:62]
buf_data_en[0] <= _T_3654 @[lsu_bus_buffer.scala 474:24]
node _T_3655 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:48]
node _T_3656 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 475:111]
node _T_3657 = and(bus_rsp_read_error, _T_3656) @[lsu_bus_buffer.scala 475:91]
node _T_3658 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 476:42]
node _T_3659 = and(bus_rsp_read_error, _T_3658) @[lsu_bus_buffer.scala 476:31]
node _T_3660 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 476:66]
node _T_3661 = and(_T_3659, _T_3660) @[lsu_bus_buffer.scala 476:46]
node _T_3662 = or(_T_3657, _T_3661) @[lsu_bus_buffer.scala 475:143]
node _T_3663 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 477:32]
node _T_3664 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 477:74]
node _T_3665 = and(_T_3663, _T_3664) @[lsu_bus_buffer.scala 477:53]
node _T_3666 = or(_T_3662, _T_3665) @[lsu_bus_buffer.scala 476:88]
node _T_3667 = and(_T_3655, _T_3666) @[lsu_bus_buffer.scala 475:68]
buf_error_en[0] <= _T_3667 @[lsu_bus_buffer.scala 475:25]
node _T_3668 = eq(buf_error_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 478:50]
node _T_3669 = and(buf_state_en[0], _T_3668) @[lsu_bus_buffer.scala 478:48]
node _T_3670 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 478:84]
node _T_3671 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 478:102]
node _T_3672 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:125]
node _T_3673 = mux(_T_3670, _T_3671, _T_3672) @[lsu_bus_buffer.scala 478:72]
node _T_3674 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:148]
node _T_3675 = mux(_T_3669, _T_3673, _T_3674) @[lsu_bus_buffer.scala 478:30]
buf_data_in[0] <= _T_3675 @[lsu_bus_buffer.scala 478:24]
skip @[Conditional.scala 39:67]
else : @[Conditional.scala 39:67]
node _T_3676 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30]
when _T_3676 : @[Conditional.scala 39:67]
node _T_3677 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 481:60]
node _T_3678 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 481:86]
node _T_3679 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 481:101]
node _T_3680 = bits(_T_3679, 0, 0) @[lsu_bus_buffer.scala 481:101]
node _T_3681 = or(_T_3678, _T_3680) @[lsu_bus_buffer.scala 481:90]
node _T_3682 = or(_T_3681, any_done_wait_state) @[lsu_bus_buffer.scala 481:118]
node _T_3683 = mux(_T_3682, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 481:75]
node _T_3684 = mux(_T_3677, UInt<3>("h00"), _T_3683) @[lsu_bus_buffer.scala 481:31]
buf_nxtstate[0] <= _T_3684 @[lsu_bus_buffer.scala 481:25]
node _T_3685 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 482:66]
node _T_3686 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 483:21]
node _T_3687 = bits(_T_3686, 0, 0) @[lsu_bus_buffer.scala 483:21]
node _T_3688 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[lsu_bus_buffer.scala 483:58]
node _T_3689 = and(_T_3687, _T_3688) @[lsu_bus_buffer.scala 483:38]
node _T_3690 = or(_T_3685, _T_3689) @[lsu_bus_buffer.scala 482:95]
node _T_3691 = and(bus_rsp_read, _T_3690) @[lsu_bus_buffer.scala 482:45]
buf_state_bus_en[0] <= _T_3691 @[lsu_bus_buffer.scala 482:29]
node _T_3692 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 484:49]
node _T_3693 = or(_T_3692, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 484:70]
buf_state_en[0] <= _T_3693 @[lsu_bus_buffer.scala 484:25]
skip @[Conditional.scala 39:67]
else : @[Conditional.scala 39:67]
node _T_3694 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30]
when _T_3694 : @[Conditional.scala 39:67]
node _T_3695 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60]
node _T_3696 = mux(_T_3695, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:31]
buf_nxtstate[0] <= _T_3696 @[lsu_bus_buffer.scala 487:25]
node _T_3697 = eq(RspPtr, UInt<2>("h00")) @[lsu_bus_buffer.scala 488:37]
node _T_3698 = eq(buf_dualtag[0], RspPtr) @[lsu_bus_buffer.scala 488:98]
node _T_3699 = and(buf_dual[0], _T_3698) @[lsu_bus_buffer.scala 488:80]
node _T_3700 = or(_T_3697, _T_3699) @[lsu_bus_buffer.scala 488:65]
node _T_3701 = or(_T_3700, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 488:112]
buf_state_en[0] <= _T_3701 @[lsu_bus_buffer.scala 488:25]
skip @[Conditional.scala 39:67]
else : @[Conditional.scala 39:67]
node _T_3702 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30]
when _T_3702 : @[Conditional.scala 39:67]
buf_nxtstate[0] <= UInt<3>("h00") @[lsu_bus_buffer.scala 491:25]
buf_rst[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 492:20]
buf_state_en[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:25]
buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:25]
buf_ldfwd_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 495:25]
skip @[Conditional.scala 39:67]
node _T_3703 = bits(buf_state_en[0], 0, 0) @[lsu_bus_buffer.scala 498:108]
reg _T_3704 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_3703 : @[Reg.scala 28:19]
_T_3704 <= buf_nxtstate[0] @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_state[0] <= _T_3704 @[lsu_bus_buffer.scala 498:18]
reg _T_3705 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 499:60]
_T_3705 <= buf_age_in_0 @[lsu_bus_buffer.scala 499:60]
buf_ageQ[0] <= _T_3705 @[lsu_bus_buffer.scala 499:17]
reg _T_3706 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:63]
_T_3706 <= buf_rspage_in[0] @[lsu_bus_buffer.scala 500:63]
buf_rspageQ[0] <= _T_3706 @[lsu_bus_buffer.scala 500:20]
node _T_3707 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 501:109]
reg _T_3708 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_3707 : @[Reg.scala 28:19]
_T_3708 <= buf_dualtag_in[0] @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_dualtag[0] <= _T_3708 @[lsu_bus_buffer.scala 501:20]
node _T_3709 = bits(buf_dual_in, 0, 0) @[lsu_bus_buffer.scala 502:74]
node _T_3710 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 502:107]
reg _T_3711 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_3710 : @[Reg.scala 28:19]
_T_3711 <= _T_3709 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_dual[0] <= _T_3711 @[lsu_bus_buffer.scala 502:17]
node _T_3712 = bits(buf_samedw_in, 0, 0) @[lsu_bus_buffer.scala 503:78]
node _T_3713 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 503:111]
reg _T_3714 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_3713 : @[Reg.scala 28:19]
_T_3714 <= _T_3712 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_samedw[0] <= _T_3714 @[lsu_bus_buffer.scala 503:19]
node _T_3715 = bits(buf_nomerge_in, 0, 0) @[lsu_bus_buffer.scala 504:80]
node _T_3716 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 504:113]
reg _T_3717 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_3716 : @[Reg.scala 28:19]
_T_3717 <= _T_3715 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_nomerge[0] <= _T_3717 @[lsu_bus_buffer.scala 504:20]
node _T_3718 = bits(buf_dualhi_in, 0, 0) @[lsu_bus_buffer.scala 505:78]
node _T_3719 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 505:111]
reg _T_3720 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_3719 : @[Reg.scala 28:19]
_T_3720 <= _T_3718 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_dualhi[0] <= _T_3720 @[lsu_bus_buffer.scala 505:19]
node _T_3721 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30]
when _T_3721 : @[Conditional.scala 40:58]
node _T_3722 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56]
node _T_3723 = mux(_T_3722, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 442:31]
buf_nxtstate[1] <= _T_3723 @[lsu_bus_buffer.scala 442:25]
node _T_3724 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 443:45]
node _T_3725 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:77]
node _T_3726 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 443:97]
node _T_3727 = and(_T_3725, _T_3726) @[lsu_bus_buffer.scala 443:95]
node _T_3728 = eq(UInt<1>("h01"), WrPtr0_r) @[lsu_bus_buffer.scala 443:117]
node _T_3729 = and(_T_3727, _T_3728) @[lsu_bus_buffer.scala 443:112]
node _T_3730 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:144]
node _T_3731 = eq(UInt<1>("h01"), WrPtr1_r) @[lsu_bus_buffer.scala 443:166]
node _T_3732 = and(_T_3730, _T_3731) @[lsu_bus_buffer.scala 443:161]
node _T_3733 = or(_T_3729, _T_3732) @[lsu_bus_buffer.scala 443:132]
node _T_3734 = and(_T_3724, _T_3733) @[lsu_bus_buffer.scala 443:63]
node _T_3735 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 443:206]
node _T_3736 = and(ibuf_drain_vld, _T_3735) @[lsu_bus_buffer.scala 443:201]
node _T_3737 = or(_T_3734, _T_3736) @[lsu_bus_buffer.scala 443:183]
buf_state_en[1] <= _T_3737 @[lsu_bus_buffer.scala 443:25]
buf_wr_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 444:22]
buf_data_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 445:24]
node _T_3738 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 446:52]
node _T_3739 = and(ibuf_drain_vld, _T_3738) @[lsu_bus_buffer.scala 446:47]
node _T_3740 = bits(_T_3739, 0, 0) @[lsu_bus_buffer.scala 446:73]
node _T_3741 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 446:90]
node _T_3742 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 446:114]
node _T_3743 = mux(_T_3740, _T_3741, _T_3742) @[lsu_bus_buffer.scala 446:30]
buf_data_in[1] <= _T_3743 @[lsu_bus_buffer.scala 446:24]
skip @[Conditional.scala 40:58]
else : @[Conditional.scala 39:67]
node _T_3744 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30]
when _T_3744 : @[Conditional.scala 39:67]
node _T_3745 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 449:60]
node _T_3746 = mux(_T_3745, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 449:31]
buf_nxtstate[1] <= _T_3746 @[lsu_bus_buffer.scala 449:25]
node _T_3747 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 450:46]
buf_state_en[1] <= _T_3747 @[lsu_bus_buffer.scala 450:25]
skip @[Conditional.scala 39:67]
else : @[Conditional.scala 39:67]
node _T_3748 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30]
when _T_3748 : @[Conditional.scala 39:67]
node _T_3749 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60]
node _T_3750 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 453:89]
node _T_3751 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 453:124]
node _T_3752 = and(_T_3750, _T_3751) @[lsu_bus_buffer.scala 453:104]
node _T_3753 = mux(_T_3752, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 453:75]
node _T_3754 = mux(_T_3749, UInt<3>("h00"), _T_3753) @[lsu_bus_buffer.scala 453:31]
buf_nxtstate[1] <= _T_3754 @[lsu_bus_buffer.scala 453:25]
node _T_3755 = eq(obuf_tag0, UInt<3>("h01")) @[lsu_bus_buffer.scala 454:48]
node _T_3756 = eq(obuf_tag1, UInt<3>("h01")) @[lsu_bus_buffer.scala 454:104]
node _T_3757 = and(obuf_merge, _T_3756) @[lsu_bus_buffer.scala 454:91]
node _T_3758 = or(_T_3755, _T_3757) @[lsu_bus_buffer.scala 454:77]
node _T_3759 = and(_T_3758, obuf_valid) @[lsu_bus_buffer.scala 454:135]
node _T_3760 = and(_T_3759, obuf_wr_enQ) @[lsu_bus_buffer.scala 454:148]
buf_cmd_state_bus_en[1] <= _T_3760 @[lsu_bus_buffer.scala 454:33]
buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[lsu_bus_buffer.scala 455:29]
node _T_3761 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 456:49]
node _T_3762 = or(_T_3761, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:70]
buf_state_en[1] <= _T_3762 @[lsu_bus_buffer.scala 456:25]
buf_ldfwd_in[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 457:25]
node _T_3763 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 458:56]
node _T_3764 = eq(_T_3763, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:46]
node _T_3765 = and(buf_state_en[1], _T_3764) @[lsu_bus_buffer.scala 458:44]
node _T_3766 = and(_T_3765, obuf_nosend) @[lsu_bus_buffer.scala 458:60]
node _T_3767 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:76]
node _T_3768 = and(_T_3766, _T_3767) @[lsu_bus_buffer.scala 458:74]
buf_ldfwd_en[1] <= _T_3768 @[lsu_bus_buffer.scala 458:25]
node _T_3769 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 459:46]
buf_ldfwdtag_in[1] <= _T_3769 @[lsu_bus_buffer.scala 459:28]
node _T_3770 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:47]
node _T_3771 = and(_T_3770, obuf_nosend) @[lsu_bus_buffer.scala 460:67]
node _T_3772 = and(_T_3771, bus_rsp_read) @[lsu_bus_buffer.scala 460:81]
buf_data_en[1] <= _T_3772 @[lsu_bus_buffer.scala 460:24]
node _T_3773 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:48]
node _T_3774 = and(_T_3773, obuf_nosend) @[lsu_bus_buffer.scala 461:68]
node _T_3775 = and(_T_3774, bus_rsp_read_error) @[lsu_bus_buffer.scala 461:82]
buf_error_en[1] <= _T_3775 @[lsu_bus_buffer.scala 461:25]
node _T_3776 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:61]
node _T_3777 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 462:85]
node _T_3778 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 462:103]
node _T_3779 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:126]
node _T_3780 = mux(_T_3777, _T_3778, _T_3779) @[lsu_bus_buffer.scala 462:73]
node _T_3781 = mux(buf_error_en[1], _T_3776, _T_3780) @[lsu_bus_buffer.scala 462:30]
buf_data_in[1] <= _T_3781 @[lsu_bus_buffer.scala 462:24]
skip @[Conditional.scala 39:67]
else : @[Conditional.scala 39:67]
node _T_3782 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30]
when _T_3782 : @[Conditional.scala 39:67]
node _T_3783 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 465:67]
node _T_3784 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 465:94]
node _T_3785 = eq(_T_3784, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:73]
node _T_3786 = and(_T_3783, _T_3785) @[lsu_bus_buffer.scala 465:71]
node _T_3787 = or(io.dec_tlu_force_halt, _T_3786) @[lsu_bus_buffer.scala 465:55]
node _T_3788 = bits(_T_3787, 0, 0) @[lsu_bus_buffer.scala 465:125]
node _T_3789 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 466:30]
node _T_3790 = and(buf_dual[1], _T_3789) @[lsu_bus_buffer.scala 466:28]
node _T_3791 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 466:57]
node _T_3792 = eq(_T_3791, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:47]
node _T_3793 = and(_T_3790, _T_3792) @[lsu_bus_buffer.scala 466:45]
node _T_3794 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 466:90]
node _T_3795 = and(_T_3793, _T_3794) @[lsu_bus_buffer.scala 466:61]
node _T_3796 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 467:27]
node _T_3797 = or(_T_3796, any_done_wait_state) @[lsu_bus_buffer.scala 467:31]
node _T_3798 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:70]
node _T_3799 = and(buf_dual[1], _T_3798) @[lsu_bus_buffer.scala 467:68]
node _T_3800 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 467:97]
node _T_3801 = eq(_T_3800, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87]
node _T_3802 = and(_T_3799, _T_3801) @[lsu_bus_buffer.scala 467:85]
node _T_3803 = eq(buf_dualtag[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118]
node _T_3804 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 56:129]
node _T_3805 = eq(buf_dualtag[1], UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118]
node _T_3806 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 56:129]
node _T_3807 = eq(buf_dualtag[1], UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118]
node _T_3808 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 56:129]
node _T_3809 = eq(buf_dualtag[1], UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118]
node _T_3810 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 56:129]
node _T_3811 = mux(_T_3803, _T_3804, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_3812 = mux(_T_3805, _T_3806, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_3813 = mux(_T_3807, _T_3808, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_3814 = mux(_T_3809, _T_3810, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_3815 = or(_T_3811, _T_3812) @[Mux.scala 27:72]
node _T_3816 = or(_T_3815, _T_3813) @[Mux.scala 27:72]
node _T_3817 = or(_T_3816, _T_3814) @[Mux.scala 27:72]
wire _T_3818 : UInt<1> @[Mux.scala 27:72]
_T_3818 <= _T_3817 @[Mux.scala 27:72]
node _T_3819 = and(_T_3802, _T_3818) @[lsu_bus_buffer.scala 467:101]
node _T_3820 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:167]
node _T_3821 = and(_T_3819, _T_3820) @[lsu_bus_buffer.scala 467:138]
node _T_3822 = and(_T_3821, any_done_wait_state) @[lsu_bus_buffer.scala 467:187]
node _T_3823 = or(_T_3797, _T_3822) @[lsu_bus_buffer.scala 467:53]
node _T_3824 = mux(_T_3823, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 467:16]
node _T_3825 = mux(_T_3795, UInt<3>("h04"), _T_3824) @[lsu_bus_buffer.scala 466:14]
node _T_3826 = mux(_T_3788, UInt<3>("h00"), _T_3825) @[lsu_bus_buffer.scala 465:31]
buf_nxtstate[1] <= _T_3826 @[lsu_bus_buffer.scala 465:25]
node _T_3827 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 468:73]
node _T_3828 = and(bus_rsp_write, _T_3827) @[lsu_bus_buffer.scala 468:52]
node _T_3829 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 469:46]
node _T_3830 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 470:23]
node _T_3831 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 470:47]
node _T_3832 = and(_T_3830, _T_3831) @[lsu_bus_buffer.scala 470:27]
node _T_3833 = or(_T_3829, _T_3832) @[lsu_bus_buffer.scala 469:77]
node _T_3834 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 471:26]
node _T_3835 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 471:54]
node _T_3836 = not(_T_3835) @[lsu_bus_buffer.scala 471:44]
node _T_3837 = and(_T_3834, _T_3836) @[lsu_bus_buffer.scala 471:42]
node _T_3838 = and(_T_3837, buf_samedw[1]) @[lsu_bus_buffer.scala 471:58]
node _T_3839 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 471:94]
node _T_3840 = and(_T_3838, _T_3839) @[lsu_bus_buffer.scala 471:74]
node _T_3841 = or(_T_3833, _T_3840) @[lsu_bus_buffer.scala 470:71]
node _T_3842 = and(bus_rsp_read, _T_3841) @[lsu_bus_buffer.scala 469:25]
node _T_3843 = or(_T_3828, _T_3842) @[lsu_bus_buffer.scala 468:105]
buf_resp_state_bus_en[1] <= _T_3843 @[lsu_bus_buffer.scala 468:34]
buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[lsu_bus_buffer.scala 472:29]
node _T_3844 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 473:49]
node _T_3845 = or(_T_3844, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 473:70]
buf_state_en[1] <= _T_3845 @[lsu_bus_buffer.scala 473:25]
node _T_3846 = and(buf_state_bus_en[1], bus_rsp_read) @[lsu_bus_buffer.scala 474:47]
node _T_3847 = and(_T_3846, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:62]
buf_data_en[1] <= _T_3847 @[lsu_bus_buffer.scala 474:24]
node _T_3848 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:48]
node _T_3849 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 475:111]
node _T_3850 = and(bus_rsp_read_error, _T_3849) @[lsu_bus_buffer.scala 475:91]
node _T_3851 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 476:42]
node _T_3852 = and(bus_rsp_read_error, _T_3851) @[lsu_bus_buffer.scala 476:31]
node _T_3853 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 476:66]
node _T_3854 = and(_T_3852, _T_3853) @[lsu_bus_buffer.scala 476:46]
node _T_3855 = or(_T_3850, _T_3854) @[lsu_bus_buffer.scala 475:143]
node _T_3856 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 477:32]
node _T_3857 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 477:74]
node _T_3858 = and(_T_3856, _T_3857) @[lsu_bus_buffer.scala 477:53]
node _T_3859 = or(_T_3855, _T_3858) @[lsu_bus_buffer.scala 476:88]
node _T_3860 = and(_T_3848, _T_3859) @[lsu_bus_buffer.scala 475:68]
buf_error_en[1] <= _T_3860 @[lsu_bus_buffer.scala 475:25]
node _T_3861 = eq(buf_error_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 478:50]
node _T_3862 = and(buf_state_en[1], _T_3861) @[lsu_bus_buffer.scala 478:48]
node _T_3863 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 478:84]
node _T_3864 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 478:102]
node _T_3865 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:125]
node _T_3866 = mux(_T_3863, _T_3864, _T_3865) @[lsu_bus_buffer.scala 478:72]
node _T_3867 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:148]
node _T_3868 = mux(_T_3862, _T_3866, _T_3867) @[lsu_bus_buffer.scala 478:30]
buf_data_in[1] <= _T_3868 @[lsu_bus_buffer.scala 478:24]
skip @[Conditional.scala 39:67]
else : @[Conditional.scala 39:67]
node _T_3869 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30]
when _T_3869 : @[Conditional.scala 39:67]
node _T_3870 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 481:60]
node _T_3871 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 481:86]
node _T_3872 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 481:101]
node _T_3873 = bits(_T_3872, 0, 0) @[lsu_bus_buffer.scala 481:101]
node _T_3874 = or(_T_3871, _T_3873) @[lsu_bus_buffer.scala 481:90]
node _T_3875 = or(_T_3874, any_done_wait_state) @[lsu_bus_buffer.scala 481:118]
node _T_3876 = mux(_T_3875, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 481:75]
node _T_3877 = mux(_T_3870, UInt<3>("h00"), _T_3876) @[lsu_bus_buffer.scala 481:31]
buf_nxtstate[1] <= _T_3877 @[lsu_bus_buffer.scala 481:25]
node _T_3878 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 482:66]
node _T_3879 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 483:21]
node _T_3880 = bits(_T_3879, 0, 0) @[lsu_bus_buffer.scala 483:21]
node _T_3881 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[lsu_bus_buffer.scala 483:58]
node _T_3882 = and(_T_3880, _T_3881) @[lsu_bus_buffer.scala 483:38]
node _T_3883 = or(_T_3878, _T_3882) @[lsu_bus_buffer.scala 482:95]
node _T_3884 = and(bus_rsp_read, _T_3883) @[lsu_bus_buffer.scala 482:45]
buf_state_bus_en[1] <= _T_3884 @[lsu_bus_buffer.scala 482:29]
node _T_3885 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 484:49]
node _T_3886 = or(_T_3885, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 484:70]
buf_state_en[1] <= _T_3886 @[lsu_bus_buffer.scala 484:25]
skip @[Conditional.scala 39:67]
else : @[Conditional.scala 39:67]
node _T_3887 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30]
when _T_3887 : @[Conditional.scala 39:67]
node _T_3888 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60]
node _T_3889 = mux(_T_3888, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:31]
buf_nxtstate[1] <= _T_3889 @[lsu_bus_buffer.scala 487:25]
node _T_3890 = eq(RspPtr, UInt<2>("h01")) @[lsu_bus_buffer.scala 488:37]
node _T_3891 = eq(buf_dualtag[1], RspPtr) @[lsu_bus_buffer.scala 488:98]
node _T_3892 = and(buf_dual[1], _T_3891) @[lsu_bus_buffer.scala 488:80]
node _T_3893 = or(_T_3890, _T_3892) @[lsu_bus_buffer.scala 488:65]
node _T_3894 = or(_T_3893, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 488:112]
buf_state_en[1] <= _T_3894 @[lsu_bus_buffer.scala 488:25]
skip @[Conditional.scala 39:67]
else : @[Conditional.scala 39:67]
node _T_3895 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30]
when _T_3895 : @[Conditional.scala 39:67]
buf_nxtstate[1] <= UInt<3>("h00") @[lsu_bus_buffer.scala 491:25]
buf_rst[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 492:20]
buf_state_en[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:25]
buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:25]
buf_ldfwd_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 495:25]
skip @[Conditional.scala 39:67]
node _T_3896 = bits(buf_state_en[1], 0, 0) @[lsu_bus_buffer.scala 498:108]
reg _T_3897 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_3896 : @[Reg.scala 28:19]
_T_3897 <= buf_nxtstate[1] @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_state[1] <= _T_3897 @[lsu_bus_buffer.scala 498:18]
reg _T_3898 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 499:60]
_T_3898 <= buf_age_in_1 @[lsu_bus_buffer.scala 499:60]
buf_ageQ[1] <= _T_3898 @[lsu_bus_buffer.scala 499:17]
reg _T_3899 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:63]
_T_3899 <= buf_rspage_in[1] @[lsu_bus_buffer.scala 500:63]
buf_rspageQ[1] <= _T_3899 @[lsu_bus_buffer.scala 500:20]
node _T_3900 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 501:109]
reg _T_3901 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_3900 : @[Reg.scala 28:19]
_T_3901 <= buf_dualtag_in[1] @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_dualtag[1] <= _T_3901 @[lsu_bus_buffer.scala 501:20]
node _T_3902 = bits(buf_dual_in, 1, 1) @[lsu_bus_buffer.scala 502:74]
node _T_3903 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 502:107]
reg _T_3904 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_3903 : @[Reg.scala 28:19]
_T_3904 <= _T_3902 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_dual[1] <= _T_3904 @[lsu_bus_buffer.scala 502:17]
node _T_3905 = bits(buf_samedw_in, 1, 1) @[lsu_bus_buffer.scala 503:78]
node _T_3906 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 503:111]
reg _T_3907 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_3906 : @[Reg.scala 28:19]
_T_3907 <= _T_3905 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_samedw[1] <= _T_3907 @[lsu_bus_buffer.scala 503:19]
node _T_3908 = bits(buf_nomerge_in, 1, 1) @[lsu_bus_buffer.scala 504:80]
node _T_3909 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 504:113]
reg _T_3910 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_3909 : @[Reg.scala 28:19]
_T_3910 <= _T_3908 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_nomerge[1] <= _T_3910 @[lsu_bus_buffer.scala 504:20]
node _T_3911 = bits(buf_dualhi_in, 1, 1) @[lsu_bus_buffer.scala 505:78]
node _T_3912 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 505:111]
reg _T_3913 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_3912 : @[Reg.scala 28:19]
_T_3913 <= _T_3911 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_dualhi[1] <= _T_3913 @[lsu_bus_buffer.scala 505:19]
node _T_3914 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30]
when _T_3914 : @[Conditional.scala 40:58]
node _T_3915 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56]
node _T_3916 = mux(_T_3915, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 442:31]
buf_nxtstate[2] <= _T_3916 @[lsu_bus_buffer.scala 442:25]
node _T_3917 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 443:45]
node _T_3918 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:77]
node _T_3919 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 443:97]
node _T_3920 = and(_T_3918, _T_3919) @[lsu_bus_buffer.scala 443:95]
node _T_3921 = eq(UInt<2>("h02"), WrPtr0_r) @[lsu_bus_buffer.scala 443:117]
node _T_3922 = and(_T_3920, _T_3921) @[lsu_bus_buffer.scala 443:112]
node _T_3923 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:144]
node _T_3924 = eq(UInt<2>("h02"), WrPtr1_r) @[lsu_bus_buffer.scala 443:166]
node _T_3925 = and(_T_3923, _T_3924) @[lsu_bus_buffer.scala 443:161]
node _T_3926 = or(_T_3922, _T_3925) @[lsu_bus_buffer.scala 443:132]
node _T_3927 = and(_T_3917, _T_3926) @[lsu_bus_buffer.scala 443:63]
node _T_3928 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 443:206]
node _T_3929 = and(ibuf_drain_vld, _T_3928) @[lsu_bus_buffer.scala 443:201]
node _T_3930 = or(_T_3927, _T_3929) @[lsu_bus_buffer.scala 443:183]
buf_state_en[2] <= _T_3930 @[lsu_bus_buffer.scala 443:25]
buf_wr_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 444:22]
buf_data_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 445:24]
node _T_3931 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 446:52]
node _T_3932 = and(ibuf_drain_vld, _T_3931) @[lsu_bus_buffer.scala 446:47]
node _T_3933 = bits(_T_3932, 0, 0) @[lsu_bus_buffer.scala 446:73]
node _T_3934 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 446:90]
node _T_3935 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 446:114]
node _T_3936 = mux(_T_3933, _T_3934, _T_3935) @[lsu_bus_buffer.scala 446:30]
buf_data_in[2] <= _T_3936 @[lsu_bus_buffer.scala 446:24]
skip @[Conditional.scala 40:58]
else : @[Conditional.scala 39:67]
node _T_3937 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30]
when _T_3937 : @[Conditional.scala 39:67]
node _T_3938 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 449:60]
node _T_3939 = mux(_T_3938, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 449:31]
buf_nxtstate[2] <= _T_3939 @[lsu_bus_buffer.scala 449:25]
node _T_3940 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 450:46]
buf_state_en[2] <= _T_3940 @[lsu_bus_buffer.scala 450:25]
skip @[Conditional.scala 39:67]
else : @[Conditional.scala 39:67]
node _T_3941 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30]
when _T_3941 : @[Conditional.scala 39:67]
node _T_3942 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60]
node _T_3943 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 453:89]
node _T_3944 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 453:124]
node _T_3945 = and(_T_3943, _T_3944) @[lsu_bus_buffer.scala 453:104]
node _T_3946 = mux(_T_3945, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 453:75]
node _T_3947 = mux(_T_3942, UInt<3>("h00"), _T_3946) @[lsu_bus_buffer.scala 453:31]
buf_nxtstate[2] <= _T_3947 @[lsu_bus_buffer.scala 453:25]
node _T_3948 = eq(obuf_tag0, UInt<3>("h02")) @[lsu_bus_buffer.scala 454:48]
node _T_3949 = eq(obuf_tag1, UInt<3>("h02")) @[lsu_bus_buffer.scala 454:104]
node _T_3950 = and(obuf_merge, _T_3949) @[lsu_bus_buffer.scala 454:91]
node _T_3951 = or(_T_3948, _T_3950) @[lsu_bus_buffer.scala 454:77]
node _T_3952 = and(_T_3951, obuf_valid) @[lsu_bus_buffer.scala 454:135]
node _T_3953 = and(_T_3952, obuf_wr_enQ) @[lsu_bus_buffer.scala 454:148]
buf_cmd_state_bus_en[2] <= _T_3953 @[lsu_bus_buffer.scala 454:33]
buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[lsu_bus_buffer.scala 455:29]
node _T_3954 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 456:49]
node _T_3955 = or(_T_3954, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:70]
buf_state_en[2] <= _T_3955 @[lsu_bus_buffer.scala 456:25]
buf_ldfwd_in[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 457:25]
node _T_3956 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 458:56]
node _T_3957 = eq(_T_3956, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:46]
node _T_3958 = and(buf_state_en[2], _T_3957) @[lsu_bus_buffer.scala 458:44]
node _T_3959 = and(_T_3958, obuf_nosend) @[lsu_bus_buffer.scala 458:60]
node _T_3960 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:76]
node _T_3961 = and(_T_3959, _T_3960) @[lsu_bus_buffer.scala 458:74]
buf_ldfwd_en[2] <= _T_3961 @[lsu_bus_buffer.scala 458:25]
node _T_3962 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 459:46]
buf_ldfwdtag_in[2] <= _T_3962 @[lsu_bus_buffer.scala 459:28]
node _T_3963 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:47]
node _T_3964 = and(_T_3963, obuf_nosend) @[lsu_bus_buffer.scala 460:67]
node _T_3965 = and(_T_3964, bus_rsp_read) @[lsu_bus_buffer.scala 460:81]
buf_data_en[2] <= _T_3965 @[lsu_bus_buffer.scala 460:24]
node _T_3966 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:48]
node _T_3967 = and(_T_3966, obuf_nosend) @[lsu_bus_buffer.scala 461:68]
node _T_3968 = and(_T_3967, bus_rsp_read_error) @[lsu_bus_buffer.scala 461:82]
buf_error_en[2] <= _T_3968 @[lsu_bus_buffer.scala 461:25]
node _T_3969 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:61]
node _T_3970 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 462:85]
node _T_3971 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 462:103]
node _T_3972 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:126]
node _T_3973 = mux(_T_3970, _T_3971, _T_3972) @[lsu_bus_buffer.scala 462:73]
node _T_3974 = mux(buf_error_en[2], _T_3969, _T_3973) @[lsu_bus_buffer.scala 462:30]
buf_data_in[2] <= _T_3974 @[lsu_bus_buffer.scala 462:24]
skip @[Conditional.scala 39:67]
else : @[Conditional.scala 39:67]
node _T_3975 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30]
when _T_3975 : @[Conditional.scala 39:67]
node _T_3976 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 465:67]
node _T_3977 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 465:94]
node _T_3978 = eq(_T_3977, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:73]
node _T_3979 = and(_T_3976, _T_3978) @[lsu_bus_buffer.scala 465:71]
node _T_3980 = or(io.dec_tlu_force_halt, _T_3979) @[lsu_bus_buffer.scala 465:55]
node _T_3981 = bits(_T_3980, 0, 0) @[lsu_bus_buffer.scala 465:125]
node _T_3982 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 466:30]
node _T_3983 = and(buf_dual[2], _T_3982) @[lsu_bus_buffer.scala 466:28]
node _T_3984 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 466:57]
node _T_3985 = eq(_T_3984, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:47]
node _T_3986 = and(_T_3983, _T_3985) @[lsu_bus_buffer.scala 466:45]
node _T_3987 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 466:90]
node _T_3988 = and(_T_3986, _T_3987) @[lsu_bus_buffer.scala 466:61]
node _T_3989 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 467:27]
node _T_3990 = or(_T_3989, any_done_wait_state) @[lsu_bus_buffer.scala 467:31]
node _T_3991 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:70]
node _T_3992 = and(buf_dual[2], _T_3991) @[lsu_bus_buffer.scala 467:68]
node _T_3993 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 467:97]
node _T_3994 = eq(_T_3993, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87]
node _T_3995 = and(_T_3992, _T_3994) @[lsu_bus_buffer.scala 467:85]
node _T_3996 = eq(buf_dualtag[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118]
node _T_3997 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 56:129]
node _T_3998 = eq(buf_dualtag[2], UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118]
node _T_3999 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 56:129]
node _T_4000 = eq(buf_dualtag[2], UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118]
node _T_4001 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 56:129]
node _T_4002 = eq(buf_dualtag[2], UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118]
node _T_4003 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 56:129]
node _T_4004 = mux(_T_3996, _T_3997, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4005 = mux(_T_3998, _T_3999, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4006 = mux(_T_4000, _T_4001, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4007 = mux(_T_4002, _T_4003, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4008 = or(_T_4004, _T_4005) @[Mux.scala 27:72]
node _T_4009 = or(_T_4008, _T_4006) @[Mux.scala 27:72]
node _T_4010 = or(_T_4009, _T_4007) @[Mux.scala 27:72]
wire _T_4011 : UInt<1> @[Mux.scala 27:72]
_T_4011 <= _T_4010 @[Mux.scala 27:72]
node _T_4012 = and(_T_3995, _T_4011) @[lsu_bus_buffer.scala 467:101]
node _T_4013 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:167]
node _T_4014 = and(_T_4012, _T_4013) @[lsu_bus_buffer.scala 467:138]
node _T_4015 = and(_T_4014, any_done_wait_state) @[lsu_bus_buffer.scala 467:187]
node _T_4016 = or(_T_3990, _T_4015) @[lsu_bus_buffer.scala 467:53]
node _T_4017 = mux(_T_4016, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 467:16]
node _T_4018 = mux(_T_3988, UInt<3>("h04"), _T_4017) @[lsu_bus_buffer.scala 466:14]
node _T_4019 = mux(_T_3981, UInt<3>("h00"), _T_4018) @[lsu_bus_buffer.scala 465:31]
buf_nxtstate[2] <= _T_4019 @[lsu_bus_buffer.scala 465:25]
node _T_4020 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 468:73]
node _T_4021 = and(bus_rsp_write, _T_4020) @[lsu_bus_buffer.scala 468:52]
node _T_4022 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 469:46]
node _T_4023 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 470:23]
node _T_4024 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 470:47]
node _T_4025 = and(_T_4023, _T_4024) @[lsu_bus_buffer.scala 470:27]
node _T_4026 = or(_T_4022, _T_4025) @[lsu_bus_buffer.scala 469:77]
node _T_4027 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 471:26]
node _T_4028 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 471:54]
node _T_4029 = not(_T_4028) @[lsu_bus_buffer.scala 471:44]
node _T_4030 = and(_T_4027, _T_4029) @[lsu_bus_buffer.scala 471:42]
node _T_4031 = and(_T_4030, buf_samedw[2]) @[lsu_bus_buffer.scala 471:58]
node _T_4032 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 471:94]
node _T_4033 = and(_T_4031, _T_4032) @[lsu_bus_buffer.scala 471:74]
node _T_4034 = or(_T_4026, _T_4033) @[lsu_bus_buffer.scala 470:71]
node _T_4035 = and(bus_rsp_read, _T_4034) @[lsu_bus_buffer.scala 469:25]
node _T_4036 = or(_T_4021, _T_4035) @[lsu_bus_buffer.scala 468:105]
buf_resp_state_bus_en[2] <= _T_4036 @[lsu_bus_buffer.scala 468:34]
buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[lsu_bus_buffer.scala 472:29]
node _T_4037 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 473:49]
node _T_4038 = or(_T_4037, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 473:70]
buf_state_en[2] <= _T_4038 @[lsu_bus_buffer.scala 473:25]
node _T_4039 = and(buf_state_bus_en[2], bus_rsp_read) @[lsu_bus_buffer.scala 474:47]
node _T_4040 = and(_T_4039, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:62]
buf_data_en[2] <= _T_4040 @[lsu_bus_buffer.scala 474:24]
node _T_4041 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:48]
node _T_4042 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 475:111]
node _T_4043 = and(bus_rsp_read_error, _T_4042) @[lsu_bus_buffer.scala 475:91]
node _T_4044 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 476:42]
node _T_4045 = and(bus_rsp_read_error, _T_4044) @[lsu_bus_buffer.scala 476:31]
node _T_4046 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 476:66]
node _T_4047 = and(_T_4045, _T_4046) @[lsu_bus_buffer.scala 476:46]
node _T_4048 = or(_T_4043, _T_4047) @[lsu_bus_buffer.scala 475:143]
node _T_4049 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 477:32]
node _T_4050 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 477:74]
node _T_4051 = and(_T_4049, _T_4050) @[lsu_bus_buffer.scala 477:53]
node _T_4052 = or(_T_4048, _T_4051) @[lsu_bus_buffer.scala 476:88]
node _T_4053 = and(_T_4041, _T_4052) @[lsu_bus_buffer.scala 475:68]
buf_error_en[2] <= _T_4053 @[lsu_bus_buffer.scala 475:25]
node _T_4054 = eq(buf_error_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 478:50]
node _T_4055 = and(buf_state_en[2], _T_4054) @[lsu_bus_buffer.scala 478:48]
node _T_4056 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 478:84]
node _T_4057 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 478:102]
node _T_4058 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:125]
node _T_4059 = mux(_T_4056, _T_4057, _T_4058) @[lsu_bus_buffer.scala 478:72]
node _T_4060 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:148]
node _T_4061 = mux(_T_4055, _T_4059, _T_4060) @[lsu_bus_buffer.scala 478:30]
buf_data_in[2] <= _T_4061 @[lsu_bus_buffer.scala 478:24]
skip @[Conditional.scala 39:67]
else : @[Conditional.scala 39:67]
node _T_4062 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30]
when _T_4062 : @[Conditional.scala 39:67]
node _T_4063 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 481:60]
node _T_4064 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 481:86]
node _T_4065 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 481:101]
node _T_4066 = bits(_T_4065, 0, 0) @[lsu_bus_buffer.scala 481:101]
node _T_4067 = or(_T_4064, _T_4066) @[lsu_bus_buffer.scala 481:90]
node _T_4068 = or(_T_4067, any_done_wait_state) @[lsu_bus_buffer.scala 481:118]
node _T_4069 = mux(_T_4068, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 481:75]
node _T_4070 = mux(_T_4063, UInt<3>("h00"), _T_4069) @[lsu_bus_buffer.scala 481:31]
buf_nxtstate[2] <= _T_4070 @[lsu_bus_buffer.scala 481:25]
node _T_4071 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 482:66]
node _T_4072 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 483:21]
node _T_4073 = bits(_T_4072, 0, 0) @[lsu_bus_buffer.scala 483:21]
node _T_4074 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[lsu_bus_buffer.scala 483:58]
node _T_4075 = and(_T_4073, _T_4074) @[lsu_bus_buffer.scala 483:38]
node _T_4076 = or(_T_4071, _T_4075) @[lsu_bus_buffer.scala 482:95]
node _T_4077 = and(bus_rsp_read, _T_4076) @[lsu_bus_buffer.scala 482:45]
buf_state_bus_en[2] <= _T_4077 @[lsu_bus_buffer.scala 482:29]
node _T_4078 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 484:49]
node _T_4079 = or(_T_4078, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 484:70]
buf_state_en[2] <= _T_4079 @[lsu_bus_buffer.scala 484:25]
skip @[Conditional.scala 39:67]
else : @[Conditional.scala 39:67]
node _T_4080 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30]
when _T_4080 : @[Conditional.scala 39:67]
node _T_4081 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60]
node _T_4082 = mux(_T_4081, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:31]
buf_nxtstate[2] <= _T_4082 @[lsu_bus_buffer.scala 487:25]
node _T_4083 = eq(RspPtr, UInt<2>("h02")) @[lsu_bus_buffer.scala 488:37]
node _T_4084 = eq(buf_dualtag[2], RspPtr) @[lsu_bus_buffer.scala 488:98]
node _T_4085 = and(buf_dual[2], _T_4084) @[lsu_bus_buffer.scala 488:80]
node _T_4086 = or(_T_4083, _T_4085) @[lsu_bus_buffer.scala 488:65]
node _T_4087 = or(_T_4086, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 488:112]
buf_state_en[2] <= _T_4087 @[lsu_bus_buffer.scala 488:25]
skip @[Conditional.scala 39:67]
else : @[Conditional.scala 39:67]
node _T_4088 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30]
when _T_4088 : @[Conditional.scala 39:67]
buf_nxtstate[2] <= UInt<3>("h00") @[lsu_bus_buffer.scala 491:25]
buf_rst[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 492:20]
buf_state_en[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:25]
buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:25]
buf_ldfwd_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 495:25]
skip @[Conditional.scala 39:67]
node _T_4089 = bits(buf_state_en[2], 0, 0) @[lsu_bus_buffer.scala 498:108]
reg _T_4090 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4089 : @[Reg.scala 28:19]
_T_4090 <= buf_nxtstate[2] @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_state[2] <= _T_4090 @[lsu_bus_buffer.scala 498:18]
reg _T_4091 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 499:60]
_T_4091 <= buf_age_in_2 @[lsu_bus_buffer.scala 499:60]
buf_ageQ[2] <= _T_4091 @[lsu_bus_buffer.scala 499:17]
reg _T_4092 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:63]
_T_4092 <= buf_rspage_in[2] @[lsu_bus_buffer.scala 500:63]
buf_rspageQ[2] <= _T_4092 @[lsu_bus_buffer.scala 500:20]
node _T_4093 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 501:109]
reg _T_4094 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4093 : @[Reg.scala 28:19]
_T_4094 <= buf_dualtag_in[2] @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_dualtag[2] <= _T_4094 @[lsu_bus_buffer.scala 501:20]
node _T_4095 = bits(buf_dual_in, 2, 2) @[lsu_bus_buffer.scala 502:74]
node _T_4096 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 502:107]
reg _T_4097 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4096 : @[Reg.scala 28:19]
_T_4097 <= _T_4095 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_dual[2] <= _T_4097 @[lsu_bus_buffer.scala 502:17]
node _T_4098 = bits(buf_samedw_in, 2, 2) @[lsu_bus_buffer.scala 503:78]
node _T_4099 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 503:111]
reg _T_4100 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4099 : @[Reg.scala 28:19]
_T_4100 <= _T_4098 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_samedw[2] <= _T_4100 @[lsu_bus_buffer.scala 503:19]
node _T_4101 = bits(buf_nomerge_in, 2, 2) @[lsu_bus_buffer.scala 504:80]
node _T_4102 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 504:113]
reg _T_4103 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4102 : @[Reg.scala 28:19]
_T_4103 <= _T_4101 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_nomerge[2] <= _T_4103 @[lsu_bus_buffer.scala 504:20]
node _T_4104 = bits(buf_dualhi_in, 2, 2) @[lsu_bus_buffer.scala 505:78]
node _T_4105 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 505:111]
reg _T_4106 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4105 : @[Reg.scala 28:19]
_T_4106 <= _T_4104 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_dualhi[2] <= _T_4106 @[lsu_bus_buffer.scala 505:19]
node _T_4107 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30]
when _T_4107 : @[Conditional.scala 40:58]
node _T_4108 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56]
node _T_4109 = mux(_T_4108, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 442:31]
buf_nxtstate[3] <= _T_4109 @[lsu_bus_buffer.scala 442:25]
node _T_4110 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 443:45]
node _T_4111 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:77]
node _T_4112 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 443:97]
node _T_4113 = and(_T_4111, _T_4112) @[lsu_bus_buffer.scala 443:95]
node _T_4114 = eq(UInt<2>("h03"), WrPtr0_r) @[lsu_bus_buffer.scala 443:117]
node _T_4115 = and(_T_4113, _T_4114) @[lsu_bus_buffer.scala 443:112]
node _T_4116 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:144]
node _T_4117 = eq(UInt<2>("h03"), WrPtr1_r) @[lsu_bus_buffer.scala 443:166]
node _T_4118 = and(_T_4116, _T_4117) @[lsu_bus_buffer.scala 443:161]
node _T_4119 = or(_T_4115, _T_4118) @[lsu_bus_buffer.scala 443:132]
node _T_4120 = and(_T_4110, _T_4119) @[lsu_bus_buffer.scala 443:63]
node _T_4121 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 443:206]
node _T_4122 = and(ibuf_drain_vld, _T_4121) @[lsu_bus_buffer.scala 443:201]
node _T_4123 = or(_T_4120, _T_4122) @[lsu_bus_buffer.scala 443:183]
buf_state_en[3] <= _T_4123 @[lsu_bus_buffer.scala 443:25]
buf_wr_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 444:22]
buf_data_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 445:24]
node _T_4124 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 446:52]
node _T_4125 = and(ibuf_drain_vld, _T_4124) @[lsu_bus_buffer.scala 446:47]
node _T_4126 = bits(_T_4125, 0, 0) @[lsu_bus_buffer.scala 446:73]
node _T_4127 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 446:90]
node _T_4128 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 446:114]
node _T_4129 = mux(_T_4126, _T_4127, _T_4128) @[lsu_bus_buffer.scala 446:30]
buf_data_in[3] <= _T_4129 @[lsu_bus_buffer.scala 446:24]
skip @[Conditional.scala 40:58]
else : @[Conditional.scala 39:67]
node _T_4130 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30]
when _T_4130 : @[Conditional.scala 39:67]
node _T_4131 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 449:60]
node _T_4132 = mux(_T_4131, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 449:31]
buf_nxtstate[3] <= _T_4132 @[lsu_bus_buffer.scala 449:25]
node _T_4133 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 450:46]
buf_state_en[3] <= _T_4133 @[lsu_bus_buffer.scala 450:25]
skip @[Conditional.scala 39:67]
else : @[Conditional.scala 39:67]
node _T_4134 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30]
when _T_4134 : @[Conditional.scala 39:67]
node _T_4135 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60]
node _T_4136 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 453:89]
node _T_4137 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 453:124]
node _T_4138 = and(_T_4136, _T_4137) @[lsu_bus_buffer.scala 453:104]
node _T_4139 = mux(_T_4138, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 453:75]
node _T_4140 = mux(_T_4135, UInt<3>("h00"), _T_4139) @[lsu_bus_buffer.scala 453:31]
buf_nxtstate[3] <= _T_4140 @[lsu_bus_buffer.scala 453:25]
node _T_4141 = eq(obuf_tag0, UInt<3>("h03")) @[lsu_bus_buffer.scala 454:48]
node _T_4142 = eq(obuf_tag1, UInt<3>("h03")) @[lsu_bus_buffer.scala 454:104]
node _T_4143 = and(obuf_merge, _T_4142) @[lsu_bus_buffer.scala 454:91]
node _T_4144 = or(_T_4141, _T_4143) @[lsu_bus_buffer.scala 454:77]
node _T_4145 = and(_T_4144, obuf_valid) @[lsu_bus_buffer.scala 454:135]
node _T_4146 = and(_T_4145, obuf_wr_enQ) @[lsu_bus_buffer.scala 454:148]
buf_cmd_state_bus_en[3] <= _T_4146 @[lsu_bus_buffer.scala 454:33]
buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[lsu_bus_buffer.scala 455:29]
node _T_4147 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 456:49]
node _T_4148 = or(_T_4147, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:70]
buf_state_en[3] <= _T_4148 @[lsu_bus_buffer.scala 456:25]
buf_ldfwd_in[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 457:25]
node _T_4149 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 458:56]
node _T_4150 = eq(_T_4149, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:46]
node _T_4151 = and(buf_state_en[3], _T_4150) @[lsu_bus_buffer.scala 458:44]
node _T_4152 = and(_T_4151, obuf_nosend) @[lsu_bus_buffer.scala 458:60]
node _T_4153 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:76]
node _T_4154 = and(_T_4152, _T_4153) @[lsu_bus_buffer.scala 458:74]
buf_ldfwd_en[3] <= _T_4154 @[lsu_bus_buffer.scala 458:25]
node _T_4155 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 459:46]
buf_ldfwdtag_in[3] <= _T_4155 @[lsu_bus_buffer.scala 459:28]
node _T_4156 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:47]
node _T_4157 = and(_T_4156, obuf_nosend) @[lsu_bus_buffer.scala 460:67]
node _T_4158 = and(_T_4157, bus_rsp_read) @[lsu_bus_buffer.scala 460:81]
buf_data_en[3] <= _T_4158 @[lsu_bus_buffer.scala 460:24]
node _T_4159 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:48]
node _T_4160 = and(_T_4159, obuf_nosend) @[lsu_bus_buffer.scala 461:68]
node _T_4161 = and(_T_4160, bus_rsp_read_error) @[lsu_bus_buffer.scala 461:82]
buf_error_en[3] <= _T_4161 @[lsu_bus_buffer.scala 461:25]
node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:61]
node _T_4163 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 462:85]
node _T_4164 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 462:103]
node _T_4165 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:126]
node _T_4166 = mux(_T_4163, _T_4164, _T_4165) @[lsu_bus_buffer.scala 462:73]
node _T_4167 = mux(buf_error_en[3], _T_4162, _T_4166) @[lsu_bus_buffer.scala 462:30]
buf_data_in[3] <= _T_4167 @[lsu_bus_buffer.scala 462:24]
skip @[Conditional.scala 39:67]
else : @[Conditional.scala 39:67]
node _T_4168 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30]
when _T_4168 : @[Conditional.scala 39:67]
node _T_4169 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 465:67]
node _T_4170 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 465:94]
node _T_4171 = eq(_T_4170, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:73]
node _T_4172 = and(_T_4169, _T_4171) @[lsu_bus_buffer.scala 465:71]
node _T_4173 = or(io.dec_tlu_force_halt, _T_4172) @[lsu_bus_buffer.scala 465:55]
node _T_4174 = bits(_T_4173, 0, 0) @[lsu_bus_buffer.scala 465:125]
node _T_4175 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 466:30]
node _T_4176 = and(buf_dual[3], _T_4175) @[lsu_bus_buffer.scala 466:28]
node _T_4177 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 466:57]
node _T_4178 = eq(_T_4177, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:47]
node _T_4179 = and(_T_4176, _T_4178) @[lsu_bus_buffer.scala 466:45]
node _T_4180 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 466:90]
node _T_4181 = and(_T_4179, _T_4180) @[lsu_bus_buffer.scala 466:61]
node _T_4182 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 467:27]
node _T_4183 = or(_T_4182, any_done_wait_state) @[lsu_bus_buffer.scala 467:31]
node _T_4184 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:70]
node _T_4185 = and(buf_dual[3], _T_4184) @[lsu_bus_buffer.scala 467:68]
node _T_4186 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 467:97]
node _T_4187 = eq(_T_4186, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87]
node _T_4188 = and(_T_4185, _T_4187) @[lsu_bus_buffer.scala 467:85]
node _T_4189 = eq(buf_dualtag[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118]
node _T_4190 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 56:129]
node _T_4191 = eq(buf_dualtag[3], UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118]
node _T_4192 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 56:129]
node _T_4193 = eq(buf_dualtag[3], UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118]
node _T_4194 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 56:129]
node _T_4195 = eq(buf_dualtag[3], UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118]
node _T_4196 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 56:129]
node _T_4197 = mux(_T_4189, _T_4190, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4198 = mux(_T_4191, _T_4192, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4199 = mux(_T_4193, _T_4194, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4200 = mux(_T_4195, _T_4196, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4201 = or(_T_4197, _T_4198) @[Mux.scala 27:72]
node _T_4202 = or(_T_4201, _T_4199) @[Mux.scala 27:72]
node _T_4203 = or(_T_4202, _T_4200) @[Mux.scala 27:72]
wire _T_4204 : UInt<1> @[Mux.scala 27:72]
_T_4204 <= _T_4203 @[Mux.scala 27:72]
node _T_4205 = and(_T_4188, _T_4204) @[lsu_bus_buffer.scala 467:101]
node _T_4206 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:167]
node _T_4207 = and(_T_4205, _T_4206) @[lsu_bus_buffer.scala 467:138]
node _T_4208 = and(_T_4207, any_done_wait_state) @[lsu_bus_buffer.scala 467:187]
node _T_4209 = or(_T_4183, _T_4208) @[lsu_bus_buffer.scala 467:53]
node _T_4210 = mux(_T_4209, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 467:16]
node _T_4211 = mux(_T_4181, UInt<3>("h04"), _T_4210) @[lsu_bus_buffer.scala 466:14]
node _T_4212 = mux(_T_4174, UInt<3>("h00"), _T_4211) @[lsu_bus_buffer.scala 465:31]
buf_nxtstate[3] <= _T_4212 @[lsu_bus_buffer.scala 465:25]
node _T_4213 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 468:73]
node _T_4214 = and(bus_rsp_write, _T_4213) @[lsu_bus_buffer.scala 468:52]
node _T_4215 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 469:46]
node _T_4216 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 470:23]
node _T_4217 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 470:47]
node _T_4218 = and(_T_4216, _T_4217) @[lsu_bus_buffer.scala 470:27]
node _T_4219 = or(_T_4215, _T_4218) @[lsu_bus_buffer.scala 469:77]
node _T_4220 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 471:26]
node _T_4221 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 471:54]
node _T_4222 = not(_T_4221) @[lsu_bus_buffer.scala 471:44]
node _T_4223 = and(_T_4220, _T_4222) @[lsu_bus_buffer.scala 471:42]
node _T_4224 = and(_T_4223, buf_samedw[3]) @[lsu_bus_buffer.scala 471:58]
node _T_4225 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 471:94]
node _T_4226 = and(_T_4224, _T_4225) @[lsu_bus_buffer.scala 471:74]
node _T_4227 = or(_T_4219, _T_4226) @[lsu_bus_buffer.scala 470:71]
node _T_4228 = and(bus_rsp_read, _T_4227) @[lsu_bus_buffer.scala 469:25]
node _T_4229 = or(_T_4214, _T_4228) @[lsu_bus_buffer.scala 468:105]
buf_resp_state_bus_en[3] <= _T_4229 @[lsu_bus_buffer.scala 468:34]
buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[lsu_bus_buffer.scala 472:29]
node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 473:49]
node _T_4231 = or(_T_4230, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 473:70]
buf_state_en[3] <= _T_4231 @[lsu_bus_buffer.scala 473:25]
node _T_4232 = and(buf_state_bus_en[3], bus_rsp_read) @[lsu_bus_buffer.scala 474:47]
node _T_4233 = and(_T_4232, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:62]
buf_data_en[3] <= _T_4233 @[lsu_bus_buffer.scala 474:24]
node _T_4234 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:48]
node _T_4235 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 475:111]
node _T_4236 = and(bus_rsp_read_error, _T_4235) @[lsu_bus_buffer.scala 475:91]
node _T_4237 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 476:42]
node _T_4238 = and(bus_rsp_read_error, _T_4237) @[lsu_bus_buffer.scala 476:31]
node _T_4239 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 476:66]
node _T_4240 = and(_T_4238, _T_4239) @[lsu_bus_buffer.scala 476:46]
node _T_4241 = or(_T_4236, _T_4240) @[lsu_bus_buffer.scala 475:143]
node _T_4242 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 477:32]
node _T_4243 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 477:74]
node _T_4244 = and(_T_4242, _T_4243) @[lsu_bus_buffer.scala 477:53]
node _T_4245 = or(_T_4241, _T_4244) @[lsu_bus_buffer.scala 476:88]
node _T_4246 = and(_T_4234, _T_4245) @[lsu_bus_buffer.scala 475:68]
buf_error_en[3] <= _T_4246 @[lsu_bus_buffer.scala 475:25]
node _T_4247 = eq(buf_error_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 478:50]
node _T_4248 = and(buf_state_en[3], _T_4247) @[lsu_bus_buffer.scala 478:48]
node _T_4249 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 478:84]
node _T_4250 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 478:102]
node _T_4251 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:125]
node _T_4252 = mux(_T_4249, _T_4250, _T_4251) @[lsu_bus_buffer.scala 478:72]
node _T_4253 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:148]
node _T_4254 = mux(_T_4248, _T_4252, _T_4253) @[lsu_bus_buffer.scala 478:30]
buf_data_in[3] <= _T_4254 @[lsu_bus_buffer.scala 478:24]
skip @[Conditional.scala 39:67]
else : @[Conditional.scala 39:67]
node _T_4255 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30]
when _T_4255 : @[Conditional.scala 39:67]
node _T_4256 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 481:60]
node _T_4257 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 481:86]
node _T_4258 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 481:101]
node _T_4259 = bits(_T_4258, 0, 0) @[lsu_bus_buffer.scala 481:101]
node _T_4260 = or(_T_4257, _T_4259) @[lsu_bus_buffer.scala 481:90]
node _T_4261 = or(_T_4260, any_done_wait_state) @[lsu_bus_buffer.scala 481:118]
node _T_4262 = mux(_T_4261, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 481:75]
node _T_4263 = mux(_T_4256, UInt<3>("h00"), _T_4262) @[lsu_bus_buffer.scala 481:31]
buf_nxtstate[3] <= _T_4263 @[lsu_bus_buffer.scala 481:25]
node _T_4264 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 482:66]
node _T_4265 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 483:21]
node _T_4266 = bits(_T_4265, 0, 0) @[lsu_bus_buffer.scala 483:21]
node _T_4267 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[lsu_bus_buffer.scala 483:58]
node _T_4268 = and(_T_4266, _T_4267) @[lsu_bus_buffer.scala 483:38]
node _T_4269 = or(_T_4264, _T_4268) @[lsu_bus_buffer.scala 482:95]
node _T_4270 = and(bus_rsp_read, _T_4269) @[lsu_bus_buffer.scala 482:45]
buf_state_bus_en[3] <= _T_4270 @[lsu_bus_buffer.scala 482:29]
node _T_4271 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 484:49]
node _T_4272 = or(_T_4271, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 484:70]
buf_state_en[3] <= _T_4272 @[lsu_bus_buffer.scala 484:25]
skip @[Conditional.scala 39:67]
else : @[Conditional.scala 39:67]
node _T_4273 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30]
when _T_4273 : @[Conditional.scala 39:67]
node _T_4274 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60]
node _T_4275 = mux(_T_4274, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:31]
buf_nxtstate[3] <= _T_4275 @[lsu_bus_buffer.scala 487:25]
node _T_4276 = eq(RspPtr, UInt<2>("h03")) @[lsu_bus_buffer.scala 488:37]
node _T_4277 = eq(buf_dualtag[3], RspPtr) @[lsu_bus_buffer.scala 488:98]
node _T_4278 = and(buf_dual[3], _T_4277) @[lsu_bus_buffer.scala 488:80]
node _T_4279 = or(_T_4276, _T_4278) @[lsu_bus_buffer.scala 488:65]
node _T_4280 = or(_T_4279, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 488:112]
buf_state_en[3] <= _T_4280 @[lsu_bus_buffer.scala 488:25]
skip @[Conditional.scala 39:67]
else : @[Conditional.scala 39:67]
node _T_4281 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30]
when _T_4281 : @[Conditional.scala 39:67]
buf_nxtstate[3] <= UInt<3>("h00") @[lsu_bus_buffer.scala 491:25]
buf_rst[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 492:20]
buf_state_en[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:25]
buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:25]
buf_ldfwd_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 495:25]
skip @[Conditional.scala 39:67]
node _T_4282 = bits(buf_state_en[3], 0, 0) @[lsu_bus_buffer.scala 498:108]
reg _T_4283 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4282 : @[Reg.scala 28:19]
_T_4283 <= buf_nxtstate[3] @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_state[3] <= _T_4283 @[lsu_bus_buffer.scala 498:18]
reg _T_4284 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 499:60]
_T_4284 <= buf_age_in_3 @[lsu_bus_buffer.scala 499:60]
buf_ageQ[3] <= _T_4284 @[lsu_bus_buffer.scala 499:17]
reg _T_4285 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:63]
_T_4285 <= buf_rspage_in[3] @[lsu_bus_buffer.scala 500:63]
buf_rspageQ[3] <= _T_4285 @[lsu_bus_buffer.scala 500:20]
node _T_4286 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 501:109]
reg _T_4287 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4286 : @[Reg.scala 28:19]
_T_4287 <= buf_dualtag_in[3] @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_dualtag[3] <= _T_4287 @[lsu_bus_buffer.scala 501:20]
node _T_4288 = bits(buf_dual_in, 3, 3) @[lsu_bus_buffer.scala 502:74]
node _T_4289 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 502:107]
reg _T_4290 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4289 : @[Reg.scala 28:19]
_T_4290 <= _T_4288 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_dual[3] <= _T_4290 @[lsu_bus_buffer.scala 502:17]
node _T_4291 = bits(buf_samedw_in, 3, 3) @[lsu_bus_buffer.scala 503:78]
node _T_4292 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 503:111]
reg _T_4293 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4292 : @[Reg.scala 28:19]
_T_4293 <= _T_4291 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_samedw[3] <= _T_4293 @[lsu_bus_buffer.scala 503:19]
node _T_4294 = bits(buf_nomerge_in, 3, 3) @[lsu_bus_buffer.scala 504:80]
node _T_4295 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 504:113]
reg _T_4296 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4295 : @[Reg.scala 28:19]
_T_4296 <= _T_4294 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_nomerge[3] <= _T_4296 @[lsu_bus_buffer.scala 504:20]
node _T_4297 = bits(buf_dualhi_in, 3, 3) @[lsu_bus_buffer.scala 505:78]
node _T_4298 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 505:111]
reg _T_4299 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4298 : @[Reg.scala 28:19]
_T_4299 <= _T_4297 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_dualhi[3] <= _T_4299 @[lsu_bus_buffer.scala 505:19]
node _T_4300 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 508:131]
reg _T_4301 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4300 : @[Reg.scala 28:19]
_T_4301 <= buf_ldfwd_in[0] @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_4302 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 508:131]
reg _T_4303 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4302 : @[Reg.scala 28:19]
_T_4303 <= buf_ldfwd_in[1] @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_4304 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 508:131]
reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4304 : @[Reg.scala 28:19]
_T_4305 <= buf_ldfwd_in[2] @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_4306 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 508:131]
reg _T_4307 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4306 : @[Reg.scala 28:19]
_T_4307 <= buf_ldfwd_in[3] @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_4308 = cat(_T_4307, _T_4305) @[Cat.scala 29:58]
node _T_4309 = cat(_T_4308, _T_4303) @[Cat.scala 29:58]
node _T_4310 = cat(_T_4309, _T_4301) @[Cat.scala 29:58]
buf_ldfwd <= _T_4310 @[lsu_bus_buffer.scala 508:13]
node _T_4311 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 509:132]
reg _T_4312 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4311 : @[Reg.scala 28:19]
_T_4312 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_4313 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 509:132]
reg _T_4314 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4313 : @[Reg.scala 28:19]
_T_4314 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_4315 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 509:132]
reg _T_4316 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4315 : @[Reg.scala 28:19]
_T_4316 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_4317 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 509:132]
reg _T_4318 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4317 : @[Reg.scala 28:19]
_T_4318 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_ldfwdtag[0] <= _T_4312 @[lsu_bus_buffer.scala 509:16]
buf_ldfwdtag[1] <= _T_4314 @[lsu_bus_buffer.scala 509:16]
buf_ldfwdtag[2] <= _T_4316 @[lsu_bus_buffer.scala 509:16]
buf_ldfwdtag[3] <= _T_4318 @[lsu_bus_buffer.scala 509:16]
node _T_4319 = bits(buf_sideeffect_in, 0, 0) @[lsu_bus_buffer.scala 510:105]
node _T_4320 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 510:138]
reg _T_4321 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4320 : @[Reg.scala 28:19]
_T_4321 <= _T_4319 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_4322 = bits(buf_sideeffect_in, 1, 1) @[lsu_bus_buffer.scala 510:105]
node _T_4323 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 510:138]
reg _T_4324 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4323 : @[Reg.scala 28:19]
_T_4324 <= _T_4322 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_4325 = bits(buf_sideeffect_in, 2, 2) @[lsu_bus_buffer.scala 510:105]
node _T_4326 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 510:138]
reg _T_4327 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4326 : @[Reg.scala 28:19]
_T_4327 <= _T_4325 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_4328 = bits(buf_sideeffect_in, 3, 3) @[lsu_bus_buffer.scala 510:105]
node _T_4329 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 510:138]
reg _T_4330 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4329 : @[Reg.scala 28:19]
_T_4330 <= _T_4328 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_4331 = cat(_T_4330, _T_4327) @[Cat.scala 29:58]
node _T_4332 = cat(_T_4331, _T_4324) @[Cat.scala 29:58]
node _T_4333 = cat(_T_4332, _T_4321) @[Cat.scala 29:58]
buf_sideeffect <= _T_4333 @[lsu_bus_buffer.scala 510:18]
node _T_4334 = bits(buf_unsign_in, 0, 0) @[lsu_bus_buffer.scala 511:97]
node _T_4335 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 511:130]
reg _T_4336 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4335 : @[Reg.scala 28:19]
_T_4336 <= _T_4334 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_4337 = bits(buf_unsign_in, 1, 1) @[lsu_bus_buffer.scala 511:97]
node _T_4338 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 511:130]
reg _T_4339 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4338 : @[Reg.scala 28:19]
_T_4339 <= _T_4337 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_4340 = bits(buf_unsign_in, 2, 2) @[lsu_bus_buffer.scala 511:97]
node _T_4341 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 511:130]
reg _T_4342 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4341 : @[Reg.scala 28:19]
_T_4342 <= _T_4340 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_4343 = bits(buf_unsign_in, 3, 3) @[lsu_bus_buffer.scala 511:97]
node _T_4344 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 511:130]
reg _T_4345 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4344 : @[Reg.scala 28:19]
_T_4345 <= _T_4343 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_4346 = cat(_T_4345, _T_4342) @[Cat.scala 29:58]
node _T_4347 = cat(_T_4346, _T_4339) @[Cat.scala 29:58]
node _T_4348 = cat(_T_4347, _T_4336) @[Cat.scala 29:58]
buf_unsign <= _T_4348 @[lsu_bus_buffer.scala 511:14]
node _T_4349 = bits(buf_write_in, 0, 0) @[lsu_bus_buffer.scala 512:95]
node _T_4350 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 512:128]
reg _T_4351 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4350 : @[Reg.scala 28:19]
_T_4351 <= _T_4349 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_4352 = bits(buf_write_in, 1, 1) @[lsu_bus_buffer.scala 512:95]
node _T_4353 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 512:128]
reg _T_4354 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4353 : @[Reg.scala 28:19]
_T_4354 <= _T_4352 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_4355 = bits(buf_write_in, 2, 2) @[lsu_bus_buffer.scala 512:95]
node _T_4356 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 512:128]
reg _T_4357 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4356 : @[Reg.scala 28:19]
_T_4357 <= _T_4355 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_4358 = bits(buf_write_in, 3, 3) @[lsu_bus_buffer.scala 512:95]
node _T_4359 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 512:128]
reg _T_4360 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4359 : @[Reg.scala 28:19]
_T_4360 <= _T_4358 @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_4361 = cat(_T_4360, _T_4357) @[Cat.scala 29:58]
node _T_4362 = cat(_T_4361, _T_4354) @[Cat.scala 29:58]
node _T_4363 = cat(_T_4362, _T_4351) @[Cat.scala 29:58]
buf_write <= _T_4363 @[lsu_bus_buffer.scala 512:13]
node _T_4364 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 513:117]
reg _T_4365 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4364 : @[Reg.scala 28:19]
_T_4365 <= buf_sz_in[0] @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_4366 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 513:117]
reg _T_4367 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4366 : @[Reg.scala 28:19]
_T_4367 <= buf_sz_in[1] @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_4368 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 513:117]
reg _T_4369 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4368 : @[Reg.scala 28:19]
_T_4369 <= buf_sz_in[2] @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_4370 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 513:117]
reg _T_4371 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4370 : @[Reg.scala 28:19]
_T_4371 <= buf_sz_in[3] @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_sz[0] <= _T_4365 @[lsu_bus_buffer.scala 513:10]
buf_sz[1] <= _T_4367 @[lsu_bus_buffer.scala 513:10]
buf_sz[2] <= _T_4369 @[lsu_bus_buffer.scala 513:10]
buf_sz[3] <= _T_4371 @[lsu_bus_buffer.scala 513:10]
node _T_4372 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 514:80]
inst rvclkhdr_4 of rvclkhdr_28 @[lib.scala 352:23]
rvclkhdr_4.clock <= clock
rvclkhdr_4.reset <= reset
rvclkhdr_4.io.clk <= clock @[lib.scala 354:18]
rvclkhdr_4.io.en <= _T_4372 @[lib.scala 355:17]
rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 356:24]
reg _T_4373 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16]
_T_4373 <= buf_addr_in[0] @[lib.scala 358:16]
node _T_4374 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 514:80]
inst rvclkhdr_5 of rvclkhdr_29 @[lib.scala 352:23]
rvclkhdr_5.clock <= clock
rvclkhdr_5.reset <= reset
rvclkhdr_5.io.clk <= clock @[lib.scala 354:18]
rvclkhdr_5.io.en <= _T_4374 @[lib.scala 355:17]
rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 356:24]
reg _T_4375 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16]
_T_4375 <= buf_addr_in[1] @[lib.scala 358:16]
node _T_4376 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 514:80]
inst rvclkhdr_6 of rvclkhdr_30 @[lib.scala 352:23]
rvclkhdr_6.clock <= clock
rvclkhdr_6.reset <= reset
rvclkhdr_6.io.clk <= clock @[lib.scala 354:18]
rvclkhdr_6.io.en <= _T_4376 @[lib.scala 355:17]
rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 356:24]
reg _T_4377 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16]
_T_4377 <= buf_addr_in[2] @[lib.scala 358:16]
node _T_4378 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 514:80]
inst rvclkhdr_7 of rvclkhdr_31 @[lib.scala 352:23]
rvclkhdr_7.clock <= clock
rvclkhdr_7.reset <= reset
rvclkhdr_7.io.clk <= clock @[lib.scala 354:18]
rvclkhdr_7.io.en <= _T_4378 @[lib.scala 355:17]
rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 356:24]
reg _T_4379 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16]
_T_4379 <= buf_addr_in[3] @[lib.scala 358:16]
buf_addr[0] <= _T_4373 @[lsu_bus_buffer.scala 514:12]
buf_addr[1] <= _T_4375 @[lsu_bus_buffer.scala 514:12]
buf_addr[2] <= _T_4377 @[lsu_bus_buffer.scala 514:12]
buf_addr[3] <= _T_4379 @[lsu_bus_buffer.scala 514:12]
node _T_4380 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 515:125]
reg _T_4381 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4380 : @[Reg.scala 28:19]
_T_4381 <= buf_byteen_in[0] @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_4382 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 515:125]
reg _T_4383 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4382 : @[Reg.scala 28:19]
_T_4383 <= buf_byteen_in[1] @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_4384 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 515:125]
reg _T_4385 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4384 : @[Reg.scala 28:19]
_T_4385 <= buf_byteen_in[2] @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
node _T_4386 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 515:125]
reg _T_4387 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20]
when _T_4386 : @[Reg.scala 28:19]
_T_4387 <= buf_byteen_in[3] @[Reg.scala 28:23]
skip @[Reg.scala 28:19]
buf_byteen[0] <= _T_4381 @[lsu_bus_buffer.scala 515:14]
buf_byteen[1] <= _T_4383 @[lsu_bus_buffer.scala 515:14]
buf_byteen[2] <= _T_4385 @[lsu_bus_buffer.scala 515:14]
buf_byteen[3] <= _T_4387 @[lsu_bus_buffer.scala 515:14]
inst rvclkhdr_8 of rvclkhdr_32 @[lib.scala 352:23]
rvclkhdr_8.clock <= clock
rvclkhdr_8.reset <= reset
rvclkhdr_8.io.clk <= clock @[lib.scala 354:18]
rvclkhdr_8.io.en <= buf_data_en[0] @[lib.scala 355:17]
rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 356:24]
reg _T_4388 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16]
_T_4388 <= buf_data_in[0] @[lib.scala 358:16]
inst rvclkhdr_9 of rvclkhdr_33 @[lib.scala 352:23]
rvclkhdr_9.clock <= clock
rvclkhdr_9.reset <= reset
rvclkhdr_9.io.clk <= clock @[lib.scala 354:18]
rvclkhdr_9.io.en <= buf_data_en[1] @[lib.scala 355:17]
rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 356:24]
reg _T_4389 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16]
_T_4389 <= buf_data_in[1] @[lib.scala 358:16]
inst rvclkhdr_10 of rvclkhdr_34 @[lib.scala 352:23]
rvclkhdr_10.clock <= clock
rvclkhdr_10.reset <= reset
rvclkhdr_10.io.clk <= clock @[lib.scala 354:18]
rvclkhdr_10.io.en <= buf_data_en[2] @[lib.scala 355:17]
rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 356:24]
reg _T_4390 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16]
_T_4390 <= buf_data_in[2] @[lib.scala 358:16]
inst rvclkhdr_11 of rvclkhdr_35 @[lib.scala 352:23]
rvclkhdr_11.clock <= clock
rvclkhdr_11.reset <= reset
rvclkhdr_11.io.clk <= clock @[lib.scala 354:18]
rvclkhdr_11.io.en <= buf_data_en[3] @[lib.scala 355:17]
rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 356:24]
reg _T_4391 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 358:16]
_T_4391 <= buf_data_in[3] @[lib.scala 358:16]
buf_data[0] <= _T_4388 @[lsu_bus_buffer.scala 516:12]
buf_data[1] <= _T_4389 @[lsu_bus_buffer.scala 516:12]
buf_data[2] <= _T_4390 @[lsu_bus_buffer.scala 516:12]
buf_data[3] <= _T_4391 @[lsu_bus_buffer.scala 516:12]
node _T_4392 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 517:119]
node _T_4393 = mux(buf_error_en[0], UInt<1>("h01"), _T_4392) @[lsu_bus_buffer.scala 517:84]
node _T_4394 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 517:126]
node _T_4395 = and(_T_4393, _T_4394) @[lsu_bus_buffer.scala 517:124]
reg _T_4396 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 517:80]
_T_4396 <= _T_4395 @[lsu_bus_buffer.scala 517:80]
node _T_4397 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 517:119]
node _T_4398 = mux(buf_error_en[1], UInt<1>("h01"), _T_4397) @[lsu_bus_buffer.scala 517:84]
node _T_4399 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 517:126]
node _T_4400 = and(_T_4398, _T_4399) @[lsu_bus_buffer.scala 517:124]
reg _T_4401 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 517:80]
_T_4401 <= _T_4400 @[lsu_bus_buffer.scala 517:80]
node _T_4402 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 517:119]
node _T_4403 = mux(buf_error_en[2], UInt<1>("h01"), _T_4402) @[lsu_bus_buffer.scala 517:84]
node _T_4404 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 517:126]
node _T_4405 = and(_T_4403, _T_4404) @[lsu_bus_buffer.scala 517:124]
reg _T_4406 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 517:80]
_T_4406 <= _T_4405 @[lsu_bus_buffer.scala 517:80]
node _T_4407 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 517:119]
node _T_4408 = mux(buf_error_en[3], UInt<1>("h01"), _T_4407) @[lsu_bus_buffer.scala 517:84]
node _T_4409 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 517:126]
node _T_4410 = and(_T_4408, _T_4409) @[lsu_bus_buffer.scala 517:124]
reg _T_4411 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 517:80]
_T_4411 <= _T_4410 @[lsu_bus_buffer.scala 517:80]
node _T_4412 = cat(_T_4411, _T_4406) @[Cat.scala 29:58]
node _T_4413 = cat(_T_4412, _T_4401) @[Cat.scala 29:58]
node _T_4414 = cat(_T_4413, _T_4396) @[Cat.scala 29:58]
buf_error <= _T_4414 @[lsu_bus_buffer.scala 517:13]
node _T_4415 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_4416 = mux(io.ldst_dual_m, _T_4415, io.lsu_busreq_m) @[lsu_bus_buffer.scala 520:28]
node _T_4417 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58]
node _T_4418 = mux(io.ldst_dual_r, _T_4417, io.lsu_busreq_r) @[lsu_bus_buffer.scala 520:94]
node _T_4419 = add(_T_4416, _T_4418) @[lsu_bus_buffer.scala 520:88]
node _T_4420 = add(_T_4419, ibuf_valid) @[lsu_bus_buffer.scala 520:154]
node _T_4421 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 520:190]
node _T_4422 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 520:190]
node _T_4423 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 520:190]
node _T_4424 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 520:190]
node _T_4425 = add(_T_4421, _T_4422) @[lsu_bus_buffer.scala 520:217]
node _T_4426 = add(_T_4425, _T_4423) @[lsu_bus_buffer.scala 520:217]
node _T_4427 = add(_T_4426, _T_4424) @[lsu_bus_buffer.scala 520:217]
node _T_4428 = add(_T_4420, _T_4427) @[lsu_bus_buffer.scala 520:169]
node buf_numvld_any = tail(_T_4428, 1) @[lsu_bus_buffer.scala 520:169]
node _T_4429 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 521:60]
node _T_4430 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 521:79]
node _T_4431 = and(_T_4429, _T_4430) @[lsu_bus_buffer.scala 521:64]
node _T_4432 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 521:91]
node _T_4433 = and(_T_4431, _T_4432) @[lsu_bus_buffer.scala 521:89]
node _T_4434 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 521:60]
node _T_4435 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 521:79]
node _T_4436 = and(_T_4434, _T_4435) @[lsu_bus_buffer.scala 521:64]
node _T_4437 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 521:91]
node _T_4438 = and(_T_4436, _T_4437) @[lsu_bus_buffer.scala 521:89]
node _T_4439 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 521:60]
node _T_4440 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 521:79]
node _T_4441 = and(_T_4439, _T_4440) @[lsu_bus_buffer.scala 521:64]
node _T_4442 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 521:91]
node _T_4443 = and(_T_4441, _T_4442) @[lsu_bus_buffer.scala 521:89]
node _T_4444 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 521:60]
node _T_4445 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 521:79]
node _T_4446 = and(_T_4444, _T_4445) @[lsu_bus_buffer.scala 521:64]
node _T_4447 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 521:91]
node _T_4448 = and(_T_4446, _T_4447) @[lsu_bus_buffer.scala 521:89]
node _T_4449 = add(_T_4448, _T_4443) @[lsu_bus_buffer.scala 521:142]
node _T_4450 = add(_T_4449, _T_4438) @[lsu_bus_buffer.scala 521:142]
node _T_4451 = add(_T_4450, _T_4433) @[lsu_bus_buffer.scala 521:142]
buf_numvld_wrcmd_any <= _T_4451 @[lsu_bus_buffer.scala 521:24]
node _T_4452 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:63]
node _T_4453 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:75]
node _T_4454 = and(_T_4452, _T_4453) @[lsu_bus_buffer.scala 522:73]
node _T_4455 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:63]
node _T_4456 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:75]
node _T_4457 = and(_T_4455, _T_4456) @[lsu_bus_buffer.scala 522:73]
node _T_4458 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:63]
node _T_4459 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:75]
node _T_4460 = and(_T_4458, _T_4459) @[lsu_bus_buffer.scala 522:73]
node _T_4461 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:63]
node _T_4462 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:75]
node _T_4463 = and(_T_4461, _T_4462) @[lsu_bus_buffer.scala 522:73]
node _T_4464 = add(_T_4463, _T_4460) @[lsu_bus_buffer.scala 522:126]
node _T_4465 = add(_T_4464, _T_4457) @[lsu_bus_buffer.scala 522:126]
node _T_4466 = add(_T_4465, _T_4454) @[lsu_bus_buffer.scala 522:126]
buf_numvld_cmd_any <= _T_4466 @[lsu_bus_buffer.scala 522:22]
node _T_4467 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 523:63]
node _T_4468 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:90]
node _T_4469 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:102]
node _T_4470 = and(_T_4468, _T_4469) @[lsu_bus_buffer.scala 523:100]
node _T_4471 = or(_T_4467, _T_4470) @[lsu_bus_buffer.scala 523:74]
node _T_4472 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 523:63]
node _T_4473 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:90]
node _T_4474 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:102]
node _T_4475 = and(_T_4473, _T_4474) @[lsu_bus_buffer.scala 523:100]
node _T_4476 = or(_T_4472, _T_4475) @[lsu_bus_buffer.scala 523:74]
node _T_4477 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 523:63]
node _T_4478 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:90]
node _T_4479 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:102]
node _T_4480 = and(_T_4478, _T_4479) @[lsu_bus_buffer.scala 523:100]
node _T_4481 = or(_T_4477, _T_4480) @[lsu_bus_buffer.scala 523:74]
node _T_4482 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 523:63]
node _T_4483 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:90]
node _T_4484 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:102]
node _T_4485 = and(_T_4483, _T_4484) @[lsu_bus_buffer.scala 523:100]
node _T_4486 = or(_T_4482, _T_4485) @[lsu_bus_buffer.scala 523:74]
node _T_4487 = add(_T_4486, _T_4481) @[lsu_bus_buffer.scala 523:154]
node _T_4488 = add(_T_4487, _T_4476) @[lsu_bus_buffer.scala 523:154]
node _T_4489 = add(_T_4488, _T_4471) @[lsu_bus_buffer.scala 523:154]
buf_numvld_pend_any <= _T_4489 @[lsu_bus_buffer.scala 523:23]
node _T_4490 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 524:61]
node _T_4491 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 524:61]
node _T_4492 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 524:61]
node _T_4493 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 524:61]
node _T_4494 = or(_T_4493, _T_4492) @[lsu_bus_buffer.scala 524:93]
node _T_4495 = or(_T_4494, _T_4491) @[lsu_bus_buffer.scala 524:93]
node _T_4496 = or(_T_4495, _T_4490) @[lsu_bus_buffer.scala 524:93]
any_done_wait_state <= _T_4496 @[lsu_bus_buffer.scala 524:23]
node _T_4497 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 525:53]
io.lsu_bus_buffer_pend_any <= _T_4497 @[lsu_bus_buffer.scala 525:30]
node _T_4498 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 526:52]
node _T_4499 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 526:92]
node _T_4500 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 526:121]
node _T_4501 = mux(_T_4498, _T_4499, _T_4500) @[lsu_bus_buffer.scala 526:36]
io.lsu_bus_buffer_full_any <= _T_4501 @[lsu_bus_buffer.scala 526:30]
node _T_4502 = orr(buf_state[0]) @[lsu_bus_buffer.scala 527:52]
node _T_4503 = orr(buf_state[1]) @[lsu_bus_buffer.scala 527:52]
node _T_4504 = orr(buf_state[2]) @[lsu_bus_buffer.scala 527:52]
node _T_4505 = orr(buf_state[3]) @[lsu_bus_buffer.scala 527:52]
node _T_4506 = or(_T_4502, _T_4503) @[lsu_bus_buffer.scala 527:65]
node _T_4507 = or(_T_4506, _T_4504) @[lsu_bus_buffer.scala 527:65]
node _T_4508 = or(_T_4507, _T_4505) @[lsu_bus_buffer.scala 527:65]
node _T_4509 = eq(_T_4508, UInt<1>("h00")) @[lsu_bus_buffer.scala 527:34]
node _T_4510 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 527:72]
node _T_4511 = and(_T_4509, _T_4510) @[lsu_bus_buffer.scala 527:70]
node _T_4512 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 527:86]
node _T_4513 = and(_T_4511, _T_4512) @[lsu_bus_buffer.scala 527:84]
io.lsu_bus_buffer_empty_any <= _T_4513 @[lsu_bus_buffer.scala 527:31]
node _T_4514 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 529:64]
node _T_4515 = and(_T_4514, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 529:85]
node _T_4516 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 529:112]
node _T_4517 = and(_T_4515, _T_4516) @[lsu_bus_buffer.scala 529:110]
node _T_4518 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 529:129]
node _T_4519 = and(_T_4517, _T_4518) @[lsu_bus_buffer.scala 529:127]
io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4519 @[lsu_bus_buffer.scala 529:45]
io.dctl_busbuff.lsu_nonblock_load_tag_m <= WrPtr0_m @[lsu_bus_buffer.scala 530:43]
wire lsu_nonblock_load_valid_r : UInt<1>
lsu_nonblock_load_valid_r <= UInt<1>("h00")
node _T_4520 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 532:74]
node _T_4521 = and(lsu_nonblock_load_valid_r, _T_4520) @[lsu_bus_buffer.scala 532:72]
io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4521 @[lsu_bus_buffer.scala 532:43]
io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[lsu_bus_buffer.scala 533:47]
node _T_4522 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 534:80]
node _T_4523 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 534:127]
node _T_4524 = and(UInt<1>("h01"), _T_4523) @[lsu_bus_buffer.scala 534:116]
node _T_4525 = eq(_T_4524, UInt<1>("h00")) @[lsu_bus_buffer.scala 534:95]
node _T_4526 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 534:80]
node _T_4527 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 534:127]
node _T_4528 = and(UInt<1>("h01"), _T_4527) @[lsu_bus_buffer.scala 534:116]
node _T_4529 = eq(_T_4528, UInt<1>("h00")) @[lsu_bus_buffer.scala 534:95]
node _T_4530 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 534:80]
node _T_4531 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 534:127]
node _T_4532 = and(UInt<1>("h01"), _T_4531) @[lsu_bus_buffer.scala 534:116]
node _T_4533 = eq(_T_4532, UInt<1>("h00")) @[lsu_bus_buffer.scala 534:95]
node _T_4534 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 534:80]
node _T_4535 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 534:127]
node _T_4536 = and(UInt<1>("h01"), _T_4535) @[lsu_bus_buffer.scala 534:116]
node _T_4537 = eq(_T_4536, UInt<1>("h00")) @[lsu_bus_buffer.scala 534:95]
node _T_4538 = mux(_T_4522, _T_4525, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4539 = mux(_T_4526, _T_4529, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4540 = mux(_T_4530, _T_4533, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4541 = mux(_T_4534, _T_4537, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4542 = or(_T_4538, _T_4539) @[Mux.scala 27:72]
node _T_4543 = or(_T_4542, _T_4540) @[Mux.scala 27:72]
node _T_4544 = or(_T_4543, _T_4541) @[Mux.scala 27:72]
wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72]
lsu_nonblock_load_data_ready <= _T_4544 @[Mux.scala 27:72]
node _T_4545 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:93]
node _T_4546 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 535:117]
node _T_4547 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 535:133]
node _T_4548 = eq(_T_4547, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:123]
node _T_4549 = and(_T_4546, _T_4548) @[lsu_bus_buffer.scala 535:121]
node _T_4550 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:93]
node _T_4551 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 535:117]
node _T_4552 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 535:133]
node _T_4553 = eq(_T_4552, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:123]
node _T_4554 = and(_T_4551, _T_4553) @[lsu_bus_buffer.scala 535:121]
node _T_4555 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:93]
node _T_4556 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 535:117]
node _T_4557 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 535:133]
node _T_4558 = eq(_T_4557, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:123]
node _T_4559 = and(_T_4556, _T_4558) @[lsu_bus_buffer.scala 535:121]
node _T_4560 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:93]
node _T_4561 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 535:117]
node _T_4562 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 535:133]
node _T_4563 = eq(_T_4562, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:123]
node _T_4564 = and(_T_4561, _T_4563) @[lsu_bus_buffer.scala 535:121]
node _T_4565 = mux(_T_4545, _T_4549, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4566 = mux(_T_4550, _T_4554, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4567 = mux(_T_4555, _T_4559, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4568 = mux(_T_4560, _T_4564, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4569 = or(_T_4565, _T_4566) @[Mux.scala 27:72]
node _T_4570 = or(_T_4569, _T_4567) @[Mux.scala 27:72]
node _T_4571 = or(_T_4570, _T_4568) @[Mux.scala 27:72]
wire _T_4572 : UInt<1> @[Mux.scala 27:72]
_T_4572 <= _T_4571 @[Mux.scala 27:72]
io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4572 @[lsu_bus_buffer.scala 535:48]
node _T_4573 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:92]
node _T_4574 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 536:115]
node _T_4575 = eq(_T_4574, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:105]
node _T_4576 = and(_T_4573, _T_4575) @[lsu_bus_buffer.scala 536:103]
node _T_4577 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:122]
node _T_4578 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:137]
node _T_4579 = or(_T_4577, _T_4578) @[lsu_bus_buffer.scala 536:135]
node _T_4580 = and(_T_4576, _T_4579) @[lsu_bus_buffer.scala 536:119]
node _T_4581 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:92]
node _T_4582 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 536:115]
node _T_4583 = eq(_T_4582, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:105]
node _T_4584 = and(_T_4581, _T_4583) @[lsu_bus_buffer.scala 536:103]
node _T_4585 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:122]
node _T_4586 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:137]
node _T_4587 = or(_T_4585, _T_4586) @[lsu_bus_buffer.scala 536:135]
node _T_4588 = and(_T_4584, _T_4587) @[lsu_bus_buffer.scala 536:119]
node _T_4589 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:92]
node _T_4590 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 536:115]
node _T_4591 = eq(_T_4590, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:105]
node _T_4592 = and(_T_4589, _T_4591) @[lsu_bus_buffer.scala 536:103]
node _T_4593 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:122]
node _T_4594 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:137]
node _T_4595 = or(_T_4593, _T_4594) @[lsu_bus_buffer.scala 536:135]
node _T_4596 = and(_T_4592, _T_4595) @[lsu_bus_buffer.scala 536:119]
node _T_4597 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:92]
node _T_4598 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 536:115]
node _T_4599 = eq(_T_4598, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:105]
node _T_4600 = and(_T_4597, _T_4599) @[lsu_bus_buffer.scala 536:103]
node _T_4601 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:122]
node _T_4602 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:137]
node _T_4603 = or(_T_4601, _T_4602) @[lsu_bus_buffer.scala 536:135]
node _T_4604 = and(_T_4600, _T_4603) @[lsu_bus_buffer.scala 536:119]
node _T_4605 = mux(_T_4580, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4606 = mux(_T_4588, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4607 = mux(_T_4596, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4608 = mux(_T_4604, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4609 = or(_T_4605, _T_4606) @[Mux.scala 27:72]
node _T_4610 = or(_T_4609, _T_4607) @[Mux.scala 27:72]
node _T_4611 = or(_T_4610, _T_4608) @[Mux.scala 27:72]
wire _T_4612 : UInt<2> @[Mux.scala 27:72]
_T_4612 <= _T_4611 @[Mux.scala 27:72]
io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4612 @[lsu_bus_buffer.scala 536:46]
node _T_4613 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:78]
node _T_4614 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 537:101]
node _T_4615 = eq(_T_4614, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:91]
node _T_4616 = and(_T_4613, _T_4615) @[lsu_bus_buffer.scala 537:89]
node _T_4617 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:108]
node _T_4618 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:123]
node _T_4619 = or(_T_4617, _T_4618) @[lsu_bus_buffer.scala 537:121]
node _T_4620 = and(_T_4616, _T_4619) @[lsu_bus_buffer.scala 537:105]
node _T_4621 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:78]
node _T_4622 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 537:101]
node _T_4623 = eq(_T_4622, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:91]
node _T_4624 = and(_T_4621, _T_4623) @[lsu_bus_buffer.scala 537:89]
node _T_4625 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:108]
node _T_4626 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:123]
node _T_4627 = or(_T_4625, _T_4626) @[lsu_bus_buffer.scala 537:121]
node _T_4628 = and(_T_4624, _T_4627) @[lsu_bus_buffer.scala 537:105]
node _T_4629 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:78]
node _T_4630 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 537:101]
node _T_4631 = eq(_T_4630, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:91]
node _T_4632 = and(_T_4629, _T_4631) @[lsu_bus_buffer.scala 537:89]
node _T_4633 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:108]
node _T_4634 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:123]
node _T_4635 = or(_T_4633, _T_4634) @[lsu_bus_buffer.scala 537:121]
node _T_4636 = and(_T_4632, _T_4635) @[lsu_bus_buffer.scala 537:105]
node _T_4637 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:78]
node _T_4638 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 537:101]
node _T_4639 = eq(_T_4638, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:91]
node _T_4640 = and(_T_4637, _T_4639) @[lsu_bus_buffer.scala 537:89]
node _T_4641 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:108]
node _T_4642 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:123]
node _T_4643 = or(_T_4641, _T_4642) @[lsu_bus_buffer.scala 537:121]
node _T_4644 = and(_T_4640, _T_4643) @[lsu_bus_buffer.scala 537:105]
node _T_4645 = mux(_T_4620, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4646 = mux(_T_4628, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4647 = mux(_T_4636, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4648 = mux(_T_4644, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4649 = or(_T_4645, _T_4646) @[Mux.scala 27:72]
node _T_4650 = or(_T_4649, _T_4647) @[Mux.scala 27:72]
node _T_4651 = or(_T_4650, _T_4648) @[Mux.scala 27:72]
wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72]
lsu_nonblock_load_data_lo <= _T_4651 @[Mux.scala 27:72]
node _T_4652 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78]
node _T_4653 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 538:101]
node _T_4654 = eq(_T_4653, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91]
node _T_4655 = and(_T_4652, _T_4654) @[lsu_bus_buffer.scala 538:89]
node _T_4656 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 538:120]
node _T_4657 = and(_T_4655, _T_4656) @[lsu_bus_buffer.scala 538:105]
node _T_4658 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78]
node _T_4659 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 538:101]
node _T_4660 = eq(_T_4659, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91]
node _T_4661 = and(_T_4658, _T_4660) @[lsu_bus_buffer.scala 538:89]
node _T_4662 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 538:120]
node _T_4663 = and(_T_4661, _T_4662) @[lsu_bus_buffer.scala 538:105]
node _T_4664 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78]
node _T_4665 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 538:101]
node _T_4666 = eq(_T_4665, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91]
node _T_4667 = and(_T_4664, _T_4666) @[lsu_bus_buffer.scala 538:89]
node _T_4668 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 538:120]
node _T_4669 = and(_T_4667, _T_4668) @[lsu_bus_buffer.scala 538:105]
node _T_4670 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78]
node _T_4671 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 538:101]
node _T_4672 = eq(_T_4671, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91]
node _T_4673 = and(_T_4670, _T_4672) @[lsu_bus_buffer.scala 538:89]
node _T_4674 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 538:120]
node _T_4675 = and(_T_4673, _T_4674) @[lsu_bus_buffer.scala 538:105]
node _T_4676 = mux(_T_4657, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4677 = mux(_T_4663, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4678 = mux(_T_4669, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4679 = mux(_T_4675, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4680 = or(_T_4676, _T_4677) @[Mux.scala 27:72]
node _T_4681 = or(_T_4680, _T_4678) @[Mux.scala 27:72]
node _T_4682 = or(_T_4681, _T_4679) @[Mux.scala 27:72]
wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72]
lsu_nonblock_load_data_hi <= _T_4682 @[Mux.scala 27:72]
node _T_4683 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123]
node _T_4684 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123]
node _T_4685 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123]
node _T_4686 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123]
node _T_4687 = mux(_T_4683, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4688 = mux(_T_4684, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4689 = mux(_T_4685, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4690 = mux(_T_4686, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4691 = or(_T_4687, _T_4688) @[Mux.scala 27:72]
node _T_4692 = or(_T_4691, _T_4689) @[Mux.scala 27:72]
node _T_4693 = or(_T_4692, _T_4690) @[Mux.scala 27:72]
wire _T_4694 : UInt<32> @[Mux.scala 27:72]
_T_4694 <= _T_4693 @[Mux.scala 27:72]
node lsu_nonblock_addr_offset = bits(_T_4694, 1, 0) @[lsu_bus_buffer.scala 539:96]
node _T_4695 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123]
node _T_4696 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123]
node _T_4697 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123]
node _T_4698 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123]
node _T_4699 = mux(_T_4695, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4700 = mux(_T_4696, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4701 = mux(_T_4697, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4702 = mux(_T_4698, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4703 = or(_T_4699, _T_4700) @[Mux.scala 27:72]
node _T_4704 = or(_T_4703, _T_4701) @[Mux.scala 27:72]
node _T_4705 = or(_T_4704, _T_4702) @[Mux.scala 27:72]
wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72]
lsu_nonblock_sz <= _T_4705 @[Mux.scala 27:72]
node _T_4706 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118]
node _T_4707 = bits(buf_unsign, 0, 0) @[lsu_bus_buffer.scala 56:129]
node _T_4708 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118]
node _T_4709 = bits(buf_unsign, 1, 1) @[lsu_bus_buffer.scala 56:129]
node _T_4710 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118]
node _T_4711 = bits(buf_unsign, 2, 2) @[lsu_bus_buffer.scala 56:129]
node _T_4712 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118]
node _T_4713 = bits(buf_unsign, 3, 3) @[lsu_bus_buffer.scala 56:129]
node _T_4714 = mux(_T_4706, _T_4707, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4715 = mux(_T_4708, _T_4709, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4716 = mux(_T_4710, _T_4711, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4717 = mux(_T_4712, _T_4713, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4718 = or(_T_4714, _T_4715) @[Mux.scala 27:72]
node _T_4719 = or(_T_4718, _T_4716) @[Mux.scala 27:72]
node _T_4720 = or(_T_4719, _T_4717) @[Mux.scala 27:72]
wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72]
lsu_nonblock_unsign <= _T_4720 @[Mux.scala 27:72]
node _T_4721 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58]
node _T_4722 = cat(_T_4721, buf_dual[1]) @[Cat.scala 29:58]
node _T_4723 = cat(_T_4722, buf_dual[0]) @[Cat.scala 29:58]
node _T_4724 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118]
node _T_4725 = bits(_T_4723, 0, 0) @[lsu_bus_buffer.scala 56:129]
node _T_4726 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118]
node _T_4727 = bits(_T_4723, 1, 1) @[lsu_bus_buffer.scala 56:129]
node _T_4728 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118]
node _T_4729 = bits(_T_4723, 2, 2) @[lsu_bus_buffer.scala 56:129]
node _T_4730 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118]
node _T_4731 = bits(_T_4723, 3, 3) @[lsu_bus_buffer.scala 56:129]
node _T_4732 = mux(_T_4724, _T_4725, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4733 = mux(_T_4726, _T_4727, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4734 = mux(_T_4728, _T_4729, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4735 = mux(_T_4730, _T_4731, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4736 = or(_T_4732, _T_4733) @[Mux.scala 27:72]
node _T_4737 = or(_T_4736, _T_4734) @[Mux.scala 27:72]
node _T_4738 = or(_T_4737, _T_4735) @[Mux.scala 27:72]
wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72]
lsu_nonblock_dual <= _T_4738 @[Mux.scala 27:72]
node _T_4739 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58]
node _T_4740 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 543:121]
node lsu_nonblock_data_unalgn = dshr(_T_4739, _T_4740) @[lsu_bus_buffer.scala 543:92]
node _T_4741 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:82]
node _T_4742 = and(lsu_nonblock_load_data_ready, _T_4741) @[lsu_bus_buffer.scala 545:80]
io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4742 @[lsu_bus_buffer.scala 545:48]
node _T_4743 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:94]
node _T_4744 = and(lsu_nonblock_unsign, _T_4743) @[lsu_bus_buffer.scala 546:76]
node _T_4745 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 546:144]
node _T_4746 = cat(UInt<24>("h00"), _T_4745) @[Cat.scala 29:58]
node _T_4747 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 547:45]
node _T_4748 = and(lsu_nonblock_unsign, _T_4747) @[lsu_bus_buffer.scala 547:26]
node _T_4749 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 547:95]
node _T_4750 = cat(UInt<16>("h00"), _T_4749) @[Cat.scala 29:58]
node _T_4751 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:6]
node _T_4752 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:45]
node _T_4753 = and(_T_4751, _T_4752) @[lsu_bus_buffer.scala 548:27]
node _T_4754 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 548:93]
node _T_4755 = bits(_T_4754, 0, 0) @[Bitwise.scala 72:15]
node _T_4756 = mux(_T_4755, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12]
node _T_4757 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 548:123]
node _T_4758 = cat(_T_4756, _T_4757) @[Cat.scala 29:58]
node _T_4759 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:6]
node _T_4760 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 549:45]
node _T_4761 = and(_T_4759, _T_4760) @[lsu_bus_buffer.scala 549:27]
node _T_4762 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 549:93]
node _T_4763 = bits(_T_4762, 0, 0) @[Bitwise.scala 72:15]
node _T_4764 = mux(_T_4763, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12]
node _T_4765 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 549:124]
node _T_4766 = cat(_T_4764, _T_4765) @[Cat.scala 29:58]
node _T_4767 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 550:21]
node _T_4768 = mux(_T_4744, _T_4746, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4769 = mux(_T_4748, _T_4750, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4770 = mux(_T_4753, _T_4758, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4771 = mux(_T_4761, _T_4766, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4772 = mux(_T_4767, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4773 = or(_T_4768, _T_4769) @[Mux.scala 27:72]
node _T_4774 = or(_T_4773, _T_4770) @[Mux.scala 27:72]
node _T_4775 = or(_T_4774, _T_4771) @[Mux.scala 27:72]
node _T_4776 = or(_T_4775, _T_4772) @[Mux.scala 27:72]
wire _T_4777 : UInt<64> @[Mux.scala 27:72]
_T_4777 <= _T_4776 @[Mux.scala 27:72]
io.dctl_busbuff.lsu_nonblock_load_data <= _T_4777 @[lsu_bus_buffer.scala 546:42]
node _T_4778 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 551:62]
node _T_4779 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 551:89]
node _T_4780 = and(_T_4778, _T_4779) @[lsu_bus_buffer.scala 551:73]
node _T_4781 = and(_T_4780, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 551:93]
node _T_4782 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 551:62]
node _T_4783 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 551:89]
node _T_4784 = and(_T_4782, _T_4783) @[lsu_bus_buffer.scala 551:73]
node _T_4785 = and(_T_4784, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 551:93]
node _T_4786 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 551:62]
node _T_4787 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 551:89]
node _T_4788 = and(_T_4786, _T_4787) @[lsu_bus_buffer.scala 551:73]
node _T_4789 = and(_T_4788, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 551:93]
node _T_4790 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 551:62]
node _T_4791 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 551:89]
node _T_4792 = and(_T_4790, _T_4791) @[lsu_bus_buffer.scala 551:73]
node _T_4793 = and(_T_4792, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 551:93]
node _T_4794 = or(_T_4781, _T_4785) @[lsu_bus_buffer.scala 551:153]
node _T_4795 = or(_T_4794, _T_4789) @[lsu_bus_buffer.scala 551:153]
node _T_4796 = or(_T_4795, _T_4793) @[lsu_bus_buffer.scala 551:153]
node _T_4797 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 551:171]
node _T_4798 = and(_T_4797, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 551:189]
node _T_4799 = or(_T_4796, _T_4798) @[lsu_bus_buffer.scala 551:157]
bus_sideeffect_pend <= _T_4799 @[lsu_bus_buffer.scala 551:23]
node _T_4800 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:71]
node _T_4801 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 553:25]
node _T_4802 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 553:50]
node _T_4803 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 553:70]
node _T_4804 = eq(_T_4802, _T_4803) @[lsu_bus_buffer.scala 553:56]
node _T_4805 = and(_T_4801, _T_4804) @[lsu_bus_buffer.scala 553:38]
node _T_4806 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:92]
node _T_4807 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:126]
node _T_4808 = and(obuf_merge, _T_4807) @[lsu_bus_buffer.scala 553:114]
node _T_4809 = or(_T_4806, _T_4808) @[lsu_bus_buffer.scala 553:100]
node _T_4810 = eq(_T_4809, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:80]
node _T_4811 = and(_T_4805, _T_4810) @[lsu_bus_buffer.scala 553:78]
node _T_4812 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:71]
node _T_4813 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 553:25]
node _T_4814 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 553:50]
node _T_4815 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 553:70]
node _T_4816 = eq(_T_4814, _T_4815) @[lsu_bus_buffer.scala 553:56]
node _T_4817 = and(_T_4813, _T_4816) @[lsu_bus_buffer.scala 553:38]
node _T_4818 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 553:92]
node _T_4819 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 553:126]
node _T_4820 = and(obuf_merge, _T_4819) @[lsu_bus_buffer.scala 553:114]
node _T_4821 = or(_T_4818, _T_4820) @[lsu_bus_buffer.scala 553:100]
node _T_4822 = eq(_T_4821, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:80]
node _T_4823 = and(_T_4817, _T_4822) @[lsu_bus_buffer.scala 553:78]
node _T_4824 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:71]
node _T_4825 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 553:25]
node _T_4826 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 553:50]
node _T_4827 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 553:70]
node _T_4828 = eq(_T_4826, _T_4827) @[lsu_bus_buffer.scala 553:56]
node _T_4829 = and(_T_4825, _T_4828) @[lsu_bus_buffer.scala 553:38]
node _T_4830 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 553:92]
node _T_4831 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 553:126]
node _T_4832 = and(obuf_merge, _T_4831) @[lsu_bus_buffer.scala 553:114]
node _T_4833 = or(_T_4830, _T_4832) @[lsu_bus_buffer.scala 553:100]
node _T_4834 = eq(_T_4833, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:80]
node _T_4835 = and(_T_4829, _T_4834) @[lsu_bus_buffer.scala 553:78]
node _T_4836 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:71]
node _T_4837 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 553:25]
node _T_4838 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 553:50]
node _T_4839 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 553:70]
node _T_4840 = eq(_T_4838, _T_4839) @[lsu_bus_buffer.scala 553:56]
node _T_4841 = and(_T_4837, _T_4840) @[lsu_bus_buffer.scala 553:38]
node _T_4842 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 553:92]
node _T_4843 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 553:126]
node _T_4844 = and(obuf_merge, _T_4843) @[lsu_bus_buffer.scala 553:114]
node _T_4845 = or(_T_4842, _T_4844) @[lsu_bus_buffer.scala 553:100]
node _T_4846 = eq(_T_4845, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:80]
node _T_4847 = and(_T_4841, _T_4846) @[lsu_bus_buffer.scala 553:78]
node _T_4848 = mux(_T_4800, _T_4811, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4849 = mux(_T_4812, _T_4823, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4850 = mux(_T_4824, _T_4835, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4851 = mux(_T_4836, _T_4847, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4852 = or(_T_4848, _T_4849) @[Mux.scala 27:72]
node _T_4853 = or(_T_4852, _T_4850) @[Mux.scala 27:72]
node _T_4854 = or(_T_4853, _T_4851) @[Mux.scala 27:72]
wire _T_4855 : UInt<1> @[Mux.scala 27:72]
_T_4855 <= _T_4854 @[Mux.scala 27:72]
bus_addr_match_pending <= _T_4855 @[lsu_bus_buffer.scala 552:26]
node _T_4856 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 555:54]
node _T_4857 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 555:75]
node _T_4858 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 555:153]
node _T_4859 = mux(_T_4856, _T_4857, _T_4858) @[lsu_bus_buffer.scala 555:39]
node _T_4860 = mux(obuf_write, _T_4859, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 555:23]
bus_cmd_ready <= _T_4860 @[lsu_bus_buffer.scala 555:17]
node _T_4861 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 556:40]
bus_wcmd_sent <= _T_4861 @[lsu_bus_buffer.scala 556:17]
node _T_4862 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 557:40]
bus_wdata_sent <= _T_4862 @[lsu_bus_buffer.scala 557:18]
node _T_4863 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 558:35]
node _T_4864 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 558:70]
node _T_4865 = and(_T_4863, _T_4864) @[lsu_bus_buffer.scala 558:52]
node _T_4866 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 558:112]
node _T_4867 = or(_T_4865, _T_4866) @[lsu_bus_buffer.scala 558:89]
bus_cmd_sent <= _T_4867 @[lsu_bus_buffer.scala 558:16]
node _T_4868 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 559:38]
bus_rsp_read <= _T_4868 @[lsu_bus_buffer.scala 559:16]
node _T_4869 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 560:39]
bus_rsp_write <= _T_4869 @[lsu_bus_buffer.scala 560:17]
bus_rsp_read_tag <= io.lsu_axi.r.bits.id @[lsu_bus_buffer.scala 561:20]
bus_rsp_write_tag <= io.lsu_axi.b.bits.id @[lsu_bus_buffer.scala 562:21]
node _T_4870 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:66]
node _T_4871 = and(bus_rsp_write, _T_4870) @[lsu_bus_buffer.scala 563:40]
bus_rsp_write_error <= _T_4871 @[lsu_bus_buffer.scala 563:23]
node _T_4872 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 564:64]
node _T_4873 = and(bus_rsp_read, _T_4872) @[lsu_bus_buffer.scala 564:38]
bus_rsp_read_error <= _T_4873 @[lsu_bus_buffer.scala 564:22]
bus_rsp_rdata <= io.lsu_axi.r.bits.data @[lsu_bus_buffer.scala 565:17]
node _T_4874 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 568:37]
node _T_4875 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 568:52]
node _T_4876 = and(_T_4874, _T_4875) @[lsu_bus_buffer.scala 568:50]
node _T_4877 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 568:69]
node _T_4878 = and(_T_4876, _T_4877) @[lsu_bus_buffer.scala 568:67]
io.lsu_axi.aw.valid <= _T_4878 @[lsu_bus_buffer.scala 568:23]
io.lsu_axi.aw.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 569:25]
node _T_4879 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 570:75]
node _T_4880 = cat(_T_4879, UInt<3>("h00")) @[Cat.scala 29:58]
node _T_4881 = mux(obuf_sideeffect, obuf_addr, _T_4880) @[lsu_bus_buffer.scala 570:33]
io.lsu_axi.aw.bits.addr <= _T_4881 @[lsu_bus_buffer.scala 570:27]
node _T_4882 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58]
node _T_4883 = mux(obuf_sideeffect, _T_4882, UInt<3>("h03")) @[lsu_bus_buffer.scala 571:33]
io.lsu_axi.aw.bits.size <= _T_4883 @[lsu_bus_buffer.scala 571:27]
io.lsu_axi.aw.bits.prot <= UInt<1>("h00") @[lsu_bus_buffer.scala 572:27]
node _T_4884 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 573:34]
io.lsu_axi.aw.bits.cache <= _T_4884 @[lsu_bus_buffer.scala 573:28]
node _T_4885 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 574:41]
io.lsu_axi.aw.bits.region <= _T_4885 @[lsu_bus_buffer.scala 574:29]
io.lsu_axi.aw.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 575:26]
io.lsu_axi.aw.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 576:28]
io.lsu_axi.aw.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 577:26]
io.lsu_axi.aw.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 578:27]
node _T_4886 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 580:36]
node _T_4887 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 580:51]
node _T_4888 = and(_T_4886, _T_4887) @[lsu_bus_buffer.scala 580:49]
node _T_4889 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 580:69]
node _T_4890 = and(_T_4888, _T_4889) @[lsu_bus_buffer.scala 580:67]
io.lsu_axi.w.valid <= _T_4890 @[lsu_bus_buffer.scala 580:22]
node _T_4891 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15]
node _T_4892 = mux(_T_4891, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12]
node _T_4893 = and(obuf_byteen, _T_4892) @[lsu_bus_buffer.scala 581:41]
io.lsu_axi.w.bits.strb <= _T_4893 @[lsu_bus_buffer.scala 581:26]
io.lsu_axi.w.bits.data <= obuf_data @[lsu_bus_buffer.scala 582:26]
io.lsu_axi.w.bits.last <= UInt<1>("h01") @[lsu_bus_buffer.scala 583:26]
node _T_4894 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 585:39]
node _T_4895 = and(obuf_valid, _T_4894) @[lsu_bus_buffer.scala 585:37]
node _T_4896 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 585:53]
node _T_4897 = and(_T_4895, _T_4896) @[lsu_bus_buffer.scala 585:51]
node _T_4898 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 585:68]
node _T_4899 = and(_T_4897, _T_4898) @[lsu_bus_buffer.scala 585:66]
io.lsu_axi.ar.valid <= _T_4899 @[lsu_bus_buffer.scala 585:23]
io.lsu_axi.ar.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 586:25]
node _T_4900 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 587:75]
node _T_4901 = cat(_T_4900, UInt<3>("h00")) @[Cat.scala 29:58]
node _T_4902 = mux(obuf_sideeffect, obuf_addr, _T_4901) @[lsu_bus_buffer.scala 587:33]
io.lsu_axi.ar.bits.addr <= _T_4902 @[lsu_bus_buffer.scala 587:27]
node _T_4903 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58]
node _T_4904 = mux(obuf_sideeffect, _T_4903, UInt<3>("h03")) @[lsu_bus_buffer.scala 588:33]
io.lsu_axi.ar.bits.size <= _T_4904 @[lsu_bus_buffer.scala 588:27]
io.lsu_axi.ar.bits.prot <= UInt<1>("h00") @[lsu_bus_buffer.scala 589:27]
node _T_4905 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 590:34]
io.lsu_axi.ar.bits.cache <= _T_4905 @[lsu_bus_buffer.scala 590:28]
node _T_4906 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 591:41]
io.lsu_axi.ar.bits.region <= _T_4906 @[lsu_bus_buffer.scala 591:29]
io.lsu_axi.ar.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 592:26]
io.lsu_axi.ar.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 593:28]
io.lsu_axi.ar.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 594:26]
io.lsu_axi.ar.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 595:27]
io.lsu_axi.b.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 596:22]
io.lsu_axi.r.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 597:22]
node _T_4907 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 598:93]
node _T_4908 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 598:137]
node _T_4909 = and(io.lsu_bus_clk_en_q, _T_4908) @[lsu_bus_buffer.scala 598:126]
node _T_4910 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 598:152]
node _T_4911 = and(_T_4909, _T_4910) @[lsu_bus_buffer.scala 598:141]
node _T_4912 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 598:93]
node _T_4913 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 598:137]
node _T_4914 = and(io.lsu_bus_clk_en_q, _T_4913) @[lsu_bus_buffer.scala 598:126]
node _T_4915 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 598:152]
node _T_4916 = and(_T_4914, _T_4915) @[lsu_bus_buffer.scala 598:141]
node _T_4917 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 598:93]
node _T_4918 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 598:137]
node _T_4919 = and(io.lsu_bus_clk_en_q, _T_4918) @[lsu_bus_buffer.scala 598:126]
node _T_4920 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 598:152]
node _T_4921 = and(_T_4919, _T_4920) @[lsu_bus_buffer.scala 598:141]
node _T_4922 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 598:93]
node _T_4923 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 598:137]
node _T_4924 = and(io.lsu_bus_clk_en_q, _T_4923) @[lsu_bus_buffer.scala 598:126]
node _T_4925 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 598:152]
node _T_4926 = and(_T_4924, _T_4925) @[lsu_bus_buffer.scala 598:141]
node _T_4927 = mux(_T_4907, _T_4911, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4928 = mux(_T_4912, _T_4916, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4929 = mux(_T_4917, _T_4921, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4930 = mux(_T_4922, _T_4926, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4931 = or(_T_4927, _T_4928) @[Mux.scala 27:72]
node _T_4932 = or(_T_4931, _T_4929) @[Mux.scala 27:72]
node _T_4933 = or(_T_4932, _T_4930) @[Mux.scala 27:72]
wire _T_4934 : UInt<1> @[Mux.scala 27:72]
_T_4934 <= _T_4933 @[Mux.scala 27:72]
io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4934 @[lsu_bus_buffer.scala 598:48]
node _T_4935 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:82]
node _T_4936 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 599:104]
node _T_4937 = and(_T_4935, _T_4936) @[lsu_bus_buffer.scala 599:93]
node _T_4938 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 599:119]
node _T_4939 = and(_T_4937, _T_4938) @[lsu_bus_buffer.scala 599:108]
node _T_4940 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:82]
node _T_4941 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 599:104]
node _T_4942 = and(_T_4940, _T_4941) @[lsu_bus_buffer.scala 599:93]
node _T_4943 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 599:119]
node _T_4944 = and(_T_4942, _T_4943) @[lsu_bus_buffer.scala 599:108]
node _T_4945 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:82]
node _T_4946 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 599:104]
node _T_4947 = and(_T_4945, _T_4946) @[lsu_bus_buffer.scala 599:93]
node _T_4948 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 599:119]
node _T_4949 = and(_T_4947, _T_4948) @[lsu_bus_buffer.scala 599:108]
node _T_4950 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:82]
node _T_4951 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 599:104]
node _T_4952 = and(_T_4950, _T_4951) @[lsu_bus_buffer.scala 599:93]
node _T_4953 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 599:119]
node _T_4954 = and(_T_4952, _T_4953) @[lsu_bus_buffer.scala 599:108]
node _T_4955 = mux(_T_4939, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4956 = mux(_T_4944, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4957 = mux(_T_4949, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4958 = mux(_T_4954, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4959 = or(_T_4955, _T_4956) @[Mux.scala 27:72]
node _T_4960 = or(_T_4959, _T_4957) @[Mux.scala 27:72]
node _T_4961 = or(_T_4960, _T_4958) @[Mux.scala 27:72]
wire lsu_imprecise_error_store_tag : UInt<2> @[Mux.scala 27:72]
lsu_imprecise_error_store_tag <= _T_4961 @[Mux.scala 27:72]
node _T_4962 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 601:97]
node _T_4963 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4962) @[lsu_bus_buffer.scala 601:95]
io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4963 @[lsu_bus_buffer.scala 601:47]
node _T_4964 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 602:53]
io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4964 @[lsu_bus_buffer.scala 602:47]
lsu_bus_cntr_overflow <= UInt<1>("h00") @[lsu_bus_buffer.scala 603:25]
io.lsu_bus_idle_any <= UInt<1>("h01") @[lsu_bus_buffer.scala 605:23]
node _T_4965 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 608:59]
node _T_4966 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 608:104]
node _T_4967 = or(_T_4965, _T_4966) @[lsu_bus_buffer.scala 608:82]
node _T_4968 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 608:149]
node _T_4969 = or(_T_4967, _T_4968) @[lsu_bus_buffer.scala 608:126]
io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4969 @[lsu_bus_buffer.scala 608:35]
node _T_4970 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 609:60]
node _T_4971 = and(_T_4970, io.lsu_commit_r) @[lsu_bus_buffer.scala 609:77]
io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4971 @[lsu_bus_buffer.scala 609:41]
node _T_4972 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 610:83]
io.tlu_busbuff.lsu_pmu_bus_error <= _T_4972 @[lsu_bus_buffer.scala 610:36]
node _T_4973 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 612:61]
node _T_4974 = and(io.lsu_axi.aw.valid, _T_4973) @[lsu_bus_buffer.scala 612:59]
node _T_4975 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 612:107]
node _T_4976 = and(io.lsu_axi.w.valid, _T_4975) @[lsu_bus_buffer.scala 612:105]
node _T_4977 = or(_T_4974, _T_4976) @[lsu_bus_buffer.scala 612:83]
node _T_4978 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 612:153]
node _T_4979 = and(io.lsu_axi.ar.valid, _T_4978) @[lsu_bus_buffer.scala 612:151]
node _T_4980 = or(_T_4977, _T_4979) @[lsu_bus_buffer.scala 612:128]
io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4980 @[lsu_bus_buffer.scala 612:35]
reg _T_4981 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 614:49]
_T_4981 <= WrPtr0_m @[lsu_bus_buffer.scala 614:49]
WrPtr0_r <= _T_4981 @[lsu_bus_buffer.scala 614:12]
reg _T_4982 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 615:49]
_T_4982 <= WrPtr1_m @[lsu_bus_buffer.scala 615:49]
WrPtr1_r <= _T_4982 @[lsu_bus_buffer.scala 615:12]
node _T_4983 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 616:75]
node _T_4984 = and(io.lsu_busreq_m, _T_4983) @[lsu_bus_buffer.scala 616:73]
node _T_4985 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 616:89]
node _T_4986 = and(_T_4984, _T_4985) @[lsu_bus_buffer.scala 616:87]
reg _T_4987 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 616:56]
_T_4987 <= _T_4986 @[lsu_bus_buffer.scala 616:56]
io.lsu_busreq_r <= _T_4987 @[lsu_bus_buffer.scala 616:19]
reg _T_4988 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 617:66]
_T_4988 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 617:66]
lsu_nonblock_load_valid_r <= _T_4988 @[lsu_bus_buffer.scala 617:29]
module lsu_bus_intf :
input clock : Clock
input reset : AsyncReset
output io : {flip scan_mode : UInt<1>, tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, flip lsu_c1_m_clk : Clock, flip lsu_c1_r_clk : Clock, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip free_clk : Clock, flip lsu_busm_clk : Clock, axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_busreq_m : UInt<1>, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_addr_d : UInt<32>, flip lsu_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_d : UInt<32>, flip end_addr_m : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip dec_tlu_force_halt : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, bus_read_data_m : UInt<32>, dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}, flip lsu_bus_clk_en : UInt<1>}
wire lsu_bus_clk_en_q : UInt<1>
lsu_bus_clk_en_q <= UInt<1>("h00")
wire ldst_dual_d : UInt<1>
ldst_dual_d <= UInt<1>("h00")
wire ldst_dual_m : UInt<1>
ldst_dual_m <= UInt<1>("h00")
wire ldst_dual_r : UInt<1>
ldst_dual_r <= UInt<1>("h00")
wire ldst_byteen_m : UInt<4>
ldst_byteen_m <= UInt<1>("h00")
wire ldst_byteen_r : UInt<4>
ldst_byteen_r <= UInt<1>("h00")
wire ldst_byteen_ext_m : UInt<8>
ldst_byteen_ext_m <= UInt<1>("h00")
wire ldst_byteen_ext_r : UInt<8>
ldst_byteen_ext_r <= UInt<1>("h00")
wire ldst_byteen_hi_m : UInt<4>
ldst_byteen_hi_m <= UInt<1>("h00")
wire ldst_byteen_hi_r : UInt<4>
ldst_byteen_hi_r <= UInt<1>("h00")
wire ldst_byteen_lo_m : UInt<4>
ldst_byteen_lo_m <= UInt<1>("h00")
wire ldst_byteen_lo_r : UInt<4>
ldst_byteen_lo_r <= UInt<1>("h00")
wire is_sideeffects_r : UInt<1>
is_sideeffects_r <= UInt<1>("h00")
wire store_data_ext_r : UInt<64>
store_data_ext_r <= UInt<1>("h00")
wire store_data_hi_r : UInt<32>
store_data_hi_r <= UInt<1>("h00")
wire store_data_lo_r : UInt<32>
store_data_lo_r <= UInt<1>("h00")
wire addr_match_dw_lo_r_m : UInt<1>
addr_match_dw_lo_r_m <= UInt<1>("h00")
wire addr_match_word_lo_r_m : UInt<1>
addr_match_word_lo_r_m <= UInt<1>("h00")
wire no_word_merge_r : UInt<1>
no_word_merge_r <= UInt<1>("h00")
wire no_dword_merge_r : UInt<1>
no_dword_merge_r <= UInt<1>("h00")
wire ld_addr_rhit_lo_lo : UInt<1>
ld_addr_rhit_lo_lo <= UInt<1>("h00")
wire ld_addr_rhit_hi_lo : UInt<1>
ld_addr_rhit_hi_lo <= UInt<1>("h00")
wire ld_addr_rhit_lo_hi : UInt<1>
ld_addr_rhit_lo_hi <= UInt<1>("h00")
wire ld_addr_rhit_hi_hi : UInt<1>
ld_addr_rhit_hi_hi <= UInt<1>("h00")
wire ld_byte_rhit_lo_lo : UInt<4>
ld_byte_rhit_lo_lo <= UInt<1>("h00")
wire ld_byte_rhit_hi_lo : UInt<4>
ld_byte_rhit_hi_lo <= UInt<1>("h00")
wire ld_byte_rhit_lo_hi : UInt<4>
ld_byte_rhit_lo_hi <= UInt<1>("h00")
wire ld_byte_rhit_hi_hi : UInt<4>
ld_byte_rhit_hi_hi <= UInt<1>("h00")
wire ld_byte_hit_lo : UInt<4>
ld_byte_hit_lo <= UInt<1>("h00")
wire ld_byte_rhit_lo : UInt<4>
ld_byte_rhit_lo <= UInt<1>("h00")
wire ld_byte_hit_hi : UInt<4>
ld_byte_hit_hi <= UInt<1>("h00")
wire ld_byte_rhit_hi : UInt<4>
ld_byte_rhit_hi <= UInt<1>("h00")
wire ld_fwddata_rpipe_lo : UInt<32>
ld_fwddata_rpipe_lo <= UInt<1>("h00")
wire ld_fwddata_rpipe_hi : UInt<32>
ld_fwddata_rpipe_hi <= UInt<1>("h00")
wire ld_byte_hit_buf_lo : UInt<4>
ld_byte_hit_buf_lo <= UInt<1>("h00")
wire ld_byte_hit_buf_hi : UInt<4>
ld_byte_hit_buf_hi <= UInt<1>("h00")
wire ld_fwddata_buf_lo : UInt<32>
ld_fwddata_buf_lo <= UInt<1>("h00")
wire ld_fwddata_buf_hi : UInt<32>
ld_fwddata_buf_hi <= UInt<1>("h00")
wire ld_fwddata_lo : UInt<64>
ld_fwddata_lo <= UInt<1>("h00")
wire ld_fwddata_hi : UInt<64>
ld_fwddata_hi <= UInt<1>("h00")
wire ld_fwddata_m : UInt<64>
ld_fwddata_m <= UInt<1>("h00")
wire ld_full_hit_hi_m : UInt<1>
ld_full_hit_hi_m <= UInt<1>("h01")
wire ld_full_hit_lo_m : UInt<1>
ld_full_hit_lo_m <= UInt<1>("h01")
wire ld_full_hit_m : UInt<1>
ld_full_hit_m <= UInt<1>("h00")
inst bus_buffer of lsu_bus_buffer @[lsu_bus_intf.scala 102:39]
bus_buffer.clock <= clock
bus_buffer.reset <= reset
bus_buffer.io.scan_mode <= io.scan_mode @[lsu_bus_intf.scala 104:29]
io.tlu_busbuff.lsu_imprecise_error_addr_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_addr_any @[lsu_bus_intf.scala 105:18]
io.tlu_busbuff.lsu_imprecise_error_store_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_store_any @[lsu_bus_intf.scala 105:18]
io.tlu_busbuff.lsu_imprecise_error_load_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_load_any @[lsu_bus_intf.scala 105:18]
bus_buffer.io.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= io.tlu_busbuff.dec_tlu_sideeffect_posted_disable @[lsu_bus_intf.scala 105:18]
bus_buffer.io.tlu_busbuff.dec_tlu_wb_coalescing_disable <= io.tlu_busbuff.dec_tlu_wb_coalescing_disable @[lsu_bus_intf.scala 105:18]
bus_buffer.io.tlu_busbuff.dec_tlu_external_ldfwd_disable <= io.tlu_busbuff.dec_tlu_external_ldfwd_disable @[lsu_bus_intf.scala 105:18]
io.tlu_busbuff.lsu_pmu_bus_busy <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_busy @[lsu_bus_intf.scala 105:18]
io.tlu_busbuff.lsu_pmu_bus_error <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_error @[lsu_bus_intf.scala 105:18]
io.tlu_busbuff.lsu_pmu_bus_misaligned <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_misaligned @[lsu_bus_intf.scala 105:18]
io.tlu_busbuff.lsu_pmu_bus_trxn <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_trxn @[lsu_bus_intf.scala 105:18]
bus_buffer.io.dec_tlu_force_halt <= io.dec_tlu_force_halt @[lsu_bus_intf.scala 107:51]
bus_buffer.io.lsu_c2_r_clk <= io.lsu_c2_r_clk @[lsu_bus_intf.scala 108:51]
bus_buffer.io.lsu_bus_ibuf_c1_clk <= io.lsu_bus_ibuf_c1_clk @[lsu_bus_intf.scala 109:51]
bus_buffer.io.lsu_bus_obuf_c1_clk <= io.lsu_bus_obuf_c1_clk @[lsu_bus_intf.scala 110:51]
bus_buffer.io.lsu_bus_buf_c1_clk <= io.lsu_bus_buf_c1_clk @[lsu_bus_intf.scala 111:51]
bus_buffer.io.lsu_free_c2_clk <= io.lsu_free_c2_clk @[lsu_bus_intf.scala 112:51]
bus_buffer.io.lsu_busm_clk <= io.lsu_busm_clk @[lsu_bus_intf.scala 113:51]
bus_buffer.io.dec_lsu_valid_raw_d <= io.dec_lsu_valid_raw_d @[lsu_bus_intf.scala 114:51]
bus_buffer.io.lsu_pkt_m.bits.store_data_bypass_m <= io.lsu_pkt_m.bits.store_data_bypass_m @[lsu_bus_intf.scala 117:27]
bus_buffer.io.lsu_pkt_m.bits.load_ldst_bypass_d <= io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu_bus_intf.scala 117:27]
bus_buffer.io.lsu_pkt_m.bits.store_data_bypass_d <= io.lsu_pkt_m.bits.store_data_bypass_d @[lsu_bus_intf.scala 117:27]
bus_buffer.io.lsu_pkt_m.bits.dma <= io.lsu_pkt_m.bits.dma @[lsu_bus_intf.scala 117:27]
bus_buffer.io.lsu_pkt_m.bits.unsign <= io.lsu_pkt_m.bits.unsign @[lsu_bus_intf.scala 117:27]
bus_buffer.io.lsu_pkt_m.bits.store <= io.lsu_pkt_m.bits.store @[lsu_bus_intf.scala 117:27]
bus_buffer.io.lsu_pkt_m.bits.load <= io.lsu_pkt_m.bits.load @[lsu_bus_intf.scala 117:27]
bus_buffer.io.lsu_pkt_m.bits.dword <= io.lsu_pkt_m.bits.dword @[lsu_bus_intf.scala 117:27]
bus_buffer.io.lsu_pkt_m.bits.word <= io.lsu_pkt_m.bits.word @[lsu_bus_intf.scala 117:27]
bus_buffer.io.lsu_pkt_m.bits.half <= io.lsu_pkt_m.bits.half @[lsu_bus_intf.scala 117:27]
bus_buffer.io.lsu_pkt_m.bits.by <= io.lsu_pkt_m.bits.by @[lsu_bus_intf.scala 117:27]
bus_buffer.io.lsu_pkt_m.bits.fast_int <= io.lsu_pkt_m.bits.fast_int @[lsu_bus_intf.scala 117:27]
bus_buffer.io.lsu_pkt_m.valid <= io.lsu_pkt_m.valid @[lsu_bus_intf.scala 117:27]
bus_buffer.io.lsu_pkt_r.bits.store_data_bypass_m <= io.lsu_pkt_r.bits.store_data_bypass_m @[lsu_bus_intf.scala 118:27]
bus_buffer.io.lsu_pkt_r.bits.load_ldst_bypass_d <= io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu_bus_intf.scala 118:27]
bus_buffer.io.lsu_pkt_r.bits.store_data_bypass_d <= io.lsu_pkt_r.bits.store_data_bypass_d @[lsu_bus_intf.scala 118:27]
bus_buffer.io.lsu_pkt_r.bits.dma <= io.lsu_pkt_r.bits.dma @[lsu_bus_intf.scala 118:27]
bus_buffer.io.lsu_pkt_r.bits.unsign <= io.lsu_pkt_r.bits.unsign @[lsu_bus_intf.scala 118:27]
bus_buffer.io.lsu_pkt_r.bits.store <= io.lsu_pkt_r.bits.store @[lsu_bus_intf.scala 118:27]
bus_buffer.io.lsu_pkt_r.bits.load <= io.lsu_pkt_r.bits.load @[lsu_bus_intf.scala 118:27]
bus_buffer.io.lsu_pkt_r.bits.dword <= io.lsu_pkt_r.bits.dword @[lsu_bus_intf.scala 118:27]
bus_buffer.io.lsu_pkt_r.bits.word <= io.lsu_pkt_r.bits.word @[lsu_bus_intf.scala 118:27]
bus_buffer.io.lsu_pkt_r.bits.half <= io.lsu_pkt_r.bits.half @[lsu_bus_intf.scala 118:27]
bus_buffer.io.lsu_pkt_r.bits.by <= io.lsu_pkt_r.bits.by @[lsu_bus_intf.scala 118:27]
bus_buffer.io.lsu_pkt_r.bits.fast_int <= io.lsu_pkt_r.bits.fast_int @[lsu_bus_intf.scala 118:27]
bus_buffer.io.lsu_pkt_r.valid <= io.lsu_pkt_r.valid @[lsu_bus_intf.scala 118:27]
bus_buffer.io.lsu_addr_m <= io.lsu_addr_m @[lsu_bus_intf.scala 121:51]
bus_buffer.io.end_addr_m <= io.end_addr_m @[lsu_bus_intf.scala 122:51]
bus_buffer.io.lsu_addr_r <= io.lsu_addr_r @[lsu_bus_intf.scala 123:51]
bus_buffer.io.end_addr_r <= io.end_addr_r @[lsu_bus_intf.scala 124:51]
bus_buffer.io.store_data_r <= io.store_data_r @[lsu_bus_intf.scala 125:51]
bus_buffer.io.lsu_busreq_m <= io.lsu_busreq_m @[lsu_bus_intf.scala 127:51]
bus_buffer.io.flush_m_up <= io.flush_m_up @[lsu_bus_intf.scala 128:51]
bus_buffer.io.flush_r <= io.flush_r @[lsu_bus_intf.scala 129:51]
bus_buffer.io.lsu_commit_r <= io.lsu_commit_r @[lsu_bus_intf.scala 130:51]
bus_buffer.io.lsu_axi.r.bits.last <= io.axi.r.bits.last @[lsu_bus_intf.scala 131:43]
bus_buffer.io.lsu_axi.r.bits.resp <= io.axi.r.bits.resp @[lsu_bus_intf.scala 131:43]
bus_buffer.io.lsu_axi.r.bits.data <= io.axi.r.bits.data @[lsu_bus_intf.scala 131:43]
bus_buffer.io.lsu_axi.r.bits.id <= io.axi.r.bits.id @[lsu_bus_intf.scala 131:43]
bus_buffer.io.lsu_axi.r.valid <= io.axi.r.valid @[lsu_bus_intf.scala 131:43]
io.axi.r.ready <= bus_buffer.io.lsu_axi.r.ready @[lsu_bus_intf.scala 131:43]
io.axi.ar.bits.qos <= bus_buffer.io.lsu_axi.ar.bits.qos @[lsu_bus_intf.scala 131:43]
io.axi.ar.bits.prot <= bus_buffer.io.lsu_axi.ar.bits.prot @[lsu_bus_intf.scala 131:43]
io.axi.ar.bits.cache <= bus_buffer.io.lsu_axi.ar.bits.cache @[lsu_bus_intf.scala 131:43]
io.axi.ar.bits.lock <= bus_buffer.io.lsu_axi.ar.bits.lock @[lsu_bus_intf.scala 131:43]
io.axi.ar.bits.burst <= bus_buffer.io.lsu_axi.ar.bits.burst @[lsu_bus_intf.scala 131:43]
io.axi.ar.bits.size <= bus_buffer.io.lsu_axi.ar.bits.size @[lsu_bus_intf.scala 131:43]
io.axi.ar.bits.len <= bus_buffer.io.lsu_axi.ar.bits.len @[lsu_bus_intf.scala 131:43]
io.axi.ar.bits.region <= bus_buffer.io.lsu_axi.ar.bits.region @[lsu_bus_intf.scala 131:43]
io.axi.ar.bits.addr <= bus_buffer.io.lsu_axi.ar.bits.addr @[lsu_bus_intf.scala 131:43]
io.axi.ar.bits.id <= bus_buffer.io.lsu_axi.ar.bits.id @[lsu_bus_intf.scala 131:43]
io.axi.ar.valid <= bus_buffer.io.lsu_axi.ar.valid @[lsu_bus_intf.scala 131:43]
bus_buffer.io.lsu_axi.ar.ready <= io.axi.ar.ready @[lsu_bus_intf.scala 131:43]
bus_buffer.io.lsu_axi.b.bits.id <= io.axi.b.bits.id @[lsu_bus_intf.scala 131:43]
bus_buffer.io.lsu_axi.b.bits.resp <= io.axi.b.bits.resp @[lsu_bus_intf.scala 131:43]
bus_buffer.io.lsu_axi.b.valid <= io.axi.b.valid @[lsu_bus_intf.scala 131:43]
io.axi.b.ready <= bus_buffer.io.lsu_axi.b.ready @[lsu_bus_intf.scala 131:43]
io.axi.w.bits.last <= bus_buffer.io.lsu_axi.w.bits.last @[lsu_bus_intf.scala 131:43]
io.axi.w.bits.strb <= bus_buffer.io.lsu_axi.w.bits.strb @[lsu_bus_intf.scala 131:43]
io.axi.w.bits.data <= bus_buffer.io.lsu_axi.w.bits.data @[lsu_bus_intf.scala 131:43]
io.axi.w.valid <= bus_buffer.io.lsu_axi.w.valid @[lsu_bus_intf.scala 131:43]
bus_buffer.io.lsu_axi.w.ready <= io.axi.w.ready @[lsu_bus_intf.scala 131:43]
io.axi.aw.bits.qos <= bus_buffer.io.lsu_axi.aw.bits.qos @[lsu_bus_intf.scala 131:43]
io.axi.aw.bits.prot <= bus_buffer.io.lsu_axi.aw.bits.prot @[lsu_bus_intf.scala 131:43]
io.axi.aw.bits.cache <= bus_buffer.io.lsu_axi.aw.bits.cache @[lsu_bus_intf.scala 131:43]
io.axi.aw.bits.lock <= bus_buffer.io.lsu_axi.aw.bits.lock @[lsu_bus_intf.scala 131:43]
io.axi.aw.bits.burst <= bus_buffer.io.lsu_axi.aw.bits.burst @[lsu_bus_intf.scala 131:43]
io.axi.aw.bits.size <= bus_buffer.io.lsu_axi.aw.bits.size @[lsu_bus_intf.scala 131:43]
io.axi.aw.bits.len <= bus_buffer.io.lsu_axi.aw.bits.len @[lsu_bus_intf.scala 131:43]
io.axi.aw.bits.region <= bus_buffer.io.lsu_axi.aw.bits.region @[lsu_bus_intf.scala 131:43]
io.axi.aw.bits.addr <= bus_buffer.io.lsu_axi.aw.bits.addr @[lsu_bus_intf.scala 131:43]
io.axi.aw.bits.id <= bus_buffer.io.lsu_axi.aw.bits.id @[lsu_bus_intf.scala 131:43]
io.axi.aw.valid <= bus_buffer.io.lsu_axi.aw.valid @[lsu_bus_intf.scala 131:43]
bus_buffer.io.lsu_axi.aw.ready <= io.axi.aw.ready @[lsu_bus_intf.scala 131:43]
bus_buffer.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[lsu_bus_intf.scala 132:51]
io.lsu_busreq_r <= bus_buffer.io.lsu_busreq_r @[lsu_bus_intf.scala 134:38]
io.lsu_bus_buffer_pend_any <= bus_buffer.io.lsu_bus_buffer_pend_any @[lsu_bus_intf.scala 135:38]
io.lsu_bus_buffer_full_any <= bus_buffer.io.lsu_bus_buffer_full_any @[lsu_bus_intf.scala 136:38]
io.lsu_bus_buffer_empty_any <= bus_buffer.io.lsu_bus_buffer_empty_any @[lsu_bus_intf.scala 137:38]
io.lsu_bus_idle_any <= bus_buffer.io.lsu_bus_idle_any @[lsu_bus_intf.scala 138:38]
ld_byte_hit_buf_lo <= bus_buffer.io.ld_byte_hit_buf_lo @[lsu_bus_intf.scala 139:38]
ld_byte_hit_buf_hi <= bus_buffer.io.ld_byte_hit_buf_hi @[lsu_bus_intf.scala 140:38]
ld_fwddata_buf_lo <= bus_buffer.io.ld_fwddata_buf_lo @[lsu_bus_intf.scala 141:38]
ld_fwddata_buf_hi <= bus_buffer.io.ld_fwddata_buf_hi @[lsu_bus_intf.scala 142:38]
io.dctl_busbuff.lsu_nonblock_load_data <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data @[lsu_bus_intf.scala 143:19]
io.dctl_busbuff.lsu_nonblock_load_data_tag <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_tag @[lsu_bus_intf.scala 143:19]
io.dctl_busbuff.lsu_nonblock_load_data_error <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_error @[lsu_bus_intf.scala 143:19]
io.dctl_busbuff.lsu_nonblock_load_data_valid <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_valid @[lsu_bus_intf.scala 143:19]
io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_inv_tag_r @[lsu_bus_intf.scala 143:19]
io.dctl_busbuff.lsu_nonblock_load_inv_r <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_inv_r @[lsu_bus_intf.scala 143:19]
io.dctl_busbuff.lsu_nonblock_load_tag_m <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_tag_m @[lsu_bus_intf.scala 143:19]
io.dctl_busbuff.lsu_nonblock_load_valid_m <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_intf.scala 143:19]
bus_buffer.io.no_word_merge_r <= no_word_merge_r @[lsu_bus_intf.scala 144:51]
bus_buffer.io.no_dword_merge_r <= no_dword_merge_r @[lsu_bus_intf.scala 145:51]
bus_buffer.io.is_sideeffects_r <= is_sideeffects_r @[lsu_bus_intf.scala 146:51]
bus_buffer.io.ldst_dual_d <= ldst_dual_d @[lsu_bus_intf.scala 147:51]
bus_buffer.io.ldst_dual_m <= ldst_dual_m @[lsu_bus_intf.scala 148:51]
bus_buffer.io.ldst_dual_r <= ldst_dual_r @[lsu_bus_intf.scala 149:51]
bus_buffer.io.ldst_byteen_ext_m <= ldst_byteen_ext_m @[lsu_bus_intf.scala 150:51]
bus_buffer.io.ld_full_hit_m <= ld_full_hit_m @[lsu_bus_intf.scala 151:51]
bus_buffer.io.lsu_bus_clk_en_q <= lsu_bus_clk_en_q @[lsu_bus_intf.scala 152:51]
node _T = bits(io.lsu_pkt_m.bits.word, 0, 0) @[lsu_bus_intf.scala 154:63]
node _T_1 = bits(io.lsu_pkt_m.bits.half, 0, 0) @[lsu_bus_intf.scala 154:107]
node _T_2 = bits(io.lsu_pkt_m.bits.by, 0, 0) @[lsu_bus_intf.scala 154:148]
node _T_3 = mux(_T, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_4 = mux(_T_1, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_5 = mux(_T_2, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72]
node _T_6 = or(_T_3, _T_4) @[Mux.scala 27:72]
node _T_7 = or(_T_6, _T_5) @[Mux.scala 27:72]
wire _T_8 : UInt<4> @[Mux.scala 27:72]
_T_8 <= _T_7 @[Mux.scala 27:72]
ldst_byteen_m <= _T_8 @[lsu_bus_intf.scala 154:27]
node _T_9 = bits(io.lsu_addr_d, 2, 2) @[lsu_bus_intf.scala 155:43]
node _T_10 = bits(io.end_addr_d, 2, 2) @[lsu_bus_intf.scala 155:64]
node _T_11 = neq(_T_9, _T_10) @[lsu_bus_intf.scala 155:47]
ldst_dual_d <= _T_11 @[lsu_bus_intf.scala 155:27]
node _T_12 = bits(io.lsu_addr_r, 31, 3) @[lsu_bus_intf.scala 156:44]
node _T_13 = bits(io.lsu_addr_m, 31, 3) @[lsu_bus_intf.scala 156:68]
node _T_14 = eq(_T_12, _T_13) @[lsu_bus_intf.scala 156:51]
addr_match_dw_lo_r_m <= _T_14 @[lsu_bus_intf.scala 156:27]
node _T_15 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_intf.scala 157:68]
node _T_16 = bits(io.lsu_addr_m, 2, 2) @[lsu_bus_intf.scala 157:85]
node _T_17 = xor(_T_15, _T_16) @[lsu_bus_intf.scala 157:71]
node _T_18 = eq(_T_17, UInt<1>("h00")) @[lsu_bus_intf.scala 157:53]
node _T_19 = and(addr_match_dw_lo_r_m, _T_18) @[lsu_bus_intf.scala 157:51]
addr_match_word_lo_r_m <= _T_19 @[lsu_bus_intf.scala 157:27]
node _T_20 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_bus_intf.scala 158:48]
node _T_21 = and(io.lsu_busreq_r, _T_20) @[lsu_bus_intf.scala 158:46]
node _T_22 = and(_T_21, io.lsu_busreq_m) @[lsu_bus_intf.scala 158:61]
node _T_23 = eq(addr_match_word_lo_r_m, UInt<1>("h00")) @[lsu_bus_intf.scala 158:107]
node _T_24 = or(io.lsu_pkt_m.bits.load, _T_23) @[lsu_bus_intf.scala 158:105]
node _T_25 = and(_T_22, _T_24) @[lsu_bus_intf.scala 158:79]
no_word_merge_r <= _T_25 @[lsu_bus_intf.scala 158:27]
node _T_26 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_bus_intf.scala 159:48]
node _T_27 = and(io.lsu_busreq_r, _T_26) @[lsu_bus_intf.scala 159:46]
node _T_28 = and(_T_27, io.lsu_busreq_m) @[lsu_bus_intf.scala 159:61]
node _T_29 = eq(addr_match_dw_lo_r_m, UInt<1>("h00")) @[lsu_bus_intf.scala 159:107]
node _T_30 = or(io.lsu_pkt_m.bits.load, _T_29) @[lsu_bus_intf.scala 159:105]
node _T_31 = and(_T_28, _T_30) @[lsu_bus_intf.scala 159:79]
no_dword_merge_r <= _T_31 @[lsu_bus_intf.scala 159:27]
node _T_32 = bits(ldst_byteen_m, 3, 0) @[lsu_bus_intf.scala 161:43]
node _T_33 = bits(io.lsu_addr_m, 1, 0) @[lsu_bus_intf.scala 161:65]
node _T_34 = dshl(_T_32, _T_33) @[lsu_bus_intf.scala 161:49]
ldst_byteen_ext_m <= _T_34 @[lsu_bus_intf.scala 161:27]
node _T_35 = bits(ldst_byteen_r, 3, 0) @[lsu_bus_intf.scala 162:43]
node _T_36 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_intf.scala 162:65]
node _T_37 = dshl(_T_35, _T_36) @[lsu_bus_intf.scala 162:49]
ldst_byteen_ext_r <= _T_37 @[lsu_bus_intf.scala 162:27]
node _T_38 = bits(io.store_data_r, 31, 0) @[lsu_bus_intf.scala 163:45]
node _T_39 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_intf.scala 163:72]
node _T_40 = cat(_T_39, UInt<3>("h00")) @[Cat.scala 29:58]
node _T_41 = dshl(_T_38, _T_40) @[lsu_bus_intf.scala 163:52]
store_data_ext_r <= _T_41 @[lsu_bus_intf.scala 163:27]
node _T_42 = bits(ldst_byteen_ext_m, 7, 4) @[lsu_bus_intf.scala 164:47]
ldst_byteen_hi_m <= _T_42 @[lsu_bus_intf.scala 164:27]
node _T_43 = bits(ldst_byteen_ext_m, 3, 0) @[lsu_bus_intf.scala 165:47]
ldst_byteen_lo_m <= _T_43 @[lsu_bus_intf.scala 165:27]
node _T_44 = bits(ldst_byteen_ext_r, 7, 4) @[lsu_bus_intf.scala 166:47]
ldst_byteen_hi_r <= _T_44 @[lsu_bus_intf.scala 166:27]
node _T_45 = bits(ldst_byteen_ext_r, 3, 0) @[lsu_bus_intf.scala 167:47]
ldst_byteen_lo_r <= _T_45 @[lsu_bus_intf.scala 167:27]
node _T_46 = bits(store_data_ext_r, 63, 32) @[lsu_bus_intf.scala 169:46]
store_data_hi_r <= _T_46 @[lsu_bus_intf.scala 169:27]
node _T_47 = bits(store_data_ext_r, 31, 0) @[lsu_bus_intf.scala 170:46]
store_data_lo_r <= _T_47 @[lsu_bus_intf.scala 170:27]
node _T_48 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_intf.scala 171:44]
node _T_49 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_intf.scala 171:68]
node _T_50 = eq(_T_48, _T_49) @[lsu_bus_intf.scala 171:51]
node _T_51 = and(_T_50, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 171:76]
node _T_52 = and(_T_51, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 171:97]
node _T_53 = and(_T_52, io.lsu_busreq_m) @[lsu_bus_intf.scala 171:123]
ld_addr_rhit_lo_lo <= _T_53 @[lsu_bus_intf.scala 171:27]
node _T_54 = bits(io.end_addr_m, 31, 2) @[lsu_bus_intf.scala 172:44]
node _T_55 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_intf.scala 172:68]
node _T_56 = eq(_T_54, _T_55) @[lsu_bus_intf.scala 172:51]
node _T_57 = and(_T_56, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 172:76]
node _T_58 = and(_T_57, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 172:97]
node _T_59 = and(_T_58, io.lsu_busreq_m) @[lsu_bus_intf.scala 172:123]
ld_addr_rhit_lo_hi <= _T_59 @[lsu_bus_intf.scala 172:27]
node _T_60 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_intf.scala 173:44]
node _T_61 = bits(io.end_addr_r, 31, 2) @[lsu_bus_intf.scala 173:68]
node _T_62 = eq(_T_60, _T_61) @[lsu_bus_intf.scala 173:51]
node _T_63 = and(_T_62, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 173:76]
node _T_64 = and(_T_63, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 173:97]
node _T_65 = and(_T_64, io.lsu_busreq_m) @[lsu_bus_intf.scala 173:123]
ld_addr_rhit_hi_lo <= _T_65 @[lsu_bus_intf.scala 173:27]
node _T_66 = bits(io.end_addr_m, 31, 2) @[lsu_bus_intf.scala 174:44]
node _T_67 = bits(io.end_addr_r, 31, 2) @[lsu_bus_intf.scala 174:68]
node _T_68 = eq(_T_66, _T_67) @[lsu_bus_intf.scala 174:51]
node _T_69 = and(_T_68, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 174:76]
node _T_70 = and(_T_69, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 174:97]
node _T_71 = and(_T_70, io.lsu_busreq_m) @[lsu_bus_intf.scala 174:123]
ld_addr_rhit_hi_hi <= _T_71 @[lsu_bus_intf.scala 174:27]
node _T_72 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_intf.scala 176:88]
node _T_73 = and(ld_addr_rhit_lo_lo, _T_72) @[lsu_bus_intf.scala 176:70]
node _T_74 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 176:110]
node _T_75 = and(_T_73, _T_74) @[lsu_bus_intf.scala 176:92]
node _T_76 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_intf.scala 176:88]
node _T_77 = and(ld_addr_rhit_lo_lo, _T_76) @[lsu_bus_intf.scala 176:70]
node _T_78 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 176:110]
node _T_79 = and(_T_77, _T_78) @[lsu_bus_intf.scala 176:92]
node _T_80 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_intf.scala 176:88]
node _T_81 = and(ld_addr_rhit_lo_lo, _T_80) @[lsu_bus_intf.scala 176:70]
node _T_82 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 176:110]
node _T_83 = and(_T_81, _T_82) @[lsu_bus_intf.scala 176:92]
node _T_84 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_intf.scala 176:88]
node _T_85 = and(ld_addr_rhit_lo_lo, _T_84) @[lsu_bus_intf.scala 176:70]
node _T_86 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 176:110]
node _T_87 = and(_T_85, _T_86) @[lsu_bus_intf.scala 176:92]
node _T_88 = cat(_T_87, _T_83) @[Cat.scala 29:58]
node _T_89 = cat(_T_88, _T_79) @[Cat.scala 29:58]
node _T_90 = cat(_T_89, _T_75) @[Cat.scala 29:58]
ld_byte_rhit_lo_lo <= _T_90 @[lsu_bus_intf.scala 176:27]
node _T_91 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_intf.scala 177:88]
node _T_92 = and(ld_addr_rhit_lo_hi, _T_91) @[lsu_bus_intf.scala 177:70]
node _T_93 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 177:110]
node _T_94 = and(_T_92, _T_93) @[lsu_bus_intf.scala 177:92]
node _T_95 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_intf.scala 177:88]
node _T_96 = and(ld_addr_rhit_lo_hi, _T_95) @[lsu_bus_intf.scala 177:70]
node _T_97 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 177:110]
node _T_98 = and(_T_96, _T_97) @[lsu_bus_intf.scala 177:92]
node _T_99 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_intf.scala 177:88]
node _T_100 = and(ld_addr_rhit_lo_hi, _T_99) @[lsu_bus_intf.scala 177:70]
node _T_101 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 177:110]
node _T_102 = and(_T_100, _T_101) @[lsu_bus_intf.scala 177:92]
node _T_103 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_intf.scala 177:88]
node _T_104 = and(ld_addr_rhit_lo_hi, _T_103) @[lsu_bus_intf.scala 177:70]
node _T_105 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 177:110]
node _T_106 = and(_T_104, _T_105) @[lsu_bus_intf.scala 177:92]
node _T_107 = cat(_T_106, _T_102) @[Cat.scala 29:58]
node _T_108 = cat(_T_107, _T_98) @[Cat.scala 29:58]
node _T_109 = cat(_T_108, _T_94) @[Cat.scala 29:58]
ld_byte_rhit_lo_hi <= _T_109 @[lsu_bus_intf.scala 177:27]
node _T_110 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_bus_intf.scala 178:88]
node _T_111 = and(ld_addr_rhit_hi_lo, _T_110) @[lsu_bus_intf.scala 178:70]
node _T_112 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 178:110]
node _T_113 = and(_T_111, _T_112) @[lsu_bus_intf.scala 178:92]
node _T_114 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_bus_intf.scala 178:88]
node _T_115 = and(ld_addr_rhit_hi_lo, _T_114) @[lsu_bus_intf.scala 178:70]
node _T_116 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 178:110]
node _T_117 = and(_T_115, _T_116) @[lsu_bus_intf.scala 178:92]
node _T_118 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_bus_intf.scala 178:88]
node _T_119 = and(ld_addr_rhit_hi_lo, _T_118) @[lsu_bus_intf.scala 178:70]
node _T_120 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 178:110]
node _T_121 = and(_T_119, _T_120) @[lsu_bus_intf.scala 178:92]
node _T_122 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_bus_intf.scala 178:88]
node _T_123 = and(ld_addr_rhit_hi_lo, _T_122) @[lsu_bus_intf.scala 178:70]
node _T_124 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 178:110]
node _T_125 = and(_T_123, _T_124) @[lsu_bus_intf.scala 178:92]
node _T_126 = cat(_T_125, _T_121) @[Cat.scala 29:58]
node _T_127 = cat(_T_126, _T_117) @[Cat.scala 29:58]
node _T_128 = cat(_T_127, _T_113) @[Cat.scala 29:58]
ld_byte_rhit_hi_lo <= _T_128 @[lsu_bus_intf.scala 178:27]
node _T_129 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_bus_intf.scala 179:88]
node _T_130 = and(ld_addr_rhit_hi_hi, _T_129) @[lsu_bus_intf.scala 179:70]
node _T_131 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 179:110]
node _T_132 = and(_T_130, _T_131) @[lsu_bus_intf.scala 179:92]
node _T_133 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_bus_intf.scala 179:88]
node _T_134 = and(ld_addr_rhit_hi_hi, _T_133) @[lsu_bus_intf.scala 179:70]
node _T_135 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 179:110]
node _T_136 = and(_T_134, _T_135) @[lsu_bus_intf.scala 179:92]
node _T_137 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_bus_intf.scala 179:88]
node _T_138 = and(ld_addr_rhit_hi_hi, _T_137) @[lsu_bus_intf.scala 179:70]
node _T_139 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 179:110]
node _T_140 = and(_T_138, _T_139) @[lsu_bus_intf.scala 179:92]
node _T_141 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_bus_intf.scala 179:88]
node _T_142 = and(ld_addr_rhit_hi_hi, _T_141) @[lsu_bus_intf.scala 179:70]
node _T_143 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 179:110]
node _T_144 = and(_T_142, _T_143) @[lsu_bus_intf.scala 179:92]
node _T_145 = cat(_T_144, _T_140) @[Cat.scala 29:58]
node _T_146 = cat(_T_145, _T_136) @[Cat.scala 29:58]
node _T_147 = cat(_T_146, _T_132) @[Cat.scala 29:58]
ld_byte_rhit_hi_hi <= _T_147 @[lsu_bus_intf.scala 179:27]
node _T_148 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 181:69]
node _T_149 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 181:93]
node _T_150 = or(_T_148, _T_149) @[lsu_bus_intf.scala 181:73]
node _T_151 = bits(ld_byte_hit_buf_lo, 0, 0) @[lsu_bus_intf.scala 181:117]
node _T_152 = or(_T_150, _T_151) @[lsu_bus_intf.scala 181:97]
node _T_153 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 181:69]
node _T_154 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 181:93]
node _T_155 = or(_T_153, _T_154) @[lsu_bus_intf.scala 181:73]
node _T_156 = bits(ld_byte_hit_buf_lo, 1, 1) @[lsu_bus_intf.scala 181:117]
node _T_157 = or(_T_155, _T_156) @[lsu_bus_intf.scala 181:97]
node _T_158 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 181:69]
node _T_159 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 181:93]
node _T_160 = or(_T_158, _T_159) @[lsu_bus_intf.scala 181:73]
node _T_161 = bits(ld_byte_hit_buf_lo, 2, 2) @[lsu_bus_intf.scala 181:117]
node _T_162 = or(_T_160, _T_161) @[lsu_bus_intf.scala 181:97]
node _T_163 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 181:69]
node _T_164 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 181:93]
node _T_165 = or(_T_163, _T_164) @[lsu_bus_intf.scala 181:73]
node _T_166 = bits(ld_byte_hit_buf_lo, 3, 3) @[lsu_bus_intf.scala 181:117]
node _T_167 = or(_T_165, _T_166) @[lsu_bus_intf.scala 181:97]
node _T_168 = cat(_T_167, _T_162) @[Cat.scala 29:58]
node _T_169 = cat(_T_168, _T_157) @[Cat.scala 29:58]
node _T_170 = cat(_T_169, _T_152) @[Cat.scala 29:58]
ld_byte_hit_lo <= _T_170 @[lsu_bus_intf.scala 181:27]
node _T_171 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 182:69]
node _T_172 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 182:93]
node _T_173 = or(_T_171, _T_172) @[lsu_bus_intf.scala 182:73]
node _T_174 = bits(ld_byte_hit_buf_hi, 0, 0) @[lsu_bus_intf.scala 182:117]
node _T_175 = or(_T_173, _T_174) @[lsu_bus_intf.scala 182:97]
node _T_176 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 182:69]
node _T_177 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 182:93]
node _T_178 = or(_T_176, _T_177) @[lsu_bus_intf.scala 182:73]
node _T_179 = bits(ld_byte_hit_buf_hi, 1, 1) @[lsu_bus_intf.scala 182:117]
node _T_180 = or(_T_178, _T_179) @[lsu_bus_intf.scala 182:97]
node _T_181 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 182:69]
node _T_182 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 182:93]
node _T_183 = or(_T_181, _T_182) @[lsu_bus_intf.scala 182:73]
node _T_184 = bits(ld_byte_hit_buf_hi, 2, 2) @[lsu_bus_intf.scala 182:117]
node _T_185 = or(_T_183, _T_184) @[lsu_bus_intf.scala 182:97]
node _T_186 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 182:69]
node _T_187 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 182:93]
node _T_188 = or(_T_186, _T_187) @[lsu_bus_intf.scala 182:73]
node _T_189 = bits(ld_byte_hit_buf_hi, 3, 3) @[lsu_bus_intf.scala 182:117]
node _T_190 = or(_T_188, _T_189) @[lsu_bus_intf.scala 182:97]
node _T_191 = cat(_T_190, _T_185) @[Cat.scala 29:58]
node _T_192 = cat(_T_191, _T_180) @[Cat.scala 29:58]
node _T_193 = cat(_T_192, _T_175) @[Cat.scala 29:58]
ld_byte_hit_hi <= _T_193 @[lsu_bus_intf.scala 182:27]
node _T_194 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 183:69]
node _T_195 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 183:93]
node _T_196 = or(_T_194, _T_195) @[lsu_bus_intf.scala 183:73]
node _T_197 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 183:69]
node _T_198 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 183:93]
node _T_199 = or(_T_197, _T_198) @[lsu_bus_intf.scala 183:73]
node _T_200 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 183:69]
node _T_201 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 183:93]
node _T_202 = or(_T_200, _T_201) @[lsu_bus_intf.scala 183:73]
node _T_203 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 183:69]
node _T_204 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 183:93]
node _T_205 = or(_T_203, _T_204) @[lsu_bus_intf.scala 183:73]
node _T_206 = cat(_T_205, _T_202) @[Cat.scala 29:58]
node _T_207 = cat(_T_206, _T_199) @[Cat.scala 29:58]
node _T_208 = cat(_T_207, _T_196) @[Cat.scala 29:58]
ld_byte_rhit_lo <= _T_208 @[lsu_bus_intf.scala 183:27]
node _T_209 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 184:69]
node _T_210 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 184:93]
node _T_211 = or(_T_209, _T_210) @[lsu_bus_intf.scala 184:73]
node _T_212 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 184:69]
node _T_213 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 184:93]
node _T_214 = or(_T_212, _T_213) @[lsu_bus_intf.scala 184:73]
node _T_215 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 184:69]
node _T_216 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 184:93]
node _T_217 = or(_T_215, _T_216) @[lsu_bus_intf.scala 184:73]
node _T_218 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 184:69]
node _T_219 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 184:93]
node _T_220 = or(_T_218, _T_219) @[lsu_bus_intf.scala 184:73]
node _T_221 = cat(_T_220, _T_217) @[Cat.scala 29:58]
node _T_222 = cat(_T_221, _T_214) @[Cat.scala 29:58]
node _T_223 = cat(_T_222, _T_211) @[Cat.scala 29:58]
ld_byte_rhit_hi <= _T_223 @[lsu_bus_intf.scala 184:27]
node _T_224 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 185:79]
node _T_225 = bits(store_data_lo_r, 7, 0) @[lsu_bus_intf.scala 185:101]
node _T_226 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 185:136]
node _T_227 = bits(store_data_hi_r, 7, 0) @[lsu_bus_intf.scala 185:158]
node _T_228 = mux(_T_224, _T_225, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_229 = mux(_T_226, _T_227, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_230 = or(_T_228, _T_229) @[Mux.scala 27:72]
wire _T_231 : UInt<8> @[Mux.scala 27:72]
_T_231 <= _T_230 @[Mux.scala 27:72]
node _T_232 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 185:79]
node _T_233 = bits(store_data_lo_r, 15, 8) @[lsu_bus_intf.scala 185:101]
node _T_234 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 185:136]
node _T_235 = bits(store_data_hi_r, 15, 8) @[lsu_bus_intf.scala 185:158]
node _T_236 = mux(_T_232, _T_233, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_237 = mux(_T_234, _T_235, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_238 = or(_T_236, _T_237) @[Mux.scala 27:72]
wire _T_239 : UInt<8> @[Mux.scala 27:72]
_T_239 <= _T_238 @[Mux.scala 27:72]
node _T_240 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 185:79]
node _T_241 = bits(store_data_lo_r, 23, 16) @[lsu_bus_intf.scala 185:101]
node _T_242 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 185:136]
node _T_243 = bits(store_data_hi_r, 23, 16) @[lsu_bus_intf.scala 185:158]
node _T_244 = mux(_T_240, _T_241, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_245 = mux(_T_242, _T_243, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_246 = or(_T_244, _T_245) @[Mux.scala 27:72]
wire _T_247 : UInt<8> @[Mux.scala 27:72]
_T_247 <= _T_246 @[Mux.scala 27:72]
node _T_248 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 185:79]
node _T_249 = bits(store_data_lo_r, 31, 24) @[lsu_bus_intf.scala 185:101]
node _T_250 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 185:136]
node _T_251 = bits(store_data_hi_r, 31, 24) @[lsu_bus_intf.scala 185:158]
node _T_252 = mux(_T_248, _T_249, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_253 = mux(_T_250, _T_251, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_254 = or(_T_252, _T_253) @[Mux.scala 27:72]
wire _T_255 : UInt<8> @[Mux.scala 27:72]
_T_255 <= _T_254 @[Mux.scala 27:72]
node _T_256 = cat(_T_255, _T_247) @[Cat.scala 29:58]
node _T_257 = cat(_T_256, _T_239) @[Cat.scala 29:58]
node _T_258 = cat(_T_257, _T_231) @[Cat.scala 29:58]
ld_fwddata_rpipe_lo <= _T_258 @[lsu_bus_intf.scala 185:27]
node _T_259 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 186:79]
node _T_260 = bits(store_data_lo_r, 7, 0) @[lsu_bus_intf.scala 186:101]
node _T_261 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 186:136]
node _T_262 = bits(store_data_hi_r, 7, 0) @[lsu_bus_intf.scala 186:158]
node _T_263 = mux(_T_259, _T_260, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_264 = mux(_T_261, _T_262, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_265 = or(_T_263, _T_264) @[Mux.scala 27:72]
wire _T_266 : UInt<8> @[Mux.scala 27:72]
_T_266 <= _T_265 @[Mux.scala 27:72]
node _T_267 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 186:79]
node _T_268 = bits(store_data_lo_r, 15, 8) @[lsu_bus_intf.scala 186:101]
node _T_269 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 186:136]
node _T_270 = bits(store_data_hi_r, 15, 8) @[lsu_bus_intf.scala 186:158]
node _T_271 = mux(_T_267, _T_268, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_272 = mux(_T_269, _T_270, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_273 = or(_T_271, _T_272) @[Mux.scala 27:72]
wire _T_274 : UInt<8> @[Mux.scala 27:72]
_T_274 <= _T_273 @[Mux.scala 27:72]
node _T_275 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 186:79]
node _T_276 = bits(store_data_lo_r, 23, 16) @[lsu_bus_intf.scala 186:101]
node _T_277 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 186:136]
node _T_278 = bits(store_data_hi_r, 23, 16) @[lsu_bus_intf.scala 186:158]
node _T_279 = mux(_T_275, _T_276, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_280 = mux(_T_277, _T_278, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_281 = or(_T_279, _T_280) @[Mux.scala 27:72]
wire _T_282 : UInt<8> @[Mux.scala 27:72]
_T_282 <= _T_281 @[Mux.scala 27:72]
node _T_283 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 186:79]
node _T_284 = bits(store_data_lo_r, 31, 24) @[lsu_bus_intf.scala 186:101]
node _T_285 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 186:136]
node _T_286 = bits(store_data_hi_r, 31, 24) @[lsu_bus_intf.scala 186:158]
node _T_287 = mux(_T_283, _T_284, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_288 = mux(_T_285, _T_286, UInt<1>("h00")) @[Mux.scala 27:72]
node _T_289 = or(_T_287, _T_288) @[Mux.scala 27:72]
wire _T_290 : UInt<8> @[Mux.scala 27:72]
_T_290 <= _T_289 @[Mux.scala 27:72]
node _T_291 = cat(_T_290, _T_282) @[Cat.scala 29:58]
node _T_292 = cat(_T_291, _T_274) @[Cat.scala 29:58]
node _T_293 = cat(_T_292, _T_266) @[Cat.scala 29:58]
ld_fwddata_rpipe_hi <= _T_293 @[lsu_bus_intf.scala 186:27]
node _T_294 = bits(ld_byte_rhit_lo, 0, 0) @[lsu_bus_intf.scala 187:70]
node _T_295 = bits(ld_fwddata_rpipe_lo, 7, 0) @[lsu_bus_intf.scala 187:94]
node _T_296 = bits(ld_fwddata_buf_lo, 7, 0) @[lsu_bus_intf.scala 187:128]
node _T_297 = mux(_T_294, _T_295, _T_296) @[lsu_bus_intf.scala 187:54]
node _T_298 = bits(ld_byte_rhit_lo, 1, 1) @[lsu_bus_intf.scala 187:70]
node _T_299 = bits(ld_fwddata_rpipe_lo, 15, 8) @[lsu_bus_intf.scala 187:94]
node _T_300 = bits(ld_fwddata_buf_lo, 15, 8) @[lsu_bus_intf.scala 187:128]
node _T_301 = mux(_T_298, _T_299, _T_300) @[lsu_bus_intf.scala 187:54]
node _T_302 = bits(ld_byte_rhit_lo, 2, 2) @[lsu_bus_intf.scala 187:70]
node _T_303 = bits(ld_fwddata_rpipe_lo, 23, 16) @[lsu_bus_intf.scala 187:94]
node _T_304 = bits(ld_fwddata_buf_lo, 23, 16) @[lsu_bus_intf.scala 187:128]
node _T_305 = mux(_T_302, _T_303, _T_304) @[lsu_bus_intf.scala 187:54]
node _T_306 = bits(ld_byte_rhit_lo, 3, 3) @[lsu_bus_intf.scala 187:70]
node _T_307 = bits(ld_fwddata_rpipe_lo, 31, 24) @[lsu_bus_intf.scala 187:94]
node _T_308 = bits(ld_fwddata_buf_lo, 31, 24) @[lsu_bus_intf.scala 187:128]
node _T_309 = mux(_T_306, _T_307, _T_308) @[lsu_bus_intf.scala 187:54]
node _T_310 = cat(_T_309, _T_305) @[Cat.scala 29:58]
node _T_311 = cat(_T_310, _T_301) @[Cat.scala 29:58]
node _T_312 = cat(_T_311, _T_297) @[Cat.scala 29:58]
ld_fwddata_lo <= _T_312 @[lsu_bus_intf.scala 187:27]
node _T_313 = bits(ld_byte_rhit_hi, 0, 0) @[lsu_bus_intf.scala 188:70]
node _T_314 = bits(ld_fwddata_rpipe_hi, 7, 0) @[lsu_bus_intf.scala 188:94]
node _T_315 = bits(ld_fwddata_buf_hi, 7, 0) @[lsu_bus_intf.scala 188:128]
node _T_316 = mux(_T_313, _T_314, _T_315) @[lsu_bus_intf.scala 188:54]
node _T_317 = bits(ld_byte_rhit_hi, 1, 1) @[lsu_bus_intf.scala 188:70]
node _T_318 = bits(ld_fwddata_rpipe_hi, 15, 8) @[lsu_bus_intf.scala 188:94]
node _T_319 = bits(ld_fwddata_buf_hi, 15, 8) @[lsu_bus_intf.scala 188:128]
node _T_320 = mux(_T_317, _T_318, _T_319) @[lsu_bus_intf.scala 188:54]
node _T_321 = bits(ld_byte_rhit_hi, 2, 2) @[lsu_bus_intf.scala 188:70]
node _T_322 = bits(ld_fwddata_rpipe_hi, 23, 16) @[lsu_bus_intf.scala 188:94]
node _T_323 = bits(ld_fwddata_buf_hi, 23, 16) @[lsu_bus_intf.scala 188:128]
node _T_324 = mux(_T_321, _T_322, _T_323) @[lsu_bus_intf.scala 188:54]
node _T_325 = bits(ld_byte_rhit_hi, 3, 3) @[lsu_bus_intf.scala 188:70]
node _T_326 = bits(ld_fwddata_rpipe_hi, 31, 24) @[lsu_bus_intf.scala 188:94]
node _T_327 = bits(ld_fwddata_buf_hi, 31, 24) @[lsu_bus_intf.scala 188:128]
node _T_328 = mux(_T_325, _T_326, _T_327) @[lsu_bus_intf.scala 188:54]
node _T_329 = cat(_T_328, _T_324) @[Cat.scala 29:58]
node _T_330 = cat(_T_329, _T_320) @[Cat.scala 29:58]
node _T_331 = cat(_T_330, _T_316) @[Cat.scala 29:58]
ld_fwddata_hi <= _T_331 @[lsu_bus_intf.scala 188:27]
node _T_332 = bits(ld_byte_hit_lo, 0, 0) @[lsu_bus_intf.scala 189:66]
node _T_333 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 189:89]
node _T_334 = eq(_T_333, UInt<1>("h00")) @[lsu_bus_intf.scala 189:72]
node _T_335 = or(_T_332, _T_334) @[lsu_bus_intf.scala 189:70]
node _T_336 = bits(ld_byte_hit_lo, 1, 1) @[lsu_bus_intf.scala 189:66]
node _T_337 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 189:89]
node _T_338 = eq(_T_337, UInt<1>("h00")) @[lsu_bus_intf.scala 189:72]
node _T_339 = or(_T_336, _T_338) @[lsu_bus_intf.scala 189:70]
node _T_340 = bits(ld_byte_hit_lo, 2, 2) @[lsu_bus_intf.scala 189:66]
node _T_341 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 189:89]
node _T_342 = eq(_T_341, UInt<1>("h00")) @[lsu_bus_intf.scala 189:72]
node _T_343 = or(_T_340, _T_342) @[lsu_bus_intf.scala 189:70]
node _T_344 = bits(ld_byte_hit_lo, 3, 3) @[lsu_bus_intf.scala 189:66]
node _T_345 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 189:89]
node _T_346 = eq(_T_345, UInt<1>("h00")) @[lsu_bus_intf.scala 189:72]
node _T_347 = or(_T_344, _T_346) @[lsu_bus_intf.scala 189:70]
node _T_348 = and(_T_335, _T_339) @[lsu_bus_intf.scala 189:111]
node _T_349 = and(_T_348, _T_343) @[lsu_bus_intf.scala 189:111]
node _T_350 = and(_T_349, _T_347) @[lsu_bus_intf.scala 189:111]
ld_full_hit_lo_m <= _T_350 @[lsu_bus_intf.scala 189:27]
node _T_351 = bits(ld_byte_hit_hi, 0, 0) @[lsu_bus_intf.scala 190:66]
node _T_352 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 190:89]
node _T_353 = eq(_T_352, UInt<1>("h00")) @[lsu_bus_intf.scala 190:72]
node _T_354 = or(_T_351, _T_353) @[lsu_bus_intf.scala 190:70]
node _T_355 = bits(ld_byte_hit_hi, 1, 1) @[lsu_bus_intf.scala 190:66]
node _T_356 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 190:89]
node _T_357 = eq(_T_356, UInt<1>("h00")) @[lsu_bus_intf.scala 190:72]
node _T_358 = or(_T_355, _T_357) @[lsu_bus_intf.scala 190:70]
node _T_359 = bits(ld_byte_hit_hi, 2, 2) @[lsu_bus_intf.scala 190:66]
node _T_360 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 190:89]
node _T_361 = eq(_T_360, UInt<1>("h00")) @[lsu_bus_intf.scala 190:72]
node _T_362 = or(_T_359, _T_361) @[lsu_bus_intf.scala 190:70]
node _T_363 = bits(ld_byte_hit_hi, 3, 3) @[lsu_bus_intf.scala 190:66]
node _T_364 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 190:89]
node _T_365 = eq(_T_364, UInt<1>("h00")) @[lsu_bus_intf.scala 190:72]
node _T_366 = or(_T_363, _T_365) @[lsu_bus_intf.scala 190:70]
node _T_367 = and(_T_354, _T_358) @[lsu_bus_intf.scala 190:111]
node _T_368 = and(_T_367, _T_362) @[lsu_bus_intf.scala 190:111]
node _T_369 = and(_T_368, _T_366) @[lsu_bus_intf.scala 190:111]
ld_full_hit_hi_m <= _T_369 @[lsu_bus_intf.scala 190:27]
node _T_370 = and(ld_full_hit_lo_m, ld_full_hit_hi_m) @[lsu_bus_intf.scala 191:47]
node _T_371 = and(_T_370, io.lsu_busreq_m) @[lsu_bus_intf.scala 191:66]
node _T_372 = and(_T_371, io.lsu_pkt_m.bits.load) @[lsu_bus_intf.scala 191:84]
node _T_373 = eq(io.is_sideeffects_m, UInt<1>("h00")) @[lsu_bus_intf.scala 191:111]
node _T_374 = and(_T_372, _T_373) @[lsu_bus_intf.scala 191:109]
ld_full_hit_m <= _T_374 @[lsu_bus_intf.scala 191:27]
node _T_375 = bits(ld_fwddata_hi, 31, 0) @[lsu_bus_intf.scala 192:47]
node _T_376 = bits(ld_fwddata_lo, 31, 0) @[lsu_bus_intf.scala 192:68]
node _T_377 = cat(_T_375, _T_376) @[Cat.scala 29:58]
node _T_378 = bits(io.lsu_addr_m, 1, 0) @[lsu_bus_intf.scala 192:97]
node _T_379 = mul(UInt<4>("h08"), _T_378) @[lsu_bus_intf.scala 192:83]
node _T_380 = dshr(_T_377, _T_379) @[lsu_bus_intf.scala 192:76]
ld_fwddata_m <= _T_380 @[lsu_bus_intf.scala 192:27]
node _T_381 = bits(ld_fwddata_m, 31, 0) @[lsu_bus_intf.scala 193:42]
io.bus_read_data_m <= _T_381 @[lsu_bus_intf.scala 193:27]
reg _T_382 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 196:32]
_T_382 <= io.lsu_bus_clk_en @[lsu_bus_intf.scala 196:32]
lsu_bus_clk_en_q <= _T_382 @[lsu_bus_intf.scala 196:22]
reg _T_383 : UInt<1>, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 199:27]
_T_383 <= ldst_dual_d @[lsu_bus_intf.scala 199:27]
ldst_dual_m <= _T_383 @[lsu_bus_intf.scala 199:17]
reg _T_384 : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 202:33]
_T_384 <= ldst_dual_m @[lsu_bus_intf.scala 202:33]
ldst_dual_r <= _T_384 @[lsu_bus_intf.scala 202:23]
reg _T_385 : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 203:33]
_T_385 <= io.is_sideeffects_m @[lsu_bus_intf.scala 203:33]
is_sideeffects_r <= _T_385 @[lsu_bus_intf.scala 203:23]
reg _T_386 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<4>("h00"))) @[lsu_bus_intf.scala 204:33]
_T_386 <= ldst_byteen_m @[lsu_bus_intf.scala 204:33]
ldst_byteen_r <= _T_386 @[lsu_bus_intf.scala 204:23]
module lsu :
input clock : Clock
input reset : AsyncReset
output io : {flip clk_override : UInt<1>, lsu_dma : {dma_lsc_ctl : {flip dma_dccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>}, dma_dccm_ctl : {flip dma_mem_addr : UInt<32>, flip dma_mem_wdata : UInt<64>, dccm_dma_rvalid : UInt<1>, dccm_dma_ecc_error : UInt<1>, dccm_dma_rtag : UInt<3>, dccm_dma_rdata : UInt<64>}, dccm_ready : UInt<1>, flip dma_mem_tag : UInt<3>}, lsu_pic : {picm_wren : UInt<1>, picm_rden : UInt<1>, picm_mken : UInt<1>, picm_rdaddr : UInt<32>, picm_wraddr : UInt<32>, picm_wr_data : UInt<32>, flip picm_rd_data : UInt<32>}, lsu_exu : {flip exu_lsu_rs1_d : UInt<32>, flip exu_lsu_rs2_d : UInt<32>}, lsu_dec : {tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}}, flip dccm : {flip wren : UInt<1>, flip rden : UInt<1>, flip wr_addr_lo : UInt<16>, flip wr_addr_hi : UInt<16>, flip rd_addr_lo : UInt<16>, flip rd_addr_hi : UInt<16>, flip wr_data_lo : UInt<39>, flip wr_data_hi : UInt<39>, rd_data_lo : UInt<39>, rd_data_hi : UInt<39>}, lsu_tlu : {lsu_pmu_load_external_m : UInt<1>, lsu_pmu_store_external_m : UInt<1>}, axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip dec_tlu_flush_lower_r : UInt<1>, flip dec_tlu_i0_kill_writeb_r : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dec_tlu_core_ecc_disable : UInt<1>, flip dec_lsu_offset_d : UInt<12>, flip lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip trigger_pkt_any : {select : UInt<1>, match_pkt : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip dec_lsu_valid_raw_d : UInt<1>, flip dec_tlu_mrac_ff : UInt<32>, lsu_result_m : UInt<32>, lsu_result_corr_r : UInt<32>, lsu_load_stall_any : UInt<1>, lsu_store_stall_any : UInt<1>, lsu_fastint_stall_any : UInt<1>, lsu_idle_any : UInt<1>, lsu_fir_addr : UInt<31>, lsu_fir_error : UInt<2>, lsu_single_ecc_error_incr : UInt<1>, lsu_error_pkt_r : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}}, lsu_pmu_misaligned_m : UInt<1>, lsu_trigger_match_m : UInt<4>, flip lsu_bus_clk_en : UInt<1>, flip scan_mode : UInt<1>, flip free_clk : Clock}
wire dma_dccm_wdata : UInt<64>
dma_dccm_wdata <= UInt<64>("h00")
wire dma_dccm_wdata_lo : UInt<32>
dma_dccm_wdata_lo <= UInt<32>("h00")
wire dma_dccm_wdata_hi : UInt<32>
dma_dccm_wdata_hi <= UInt<32>("h00")
wire dma_mem_tag_m : UInt<3>
dma_mem_tag_m <= UInt<3>("h00")
wire lsu_raw_fwd_lo_r : UInt<1>
lsu_raw_fwd_lo_r <= UInt<1>("h00")
wire lsu_raw_fwd_hi_r : UInt<1>
lsu_raw_fwd_hi_r <= UInt<1>("h00")
inst lsu_lsc_ctl of lsu_lsc_ctl @[lsu.scala 60:30]
lsu_lsc_ctl.clock <= clock
lsu_lsc_ctl.reset <= reset
io.lsu_result_m <= lsu_lsc_ctl.io.lsu_result_m @[lsu.scala 61:19]
io.lsu_result_corr_r <= lsu_lsc_ctl.io.lsu_result_corr_r @[lsu.scala 62:24]
inst dccm_ctl of lsu_dccm_ctl @[lsu.scala 63:30]
dccm_ctl.clock <= clock
dccm_ctl.reset <= reset
inst stbuf of lsu_stbuf @[lsu.scala 64:30]
stbuf.clock <= clock
stbuf.reset <= reset
inst ecc of lsu_ecc @[lsu.scala 65:30]
ecc.clock <= clock
ecc.reset <= reset
inst trigger of lsu_trigger @[lsu.scala 66:30]
trigger.clock <= clock
trigger.reset <= reset
inst clkdomain of lsu_clkdomain @[lsu.scala 67:30]
clkdomain.clock <= clock
clkdomain.reset <= reset
inst bus_intf of lsu_bus_intf @[lsu.scala 68:30]
bus_intf.clock <= clock
bus_intf.reset <= reset
node lsu_raw_fwd_lo_m = orr(stbuf.io.stbuf_fwdbyteen_lo_m) @[lsu.scala 70:56]
node lsu_raw_fwd_hi_m = orr(stbuf.io.stbuf_fwdbyteen_hi_m) @[lsu.scala 71:56]
node _T = or(stbuf.io.lsu_stbuf_full_any, bus_intf.io.lsu_bus_buffer_full_any) @[lsu.scala 74:57]
node _T_1 = or(_T, dccm_ctl.io.ld_single_ecc_error_r_ff) @[lsu.scala 74:95]
io.lsu_store_stall_any <= _T_1 @[lsu.scala 74:26]
node _T_2 = or(bus_intf.io.lsu_bus_buffer_full_any, dccm_ctl.io.ld_single_ecc_error_r_ff) @[lsu.scala 75:64]
io.lsu_load_stall_any <= _T_2 @[lsu.scala 75:25]
io.lsu_fastint_stall_any <= dccm_ctl.io.ld_single_ecc_error_r @[lsu.scala 76:28]
node _T_3 = eq(lsu_lsc_ctl.io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu.scala 81:58]
node _T_4 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, _T_3) @[lsu.scala 81:56]
node _T_5 = or(lsu_lsc_ctl.io.addr_in_dccm_m, lsu_lsc_ctl.io.addr_in_pic_m) @[lsu.scala 81:126]
node _T_6 = and(_T_4, _T_5) @[lsu.scala 81:93]
node ldst_nodma_mtor = and(_T_6, lsu_lsc_ctl.io.lsu_pkt_m.bits.store) @[lsu.scala 81:158]
node _T_7 = or(io.dec_lsu_valid_raw_d, ldst_nodma_mtor) @[lsu.scala 82:53]
node _T_8 = or(_T_7, dccm_ctl.io.ld_single_ecc_error_r_ff) @[lsu.scala 82:71]
node _T_9 = eq(_T_8, UInt<1>("h00")) @[lsu.scala 82:28]
io.lsu_dma.dccm_ready <= _T_9 @[lsu.scala 82:25]
node _T_10 = and(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[lsu.scala 83:58]
node dma_dccm_wen = and(_T_10, lsu_lsc_ctl.io.addr_in_dccm_d) @[lsu.scala 83:97]
node _T_11 = and(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[lsu.scala 84:58]
node dma_pic_wen = and(_T_11, lsu_lsc_ctl.io.addr_in_pic_d) @[lsu.scala 84:97]
node _T_12 = bits(io.lsu_dma.dma_lsc_ctl.dma_mem_addr, 2, 0) @[lsu.scala 85:100]
node _T_13 = cat(_T_12, UInt<3>("h00")) @[Cat.scala 29:58]
node _T_14 = dshr(io.lsu_dma.dma_lsc_ctl.dma_mem_wdata, _T_13) @[lsu.scala 85:58]
dma_dccm_wdata <= _T_14 @[lsu.scala 85:18]
node _T_15 = bits(dma_dccm_wdata, 63, 32) @[lsu.scala 86:38]
dma_dccm_wdata_hi <= _T_15 @[lsu.scala 86:21]
node _T_16 = bits(dma_dccm_wdata, 31, 0) @[lsu.scala 87:38]
dma_dccm_wdata_lo <= _T_16 @[lsu.scala 87:21]
node _T_17 = eq(lsu_lsc_ctl.io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu.scala 96:58]
node _T_18 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, _T_17) @[lsu.scala 96:56]
node _T_19 = eq(lsu_lsc_ctl.io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu.scala 96:130]
node _T_20 = and(lsu_lsc_ctl.io.lsu_pkt_r.valid, _T_19) @[lsu.scala 96:128]
node _T_21 = or(_T_18, _T_20) @[lsu.scala 96:94]
node _T_22 = eq(_T_21, UInt<1>("h00")) @[lsu.scala 96:22]
node _T_23 = and(_T_22, bus_intf.io.lsu_bus_buffer_empty_any) @[lsu.scala 96:167]
node _T_24 = and(_T_23, bus_intf.io.lsu_bus_idle_any) @[lsu.scala 96:206]
io.lsu_idle_any <= _T_24 @[lsu.scala 96:19]
node _T_25 = and(lsu_lsc_ctl.io.lsu_pkt_r.valid, lsu_lsc_ctl.io.lsu_pkt_r.bits.store) @[lsu.scala 98:61]
node _T_26 = and(_T_25, lsu_lsc_ctl.io.addr_in_dccm_r) @[lsu.scala 98:99]
node _T_27 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[lsu.scala 98:133]
node _T_28 = and(_T_26, _T_27) @[lsu.scala 98:131]
node _T_29 = eq(lsu_lsc_ctl.io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu.scala 98:144]
node store_stbuf_reqvld_r = and(_T_28, _T_29) @[lsu.scala 98:142]
node _T_30 = or(lsu_lsc_ctl.io.lsu_pkt_m.bits.load, lsu_lsc_ctl.io.lsu_pkt_m.bits.store) @[lsu.scala 100:90]
node _T_31 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, _T_30) @[lsu.scala 100:52]
node _T_32 = or(lsu_lsc_ctl.io.addr_in_dccm_m, lsu_lsc_ctl.io.addr_in_pic_m) @[lsu.scala 100:162]
node lsu_cmpen_m = and(_T_31, _T_32) @[lsu.scala 100:129]
node _T_33 = or(lsu_lsc_ctl.io.lsu_pkt_m.bits.load, lsu_lsc_ctl.io.lsu_pkt_m.bits.store) @[lsu.scala 102:92]
node _T_34 = and(_T_33, lsu_lsc_ctl.io.addr_external_m) @[lsu.scala 102:131]
node _T_35 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, _T_34) @[lsu.scala 102:53]
node _T_36 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[lsu.scala 102:167]
node _T_37 = and(_T_35, _T_36) @[lsu.scala 102:165]
node _T_38 = eq(lsu_lsc_ctl.io.lsu_exc_m, UInt<1>("h00")) @[lsu.scala 102:181]
node _T_39 = and(_T_37, _T_38) @[lsu.scala 102:179]
node _T_40 = eq(lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int, UInt<1>("h00")) @[lsu.scala 102:209]
node lsu_busreq_m = and(_T_39, _T_40) @[lsu.scala 102:207]
node _T_41 = bits(lsu_lsc_ctl.io.lsu_addr_m, 0, 0) @[lsu.scala 104:127]
node _T_42 = and(lsu_lsc_ctl.io.lsu_pkt_m.bits.half, _T_41) @[lsu.scala 104:100]
node _T_43 = bits(lsu_lsc_ctl.io.lsu_addr_m, 1, 0) @[lsu.scala 104:197]
node _T_44 = orr(_T_43) @[lsu.scala 104:203]
node _T_45 = and(lsu_lsc_ctl.io.lsu_pkt_m.bits.word, _T_44) @[lsu.scala 104:170]
node _T_46 = or(_T_42, _T_45) @[lsu.scala 104:132]
node _T_47 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, _T_46) @[lsu.scala 104:61]
io.lsu_pmu_misaligned_m <= _T_47 @[lsu.scala 104:27]
node _T_48 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, lsu_lsc_ctl.io.lsu_pkt_m.bits.load) @[lsu.scala 105:73]
node _T_49 = and(_T_48, lsu_lsc_ctl.io.addr_external_m) @[lsu.scala 105:110]
io.lsu_tlu.lsu_pmu_load_external_m <= _T_49 @[lsu.scala 105:39]
node _T_50 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, lsu_lsc_ctl.io.lsu_pkt_m.bits.store) @[lsu.scala 106:73]
node _T_51 = and(_T_50, lsu_lsc_ctl.io.addr_external_m) @[lsu.scala 106:111]
io.lsu_tlu.lsu_pmu_store_external_m <= _T_51 @[lsu.scala 106:39]
lsu_lsc_ctl.io.lsu_c1_m_clk <= clkdomain.io.lsu_c1_m_clk @[lsu.scala 110:46]
lsu_lsc_ctl.io.lsu_c1_r_clk <= clkdomain.io.lsu_c1_r_clk @[lsu.scala 111:46]
lsu_lsc_ctl.io.lsu_c2_m_clk <= clkdomain.io.lsu_c2_m_clk @[lsu.scala 112:46]
lsu_lsc_ctl.io.lsu_c2_r_clk <= clkdomain.io.lsu_c2_r_clk @[lsu.scala 113:46]
lsu_lsc_ctl.io.lsu_store_c1_m_clk <= clkdomain.io.lsu_store_c1_m_clk @[lsu.scala 114:46]
lsu_lsc_ctl.io.lsu_ld_data_r <= dccm_ctl.io.lsu_ld_data_r @[lsu.scala 115:46]
lsu_lsc_ctl.io.lsu_ld_data_corr_r <= dccm_ctl.io.lsu_ld_data_corr_r @[lsu.scala 116:46]
lsu_lsc_ctl.io.lsu_single_ecc_error_r <= ecc.io.lsu_single_ecc_error_r @[lsu.scala 117:46]
lsu_lsc_ctl.io.lsu_double_ecc_error_r <= ecc.io.lsu_double_ecc_error_r @[lsu.scala 118:46]
lsu_lsc_ctl.io.lsu_ld_data_m <= dccm_ctl.io.lsu_ld_data_m @[lsu.scala 119:46]
lsu_lsc_ctl.io.lsu_single_ecc_error_m <= ecc.io.lsu_single_ecc_error_m @[lsu.scala 120:46]
lsu_lsc_ctl.io.lsu_double_ecc_error_m <= ecc.io.lsu_double_ecc_error_m @[lsu.scala 121:46]
lsu_lsc_ctl.io.flush_m_up <= io.dec_tlu_flush_lower_r @[lsu.scala 122:46]
lsu_lsc_ctl.io.flush_r <= io.dec_tlu_i0_kill_writeb_r @[lsu.scala 123:46]
lsu_lsc_ctl.io.lsu_exu.exu_lsu_rs2_d <= io.lsu_exu.exu_lsu_rs2_d @[lsu.scala 124:46]
lsu_lsc_ctl.io.lsu_exu.exu_lsu_rs1_d <= io.lsu_exu.exu_lsu_rs1_d @[lsu.scala 124:46]
lsu_lsc_ctl.io.lsu_p.bits.store_data_bypass_m <= io.lsu_p.bits.store_data_bypass_m @[lsu.scala 125:46]
lsu_lsc_ctl.io.lsu_p.bits.load_ldst_bypass_d <= io.lsu_p.bits.load_ldst_bypass_d @[lsu.scala 125:46]
lsu_lsc_ctl.io.lsu_p.bits.store_data_bypass_d <= io.lsu_p.bits.store_data_bypass_d @[lsu.scala 125:46]
lsu_lsc_ctl.io.lsu_p.bits.dma <= io.lsu_p.bits.dma @[lsu.scala 125:46]
lsu_lsc_ctl.io.lsu_p.bits.unsign <= io.lsu_p.bits.unsign @[lsu.scala 125:46]
lsu_lsc_ctl.io.lsu_p.bits.store <= io.lsu_p.bits.store @[lsu.scala 125:46]
lsu_lsc_ctl.io.lsu_p.bits.load <= io.lsu_p.bits.load @[lsu.scala 125:46]
lsu_lsc_ctl.io.lsu_p.bits.dword <= io.lsu_p.bits.dword @[lsu.scala 125:46]
lsu_lsc_ctl.io.lsu_p.bits.word <= io.lsu_p.bits.word @[lsu.scala 125:46]
lsu_lsc_ctl.io.lsu_p.bits.half <= io.lsu_p.bits.half @[lsu.scala 125:46]
lsu_lsc_ctl.io.lsu_p.bits.by <= io.lsu_p.bits.by @[lsu.scala 125:46]
lsu_lsc_ctl.io.lsu_p.bits.fast_int <= io.lsu_p.bits.fast_int @[lsu.scala 125:46]
lsu_lsc_ctl.io.lsu_p.valid <= io.lsu_p.valid @[lsu.scala 125:46]
lsu_lsc_ctl.io.dec_lsu_valid_raw_d <= io.dec_lsu_valid_raw_d @[lsu.scala 126:46]
lsu_lsc_ctl.io.dec_lsu_offset_d <= io.dec_lsu_offset_d @[lsu.scala 127:46]
lsu_lsc_ctl.io.picm_mask_data_m <= dccm_ctl.io.picm_mask_data_m @[lsu.scala 128:46]
lsu_lsc_ctl.io.bus_read_data_m <= bus_intf.io.bus_read_data_m @[lsu.scala 129:46]
lsu_lsc_ctl.io.dma_lsc_ctl.dma_mem_wdata <= io.lsu_dma.dma_lsc_ctl.dma_mem_wdata @[lsu.scala 130:38]
lsu_lsc_ctl.io.dma_lsc_ctl.dma_mem_write <= io.lsu_dma.dma_lsc_ctl.dma_mem_write @[lsu.scala 130:38]
lsu_lsc_ctl.io.dma_lsc_ctl.dma_mem_sz <= io.lsu_dma.dma_lsc_ctl.dma_mem_sz @[lsu.scala 130:38]
lsu_lsc_ctl.io.dma_lsc_ctl.dma_mem_addr <= io.lsu_dma.dma_lsc_ctl.dma_mem_addr @[lsu.scala 130:38]
lsu_lsc_ctl.io.dma_lsc_ctl.dma_dccm_req <= io.lsu_dma.dma_lsc_ctl.dma_dccm_req @[lsu.scala 130:38]
lsu_lsc_ctl.io.dec_tlu_mrac_ff <= io.dec_tlu_mrac_ff @[lsu.scala 131:46]
lsu_lsc_ctl.io.scan_mode <= io.scan_mode @[lsu.scala 132:46]
io.lsu_single_ecc_error_incr <= lsu_lsc_ctl.io.lsu_single_ecc_error_incr @[lsu.scala 135:49]
io.lsu_error_pkt_r.bits.addr <= lsu_lsc_ctl.io.lsu_error_pkt_r.bits.addr @[lsu.scala 136:49]
io.lsu_error_pkt_r.bits.mscause <= lsu_lsc_ctl.io.lsu_error_pkt_r.bits.mscause @[lsu.scala 136:49]
io.lsu_error_pkt_r.bits.exc_type <= lsu_lsc_ctl.io.lsu_error_pkt_r.bits.exc_type @[lsu.scala 136:49]
io.lsu_error_pkt_r.bits.inst_type <= lsu_lsc_ctl.io.lsu_error_pkt_r.bits.inst_type @[lsu.scala 136:49]
io.lsu_error_pkt_r.bits.single_ecc_error <= lsu_lsc_ctl.io.lsu_error_pkt_r.bits.single_ecc_error @[lsu.scala 136:49]
io.lsu_error_pkt_r.valid <= lsu_lsc_ctl.io.lsu_error_pkt_r.valid @[lsu.scala 136:49]
io.lsu_fir_addr <= lsu_lsc_ctl.io.lsu_fir_addr @[lsu.scala 137:49]
io.lsu_fir_error <= lsu_lsc_ctl.io.lsu_fir_error @[lsu.scala 138:49]
dccm_ctl.io.lsu_c2_m_clk <= clkdomain.io.lsu_c2_m_clk @[lsu.scala 141:46]
dccm_ctl.io.lsu_c2_r_clk <= clkdomain.io.lsu_c2_r_clk @[lsu.scala 142:46]
dccm_ctl.io.lsu_free_c2_clk <= clkdomain.io.lsu_free_c2_clk @[lsu.scala 143:46]
dccm_ctl.io.lsu_c1_r_clk <= clkdomain.io.lsu_c1_r_clk @[lsu.scala 144:46]
dccm_ctl.io.lsu_store_c1_r_clk <= clkdomain.io.lsu_store_c1_r_clk @[lsu.scala 145:46]
dccm_ctl.io.lsu_pkt_d.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_d.bits.store_data_bypass_m @[lsu.scala 146:46]
dccm_ctl.io.lsu_pkt_d.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_d.bits.load_ldst_bypass_d @[lsu.scala 146:46]
dccm_ctl.io.lsu_pkt_d.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_d.bits.store_data_bypass_d @[lsu.scala 146:46]
dccm_ctl.io.lsu_pkt_d.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_d.bits.dma @[lsu.scala 146:46]
dccm_ctl.io.lsu_pkt_d.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_d.bits.unsign @[lsu.scala 146:46]
dccm_ctl.io.lsu_pkt_d.bits.store <= lsu_lsc_ctl.io.lsu_pkt_d.bits.store @[lsu.scala 146:46]
dccm_ctl.io.lsu_pkt_d.bits.load <= lsu_lsc_ctl.io.lsu_pkt_d.bits.load @[lsu.scala 146:46]
dccm_ctl.io.lsu_pkt_d.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_d.bits.dword @[lsu.scala 146:46]
dccm_ctl.io.lsu_pkt_d.bits.word <= lsu_lsc_ctl.io.lsu_pkt_d.bits.word @[lsu.scala 146:46]
dccm_ctl.io.lsu_pkt_d.bits.half <= lsu_lsc_ctl.io.lsu_pkt_d.bits.half @[lsu.scala 146:46]
dccm_ctl.io.lsu_pkt_d.bits.by <= lsu_lsc_ctl.io.lsu_pkt_d.bits.by @[lsu.scala 146:46]
dccm_ctl.io.lsu_pkt_d.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_d.bits.fast_int @[lsu.scala 146:46]
dccm_ctl.io.lsu_pkt_d.valid <= lsu_lsc_ctl.io.lsu_pkt_d.valid @[lsu.scala 146:46]
dccm_ctl.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 147:46]
dccm_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu.scala 147:46]
dccm_ctl.io.lsu_pkt_m.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_d @[lsu.scala 147:46]
dccm_ctl.io.lsu_pkt_m.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dma @[lsu.scala 147:46]
dccm_ctl.io.lsu_pkt_m.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_m.bits.unsign @[lsu.scala 147:46]
dccm_ctl.io.lsu_pkt_m.bits.store <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store @[lsu.scala 147:46]
dccm_ctl.io.lsu_pkt_m.bits.load <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load @[lsu.scala 147:46]
dccm_ctl.io.lsu_pkt_m.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dword @[lsu.scala 147:46]
dccm_ctl.io.lsu_pkt_m.bits.word <= lsu_lsc_ctl.io.lsu_pkt_m.bits.word @[lsu.scala 147:46]
dccm_ctl.io.lsu_pkt_m.bits.half <= lsu_lsc_ctl.io.lsu_pkt_m.bits.half @[lsu.scala 147:46]
dccm_ctl.io.lsu_pkt_m.bits.by <= lsu_lsc_ctl.io.lsu_pkt_m.bits.by @[lsu.scala 147:46]
dccm_ctl.io.lsu_pkt_m.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int @[lsu.scala 147:46]
dccm_ctl.io.lsu_pkt_m.valid <= lsu_lsc_ctl.io.lsu_pkt_m.valid @[lsu.scala 147:46]
dccm_ctl.io.lsu_pkt_r.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_m @[lsu.scala 148:46]
dccm_ctl.io.lsu_pkt_r.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu.scala 148:46]
dccm_ctl.io.lsu_pkt_r.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_d @[lsu.scala 148:46]
dccm_ctl.io.lsu_pkt_r.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dma @[lsu.scala 148:46]
dccm_ctl.io.lsu_pkt_r.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_r.bits.unsign @[lsu.scala 148:46]
dccm_ctl.io.lsu_pkt_r.bits.store <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store @[lsu.scala 148:46]
dccm_ctl.io.lsu_pkt_r.bits.load <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load @[lsu.scala 148:46]
dccm_ctl.io.lsu_pkt_r.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dword @[lsu.scala 148:46]
dccm_ctl.io.lsu_pkt_r.bits.word <= lsu_lsc_ctl.io.lsu_pkt_r.bits.word @[lsu.scala 148:46]
dccm_ctl.io.lsu_pkt_r.bits.half <= lsu_lsc_ctl.io.lsu_pkt_r.bits.half @[lsu.scala 148:46]
dccm_ctl.io.lsu_pkt_r.bits.by <= lsu_lsc_ctl.io.lsu_pkt_r.bits.by @[lsu.scala 148:46]
dccm_ctl.io.lsu_pkt_r.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_r.bits.fast_int @[lsu.scala 148:46]
dccm_ctl.io.lsu_pkt_r.valid <= lsu_lsc_ctl.io.lsu_pkt_r.valid @[lsu.scala 148:46]
dccm_ctl.io.addr_in_dccm_d <= lsu_lsc_ctl.io.addr_in_dccm_d @[lsu.scala 149:46]
dccm_ctl.io.addr_in_dccm_m <= lsu_lsc_ctl.io.addr_in_dccm_m @[lsu.scala 150:46]
dccm_ctl.io.addr_in_dccm_r <= lsu_lsc_ctl.io.addr_in_dccm_r @[lsu.scala 151:46]
dccm_ctl.io.addr_in_pic_d <= lsu_lsc_ctl.io.addr_in_pic_d @[lsu.scala 152:46]
dccm_ctl.io.addr_in_pic_m <= lsu_lsc_ctl.io.addr_in_pic_m @[lsu.scala 153:46]
dccm_ctl.io.addr_in_pic_r <= lsu_lsc_ctl.io.addr_in_pic_r @[lsu.scala 154:46]
dccm_ctl.io.lsu_raw_fwd_lo_r <= lsu_raw_fwd_lo_r @[lsu.scala 155:46]
dccm_ctl.io.lsu_raw_fwd_hi_r <= lsu_raw_fwd_hi_r @[lsu.scala 156:46]
dccm_ctl.io.lsu_commit_r <= lsu_lsc_ctl.io.lsu_commit_r @[lsu.scala 157:46]
dccm_ctl.io.lsu_addr_d <= lsu_lsc_ctl.io.lsu_addr_d @[lsu.scala 158:46]
dccm_ctl.io.lsu_addr_m <= lsu_lsc_ctl.io.lsu_addr_m @[lsu.scala 159:46]
dccm_ctl.io.lsu_addr_r <= lsu_lsc_ctl.io.lsu_addr_r @[lsu.scala 160:46]
dccm_ctl.io.end_addr_d <= lsu_lsc_ctl.io.end_addr_d @[lsu.scala 161:46]
dccm_ctl.io.end_addr_m <= lsu_lsc_ctl.io.end_addr_m @[lsu.scala 162:46]
dccm_ctl.io.end_addr_r <= lsu_lsc_ctl.io.end_addr_r @[lsu.scala 163:46]
dccm_ctl.io.stbuf_reqvld_any <= stbuf.io.stbuf_reqvld_any @[lsu.scala 164:46]
dccm_ctl.io.stbuf_addr_any <= stbuf.io.stbuf_addr_any @[lsu.scala 165:46]
dccm_ctl.io.stbuf_data_any <= stbuf.io.stbuf_data_any @[lsu.scala 166:46]
dccm_ctl.io.stbuf_ecc_any <= ecc.io.stbuf_ecc_any @[lsu.scala 167:46]
dccm_ctl.io.stbuf_fwddata_hi_m <= stbuf.io.stbuf_fwddata_hi_m @[lsu.scala 168:46]
dccm_ctl.io.stbuf_fwddata_lo_m <= stbuf.io.stbuf_fwddata_lo_m @[lsu.scala 169:46]
dccm_ctl.io.stbuf_fwdbyteen_lo_m <= stbuf.io.stbuf_fwdbyteen_lo_m @[lsu.scala 170:46]
dccm_ctl.io.stbuf_fwdbyteen_hi_m <= stbuf.io.stbuf_fwdbyteen_hi_m @[lsu.scala 171:46]
dccm_ctl.io.lsu_double_ecc_error_r <= ecc.io.lsu_double_ecc_error_r @[lsu.scala 172:46]
dccm_ctl.io.single_ecc_error_hi_r <= ecc.io.single_ecc_error_hi_r @[lsu.scala 173:46]
dccm_ctl.io.single_ecc_error_lo_r <= ecc.io.single_ecc_error_lo_r @[lsu.scala 174:46]
dccm_ctl.io.sec_data_hi_r <= ecc.io.sec_data_hi_r @[lsu.scala 175:46]
dccm_ctl.io.sec_data_lo_r <= ecc.io.sec_data_lo_r @[lsu.scala 176:46]
dccm_ctl.io.sec_data_hi_r_ff <= ecc.io.sec_data_hi_r_ff @[lsu.scala 177:46]
dccm_ctl.io.sec_data_lo_r_ff <= ecc.io.sec_data_lo_r_ff @[lsu.scala 178:46]
dccm_ctl.io.sec_data_ecc_hi_r_ff <= ecc.io.sec_data_ecc_hi_r_ff @[lsu.scala 179:46]
dccm_ctl.io.sec_data_ecc_lo_r_ff <= ecc.io.sec_data_ecc_lo_r_ff @[lsu.scala 180:46]
dccm_ctl.io.lsu_double_ecc_error_m <= ecc.io.lsu_double_ecc_error_m @[lsu.scala 181:46]
dccm_ctl.io.sec_data_hi_m <= ecc.io.sec_data_hi_m @[lsu.scala 182:46]
dccm_ctl.io.sec_data_lo_m <= ecc.io.sec_data_lo_m @[lsu.scala 183:46]
dccm_ctl.io.store_data_m <= lsu_lsc_ctl.io.store_data_m @[lsu.scala 184:46]
dccm_ctl.io.dma_dccm_wen <= dma_dccm_wen @[lsu.scala 185:46]
dccm_ctl.io.dma_pic_wen <= dma_pic_wen @[lsu.scala 186:46]
dccm_ctl.io.dma_mem_tag_m <= dma_mem_tag_m @[lsu.scala 187:46]
dccm_ctl.io.dma_dccm_wdata_lo <= dma_dccm_wdata_lo @[lsu.scala 188:46]
dccm_ctl.io.dma_dccm_wdata_hi <= dma_dccm_wdata_hi @[lsu.scala 189:46]
dccm_ctl.io.dma_dccm_wdata_ecc_hi <= ecc.io.dma_dccm_wdata_ecc_hi @[lsu.scala 190:46]
dccm_ctl.io.dma_dccm_wdata_ecc_lo <= ecc.io.dma_dccm_wdata_ecc_lo @[lsu.scala 191:46]
dccm_ctl.io.scan_mode <= io.scan_mode @[lsu.scala 192:46]
io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata <= dccm_ctl.io.dma_dccm_ctl.dccm_dma_rdata @[lsu.scala 194:27]
io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag <= dccm_ctl.io.dma_dccm_ctl.dccm_dma_rtag @[lsu.scala 194:27]
io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error <= dccm_ctl.io.dma_dccm_ctl.dccm_dma_ecc_error @[lsu.scala 194:27]
io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid <= dccm_ctl.io.dma_dccm_ctl.dccm_dma_rvalid @[lsu.scala 194:27]
dccm_ctl.io.dma_dccm_ctl.dma_mem_wdata <= io.lsu_dma.dma_dccm_ctl.dma_mem_wdata @[lsu.scala 194:27]
dccm_ctl.io.dma_dccm_ctl.dma_mem_addr <= io.lsu_dma.dma_dccm_ctl.dma_mem_addr @[lsu.scala 194:27]
dccm_ctl.io.dccm.rd_data_hi <= io.dccm.rd_data_hi @[lsu.scala 195:11]
dccm_ctl.io.dccm.rd_data_lo <= io.dccm.rd_data_lo @[lsu.scala 195:11]
io.dccm.wr_data_hi <= dccm_ctl.io.dccm.wr_data_hi @[lsu.scala 195:11]
io.dccm.wr_data_lo <= dccm_ctl.io.dccm.wr_data_lo @[lsu.scala 195:11]
io.dccm.rd_addr_hi <= dccm_ctl.io.dccm.rd_addr_hi @[lsu.scala 195:11]
io.dccm.rd_addr_lo <= dccm_ctl.io.dccm.rd_addr_lo @[lsu.scala 195:11]
io.dccm.wr_addr_hi <= dccm_ctl.io.dccm.wr_addr_hi @[lsu.scala 195:11]
io.dccm.wr_addr_lo <= dccm_ctl.io.dccm.wr_addr_lo @[lsu.scala 195:11]
io.dccm.rden <= dccm_ctl.io.dccm.rden @[lsu.scala 195:11]
io.dccm.wren <= dccm_ctl.io.dccm.wren @[lsu.scala 195:11]
dccm_ctl.io.lsu_pic.picm_rd_data <= io.lsu_pic.picm_rd_data @[lsu.scala 196:14]
io.lsu_pic.picm_wr_data <= dccm_ctl.io.lsu_pic.picm_wr_data @[lsu.scala 196:14]
io.lsu_pic.picm_wraddr <= dccm_ctl.io.lsu_pic.picm_wraddr @[lsu.scala 196:14]
io.lsu_pic.picm_rdaddr <= dccm_ctl.io.lsu_pic.picm_rdaddr @[lsu.scala 196:14]
io.lsu_pic.picm_mken <= dccm_ctl.io.lsu_pic.picm_mken @[lsu.scala 196:14]
io.lsu_pic.picm_rden <= dccm_ctl.io.lsu_pic.picm_rden @[lsu.scala 196:14]
io.lsu_pic.picm_wren <= dccm_ctl.io.lsu_pic.picm_wren @[lsu.scala 196:14]
stbuf.io.lsu_c1_m_clk <= clkdomain.io.lsu_c1_m_clk @[lsu.scala 199:49]
stbuf.io.lsu_c1_r_clk <= clkdomain.io.lsu_c1_m_clk @[lsu.scala 200:48]
stbuf.io.lsu_stbuf_c1_clk <= clkdomain.io.lsu_stbuf_c1_clk @[lsu.scala 201:54]
stbuf.io.lsu_free_c2_clk <= clkdomain.io.lsu_free_c2_clk @[lsu.scala 202:54]
stbuf.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 203:48]
stbuf.io.lsu_pkt_m.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu.scala 203:48]
stbuf.io.lsu_pkt_m.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_d @[lsu.scala 203:48]
stbuf.io.lsu_pkt_m.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dma @[lsu.scala 203:48]
stbuf.io.lsu_pkt_m.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_m.bits.unsign @[lsu.scala 203:48]
stbuf.io.lsu_pkt_m.bits.store <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store @[lsu.scala 203:48]
stbuf.io.lsu_pkt_m.bits.load <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load @[lsu.scala 203:48]
stbuf.io.lsu_pkt_m.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dword @[lsu.scala 203:48]
stbuf.io.lsu_pkt_m.bits.word <= lsu_lsc_ctl.io.lsu_pkt_m.bits.word @[lsu.scala 203:48]
stbuf.io.lsu_pkt_m.bits.half <= lsu_lsc_ctl.io.lsu_pkt_m.bits.half @[lsu.scala 203:48]
stbuf.io.lsu_pkt_m.bits.by <= lsu_lsc_ctl.io.lsu_pkt_m.bits.by @[lsu.scala 203:48]
stbuf.io.lsu_pkt_m.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int @[lsu.scala 203:48]
stbuf.io.lsu_pkt_m.valid <= lsu_lsc_ctl.io.lsu_pkt_m.valid @[lsu.scala 203:48]
stbuf.io.lsu_pkt_r.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_m @[lsu.scala 204:48]
stbuf.io.lsu_pkt_r.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu.scala 204:48]
stbuf.io.lsu_pkt_r.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_d @[lsu.scala 204:48]
stbuf.io.lsu_pkt_r.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dma @[lsu.scala 204:48]
stbuf.io.lsu_pkt_r.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_r.bits.unsign @[lsu.scala 204:48]
stbuf.io.lsu_pkt_r.bits.store <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store @[lsu.scala 204:48]
stbuf.io.lsu_pkt_r.bits.load <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load @[lsu.scala 204:48]
stbuf.io.lsu_pkt_r.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dword @[lsu.scala 204:48]
stbuf.io.lsu_pkt_r.bits.word <= lsu_lsc_ctl.io.lsu_pkt_r.bits.word @[lsu.scala 204:48]
stbuf.io.lsu_pkt_r.bits.half <= lsu_lsc_ctl.io.lsu_pkt_r.bits.half @[lsu.scala 204:48]
stbuf.io.lsu_pkt_r.bits.by <= lsu_lsc_ctl.io.lsu_pkt_r.bits.by @[lsu.scala 204:48]
stbuf.io.lsu_pkt_r.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_r.bits.fast_int @[lsu.scala 204:48]
stbuf.io.lsu_pkt_r.valid <= lsu_lsc_ctl.io.lsu_pkt_r.valid @[lsu.scala 204:48]
stbuf.io.store_stbuf_reqvld_r <= store_stbuf_reqvld_r @[lsu.scala 205:48]
stbuf.io.lsu_commit_r <= lsu_lsc_ctl.io.lsu_commit_r @[lsu.scala 206:49]
stbuf.io.dec_lsu_valid_raw_d <= io.dec_lsu_valid_raw_d @[lsu.scala 207:49]
stbuf.io.store_data_hi_r <= dccm_ctl.io.store_data_hi_r @[lsu.scala 208:62]
stbuf.io.store_data_lo_r <= dccm_ctl.io.store_data_lo_r @[lsu.scala 209:62]
stbuf.io.store_datafn_hi_r <= dccm_ctl.io.store_datafn_hi_r @[lsu.scala 210:49]
stbuf.io.store_datafn_lo_r <= dccm_ctl.io.store_datafn_lo_r @[lsu.scala 211:56]
stbuf.io.lsu_stbuf_commit_any <= dccm_ctl.io.lsu_stbuf_commit_any @[lsu.scala 212:52]
stbuf.io.lsu_addr_d <= lsu_lsc_ctl.io.lsu_addr_d @[lsu.scala 213:64]
stbuf.io.lsu_addr_m <= lsu_lsc_ctl.io.lsu_addr_m @[lsu.scala 214:64]
stbuf.io.lsu_addr_r <= lsu_lsc_ctl.io.lsu_addr_r @[lsu.scala 215:64]
stbuf.io.end_addr_d <= lsu_lsc_ctl.io.end_addr_d @[lsu.scala 216:64]
stbuf.io.end_addr_m <= lsu_lsc_ctl.io.end_addr_m @[lsu.scala 217:64]
stbuf.io.end_addr_r <= lsu_lsc_ctl.io.end_addr_r @[lsu.scala 218:64]
stbuf.io.addr_in_dccm_m <= lsu_lsc_ctl.io.addr_in_dccm_m @[lsu.scala 219:49]
stbuf.io.addr_in_dccm_r <= lsu_lsc_ctl.io.addr_in_dccm_r @[lsu.scala 220:56]
stbuf.io.lsu_cmpen_m <= lsu_cmpen_m @[lsu.scala 221:54]
stbuf.io.scan_mode <= io.scan_mode @[lsu.scala 222:49]
ecc.io.lsu_c2_r_clk <= clkdomain.io.lsu_c2_r_clk @[lsu.scala 226:52]
ecc.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 227:52]
ecc.io.lsu_pkt_m.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu.scala 227:52]
ecc.io.lsu_pkt_m.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_d @[lsu.scala 227:52]
ecc.io.lsu_pkt_m.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dma @[lsu.scala 227:52]
ecc.io.lsu_pkt_m.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_m.bits.unsign @[lsu.scala 227:52]
ecc.io.lsu_pkt_m.bits.store <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store @[lsu.scala 227:52]
ecc.io.lsu_pkt_m.bits.load <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load @[lsu.scala 227:52]
ecc.io.lsu_pkt_m.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dword @[lsu.scala 227:52]
ecc.io.lsu_pkt_m.bits.word <= lsu_lsc_ctl.io.lsu_pkt_m.bits.word @[lsu.scala 227:52]
ecc.io.lsu_pkt_m.bits.half <= lsu_lsc_ctl.io.lsu_pkt_m.bits.half @[lsu.scala 227:52]
ecc.io.lsu_pkt_m.bits.by <= lsu_lsc_ctl.io.lsu_pkt_m.bits.by @[lsu.scala 227:52]
ecc.io.lsu_pkt_m.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int @[lsu.scala 227:52]
ecc.io.lsu_pkt_m.valid <= lsu_lsc_ctl.io.lsu_pkt_m.valid @[lsu.scala 227:52]
ecc.io.lsu_pkt_r.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_m @[lsu.scala 228:52]
ecc.io.lsu_pkt_r.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu.scala 228:52]
ecc.io.lsu_pkt_r.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_d @[lsu.scala 228:52]
ecc.io.lsu_pkt_r.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dma @[lsu.scala 228:52]
ecc.io.lsu_pkt_r.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_r.bits.unsign @[lsu.scala 228:52]
ecc.io.lsu_pkt_r.bits.store <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store @[lsu.scala 228:52]
ecc.io.lsu_pkt_r.bits.load <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load @[lsu.scala 228:52]
ecc.io.lsu_pkt_r.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dword @[lsu.scala 228:52]
ecc.io.lsu_pkt_r.bits.word <= lsu_lsc_ctl.io.lsu_pkt_r.bits.word @[lsu.scala 228:52]
ecc.io.lsu_pkt_r.bits.half <= lsu_lsc_ctl.io.lsu_pkt_r.bits.half @[lsu.scala 228:52]
ecc.io.lsu_pkt_r.bits.by <= lsu_lsc_ctl.io.lsu_pkt_r.bits.by @[lsu.scala 228:52]
ecc.io.lsu_pkt_r.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_r.bits.fast_int @[lsu.scala 228:52]
ecc.io.lsu_pkt_r.valid <= lsu_lsc_ctl.io.lsu_pkt_r.valid @[lsu.scala 228:52]
ecc.io.stbuf_data_any <= stbuf.io.stbuf_data_any @[lsu.scala 229:54]
ecc.io.dec_tlu_core_ecc_disable <= io.dec_tlu_core_ecc_disable @[lsu.scala 230:50]
ecc.io.lsu_dccm_rden_r <= dccm_ctl.io.lsu_dccm_rden_r @[lsu.scala 231:56]
ecc.io.addr_in_dccm_r <= lsu_lsc_ctl.io.addr_in_dccm_r @[lsu.scala 232:50]
ecc.io.lsu_addr_r <= lsu_lsc_ctl.io.lsu_addr_r @[lsu.scala 233:58]
ecc.io.end_addr_r <= lsu_lsc_ctl.io.end_addr_r @[lsu.scala 234:58]
ecc.io.lsu_addr_m <= lsu_lsc_ctl.io.lsu_addr_m @[lsu.scala 235:58]
ecc.io.end_addr_m <= lsu_lsc_ctl.io.end_addr_m @[lsu.scala 236:58]
ecc.io.dccm_rdata_hi_r <= dccm_ctl.io.dccm_rdata_hi_r @[lsu.scala 237:54]
ecc.io.dccm_rdata_lo_r <= dccm_ctl.io.dccm_rdata_lo_r @[lsu.scala 238:54]
ecc.io.dccm_rdata_hi_m <= dccm_ctl.io.dccm_rdata_hi_m @[lsu.scala 239:54]
ecc.io.dccm_rdata_lo_m <= dccm_ctl.io.dccm_rdata_lo_m @[lsu.scala 240:54]
ecc.io.dccm_data_ecc_hi_r <= dccm_ctl.io.dccm_data_ecc_hi_r @[lsu.scala 241:50]
ecc.io.dccm_data_ecc_lo_r <= dccm_ctl.io.dccm_data_ecc_lo_r @[lsu.scala 242:50]
ecc.io.dccm_data_ecc_hi_m <= dccm_ctl.io.dccm_data_ecc_hi_m @[lsu.scala 243:50]
ecc.io.dccm_data_ecc_lo_m <= dccm_ctl.io.dccm_data_ecc_lo_m @[lsu.scala 244:50]
ecc.io.ld_single_ecc_error_r <= dccm_ctl.io.ld_single_ecc_error_r @[lsu.scala 245:50]
ecc.io.ld_single_ecc_error_r_ff <= dccm_ctl.io.ld_single_ecc_error_r_ff @[lsu.scala 246:50]
ecc.io.lsu_dccm_rden_m <= dccm_ctl.io.lsu_dccm_rden_m @[lsu.scala 247:50]
ecc.io.addr_in_dccm_m <= lsu_lsc_ctl.io.addr_in_dccm_m @[lsu.scala 248:50]
ecc.io.dma_dccm_wen <= dma_dccm_wen @[lsu.scala 249:50]
ecc.io.dma_dccm_wdata_lo <= dma_dccm_wdata_lo @[lsu.scala 250:50]
ecc.io.dma_dccm_wdata_hi <= dma_dccm_wdata_hi @[lsu.scala 251:50]
ecc.io.scan_mode <= io.scan_mode @[lsu.scala 252:50]
trigger.io.trigger_pkt_any[0].tdata2 <= io.trigger_pkt_any[0].tdata2 @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[0].m <= io.trigger_pkt_any[0].m @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[0].execute <= io.trigger_pkt_any[0].execute @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[0].load <= io.trigger_pkt_any[0].load @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[0].store <= io.trigger_pkt_any[0].store @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[0].match_pkt <= io.trigger_pkt_any[0].match_pkt @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[0].select <= io.trigger_pkt_any[0].select @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[1].tdata2 <= io.trigger_pkt_any[1].tdata2 @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[1].m <= io.trigger_pkt_any[1].m @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[1].execute <= io.trigger_pkt_any[1].execute @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[1].load <= io.trigger_pkt_any[1].load @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[1].store <= io.trigger_pkt_any[1].store @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[1].match_pkt <= io.trigger_pkt_any[1].match_pkt @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[1].select <= io.trigger_pkt_any[1].select @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[2].tdata2 <= io.trigger_pkt_any[2].tdata2 @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[2].m <= io.trigger_pkt_any[2].m @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[2].execute <= io.trigger_pkt_any[2].execute @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[2].load <= io.trigger_pkt_any[2].load @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[2].store <= io.trigger_pkt_any[2].store @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[2].match_pkt <= io.trigger_pkt_any[2].match_pkt @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[2].select <= io.trigger_pkt_any[2].select @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[3].tdata2 <= io.trigger_pkt_any[3].tdata2 @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[3].m <= io.trigger_pkt_any[3].m @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[3].execute <= io.trigger_pkt_any[3].execute @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[3].load <= io.trigger_pkt_any[3].load @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[3].store <= io.trigger_pkt_any[3].store @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[3].match_pkt <= io.trigger_pkt_any[3].match_pkt @[lsu.scala 256:50]
trigger.io.trigger_pkt_any[3].select <= io.trigger_pkt_any[3].select @[lsu.scala 256:50]
trigger.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 257:50]
trigger.io.lsu_pkt_m.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu.scala 257:50]
trigger.io.lsu_pkt_m.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_d @[lsu.scala 257:50]
trigger.io.lsu_pkt_m.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dma @[lsu.scala 257:50]
trigger.io.lsu_pkt_m.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_m.bits.unsign @[lsu.scala 257:50]
trigger.io.lsu_pkt_m.bits.store <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store @[lsu.scala 257:50]
trigger.io.lsu_pkt_m.bits.load <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load @[lsu.scala 257:50]
trigger.io.lsu_pkt_m.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dword @[lsu.scala 257:50]
trigger.io.lsu_pkt_m.bits.word <= lsu_lsc_ctl.io.lsu_pkt_m.bits.word @[lsu.scala 257:50]
trigger.io.lsu_pkt_m.bits.half <= lsu_lsc_ctl.io.lsu_pkt_m.bits.half @[lsu.scala 257:50]
trigger.io.lsu_pkt_m.bits.by <= lsu_lsc_ctl.io.lsu_pkt_m.bits.by @[lsu.scala 257:50]
trigger.io.lsu_pkt_m.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int @[lsu.scala 257:50]
trigger.io.lsu_pkt_m.valid <= lsu_lsc_ctl.io.lsu_pkt_m.valid @[lsu.scala 257:50]
trigger.io.lsu_addr_m <= lsu_lsc_ctl.io.lsu_addr_m @[lsu.scala 258:50]
trigger.io.store_data_m <= lsu_lsc_ctl.io.store_data_m @[lsu.scala 259:50]
io.lsu_trigger_match_m <= trigger.io.lsu_trigger_match_m @[lsu.scala 261:50]
clkdomain.io.free_clk <= io.free_clk @[lsu.scala 265:50]
clkdomain.io.clk_override <= io.clk_override @[lsu.scala 266:50]
clkdomain.io.addr_in_dccm_m <= lsu_lsc_ctl.io.addr_in_dccm_m @[lsu.scala 267:50]
clkdomain.io.dma_dccm_req <= io.lsu_dma.dma_lsc_ctl.dma_dccm_req @[lsu.scala 268:50]
clkdomain.io.ldst_stbuf_reqvld_r <= stbuf.io.ldst_stbuf_reqvld_r @[lsu.scala 269:50]
clkdomain.io.stbuf_reqvld_any <= stbuf.io.stbuf_reqvld_any @[lsu.scala 270:50]
clkdomain.io.stbuf_reqvld_flushed_any <= stbuf.io.stbuf_reqvld_flushed_any @[lsu.scala 271:50]
clkdomain.io.lsu_busreq_r <= bus_intf.io.lsu_busreq_r @[lsu.scala 272:50]
clkdomain.io.lsu_bus_buffer_pend_any <= bus_intf.io.lsu_bus_buffer_pend_any @[lsu.scala 273:50]
clkdomain.io.lsu_bus_buffer_empty_any <= bus_intf.io.lsu_bus_buffer_empty_any @[lsu.scala 274:50]
clkdomain.io.lsu_stbuf_empty_any <= stbuf.io.lsu_stbuf_empty_any @[lsu.scala 275:50]
clkdomain.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[lsu.scala 276:50]
clkdomain.io.lsu_p.bits.store_data_bypass_m <= io.lsu_p.bits.store_data_bypass_m @[lsu.scala 277:50]
clkdomain.io.lsu_p.bits.load_ldst_bypass_d <= io.lsu_p.bits.load_ldst_bypass_d @[lsu.scala 277:50]
clkdomain.io.lsu_p.bits.store_data_bypass_d <= io.lsu_p.bits.store_data_bypass_d @[lsu.scala 277:50]
clkdomain.io.lsu_p.bits.dma <= io.lsu_p.bits.dma @[lsu.scala 277:50]
clkdomain.io.lsu_p.bits.unsign <= io.lsu_p.bits.unsign @[lsu.scala 277:50]
clkdomain.io.lsu_p.bits.store <= io.lsu_p.bits.store @[lsu.scala 277:50]
clkdomain.io.lsu_p.bits.load <= io.lsu_p.bits.load @[lsu.scala 277:50]
clkdomain.io.lsu_p.bits.dword <= io.lsu_p.bits.dword @[lsu.scala 277:50]
clkdomain.io.lsu_p.bits.word <= io.lsu_p.bits.word @[lsu.scala 277:50]
clkdomain.io.lsu_p.bits.half <= io.lsu_p.bits.half @[lsu.scala 277:50]
clkdomain.io.lsu_p.bits.by <= io.lsu_p.bits.by @[lsu.scala 277:50]
clkdomain.io.lsu_p.bits.fast_int <= io.lsu_p.bits.fast_int @[lsu.scala 277:50]
clkdomain.io.lsu_p.valid <= io.lsu_p.valid @[lsu.scala 277:50]
clkdomain.io.lsu_pkt_d.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_d.bits.store_data_bypass_m @[lsu.scala 278:50]
clkdomain.io.lsu_pkt_d.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_d.bits.load_ldst_bypass_d @[lsu.scala 278:50]
clkdomain.io.lsu_pkt_d.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_d.bits.store_data_bypass_d @[lsu.scala 278:50]
clkdomain.io.lsu_pkt_d.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_d.bits.dma @[lsu.scala 278:50]
clkdomain.io.lsu_pkt_d.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_d.bits.unsign @[lsu.scala 278:50]
clkdomain.io.lsu_pkt_d.bits.store <= lsu_lsc_ctl.io.lsu_pkt_d.bits.store @[lsu.scala 278:50]
clkdomain.io.lsu_pkt_d.bits.load <= lsu_lsc_ctl.io.lsu_pkt_d.bits.load @[lsu.scala 278:50]
clkdomain.io.lsu_pkt_d.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_d.bits.dword @[lsu.scala 278:50]
clkdomain.io.lsu_pkt_d.bits.word <= lsu_lsc_ctl.io.lsu_pkt_d.bits.word @[lsu.scala 278:50]
clkdomain.io.lsu_pkt_d.bits.half <= lsu_lsc_ctl.io.lsu_pkt_d.bits.half @[lsu.scala 278:50]
clkdomain.io.lsu_pkt_d.bits.by <= lsu_lsc_ctl.io.lsu_pkt_d.bits.by @[lsu.scala 278:50]
clkdomain.io.lsu_pkt_d.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_d.bits.fast_int @[lsu.scala 278:50]
clkdomain.io.lsu_pkt_d.valid <= lsu_lsc_ctl.io.lsu_pkt_d.valid @[lsu.scala 278:50]
clkdomain.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 279:50]
clkdomain.io.lsu_pkt_m.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu.scala 279:50]
clkdomain.io.lsu_pkt_m.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_d @[lsu.scala 279:50]
clkdomain.io.lsu_pkt_m.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dma @[lsu.scala 279:50]
clkdomain.io.lsu_pkt_m.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_m.bits.unsign @[lsu.scala 279:50]
clkdomain.io.lsu_pkt_m.bits.store <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store @[lsu.scala 279:50]
clkdomain.io.lsu_pkt_m.bits.load <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load @[lsu.scala 279:50]
clkdomain.io.lsu_pkt_m.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dword @[lsu.scala 279:50]
clkdomain.io.lsu_pkt_m.bits.word <= lsu_lsc_ctl.io.lsu_pkt_m.bits.word @[lsu.scala 279:50]
clkdomain.io.lsu_pkt_m.bits.half <= lsu_lsc_ctl.io.lsu_pkt_m.bits.half @[lsu.scala 279:50]
clkdomain.io.lsu_pkt_m.bits.by <= lsu_lsc_ctl.io.lsu_pkt_m.bits.by @[lsu.scala 279:50]
clkdomain.io.lsu_pkt_m.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int @[lsu.scala 279:50]
clkdomain.io.lsu_pkt_m.valid <= lsu_lsc_ctl.io.lsu_pkt_m.valid @[lsu.scala 279:50]
clkdomain.io.lsu_pkt_r.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_m @[lsu.scala 280:50]
clkdomain.io.lsu_pkt_r.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu.scala 280:50]
clkdomain.io.lsu_pkt_r.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_d @[lsu.scala 280:50]
clkdomain.io.lsu_pkt_r.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dma @[lsu.scala 280:50]
clkdomain.io.lsu_pkt_r.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_r.bits.unsign @[lsu.scala 280:50]
clkdomain.io.lsu_pkt_r.bits.store <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store @[lsu.scala 280:50]
clkdomain.io.lsu_pkt_r.bits.load <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load @[lsu.scala 280:50]
clkdomain.io.lsu_pkt_r.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dword @[lsu.scala 280:50]
clkdomain.io.lsu_pkt_r.bits.word <= lsu_lsc_ctl.io.lsu_pkt_r.bits.word @[lsu.scala 280:50]
clkdomain.io.lsu_pkt_r.bits.half <= lsu_lsc_ctl.io.lsu_pkt_r.bits.half @[lsu.scala 280:50]
clkdomain.io.lsu_pkt_r.bits.by <= lsu_lsc_ctl.io.lsu_pkt_r.bits.by @[lsu.scala 280:50]
clkdomain.io.lsu_pkt_r.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_r.bits.fast_int @[lsu.scala 280:50]
clkdomain.io.lsu_pkt_r.valid <= lsu_lsc_ctl.io.lsu_pkt_r.valid @[lsu.scala 280:50]
clkdomain.io.scan_mode <= io.scan_mode @[lsu.scala 281:50]
bus_intf.io.scan_mode <= io.scan_mode @[lsu.scala 285:49]
io.lsu_dec.tlu_busbuff.lsu_imprecise_error_addr_any <= bus_intf.io.tlu_busbuff.lsu_imprecise_error_addr_any @[lsu.scala 286:26]
io.lsu_dec.tlu_busbuff.lsu_imprecise_error_store_any <= bus_intf.io.tlu_busbuff.lsu_imprecise_error_store_any @[lsu.scala 286:26]
io.lsu_dec.tlu_busbuff.lsu_imprecise_error_load_any <= bus_intf.io.tlu_busbuff.lsu_imprecise_error_load_any @[lsu.scala 286:26]
bus_intf.io.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= io.lsu_dec.tlu_busbuff.dec_tlu_sideeffect_posted_disable @[lsu.scala 286:26]
bus_intf.io.tlu_busbuff.dec_tlu_wb_coalescing_disable <= io.lsu_dec.tlu_busbuff.dec_tlu_wb_coalescing_disable @[lsu.scala 286:26]
bus_intf.io.tlu_busbuff.dec_tlu_external_ldfwd_disable <= io.lsu_dec.tlu_busbuff.dec_tlu_external_ldfwd_disable @[lsu.scala 286:26]
io.lsu_dec.tlu_busbuff.lsu_pmu_bus_busy <= bus_intf.io.tlu_busbuff.lsu_pmu_bus_busy @[lsu.scala 286:26]
io.lsu_dec.tlu_busbuff.lsu_pmu_bus_error <= bus_intf.io.tlu_busbuff.lsu_pmu_bus_error @[lsu.scala 286:26]
io.lsu_dec.tlu_busbuff.lsu_pmu_bus_misaligned <= bus_intf.io.tlu_busbuff.lsu_pmu_bus_misaligned @[lsu.scala 286:26]
io.lsu_dec.tlu_busbuff.lsu_pmu_bus_trxn <= bus_intf.io.tlu_busbuff.lsu_pmu_bus_trxn @[lsu.scala 286:26]
bus_intf.io.lsu_c1_m_clk <= clkdomain.io.lsu_c1_m_clk @[lsu.scala 287:49]
bus_intf.io.lsu_c1_r_clk <= clkdomain.io.lsu_c1_r_clk @[lsu.scala 288:49]
bus_intf.io.lsu_c2_r_clk <= clkdomain.io.lsu_c2_r_clk @[lsu.scala 289:49]
bus_intf.io.lsu_bus_ibuf_c1_clk <= clkdomain.io.lsu_bus_ibuf_c1_clk @[lsu.scala 290:49]
bus_intf.io.lsu_bus_obuf_c1_clk <= clkdomain.io.lsu_bus_obuf_c1_clk @[lsu.scala 291:49]
bus_intf.io.lsu_bus_buf_c1_clk <= clkdomain.io.lsu_bus_buf_c1_clk @[lsu.scala 292:49]
bus_intf.io.lsu_free_c2_clk <= clkdomain.io.lsu_free_c2_clk @[lsu.scala 293:49]
bus_intf.io.free_clk <= io.free_clk @[lsu.scala 294:49]
bus_intf.io.lsu_busm_clk <= clkdomain.io.lsu_busm_clk @[lsu.scala 295:49]
bus_intf.io.dec_lsu_valid_raw_d <= io.dec_lsu_valid_raw_d @[lsu.scala 296:49]
bus_intf.io.lsu_busreq_m <= lsu_busreq_m @[lsu.scala 297:49]
bus_intf.io.lsu_addr_d <= lsu_lsc_ctl.io.lsu_addr_d @[lsu.scala 298:49]
bus_intf.io.lsu_addr_m <= lsu_lsc_ctl.io.lsu_addr_m @[lsu.scala 299:49]
bus_intf.io.lsu_addr_r <= lsu_lsc_ctl.io.lsu_addr_r @[lsu.scala 300:49]
bus_intf.io.end_addr_d <= lsu_lsc_ctl.io.end_addr_d @[lsu.scala 301:49]
bus_intf.io.end_addr_m <= lsu_lsc_ctl.io.end_addr_m @[lsu.scala 302:49]
bus_intf.io.end_addr_r <= lsu_lsc_ctl.io.end_addr_r @[lsu.scala 303:49]
bus_intf.io.store_data_r <= dccm_ctl.io.store_data_r @[lsu.scala 304:49]
bus_intf.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 305:49]
bus_intf.io.lsu_pkt_m.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu.scala 305:49]
bus_intf.io.lsu_pkt_m.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_d @[lsu.scala 305:49]
bus_intf.io.lsu_pkt_m.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dma @[lsu.scala 305:49]
bus_intf.io.lsu_pkt_m.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_m.bits.unsign @[lsu.scala 305:49]
bus_intf.io.lsu_pkt_m.bits.store <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store @[lsu.scala 305:49]
bus_intf.io.lsu_pkt_m.bits.load <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load @[lsu.scala 305:49]
bus_intf.io.lsu_pkt_m.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dword @[lsu.scala 305:49]
bus_intf.io.lsu_pkt_m.bits.word <= lsu_lsc_ctl.io.lsu_pkt_m.bits.word @[lsu.scala 305:49]
bus_intf.io.lsu_pkt_m.bits.half <= lsu_lsc_ctl.io.lsu_pkt_m.bits.half @[lsu.scala 305:49]
bus_intf.io.lsu_pkt_m.bits.by <= lsu_lsc_ctl.io.lsu_pkt_m.bits.by @[lsu.scala 305:49]
bus_intf.io.lsu_pkt_m.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int @[lsu.scala 305:49]
bus_intf.io.lsu_pkt_m.valid <= lsu_lsc_ctl.io.lsu_pkt_m.valid @[lsu.scala 305:49]
bus_intf.io.lsu_pkt_r.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_m @[lsu.scala 306:49]
bus_intf.io.lsu_pkt_r.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu.scala 306:49]
bus_intf.io.lsu_pkt_r.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_d @[lsu.scala 306:49]
bus_intf.io.lsu_pkt_r.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dma @[lsu.scala 306:49]
bus_intf.io.lsu_pkt_r.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_r.bits.unsign @[lsu.scala 306:49]
bus_intf.io.lsu_pkt_r.bits.store <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store @[lsu.scala 306:49]
bus_intf.io.lsu_pkt_r.bits.load <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load @[lsu.scala 306:49]
bus_intf.io.lsu_pkt_r.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dword @[lsu.scala 306:49]
bus_intf.io.lsu_pkt_r.bits.word <= lsu_lsc_ctl.io.lsu_pkt_r.bits.word @[lsu.scala 306:49]
bus_intf.io.lsu_pkt_r.bits.half <= lsu_lsc_ctl.io.lsu_pkt_r.bits.half @[lsu.scala 306:49]
bus_intf.io.lsu_pkt_r.bits.by <= lsu_lsc_ctl.io.lsu_pkt_r.bits.by @[lsu.scala 306:49]
bus_intf.io.lsu_pkt_r.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_r.bits.fast_int @[lsu.scala 306:49]
bus_intf.io.lsu_pkt_r.valid <= lsu_lsc_ctl.io.lsu_pkt_r.valid @[lsu.scala 306:49]
bus_intf.io.dec_tlu_force_halt <= io.dec_tlu_force_halt @[lsu.scala 307:49]
bus_intf.io.lsu_commit_r <= lsu_lsc_ctl.io.lsu_commit_r @[lsu.scala 308:49]
bus_intf.io.is_sideeffects_m <= lsu_lsc_ctl.io.is_sideeffects_m @[lsu.scala 309:49]
bus_intf.io.flush_m_up <= io.dec_tlu_flush_lower_r @[lsu.scala 310:49]
bus_intf.io.flush_r <= io.dec_tlu_i0_kill_writeb_r @[lsu.scala 311:49]
io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_data @[lsu.scala 313:27]
io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_tag <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_data_tag @[lsu.scala 313:27]
io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_error <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_data_error @[lsu.scala 313:27]
io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_valid <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_data_valid @[lsu.scala 313:27]
io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_inv_tag_r @[lsu.scala 313:27]
io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_r <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_inv_r @[lsu.scala 313:27]
io.lsu_dec.dctl_busbuff.lsu_nonblock_load_tag_m <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_tag_m @[lsu.scala 313:27]
io.lsu_dec.dctl_busbuff.lsu_nonblock_load_valid_m <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu.scala 313:27]
bus_intf.io.axi.r.bits.last <= io.axi.r.bits.last @[lsu.scala 314:49]
bus_intf.io.axi.r.bits.resp <= io.axi.r.bits.resp @[lsu.scala 314:49]
bus_intf.io.axi.r.bits.data <= io.axi.r.bits.data @[lsu.scala 314:49]
bus_intf.io.axi.r.bits.id <= io.axi.r.bits.id @[lsu.scala 314:49]
bus_intf.io.axi.r.valid <= io.axi.r.valid @[lsu.scala 314:49]
io.axi.r.ready <= bus_intf.io.axi.r.ready @[lsu.scala 314:49]
io.axi.ar.bits.qos <= bus_intf.io.axi.ar.bits.qos @[lsu.scala 314:49]
io.axi.ar.bits.prot <= bus_intf.io.axi.ar.bits.prot @[lsu.scala 314:49]
io.axi.ar.bits.cache <= bus_intf.io.axi.ar.bits.cache @[lsu.scala 314:49]
io.axi.ar.bits.lock <= bus_intf.io.axi.ar.bits.lock @[lsu.scala 314:49]
io.axi.ar.bits.burst <= bus_intf.io.axi.ar.bits.burst @[lsu.scala 314:49]
io.axi.ar.bits.size <= bus_intf.io.axi.ar.bits.size @[lsu.scala 314:49]
io.axi.ar.bits.len <= bus_intf.io.axi.ar.bits.len @[lsu.scala 314:49]
io.axi.ar.bits.region <= bus_intf.io.axi.ar.bits.region @[lsu.scala 314:49]
io.axi.ar.bits.addr <= bus_intf.io.axi.ar.bits.addr @[lsu.scala 314:49]
io.axi.ar.bits.id <= bus_intf.io.axi.ar.bits.id @[lsu.scala 314:49]
io.axi.ar.valid <= bus_intf.io.axi.ar.valid @[lsu.scala 314:49]
bus_intf.io.axi.ar.ready <= io.axi.ar.ready @[lsu.scala 314:49]
bus_intf.io.axi.b.bits.id <= io.axi.b.bits.id @[lsu.scala 314:49]
bus_intf.io.axi.b.bits.resp <= io.axi.b.bits.resp @[lsu.scala 314:49]
bus_intf.io.axi.b.valid <= io.axi.b.valid @[lsu.scala 314:49]
io.axi.b.ready <= bus_intf.io.axi.b.ready @[lsu.scala 314:49]
io.axi.w.bits.last <= bus_intf.io.axi.w.bits.last @[lsu.scala 314:49]
io.axi.w.bits.strb <= bus_intf.io.axi.w.bits.strb @[lsu.scala 314:49]
io.axi.w.bits.data <= bus_intf.io.axi.w.bits.data @[lsu.scala 314:49]
io.axi.w.valid <= bus_intf.io.axi.w.valid @[lsu.scala 314:49]
bus_intf.io.axi.w.ready <= io.axi.w.ready @[lsu.scala 314:49]
io.axi.aw.bits.qos <= bus_intf.io.axi.aw.bits.qos @[lsu.scala 314:49]
io.axi.aw.bits.prot <= bus_intf.io.axi.aw.bits.prot @[lsu.scala 314:49]
io.axi.aw.bits.cache <= bus_intf.io.axi.aw.bits.cache @[lsu.scala 314:49]
io.axi.aw.bits.lock <= bus_intf.io.axi.aw.bits.lock @[lsu.scala 314:49]
io.axi.aw.bits.burst <= bus_intf.io.axi.aw.bits.burst @[lsu.scala 314:49]
io.axi.aw.bits.size <= bus_intf.io.axi.aw.bits.size @[lsu.scala 314:49]
io.axi.aw.bits.len <= bus_intf.io.axi.aw.bits.len @[lsu.scala 314:49]
io.axi.aw.bits.region <= bus_intf.io.axi.aw.bits.region @[lsu.scala 314:49]
io.axi.aw.bits.addr <= bus_intf.io.axi.aw.bits.addr @[lsu.scala 314:49]
io.axi.aw.bits.id <= bus_intf.io.axi.aw.bits.id @[lsu.scala 314:49]
io.axi.aw.valid <= bus_intf.io.axi.aw.valid @[lsu.scala 314:49]
bus_intf.io.axi.aw.ready <= io.axi.aw.ready @[lsu.scala 314:49]
bus_intf.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[lsu.scala 315:49]
reg _T_52 : UInt, clkdomain.io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu.scala 317:67]
_T_52 <= io.lsu_dma.dma_mem_tag @[lsu.scala 317:67]
dma_mem_tag_m <= _T_52 @[lsu.scala 317:57]
reg _T_53 : UInt<1>, clkdomain.io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu.scala 318:67]
_T_53 <= lsu_raw_fwd_hi_m @[lsu.scala 318:67]
lsu_raw_fwd_hi_r <= _T_53 @[lsu.scala 318:57]
reg _T_54 : UInt<1>, clkdomain.io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu.scala 319:67]
_T_54 <= lsu_raw_fwd_lo_m @[lsu.scala 319:67]
lsu_raw_fwd_lo_r <= _T_54 @[lsu.scala 319:57]