quasar/README.md

182 lines
8.0 KiB
Markdown
Raw Normal View History

2020-12-03 14:44:02 +08:00
# Quasar RISC-V Core from Lampro Mellon
2020-09-04 14:17:16 +08:00
2020-12-03 14:38:27 +08:00
This repository contains the Quasar Core design in CHISEL.
2020-09-04 14:17:16 +08:00
2020-11-12 00:42:50 +08:00
## Background
2020-09-04 14:17:16 +08:00
2020-12-03 14:44:02 +08:00
Quasar is a Chiselified version of EL2 SweRV RISC-V Core.
2020-09-04 14:17:16 +08:00
2020-09-07 17:44:14 +08:00
## Directory Structure
2020-09-04 14:17:16 +08:00
2020-12-03 14:38:27 +08:00
├── project
│ ├── project
│ └── target
2020-11-12 00:40:28 +08:00
├── src
2020-12-03 13:51:26 +08:00
│ ├── main
│ ├── resource
│ └── vsrc # Blackbox files
│ └── scala # Design root dir
│ ├── dbg # Debugger
│ ├── dec # Decode, Registers and Exceptions
│ ├── dmi # DMI block
│ ├── exu # EXU (ALU/MUL/DIV)
│ ├── ifu # Fetch & Branch Prediction
│ ├── include # Bundles file
│ ├── lib # Bridges and Libraries
│ ├── lsu # Load/Store
│ ├── snapshot # Configurations Dir
2020-12-03 13:29:41 +08:00
│ ├── el2_dma_ctrl.scala #
│ ├── el2_pic_ctl.scala #
│ └── el2_swerv.scala #
2020-12-03 14:38:27 +08:00
│ └── test
│ └── scala
│ └── lib
2020-12-03 15:40:51 +08:00
├── Docs # Spec. document
2020-12-03 13:51:26 +08:00
├── rtl # Chisel generated verilog
│ ├── ***** #
2020-12-03 13:29:41 +08:00
│ └── ***** #
2020-12-03 14:38:27 +08:00
├── target
│ ├── scala-2.12
│ └── streams
├── test_run_dir
2020-12-03 13:29:41 +08:00
└── build.sbt # Scala-based DSL
2020-09-04 14:17:16 +08:00
2020-11-12 00:40:28 +08:00
## Dependencies
2020-12-03 15:40:51 +08:00
- Verilator **(4.030 or later)** must be installed on the system if running with verilator.
2020-11-12 00:40:28 +08:00
- RISCV tool chain (based on gcc version 7.3 or higher) must be
installed so that it can be used to prepare RISCV binaries to run.
2020-12-03 13:29:41 +08:00
- Sbt **(1.3.13 or later)** must be installed on the system.
2020-11-12 00:40:28 +08:00
## Quickstart guide
1. Clone the repository
2. Setup RV_ROOT to point to the path in your local filesystem
3. Determine your configuration {optional}
2020-12-03 18:57:11 +08:00
4. Run make with Makefile
2020-11-12 00:40:28 +08:00
2020-12-03 14:38:27 +08:00
## Release Notes for this version
Please see [release notes](release-notes.md) for changes and bug fixes in this version of Quasar.
2020-11-12 00:40:28 +08:00
### Configurations
2020-12-03 15:40:51 +08:00
Quasar can be configured by running the `****************************` script:
`% ****************************` for detailed help options
For example to build with a DCCM of size 64 Kb:
`% *******************************`
This will update the **default** snapshot in $RV_ROOT/configs/snapshots/default/ with parameters for a 64K DCCM.
Add `-snapshot=dccm64`, for example, if you wish to name your build snapshot *dccm64* and refer to it during the build.
There are 4 predefined target configurations: `default`, `default_mt`, `typical_pd` and `high_perf` that can be selected via
the `-target=name` option to swerv.config.
This script derives the following consistent set of include files :
$RV_ROOT/configs/snapshots/default
├── common_defines.vh # `defines for testbench or design
2020-12-03 18:57:11 +08:00
├── defines.h # defines for C/assembly headers
2020-12-03 15:40:51 +08:00
├── eh2_param.vh # Design parameters
├── eh2_pdef.vh # Parameter structure
├── pd_defines.vh # `defines for physical design
├── perl_configs.pl # Perl %configs hash for scripting
├── pic_map_auto.h # PIC memory map based on configure size
└── whisper.json # JSON file for swerv-iss
2020-11-12 00:40:28 +08:00
### Building a model
while in a work directory:
2020-12-03 18:57:11 +08:00
##### 1. Set the RV_ROOT environment variable to the root of the Quasar directory structure.
2020-11-12 00:40:28 +08:00
Example for bash shell:
2020-12-03 18:57:11 +08:00
`export RV_ROOT=/path/to/quasar`
2020-11-12 00:40:28 +08:00
Example for csh or its derivatives:
2020-12-03 18:57:11 +08:00
`setenv RV_ROOT /path/to/quasar`
2020-11-12 00:40:28 +08:00
2020-12-03 18:57:11 +08:00
##### 2. Create your specific configuration
*(Skip if default is sufficient)*
*(Name your snapshot to distinguish it from the default. Without an explicit name, it will update/override the __default__ snapshot)*. For example, if `mybuild` is the name for the snapshot:
set BUILD_PATH environment variable:
`setenv BUILD_PATH snapshots/mybuild`
2020-11-12 00:40:28 +08:00
2020-12-03 18:57:11 +08:00
`$RV_ROOT/configs/swerv.config [configuration options..] -snapshot=mybuild`
2020-11-12 00:40:28 +08:00
2020-12-03 18:57:11 +08:00
Snapshots are placed in `$BUILD_PATH` directory
2020-11-12 00:40:28 +08:00
2020-12-03 18:57:11 +08:00
##### 3. Running a simple Hello World program (verilator)
`make -f $RV_ROOT/Makefile`
This command will build a verilator model of Quasar with AXI bus, and
execute a short sequence of instructions that writes out "HELLO WORLD"
to the bus.
2020-11-12 00:40:28 +08:00
The simulation produces output on the screen like:
2020-12-03 18:57:11 +08:00
`***********************************************************************`
2020-11-12 00:40:28 +08:00
2020-12-03 18:57:11 +08:00
The simulation generates following files:
2020-11-12 00:40:28 +08:00
2020-12-03 18:57:11 +08:00
`console.log` contains what the cpu writes to the console address of 0xd0580000.
`exec.log` shows instruction trace with GPR updates.
`trace_port.csv` contains a log of the trace port.
When `debug=1` is provided, a vcd file `sim.vcd` is created and can be browsed by gtkwave or similar waveform viewers.
2020-11-12 00:40:28 +08:00
2020-12-03 18:57:11 +08:00
You can re-execute simulation using:
`make -f $RV_ROOT/Makefile verilator`
2020-11-12 00:40:28 +08:00
The simulation run/build command has following generic form:
2020-12-03 18:57:11 +08:00
make -f $RV_ROOT/tools/Makefile [<simulator>] [debug=1] [snapshot=mybuild] [target=<target>] [TEST=<test>] [TEST_DIR=<path_to_test_dir>]
where:
```
<simulator> - can be 'verilator' (by default) 'irun' - Cadence xrun, 'vcs' - Synopsys VCS, 'vlog' Mentor Questa
'riviera'- Aldec Riviera-PRO. if not provided, 'make' cleans work directory, builds verilator executable and runs a test.
debug=1 - allows VCD generation for verilator and VCS and SHM waves for irun option.
<target> - predefined CPU configurations 'default' ( by default), 'default_ahb', 'typical_pd', 'high_perf'
TEST - allows to run a C (<test>.c) or assembly (<test>.s) test, hello_world is run by default
TEST_DIR - alternative to test source directory testbench/asm or testbench/tests
<snapshot> - run and build executable model of custom CPU configuration, remember to provide 'snapshot' argument
for runs on custom configurations.
CONF_PARAMS - allows to provide -set options to swerv.conf script to alter predefined EL2 targets parameters
```
Example:
make -f $RV_ROOT/Makefile verilator TEST=cmark
2020-11-12 00:40:28 +08:00
2020-12-03 18:57:11 +08:00
will build and simulate testbench/asm/cmark.c program with verilator
2020-11-12 00:40:28 +08:00
If you want to compile a test only, you can run:
2020-12-03 18:57:11 +08:00
make -f $RV_ROOT/Makefile program.hex TEST=<test> [TEST_DIR=/path/to/dir]
2020-11-12 00:40:28 +08:00
2020-12-03 18:57:11 +08:00
The `$RV_ROOT/testbench/asm` directory contains following tests ready to simulate:
2020-11-12 00:40:28 +08:00
```
hello_world - default tes to run, prints Hello World message to screen and console.log
hello_world_dccm - the same as above, but takes the string from preloaded DCCM.
hello_world_iccm - the same as hello_world, but loads the test code to ICCM via LSU to DMA bridge and then executes
it from there. Runs on EL2 with AXI4 buses only.
cmark - coremark benchmark running with code and data in external memories
cmark_dccm - the same as above, running data and stack from DCCM (faster)
cmark_iccm - the same as above with preloaded code to ICCM.
```
2020-12-03 18:57:11 +08:00
The `$RV_ROOT/testbench/hex` directory contains precompiled hex files of the tests, ready for simulation in case RISCV SW tools are not installed.
**Note**: The testbench has a simple synthesizable bridge that allows you to load the ICCM via load/store instructions. This is only supported for AXI4 builds.