quasar/el2_ifu_bp_ctl.v

10 lines
229 B
Coq
Raw Normal View History

2020-09-07 16:27:29 +08:00
module el2_ifu_bp_ctl(
input clock,
input reset,
input [31:0] io_in,
2020-09-08 13:00:45 +08:00
input [31:0] io_in2,
output [7:0] io_out
2020-09-07 16:27:29 +08:00
);
2020-09-08 13:00:45 +08:00
assign io_out = io_in[9:2] ^ io_in2[7:0]; // @[el2_ifu_bp_ctl.scala 13:10]
2020-09-07 16:27:29 +08:00
endmodule