quasar/TEC_RV_ICG.v

14 lines
245 B
Coq
Raw Normal View History

2020-11-11 17:36:58 +08:00
module TEC_RV_ICG(
(
input logic SE, EN, CK,
output Q
);
logic en_ff;
logic enable;
assign enable = EN | SE;
always @(CK, enable) begin
if(!CK)
en_ff = enable;
end
assign Q = CK & en_ff;
endmodule