From 026787ea2564f6d4c449d814c098172ec34189b0 Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Mon, 28 Sep 2020 11:26:48 +0500 Subject: [PATCH] Compressed --- el2_ifu_compress_ctl.fir | 1290 ++++++++--------- el2_ifu_compress_ctl.v | 391 ++--- src/main/scala/ifu/el2_ifu_compress_ctl.scala | 10 +- .../classes/ifu/el2_ifu_compress_ctl.class | Bin 99522 -> 99166 bytes 4 files changed, 829 insertions(+), 862 deletions(-) diff --git a/el2_ifu_compress_ctl.fir b/el2_ifu_compress_ctl.fir index 0f582869..5a8a73ad 100644 --- a/el2_ifu_compress_ctl.fir +++ b/el2_ifu_compress_ctl.fir @@ -1245,683 +1245,649 @@ circuit el2_ifu_compress_ctl : node _T_1168 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:71] node _T_1169 = and(_T_1166, _T_1167) @[el2_ifu_compress_ctl.scala 20:110] node uswspimm7_2 = and(_T_1169, _T_1168) @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1170 : UInt<1>[7] @[el2_ifu_compress_ctl.scala 103:21] - _T_1170[0] <= out[6] @[el2_ifu_compress_ctl.scala 103:21] - _T_1170[1] <= out[5] @[el2_ifu_compress_ctl.scala 103:21] - _T_1170[2] <= out[4] @[el2_ifu_compress_ctl.scala 103:21] - _T_1170[3] <= out[3] @[el2_ifu_compress_ctl.scala 103:21] - _T_1170[4] <= out[2] @[el2_ifu_compress_ctl.scala 103:21] - _T_1170[5] <= out[1] @[el2_ifu_compress_ctl.scala 103:21] - _T_1170[6] <= out[0] @[el2_ifu_compress_ctl.scala 103:21] - node _T_1171 = cat(_T_1170[2], _T_1170[1]) @[el2_ifu_compress_ctl.scala 103:78] - node _T_1172 = cat(_T_1171, _T_1170[0]) @[el2_ifu_compress_ctl.scala 103:78] - node _T_1173 = cat(_T_1170[4], _T_1170[3]) @[el2_ifu_compress_ctl.scala 103:78] - node _T_1174 = cat(_T_1170[6], _T_1170[5]) @[el2_ifu_compress_ctl.scala 103:78] - node _T_1175 = cat(_T_1174, _T_1173) @[el2_ifu_compress_ctl.scala 103:78] - node l1_6 = cat(_T_1175, _T_1172) @[el2_ifu_compress_ctl.scala 103:78] - wire _T_1176 : UInt<1>[5] @[el2_ifu_compress_ctl.scala 104:22] - _T_1176[0] <= out[11] @[el2_ifu_compress_ctl.scala 104:22] - _T_1176[1] <= out[10] @[el2_ifu_compress_ctl.scala 104:22] - _T_1176[2] <= out[9] @[el2_ifu_compress_ctl.scala 104:22] - _T_1176[3] <= out[8] @[el2_ifu_compress_ctl.scala 104:22] - _T_1176[4] <= out[7] @[el2_ifu_compress_ctl.scala 104:22] - node _T_1177 = cat(_T_1176[1], _T_1176[0]) @[el2_ifu_compress_ctl.scala 104:61] - node _T_1178 = cat(_T_1176[4], _T_1176[3]) @[el2_ifu_compress_ctl.scala 104:61] - node _T_1179 = cat(_T_1178, _T_1176[2]) @[el2_ifu_compress_ctl.scala 104:61] - node _T_1180 = cat(_T_1179, _T_1177) @[el2_ifu_compress_ctl.scala 104:61] - node _T_1181 = bits(rdrd, 0, 0) @[el2_ifu_compress_ctl.scala 104:85] - node _T_1182 = bits(rdprd, 0, 0) @[el2_ifu_compress_ctl.scala 105:9] - node _T_1183 = bits(rs2prd, 0, 0) @[el2_ifu_compress_ctl.scala 105:30] - node _T_1184 = bits(rdeq1, 0, 0) @[el2_ifu_compress_ctl.scala 105:51] - node _T_1185 = bits(rdeq2, 0, 0) @[el2_ifu_compress_ctl.scala 105:75] - node _T_1186 = mux(_T_1181, rdd, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1187 = mux(_T_1182, rdpd, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1188 = mux(_T_1183, rs2pd, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1189 = mux(_T_1184, UInt<5>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1190 = mux(_T_1185, UInt<5>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1191 = or(_T_1186, _T_1187) @[Mux.scala 27:72] + node _T_1170 = cat(out[2], out[1]) @[Cat.scala 29:58] + node _T_1171 = cat(_T_1170, out[0]) @[Cat.scala 29:58] + node _T_1172 = cat(out[4], out[3]) @[Cat.scala 29:58] + node _T_1173 = cat(out[6], out[5]) @[Cat.scala 29:58] + node _T_1174 = cat(_T_1173, _T_1172) @[Cat.scala 29:58] + node l1_6 = cat(_T_1174, _T_1171) @[Cat.scala 29:58] + node _T_1175 = cat(out[8], out[7]) @[Cat.scala 29:58] + node _T_1176 = cat(out[11], out[10]) @[Cat.scala 29:58] + node _T_1177 = cat(_T_1176, out[9]) @[Cat.scala 29:58] + node _T_1178 = cat(_T_1177, _T_1175) @[Cat.scala 29:58] + node _T_1179 = bits(rdrd, 0, 0) @[el2_ifu_compress_ctl.scala 104:81] + node _T_1180 = bits(rdprd, 0, 0) @[el2_ifu_compress_ctl.scala 105:9] + node _T_1181 = bits(rs2prd, 0, 0) @[el2_ifu_compress_ctl.scala 105:30] + node _T_1182 = bits(rdeq1, 0, 0) @[el2_ifu_compress_ctl.scala 105:51] + node _T_1183 = bits(rdeq2, 0, 0) @[el2_ifu_compress_ctl.scala 105:75] + node _T_1184 = mux(_T_1179, rdd, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1185 = mux(_T_1180, rdpd, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1186 = mux(_T_1181, rs2pd, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1187 = mux(_T_1182, UInt<5>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1188 = mux(_T_1183, UInt<5>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1189 = or(_T_1184, _T_1185) @[Mux.scala 27:72] + node _T_1190 = or(_T_1189, _T_1186) @[Mux.scala 27:72] + node _T_1191 = or(_T_1190, _T_1187) @[Mux.scala 27:72] node _T_1192 = or(_T_1191, _T_1188) @[Mux.scala 27:72] - node _T_1193 = or(_T_1192, _T_1189) @[Mux.scala 27:72] - node _T_1194 = or(_T_1193, _T_1190) @[Mux.scala 27:72] - wire _T_1195 : UInt<5> @[Mux.scala 27:72] - _T_1195 <= _T_1194 @[Mux.scala 27:72] - node l1_11 = or(_T_1180, _T_1195) @[el2_ifu_compress_ctl.scala 104:68] - node _T_1196 = cat(out[14], out[13]) @[Cat.scala 29:58] - node l1_14 = cat(_T_1196, out[12]) @[Cat.scala 29:58] - wire _T_1197 : UInt<1>[5] @[el2_ifu_compress_ctl.scala 108:22] - _T_1197[0] <= out[19] @[el2_ifu_compress_ctl.scala 108:22] - _T_1197[1] <= out[18] @[el2_ifu_compress_ctl.scala 108:22] - _T_1197[2] <= out[17] @[el2_ifu_compress_ctl.scala 108:22] - _T_1197[3] <= out[16] @[el2_ifu_compress_ctl.scala 108:22] - _T_1197[4] <= out[15] @[el2_ifu_compress_ctl.scala 108:22] - node _T_1198 = cat(_T_1197[1], _T_1197[0]) @[el2_ifu_compress_ctl.scala 108:64] - node _T_1199 = cat(_T_1197[4], _T_1197[3]) @[el2_ifu_compress_ctl.scala 108:64] - node _T_1200 = cat(_T_1199, _T_1197[2]) @[el2_ifu_compress_ctl.scala 108:64] - node _T_1201 = cat(_T_1200, _T_1198) @[el2_ifu_compress_ctl.scala 108:64] - node _T_1202 = bits(rdrs1, 0, 0) @[el2_ifu_compress_ctl.scala 108:89] - node _T_1203 = bits(rdprs1, 0, 0) @[el2_ifu_compress_ctl.scala 109:12] - node _T_1204 = bits(rs1eq2, 0, 0) @[el2_ifu_compress_ctl.scala 109:33] - node _T_1205 = mux(_T_1202, rdd, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1206 = mux(_T_1203, rdpd, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1207 = mux(_T_1204, UInt<5>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1208 = or(_T_1205, _T_1206) @[Mux.scala 27:72] - node _T_1209 = or(_T_1208, _T_1207) @[Mux.scala 27:72] - wire _T_1210 : UInt<5> @[Mux.scala 27:72] - _T_1210 <= _T_1209 @[Mux.scala 27:72] - node l1_19 = or(_T_1201, _T_1210) @[el2_ifu_compress_ctl.scala 108:71] - wire _T_1211 : UInt<1>[5] @[el2_ifu_compress_ctl.scala 110:22] - _T_1211[0] <= out[24] @[el2_ifu_compress_ctl.scala 110:22] - _T_1211[1] <= out[23] @[el2_ifu_compress_ctl.scala 110:22] - _T_1211[2] <= out[22] @[el2_ifu_compress_ctl.scala 110:22] - _T_1211[3] <= out[21] @[el2_ifu_compress_ctl.scala 110:22] - _T_1211[4] <= out[20] @[el2_ifu_compress_ctl.scala 110:22] - node _T_1212 = cat(_T_1211[1], _T_1211[0]) @[el2_ifu_compress_ctl.scala 110:64] - node _T_1213 = cat(_T_1211[4], _T_1211[3]) @[el2_ifu_compress_ctl.scala 110:64] - node _T_1214 = cat(_T_1213, _T_1211[2]) @[el2_ifu_compress_ctl.scala 110:64] - node _T_1215 = cat(_T_1214, _T_1212) @[el2_ifu_compress_ctl.scala 110:64] - node _T_1216 = bits(rs2rs2, 0, 0) @[el2_ifu_compress_ctl.scala 110:90] - node _T_1217 = bits(rs2prs2, 0, 0) @[el2_ifu_compress_ctl.scala 111:13] - node _T_1218 = mux(_T_1216, rs2d, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1219 = mux(_T_1217, rs2pd, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1220 = or(_T_1218, _T_1219) @[Mux.scala 27:72] - wire _T_1221 : UInt<5> @[Mux.scala 27:72] - _T_1221 <= _T_1220 @[Mux.scala 27:72] - node l1_24 = or(_T_1215, _T_1221) @[el2_ifu_compress_ctl.scala 110:71] - wire _T_1222 : UInt<1>[7] @[el2_ifu_compress_ctl.scala 112:22] - _T_1222[0] <= out[31] @[el2_ifu_compress_ctl.scala 112:22] - _T_1222[1] <= out[30] @[el2_ifu_compress_ctl.scala 112:22] - _T_1222[2] <= out[29] @[el2_ifu_compress_ctl.scala 112:22] - _T_1222[3] <= out[28] @[el2_ifu_compress_ctl.scala 112:22] - _T_1222[4] <= out[27] @[el2_ifu_compress_ctl.scala 112:22] - _T_1222[5] <= out[26] @[el2_ifu_compress_ctl.scala 112:22] - _T_1222[6] <= out[25] @[el2_ifu_compress_ctl.scala 112:22] - node _T_1223 = cat(_T_1222[2], _T_1222[1]) @[el2_ifu_compress_ctl.scala 112:80] - node _T_1224 = cat(_T_1223, _T_1222[0]) @[el2_ifu_compress_ctl.scala 112:80] - node _T_1225 = cat(_T_1222[4], _T_1222[3]) @[el2_ifu_compress_ctl.scala 112:80] - node _T_1226 = cat(_T_1222[6], _T_1222[5]) @[el2_ifu_compress_ctl.scala 112:80] - node _T_1227 = cat(_T_1226, _T_1225) @[el2_ifu_compress_ctl.scala 112:80] - node l1_31 = cat(_T_1227, _T_1224) @[el2_ifu_compress_ctl.scala 112:80] - node _T_1228 = cat(l1_14, l1_11) @[Cat.scala 29:58] - node _T_1229 = cat(_T_1228, l1_6) @[Cat.scala 29:58] - node _T_1230 = cat(l1_31, l1_24) @[Cat.scala 29:58] - node _T_1231 = cat(_T_1230, l1_19) @[Cat.scala 29:58] - node l1 = cat(_T_1231, _T_1229) @[Cat.scala 29:58] - node _T_1232 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 115:26] - node _T_1233 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 115:38] - node simm5d = cat(_T_1232, _T_1233) @[Cat.scala 29:58] - node _T_1234 = bits(io.din, 10, 7) @[el2_ifu_compress_ctl.scala 116:26] - node _T_1235 = bits(io.din, 12, 11) @[el2_ifu_compress_ctl.scala 116:40] - node _T_1236 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 116:55] - node _T_1237 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 116:66] - node _T_1238 = cat(_T_1236, _T_1237) @[Cat.scala 29:58] - node _T_1239 = cat(_T_1234, _T_1235) @[Cat.scala 29:58] - node uimm9d = cat(_T_1239, _T_1238) @[Cat.scala 29:58] - node _T_1240 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 117:26] - node _T_1241 = bits(io.din, 4, 3) @[el2_ifu_compress_ctl.scala 117:38] - node _T_1242 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 117:51] - node _T_1243 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 117:62] - node _T_1244 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 117:73] - node _T_1245 = cat(_T_1243, _T_1244) @[Cat.scala 29:58] - node _T_1246 = cat(_T_1240, _T_1241) @[Cat.scala 29:58] - node _T_1247 = cat(_T_1246, _T_1242) @[Cat.scala 29:58] - node simm9d = cat(_T_1247, _T_1245) @[Cat.scala 29:58] - node _T_1248 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 118:28] - node _T_1249 = bits(io.din, 12, 10) @[el2_ifu_compress_ctl.scala 118:39] - node _T_1250 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 118:54] - node _T_1251 = cat(_T_1248, _T_1249) @[Cat.scala 29:58] - node ulwimm6d = cat(_T_1251, _T_1250) @[Cat.scala 29:58] - node _T_1252 = bits(io.din, 3, 2) @[el2_ifu_compress_ctl.scala 119:30] - node _T_1253 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 119:43] - node _T_1254 = bits(io.din, 6, 4) @[el2_ifu_compress_ctl.scala 119:55] - node _T_1255 = cat(_T_1252, _T_1253) @[Cat.scala 29:58] - node ulwspimm7d = cat(_T_1255, _T_1254) @[Cat.scala 29:58] - node _T_1256 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 120:26] - node _T_1257 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 120:38] - node uimm5d = cat(_T_1256, _T_1257) @[Cat.scala 29:58] - node _T_1258 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 121:27] - node _T_1259 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 121:39] - node _T_1260 = bits(io.din, 10, 9) @[el2_ifu_compress_ctl.scala 121:50] - node _T_1261 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 121:64] - node _T_1262 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 121:75] - node _T_1263 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 121:86] - node _T_1264 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 121:97] - node _T_1265 = bits(io.din, 5, 4) @[el2_ifu_compress_ctl.scala 122:11] - node _T_1266 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 122:24] - node _T_1267 = cat(_T_1265, _T_1266) @[Cat.scala 29:58] - node _T_1268 = cat(_T_1263, _T_1264) @[Cat.scala 29:58] - node _T_1269 = cat(_T_1268, _T_1267) @[Cat.scala 29:58] - node _T_1270 = cat(_T_1261, _T_1262) @[Cat.scala 29:58] - node _T_1271 = cat(_T_1258, _T_1259) @[Cat.scala 29:58] - node _T_1272 = cat(_T_1271, _T_1260) @[Cat.scala 29:58] - node _T_1273 = cat(_T_1272, _T_1270) @[Cat.scala 29:58] - node sjald_1 = cat(_T_1273, _T_1269) @[Cat.scala 29:58] - node _T_1274 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 123:32] - node _T_1275 = bits(_T_1274, 0, 0) @[Bitwise.scala 72:15] - node sjald_12 = mux(_T_1275, UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] + wire _T_1193 : UInt<5> @[Mux.scala 27:72] + _T_1193 <= _T_1192 @[Mux.scala 27:72] + node l1_11 = or(_T_1178, _T_1193) @[el2_ifu_compress_ctl.scala 104:64] + node _T_1194 = cat(out[14], out[13]) @[Cat.scala 29:58] + node l1_14 = cat(_T_1194, out[12]) @[Cat.scala 29:58] + node _T_1195 = cat(out[16], out[15]) @[Cat.scala 29:58] + node _T_1196 = cat(out[19], out[18]) @[Cat.scala 29:58] + node _T_1197 = cat(_T_1196, out[17]) @[Cat.scala 29:58] + node _T_1198 = cat(_T_1197, _T_1195) @[Cat.scala 29:58] + node _T_1199 = bits(rdrs1, 0, 0) @[el2_ifu_compress_ctl.scala 108:85] + node _T_1200 = bits(rdprs1, 0, 0) @[el2_ifu_compress_ctl.scala 109:12] + node _T_1201 = bits(rs1eq2, 0, 0) @[el2_ifu_compress_ctl.scala 109:33] + node _T_1202 = mux(_T_1199, rdd, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1203 = mux(_T_1200, rdpd, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1204 = mux(_T_1201, UInt<5>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1205 = or(_T_1202, _T_1203) @[Mux.scala 27:72] + node _T_1206 = or(_T_1205, _T_1204) @[Mux.scala 27:72] + wire _T_1207 : UInt<5> @[Mux.scala 27:72] + _T_1207 <= _T_1206 @[Mux.scala 27:72] + node l1_19 = or(_T_1198, _T_1207) @[el2_ifu_compress_ctl.scala 108:67] + node _T_1208 = cat(out[21], out[20]) @[Cat.scala 29:58] + node _T_1209 = cat(out[24], out[23]) @[Cat.scala 29:58] + node _T_1210 = cat(_T_1209, out[22]) @[Cat.scala 29:58] + node _T_1211 = cat(_T_1210, _T_1208) @[Cat.scala 29:58] + node _T_1212 = bits(rs2rs2, 0, 0) @[el2_ifu_compress_ctl.scala 110:86] + node _T_1213 = bits(rs2prs2, 0, 0) @[el2_ifu_compress_ctl.scala 111:13] + node _T_1214 = mux(_T_1212, rs2d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1215 = mux(_T_1213, rs2pd, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1216 = or(_T_1214, _T_1215) @[Mux.scala 27:72] + wire _T_1217 : UInt<5> @[Mux.scala 27:72] + _T_1217 <= _T_1216 @[Mux.scala 27:72] + node l1_24 = or(_T_1211, _T_1217) @[el2_ifu_compress_ctl.scala 110:67] + node _T_1218 = cat(out[27], out[26]) @[Cat.scala 29:58] + node _T_1219 = cat(_T_1218, out[25]) @[Cat.scala 29:58] + node _T_1220 = cat(out[29], out[28]) @[Cat.scala 29:58] + node _T_1221 = cat(out[31], out[30]) @[Cat.scala 29:58] + node _T_1222 = cat(_T_1221, _T_1220) @[Cat.scala 29:58] + node l1_31 = cat(_T_1222, _T_1219) @[Cat.scala 29:58] + node _T_1223 = cat(l1_14, l1_11) @[Cat.scala 29:58] + node _T_1224 = cat(_T_1223, l1_6) @[Cat.scala 29:58] + node _T_1225 = cat(l1_31, l1_24) @[Cat.scala 29:58] + node _T_1226 = cat(_T_1225, l1_19) @[Cat.scala 29:58] + node l1 = cat(_T_1226, _T_1224) @[Cat.scala 29:58] + node _T_1227 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 115:26] + node _T_1228 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 115:38] + node simm5d = cat(_T_1227, _T_1228) @[Cat.scala 29:58] + node _T_1229 = bits(io.din, 10, 7) @[el2_ifu_compress_ctl.scala 116:26] + node _T_1230 = bits(io.din, 12, 11) @[el2_ifu_compress_ctl.scala 116:40] + node _T_1231 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 116:55] + node _T_1232 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 116:66] + node _T_1233 = cat(_T_1231, _T_1232) @[Cat.scala 29:58] + node _T_1234 = cat(_T_1229, _T_1230) @[Cat.scala 29:58] + node uimm9d = cat(_T_1234, _T_1233) @[Cat.scala 29:58] + node _T_1235 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 117:26] + node _T_1236 = bits(io.din, 4, 3) @[el2_ifu_compress_ctl.scala 117:38] + node _T_1237 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 117:51] + node _T_1238 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 117:62] + node _T_1239 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 117:73] + node _T_1240 = cat(_T_1238, _T_1239) @[Cat.scala 29:58] + node _T_1241 = cat(_T_1235, _T_1236) @[Cat.scala 29:58] + node _T_1242 = cat(_T_1241, _T_1237) @[Cat.scala 29:58] + node simm9d = cat(_T_1242, _T_1240) @[Cat.scala 29:58] + node _T_1243 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 118:28] + node _T_1244 = bits(io.din, 12, 10) @[el2_ifu_compress_ctl.scala 118:39] + node _T_1245 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 118:54] + node _T_1246 = cat(_T_1243, _T_1244) @[Cat.scala 29:58] + node ulwimm6d = cat(_T_1246, _T_1245) @[Cat.scala 29:58] + node _T_1247 = bits(io.din, 3, 2) @[el2_ifu_compress_ctl.scala 119:30] + node _T_1248 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 119:43] + node _T_1249 = bits(io.din, 6, 4) @[el2_ifu_compress_ctl.scala 119:55] + node _T_1250 = cat(_T_1247, _T_1248) @[Cat.scala 29:58] + node ulwspimm7d = cat(_T_1250, _T_1249) @[Cat.scala 29:58] + node _T_1251 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 120:26] + node _T_1252 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 120:38] + node uimm5d = cat(_T_1251, _T_1252) @[Cat.scala 29:58] + node _T_1253 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 121:27] + node _T_1254 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 121:39] + node _T_1255 = bits(io.din, 10, 9) @[el2_ifu_compress_ctl.scala 121:50] + node _T_1256 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 121:64] + node _T_1257 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 121:75] + node _T_1258 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 121:86] + node _T_1259 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 121:97] + node _T_1260 = bits(io.din, 5, 4) @[el2_ifu_compress_ctl.scala 122:11] + node _T_1261 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 122:24] + node _T_1262 = cat(_T_1260, _T_1261) @[Cat.scala 29:58] + node _T_1263 = cat(_T_1258, _T_1259) @[Cat.scala 29:58] + node _T_1264 = cat(_T_1263, _T_1262) @[Cat.scala 29:58] + node _T_1265 = cat(_T_1256, _T_1257) @[Cat.scala 29:58] + node _T_1266 = cat(_T_1253, _T_1254) @[Cat.scala 29:58] + node _T_1267 = cat(_T_1266, _T_1255) @[Cat.scala 29:58] + node _T_1268 = cat(_T_1267, _T_1265) @[Cat.scala 29:58] + node sjald_1 = cat(_T_1268, _T_1264) @[Cat.scala 29:58] + node _T_1269 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 123:32] + node _T_1270 = bits(_T_1269, 0, 0) @[Bitwise.scala 72:15] + node sjald_12 = mux(_T_1270, UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] node sjald = cat(sjald_12, sjald_1) @[Cat.scala 29:58] - node _T_1276 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 125:36] - node _T_1277 = bits(_T_1276, 0, 0) @[Bitwise.scala 72:15] - node _T_1278 = mux(_T_1277, UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12] - node _T_1279 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 125:49] - node sluimmd = cat(_T_1278, _T_1279) @[Cat.scala 29:58] - node _T_1280 = bits(l1, 31, 20) @[el2_ifu_compress_ctl.scala 126:17] - node _T_1281 = bits(simm5_0, 0, 0) @[el2_ifu_compress_ctl.scala 127:23] - node _T_1282 = bits(simm5d, 5, 5) @[el2_ifu_compress_ctl.scala 127:49] - node _T_1283 = bits(_T_1282, 0, 0) @[Bitwise.scala 72:15] - node _T_1284 = mux(_T_1283, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] - node _T_1285 = bits(simm5d, 4, 0) @[el2_ifu_compress_ctl.scala 127:60] - node _T_1286 = cat(_T_1284, _T_1285) @[Cat.scala 29:58] - node _T_1287 = bits(uimm9_2, 0, 0) @[el2_ifu_compress_ctl.scala 128:23] - node _T_1288 = cat(UInt<2>("h00"), uimm9d) @[Cat.scala 29:58] - node _T_1289 = cat(_T_1288, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_1290 = bits(simm9_4, 0, 0) @[el2_ifu_compress_ctl.scala 129:23] - node _T_1291 = bits(simm9d, 5, 5) @[el2_ifu_compress_ctl.scala 129:49] - node _T_1292 = bits(_T_1291, 0, 0) @[Bitwise.scala 72:15] - node _T_1293 = mux(_T_1292, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_1294 = bits(simm9d, 4, 0) @[el2_ifu_compress_ctl.scala 129:60] - node _T_1295 = cat(_T_1293, _T_1294) @[Cat.scala 29:58] - node _T_1296 = cat(_T_1295, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1297 = bits(ulwimm6_2, 0, 0) @[el2_ifu_compress_ctl.scala 130:25] - node _T_1298 = cat(UInt<5>("h00"), ulwimm6d) @[Cat.scala 29:58] - node _T_1299 = cat(_T_1298, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_1300 = bits(ulwspimm7_2, 0, 0) @[el2_ifu_compress_ctl.scala 131:27] - node _T_1301 = cat(UInt<4>("h00"), ulwspimm7d) @[Cat.scala 29:58] - node _T_1302 = cat(_T_1301, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_1303 = bits(uimm5_0, 0, 0) @[el2_ifu_compress_ctl.scala 132:23] - node _T_1304 = cat(UInt<6>("h00"), uimm5d) @[Cat.scala 29:58] - node _T_1305 = bits(sjald, 19, 19) @[el2_ifu_compress_ctl.scala 133:40] - node _T_1306 = bits(sjald, 9, 0) @[el2_ifu_compress_ctl.scala 133:50] - node _T_1307 = bits(sjald, 10, 10) @[el2_ifu_compress_ctl.scala 133:61] - node _T_1308 = cat(_T_1305, _T_1306) @[Cat.scala 29:58] - node _T_1309 = cat(_T_1308, _T_1307) @[Cat.scala 29:58] - node _T_1310 = bits(sluimmd, 19, 8) @[el2_ifu_compress_ctl.scala 134:35] - node _T_1311 = mux(_T_1281, _T_1286, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1312 = mux(_T_1287, _T_1289, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1313 = mux(_T_1290, _T_1296, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1314 = mux(_T_1297, _T_1299, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1315 = mux(_T_1300, _T_1302, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1316 = mux(_T_1303, _T_1304, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1317 = mux(sjaloffset11_1, _T_1309, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1318 = mux(sluimm17_12, _T_1310, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1319 = or(_T_1311, _T_1312) @[Mux.scala 27:72] + node _T_1271 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 125:36] + node _T_1272 = bits(_T_1271, 0, 0) @[Bitwise.scala 72:15] + node _T_1273 = mux(_T_1272, UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12] + node _T_1274 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 125:49] + node sluimmd = cat(_T_1273, _T_1274) @[Cat.scala 29:58] + node _T_1275 = bits(l1, 31, 20) @[el2_ifu_compress_ctl.scala 126:17] + node _T_1276 = bits(simm5_0, 0, 0) @[el2_ifu_compress_ctl.scala 127:23] + node _T_1277 = bits(simm5d, 5, 5) @[el2_ifu_compress_ctl.scala 127:49] + node _T_1278 = bits(_T_1277, 0, 0) @[Bitwise.scala 72:15] + node _T_1279 = mux(_T_1278, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_1280 = bits(simm5d, 4, 0) @[el2_ifu_compress_ctl.scala 127:60] + node _T_1281 = cat(_T_1279, _T_1280) @[Cat.scala 29:58] + node _T_1282 = bits(uimm9_2, 0, 0) @[el2_ifu_compress_ctl.scala 128:23] + node _T_1283 = cat(UInt<2>("h00"), uimm9d) @[Cat.scala 29:58] + node _T_1284 = cat(_T_1283, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1285 = bits(simm9_4, 0, 0) @[el2_ifu_compress_ctl.scala 129:23] + node _T_1286 = bits(simm9d, 5, 5) @[el2_ifu_compress_ctl.scala 129:49] + node _T_1287 = bits(_T_1286, 0, 0) @[Bitwise.scala 72:15] + node _T_1288 = mux(_T_1287, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_1289 = bits(simm9d, 4, 0) @[el2_ifu_compress_ctl.scala 129:60] + node _T_1290 = cat(_T_1288, _T_1289) @[Cat.scala 29:58] + node _T_1291 = cat(_T_1290, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1292 = bits(ulwimm6_2, 0, 0) @[el2_ifu_compress_ctl.scala 130:25] + node _T_1293 = cat(UInt<5>("h00"), ulwimm6d) @[Cat.scala 29:58] + node _T_1294 = cat(_T_1293, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1295 = bits(ulwspimm7_2, 0, 0) @[el2_ifu_compress_ctl.scala 131:27] + node _T_1296 = cat(UInt<4>("h00"), ulwspimm7d) @[Cat.scala 29:58] + node _T_1297 = cat(_T_1296, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1298 = bits(uimm5_0, 0, 0) @[el2_ifu_compress_ctl.scala 132:23] + node _T_1299 = cat(UInt<6>("h00"), uimm5d) @[Cat.scala 29:58] + node _T_1300 = bits(sjald, 19, 19) @[el2_ifu_compress_ctl.scala 133:40] + node _T_1301 = bits(sjald, 9, 0) @[el2_ifu_compress_ctl.scala 133:50] + node _T_1302 = bits(sjald, 10, 10) @[el2_ifu_compress_ctl.scala 133:61] + node _T_1303 = cat(_T_1300, _T_1301) @[Cat.scala 29:58] + node _T_1304 = cat(_T_1303, _T_1302) @[Cat.scala 29:58] + node _T_1305 = bits(sluimmd, 19, 8) @[el2_ifu_compress_ctl.scala 134:35] + node _T_1306 = mux(_T_1276, _T_1281, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1307 = mux(_T_1282, _T_1284, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1308 = mux(_T_1285, _T_1291, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1309 = mux(_T_1292, _T_1294, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1310 = mux(_T_1295, _T_1297, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1311 = mux(_T_1298, _T_1299, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1312 = mux(sjaloffset11_1, _T_1304, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1313 = mux(sluimm17_12, _T_1305, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1314 = or(_T_1306, _T_1307) @[Mux.scala 27:72] + node _T_1315 = or(_T_1314, _T_1308) @[Mux.scala 27:72] + node _T_1316 = or(_T_1315, _T_1309) @[Mux.scala 27:72] + node _T_1317 = or(_T_1316, _T_1310) @[Mux.scala 27:72] + node _T_1318 = or(_T_1317, _T_1311) @[Mux.scala 27:72] + node _T_1319 = or(_T_1318, _T_1312) @[Mux.scala 27:72] node _T_1320 = or(_T_1319, _T_1313) @[Mux.scala 27:72] - node _T_1321 = or(_T_1320, _T_1314) @[Mux.scala 27:72] - node _T_1322 = or(_T_1321, _T_1315) @[Mux.scala 27:72] - node _T_1323 = or(_T_1322, _T_1316) @[Mux.scala 27:72] - node _T_1324 = or(_T_1323, _T_1317) @[Mux.scala 27:72] - node _T_1325 = or(_T_1324, _T_1318) @[Mux.scala 27:72] - wire _T_1326 : UInt<12> @[Mux.scala 27:72] - _T_1326 <= _T_1325 @[Mux.scala 27:72] - node l2_31 = or(_T_1280, _T_1326) @[el2_ifu_compress_ctl.scala 126:25] - node _T_1327 = bits(l1, 19, 12) @[el2_ifu_compress_ctl.scala 136:17] - node _T_1328 = bits(sjaloffset11_1, 0, 0) @[el2_ifu_compress_ctl.scala 136:52] - node _T_1329 = bits(sjald, 19, 11) @[el2_ifu_compress_ctl.scala 136:65] - node _T_1330 = bits(sluimm17_12, 0, 0) @[el2_ifu_compress_ctl.scala 137:17] - node _T_1331 = bits(sluimmd, 7, 0) @[el2_ifu_compress_ctl.scala 137:32] - node _T_1332 = mux(_T_1328, _T_1329, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1333 = mux(_T_1330, _T_1331, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1334 = or(_T_1332, _T_1333) @[Mux.scala 27:72] - wire _T_1335 : UInt<9> @[Mux.scala 27:72] - _T_1335 <= _T_1334 @[Mux.scala 27:72] - node l2_19 = or(_T_1327, _T_1335) @[el2_ifu_compress_ctl.scala 136:25] - node _T_1336 = bits(l1, 11, 0) @[el2_ifu_compress_ctl.scala 138:32] - node _T_1337 = cat(l2_31, l2_19) @[Cat.scala 29:58] - node l2 = cat(_T_1337, _T_1336) @[Cat.scala 29:58] - node _T_1338 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 139:25] - node _T_1339 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 139:36] - node _T_1340 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 139:46] - node _T_1341 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 139:56] - node _T_1342 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 139:66] - node _T_1343 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 139:77] - node _T_1344 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 139:88] - node _T_1345 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 139:98] - node _T_1346 = cat(_T_1345, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_1347 = cat(_T_1343, _T_1344) @[Cat.scala 29:58] - node _T_1348 = cat(_T_1347, _T_1346) @[Cat.scala 29:58] - node _T_1349 = cat(_T_1341, _T_1342) @[Cat.scala 29:58] - node _T_1350 = cat(_T_1338, _T_1339) @[Cat.scala 29:58] - node _T_1351 = cat(_T_1350, _T_1340) @[Cat.scala 29:58] - node _T_1352 = cat(_T_1351, _T_1349) @[Cat.scala 29:58] - node sbr8d = cat(_T_1352, _T_1348) @[Cat.scala 29:58] - node _T_1353 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 140:28] - node _T_1354 = bits(io.din, 12, 10) @[el2_ifu_compress_ctl.scala 140:39] - node _T_1355 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 140:54] - node _T_1356 = cat(_T_1355, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_1357 = cat(_T_1353, _T_1354) @[Cat.scala 29:58] - node uswimm6d = cat(_T_1357, _T_1356) @[Cat.scala 29:58] - node _T_1358 = bits(io.din, 8, 7) @[el2_ifu_compress_ctl.scala 141:30] - node _T_1359 = bits(io.din, 12, 9) @[el2_ifu_compress_ctl.scala 141:42] - node _T_1360 = cat(_T_1358, _T_1359) @[Cat.scala 29:58] - node uswspimm7d = cat(_T_1360, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_1361 = bits(l2, 31, 25) @[el2_ifu_compress_ctl.scala 142:17] - node _T_1362 = bits(sbroffset8_1, 0, 0) @[el2_ifu_compress_ctl.scala 142:50] - node _T_1363 = bits(sbr8d, 8, 8) @[el2_ifu_compress_ctl.scala 142:74] - node _T_1364 = bits(_T_1363, 0, 0) @[Bitwise.scala 72:15] - node _T_1365 = mux(_T_1364, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_1366 = bits(sbr8d, 7, 5) @[el2_ifu_compress_ctl.scala 142:84] - node _T_1367 = cat(_T_1365, _T_1366) @[Cat.scala 29:58] - node _T_1368 = bits(uswimm6_2, 0, 0) @[el2_ifu_compress_ctl.scala 143:15] - node _T_1369 = bits(uswimm6d, 6, 5) @[el2_ifu_compress_ctl.scala 143:44] - node _T_1370 = cat(UInt<5>("h00"), _T_1369) @[Cat.scala 29:58] - node _T_1371 = bits(uswspimm7_2, 0, 0) @[el2_ifu_compress_ctl.scala 144:17] - node _T_1372 = bits(uswspimm7d, 7, 5) @[el2_ifu_compress_ctl.scala 144:48] - node _T_1373 = cat(UInt<4>("h00"), _T_1372) @[Cat.scala 29:58] - node _T_1374 = mux(_T_1362, _T_1367, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1375 = mux(_T_1368, _T_1370, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1376 = mux(_T_1371, _T_1373, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1377 = or(_T_1374, _T_1375) @[Mux.scala 27:72] - node _T_1378 = or(_T_1377, _T_1376) @[Mux.scala 27:72] - wire _T_1379 : UInt<7> @[Mux.scala 27:72] - _T_1379 <= _T_1378 @[Mux.scala 27:72] - node l3_31 = or(_T_1361, _T_1379) @[el2_ifu_compress_ctl.scala 142:25] + wire _T_1321 : UInt<12> @[Mux.scala 27:72] + _T_1321 <= _T_1320 @[Mux.scala 27:72] + node l2_31 = or(_T_1275, _T_1321) @[el2_ifu_compress_ctl.scala 126:25] + node _T_1322 = bits(l1, 19, 12) @[el2_ifu_compress_ctl.scala 136:17] + node _T_1323 = bits(sjaloffset11_1, 0, 0) @[el2_ifu_compress_ctl.scala 136:52] + node _T_1324 = bits(sjald, 19, 11) @[el2_ifu_compress_ctl.scala 136:65] + node _T_1325 = bits(sluimm17_12, 0, 0) @[el2_ifu_compress_ctl.scala 137:17] + node _T_1326 = bits(sluimmd, 7, 0) @[el2_ifu_compress_ctl.scala 137:32] + node _T_1327 = mux(_T_1323, _T_1324, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1328 = mux(_T_1325, _T_1326, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1329 = or(_T_1327, _T_1328) @[Mux.scala 27:72] + wire _T_1330 : UInt<9> @[Mux.scala 27:72] + _T_1330 <= _T_1329 @[Mux.scala 27:72] + node l2_19 = or(_T_1322, _T_1330) @[el2_ifu_compress_ctl.scala 136:25] + node _T_1331 = bits(l1, 11, 0) @[el2_ifu_compress_ctl.scala 138:32] + node _T_1332 = cat(l2_31, l2_19) @[Cat.scala 29:58] + node l2 = cat(_T_1332, _T_1331) @[Cat.scala 29:58] + node _T_1333 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 139:25] + node _T_1334 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 139:36] + node _T_1335 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 139:46] + node _T_1336 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 139:56] + node _T_1337 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 139:66] + node _T_1338 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 139:77] + node _T_1339 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 139:88] + node _T_1340 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 139:98] + node _T_1341 = cat(_T_1340, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_1342 = cat(_T_1338, _T_1339) @[Cat.scala 29:58] + node _T_1343 = cat(_T_1342, _T_1341) @[Cat.scala 29:58] + node _T_1344 = cat(_T_1336, _T_1337) @[Cat.scala 29:58] + node _T_1345 = cat(_T_1333, _T_1334) @[Cat.scala 29:58] + node _T_1346 = cat(_T_1345, _T_1335) @[Cat.scala 29:58] + node _T_1347 = cat(_T_1346, _T_1344) @[Cat.scala 29:58] + node sbr8d = cat(_T_1347, _T_1343) @[Cat.scala 29:58] + node _T_1348 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 140:28] + node _T_1349 = bits(io.din, 12, 10) @[el2_ifu_compress_ctl.scala 140:39] + node _T_1350 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 140:54] + node _T_1351 = cat(_T_1350, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1352 = cat(_T_1348, _T_1349) @[Cat.scala 29:58] + node uswimm6d = cat(_T_1352, _T_1351) @[Cat.scala 29:58] + node _T_1353 = bits(io.din, 8, 7) @[el2_ifu_compress_ctl.scala 141:30] + node _T_1354 = bits(io.din, 12, 9) @[el2_ifu_compress_ctl.scala 141:42] + node _T_1355 = cat(_T_1353, _T_1354) @[Cat.scala 29:58] + node uswspimm7d = cat(_T_1355, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1356 = bits(l2, 31, 25) @[el2_ifu_compress_ctl.scala 142:17] + node _T_1357 = bits(sbroffset8_1, 0, 0) @[el2_ifu_compress_ctl.scala 142:50] + node _T_1358 = bits(sbr8d, 8, 8) @[el2_ifu_compress_ctl.scala 142:74] + node _T_1359 = bits(_T_1358, 0, 0) @[Bitwise.scala 72:15] + node _T_1360 = mux(_T_1359, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1361 = bits(sbr8d, 7, 5) @[el2_ifu_compress_ctl.scala 142:84] + node _T_1362 = cat(_T_1360, _T_1361) @[Cat.scala 29:58] + node _T_1363 = bits(uswimm6_2, 0, 0) @[el2_ifu_compress_ctl.scala 143:15] + node _T_1364 = bits(uswimm6d, 6, 5) @[el2_ifu_compress_ctl.scala 143:44] + node _T_1365 = cat(UInt<5>("h00"), _T_1364) @[Cat.scala 29:58] + node _T_1366 = bits(uswspimm7_2, 0, 0) @[el2_ifu_compress_ctl.scala 144:17] + node _T_1367 = bits(uswspimm7d, 7, 5) @[el2_ifu_compress_ctl.scala 144:48] + node _T_1368 = cat(UInt<4>("h00"), _T_1367) @[Cat.scala 29:58] + node _T_1369 = mux(_T_1357, _T_1362, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1370 = mux(_T_1363, _T_1365, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1371 = mux(_T_1366, _T_1368, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1372 = or(_T_1369, _T_1370) @[Mux.scala 27:72] + node _T_1373 = or(_T_1372, _T_1371) @[Mux.scala 27:72] + wire _T_1374 : UInt<7> @[Mux.scala 27:72] + _T_1374 <= _T_1373 @[Mux.scala 27:72] + node l3_31 = or(_T_1356, _T_1374) @[el2_ifu_compress_ctl.scala 142:25] node l3_24 = bits(l2, 24, 12) @[el2_ifu_compress_ctl.scala 145:17] - node _T_1380 = bits(l2, 11, 7) @[el2_ifu_compress_ctl.scala 146:17] - node _T_1381 = bits(sbroffset8_1, 0, 0) @[el2_ifu_compress_ctl.scala 146:49] - node _T_1382 = bits(sbr8d, 4, 1) @[el2_ifu_compress_ctl.scala 146:66] - node _T_1383 = bits(sbr8d, 8, 8) @[el2_ifu_compress_ctl.scala 146:78] - node _T_1384 = cat(_T_1382, _T_1383) @[Cat.scala 29:58] - node _T_1385 = bits(uswimm6_2, 0, 0) @[el2_ifu_compress_ctl.scala 147:15] - node _T_1386 = bits(uswimm6d, 4, 0) @[el2_ifu_compress_ctl.scala 147:31] - node _T_1387 = bits(uswspimm7_2, 0, 0) @[el2_ifu_compress_ctl.scala 148:17] - node _T_1388 = bits(uswspimm7d, 4, 0) @[el2_ifu_compress_ctl.scala 148:35] - node _T_1389 = mux(_T_1381, _T_1384, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1390 = mux(_T_1385, _T_1386, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1391 = mux(_T_1387, _T_1388, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1392 = or(_T_1389, _T_1390) @[Mux.scala 27:72] - node _T_1393 = or(_T_1392, _T_1391) @[Mux.scala 27:72] - wire _T_1394 : UInt<5> @[Mux.scala 27:72] - _T_1394 <= _T_1393 @[Mux.scala 27:72] - node l3_11 = or(_T_1380, _T_1394) @[el2_ifu_compress_ctl.scala 146:24] - node _T_1395 = bits(l2, 6, 0) @[el2_ifu_compress_ctl.scala 149:39] - node _T_1396 = cat(l3_11, _T_1395) @[Cat.scala 29:58] - node _T_1397 = cat(l3_31, l3_24) @[Cat.scala 29:58] - node l3 = cat(_T_1397, _T_1396) @[Cat.scala 29:58] - node _T_1398 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1399 = eq(_T_1398, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1400 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1401 = eq(_T_1400, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1402 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1403 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1404 = and(_T_1399, _T_1401) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1405 = and(_T_1404, _T_1402) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1406 = and(_T_1405, _T_1403) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1407 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 151:48] - node _T_1408 = eq(_T_1407, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 151:41] - node _T_1409 = and(_T_1406, _T_1408) @[el2_ifu_compress_ctl.scala 151:39] - node _T_1410 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1411 = eq(_T_1410, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1412 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1413 = eq(_T_1412, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1414 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1415 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1416 = and(_T_1411, _T_1413) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1417 = and(_T_1416, _T_1414) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1418 = and(_T_1417, _T_1415) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1419 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 151:88] - node _T_1420 = eq(_T_1419, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 151:81] - node _T_1421 = and(_T_1418, _T_1420) @[el2_ifu_compress_ctl.scala 151:79] - node _T_1422 = or(_T_1409, _T_1421) @[el2_ifu_compress_ctl.scala 151:54] - node _T_1423 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1375 = bits(l2, 11, 7) @[el2_ifu_compress_ctl.scala 146:17] + node _T_1376 = bits(sbroffset8_1, 0, 0) @[el2_ifu_compress_ctl.scala 146:49] + node _T_1377 = bits(sbr8d, 4, 1) @[el2_ifu_compress_ctl.scala 146:66] + node _T_1378 = bits(sbr8d, 8, 8) @[el2_ifu_compress_ctl.scala 146:78] + node _T_1379 = cat(_T_1377, _T_1378) @[Cat.scala 29:58] + node _T_1380 = bits(uswimm6_2, 0, 0) @[el2_ifu_compress_ctl.scala 147:15] + node _T_1381 = bits(uswimm6d, 4, 0) @[el2_ifu_compress_ctl.scala 147:31] + node _T_1382 = bits(uswspimm7_2, 0, 0) @[el2_ifu_compress_ctl.scala 148:17] + node _T_1383 = bits(uswspimm7d, 4, 0) @[el2_ifu_compress_ctl.scala 148:35] + node _T_1384 = mux(_T_1376, _T_1379, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1385 = mux(_T_1380, _T_1381, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1386 = mux(_T_1382, _T_1383, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1387 = or(_T_1384, _T_1385) @[Mux.scala 27:72] + node _T_1388 = or(_T_1387, _T_1386) @[Mux.scala 27:72] + wire _T_1389 : UInt<5> @[Mux.scala 27:72] + _T_1389 <= _T_1388 @[Mux.scala 27:72] + node l3_11 = or(_T_1375, _T_1389) @[el2_ifu_compress_ctl.scala 146:24] + node _T_1390 = bits(l2, 6, 0) @[el2_ifu_compress_ctl.scala 149:39] + node _T_1391 = cat(l3_11, _T_1390) @[Cat.scala 29:58] + node _T_1392 = cat(l3_31, l3_24) @[Cat.scala 29:58] + node l3 = cat(_T_1392, _T_1391) @[Cat.scala 29:58] + node _T_1393 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1394 = eq(_T_1393, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1395 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1396 = eq(_T_1395, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1397 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1398 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1399 = and(_T_1394, _T_1396) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1400 = and(_T_1399, _T_1397) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1401 = and(_T_1400, _T_1398) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1402 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 151:48] + node _T_1403 = eq(_T_1402, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 151:41] + node _T_1404 = and(_T_1401, _T_1403) @[el2_ifu_compress_ctl.scala 151:39] + node _T_1405 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1406 = eq(_T_1405, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1407 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1408 = eq(_T_1407, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1409 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1410 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1411 = and(_T_1406, _T_1408) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1412 = and(_T_1411, _T_1409) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1413 = and(_T_1412, _T_1410) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1414 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 151:88] + node _T_1415 = eq(_T_1414, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 151:81] + node _T_1416 = and(_T_1413, _T_1415) @[el2_ifu_compress_ctl.scala 151:79] + node _T_1417 = or(_T_1404, _T_1416) @[el2_ifu_compress_ctl.scala 151:54] + node _T_1418 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1419 = eq(_T_1418, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1420 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1421 = eq(_T_1420, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1422 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1423 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] node _T_1424 = eq(_T_1423, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1425 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1426 = eq(_T_1425, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1427 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1428 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1429 = eq(_T_1428, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1430 = and(_T_1424, _T_1426) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1431 = and(_T_1430, _T_1427) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1432 = and(_T_1431, _T_1429) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1433 = or(_T_1422, _T_1432) @[el2_ifu_compress_ctl.scala 151:94] - node _T_1434 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1435 = eq(_T_1434, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1436 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1437 = eq(_T_1436, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1438 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1439 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1440 = and(_T_1435, _T_1437) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1441 = and(_T_1440, _T_1438) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1442 = and(_T_1441, _T_1439) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1443 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 152:64] - node _T_1444 = eq(_T_1443, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 152:57] - node _T_1445 = and(_T_1442, _T_1444) @[el2_ifu_compress_ctl.scala 152:55] - node _T_1446 = or(_T_1433, _T_1445) @[el2_ifu_compress_ctl.scala 152:30] - node _T_1447 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1448 = eq(_T_1447, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1449 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1450 = eq(_T_1449, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1451 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1452 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1453 = and(_T_1448, _T_1450) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1454 = and(_T_1453, _T_1451) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1455 = and(_T_1454, _T_1452) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1456 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 152:105] - node _T_1457 = eq(_T_1456, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 152:98] - node _T_1458 = and(_T_1455, _T_1457) @[el2_ifu_compress_ctl.scala 152:96] - node _T_1459 = or(_T_1446, _T_1458) @[el2_ifu_compress_ctl.scala 152:70] - node _T_1460 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1425 = and(_T_1419, _T_1421) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1426 = and(_T_1425, _T_1422) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1427 = and(_T_1426, _T_1424) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1428 = or(_T_1417, _T_1427) @[el2_ifu_compress_ctl.scala 151:94] + node _T_1429 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1430 = eq(_T_1429, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1431 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1432 = eq(_T_1431, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1433 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1434 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1435 = and(_T_1430, _T_1432) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1436 = and(_T_1435, _T_1433) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1437 = and(_T_1436, _T_1434) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1438 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 152:64] + node _T_1439 = eq(_T_1438, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 152:57] + node _T_1440 = and(_T_1437, _T_1439) @[el2_ifu_compress_ctl.scala 152:55] + node _T_1441 = or(_T_1428, _T_1440) @[el2_ifu_compress_ctl.scala 152:30] + node _T_1442 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1443 = eq(_T_1442, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1444 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1445 = eq(_T_1444, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1446 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1447 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1448 = and(_T_1443, _T_1445) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1449 = and(_T_1448, _T_1446) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1450 = and(_T_1449, _T_1447) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1451 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 152:105] + node _T_1452 = eq(_T_1451, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 152:98] + node _T_1453 = and(_T_1450, _T_1452) @[el2_ifu_compress_ctl.scala 152:96] + node _T_1454 = or(_T_1441, _T_1453) @[el2_ifu_compress_ctl.scala 152:70] + node _T_1455 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1456 = eq(_T_1455, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1457 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1458 = eq(_T_1457, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1459 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1460 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] node _T_1461 = eq(_T_1460, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1462 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1463 = eq(_T_1462, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1464 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1465 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1466 = eq(_T_1465, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1467 = and(_T_1461, _T_1463) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1468 = and(_T_1467, _T_1464) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1469 = and(_T_1468, _T_1466) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1470 = or(_T_1459, _T_1469) @[el2_ifu_compress_ctl.scala 152:111] - node _T_1471 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1472 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1473 = eq(_T_1472, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1474 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1475 = eq(_T_1474, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1476 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1477 = and(_T_1471, _T_1473) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1478 = and(_T_1477, _T_1475) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1479 = and(_T_1478, _T_1476) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1480 = or(_T_1470, _T_1479) @[el2_ifu_compress_ctl.scala 153:29] - node _T_1481 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1482 = eq(_T_1481, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1483 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1484 = eq(_T_1483, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1485 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1486 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1487 = and(_T_1482, _T_1484) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1488 = and(_T_1487, _T_1485) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1489 = and(_T_1488, _T_1486) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1490 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 153:88] - node _T_1491 = eq(_T_1490, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 153:81] - node _T_1492 = and(_T_1489, _T_1491) @[el2_ifu_compress_ctl.scala 153:79] - node _T_1493 = or(_T_1480, _T_1492) @[el2_ifu_compress_ctl.scala 153:54] - node _T_1494 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1495 = eq(_T_1494, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1496 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1497 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1498 = eq(_T_1497, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1499 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1500 = and(_T_1495, _T_1496) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1501 = and(_T_1500, _T_1498) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1502 = and(_T_1501, _T_1499) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1503 = or(_T_1493, _T_1502) @[el2_ifu_compress_ctl.scala 153:94] - node _T_1504 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1462 = and(_T_1456, _T_1458) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1463 = and(_T_1462, _T_1459) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1464 = and(_T_1463, _T_1461) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1465 = or(_T_1454, _T_1464) @[el2_ifu_compress_ctl.scala 152:111] + node _T_1466 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1467 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1468 = eq(_T_1467, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1469 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1470 = eq(_T_1469, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1471 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1472 = and(_T_1466, _T_1468) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1473 = and(_T_1472, _T_1470) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1474 = and(_T_1473, _T_1471) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1475 = or(_T_1465, _T_1474) @[el2_ifu_compress_ctl.scala 153:29] + node _T_1476 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1477 = eq(_T_1476, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1478 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1479 = eq(_T_1478, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1480 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1481 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1482 = and(_T_1477, _T_1479) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1483 = and(_T_1482, _T_1480) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1484 = and(_T_1483, _T_1481) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1485 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 153:88] + node _T_1486 = eq(_T_1485, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 153:81] + node _T_1487 = and(_T_1484, _T_1486) @[el2_ifu_compress_ctl.scala 153:79] + node _T_1488 = or(_T_1475, _T_1487) @[el2_ifu_compress_ctl.scala 153:54] + node _T_1489 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1490 = eq(_T_1489, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1491 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1492 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1493 = eq(_T_1492, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1494 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1495 = and(_T_1490, _T_1491) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1496 = and(_T_1495, _T_1493) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1497 = and(_T_1496, _T_1494) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1498 = or(_T_1488, _T_1497) @[el2_ifu_compress_ctl.scala 153:94] + node _T_1499 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1500 = eq(_T_1499, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1501 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1502 = eq(_T_1501, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1503 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1504 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] node _T_1505 = eq(_T_1504, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1506 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1507 = eq(_T_1506, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1508 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1509 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1510 = eq(_T_1509, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1511 = and(_T_1505, _T_1507) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1512 = and(_T_1511, _T_1508) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1513 = and(_T_1512, _T_1510) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1514 = or(_T_1503, _T_1513) @[el2_ifu_compress_ctl.scala 153:118] - node _T_1515 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1516 = eq(_T_1515, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1517 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1518 = eq(_T_1517, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1519 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1520 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1521 = and(_T_1516, _T_1518) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1522 = and(_T_1521, _T_1519) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1523 = and(_T_1522, _T_1520) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1524 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 154:37] - node _T_1525 = eq(_T_1524, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 154:30] - node _T_1526 = and(_T_1523, _T_1525) @[el2_ifu_compress_ctl.scala 154:28] - node _T_1527 = or(_T_1514, _T_1526) @[el2_ifu_compress_ctl.scala 153:144] - node _T_1528 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1529 = eq(_T_1528, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1530 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1531 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1532 = eq(_T_1531, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1533 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1534 = and(_T_1529, _T_1530) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1535 = and(_T_1534, _T_1532) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1536 = and(_T_1535, _T_1533) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1537 = or(_T_1527, _T_1536) @[el2_ifu_compress_ctl.scala 154:43] - node _T_1538 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1506 = and(_T_1500, _T_1502) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1507 = and(_T_1506, _T_1503) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1508 = and(_T_1507, _T_1505) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1509 = or(_T_1498, _T_1508) @[el2_ifu_compress_ctl.scala 153:118] + node _T_1510 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1511 = eq(_T_1510, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1512 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1513 = eq(_T_1512, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1514 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1515 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1516 = and(_T_1511, _T_1513) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1517 = and(_T_1516, _T_1514) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1518 = and(_T_1517, _T_1515) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1519 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 154:37] + node _T_1520 = eq(_T_1519, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 154:30] + node _T_1521 = and(_T_1518, _T_1520) @[el2_ifu_compress_ctl.scala 154:28] + node _T_1522 = or(_T_1509, _T_1521) @[el2_ifu_compress_ctl.scala 153:144] + node _T_1523 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1524 = eq(_T_1523, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1525 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1526 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1527 = eq(_T_1526, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1528 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1529 = and(_T_1524, _T_1525) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1530 = and(_T_1529, _T_1527) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1531 = and(_T_1530, _T_1528) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1532 = or(_T_1522, _T_1531) @[el2_ifu_compress_ctl.scala 154:43] + node _T_1533 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1534 = eq(_T_1533, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1535 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1536 = eq(_T_1535, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1537 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1538 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] node _T_1539 = eq(_T_1538, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1540 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1541 = eq(_T_1540, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1542 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1543 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1544 = eq(_T_1543, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1545 = and(_T_1539, _T_1541) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1546 = and(_T_1545, _T_1542) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1547 = and(_T_1546, _T_1544) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1548 = or(_T_1537, _T_1547) @[el2_ifu_compress_ctl.scala 154:67] - node _T_1549 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1550 = eq(_T_1549, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1551 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1552 = eq(_T_1551, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1553 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1554 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1555 = and(_T_1550, _T_1552) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1556 = and(_T_1555, _T_1553) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1557 = and(_T_1556, _T_1554) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1558 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 155:37] - node _T_1559 = eq(_T_1558, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 155:30] - node _T_1560 = and(_T_1557, _T_1559) @[el2_ifu_compress_ctl.scala 155:28] - node _T_1561 = or(_T_1548, _T_1560) @[el2_ifu_compress_ctl.scala 154:94] - node _T_1562 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1563 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1564 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1565 = eq(_T_1564, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1566 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1567 = eq(_T_1566, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1568 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1569 = and(_T_1562, _T_1563) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1570 = and(_T_1569, _T_1565) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1571 = and(_T_1570, _T_1567) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1572 = and(_T_1571, _T_1568) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1573 = or(_T_1561, _T_1572) @[el2_ifu_compress_ctl.scala 155:43] - node _T_1574 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1540 = and(_T_1534, _T_1536) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1541 = and(_T_1540, _T_1537) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1542 = and(_T_1541, _T_1539) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1543 = or(_T_1532, _T_1542) @[el2_ifu_compress_ctl.scala 154:67] + node _T_1544 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1545 = eq(_T_1544, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1546 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1547 = eq(_T_1546, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1548 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1549 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1550 = and(_T_1545, _T_1547) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1551 = and(_T_1550, _T_1548) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1552 = and(_T_1551, _T_1549) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1553 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 155:37] + node _T_1554 = eq(_T_1553, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 155:30] + node _T_1555 = and(_T_1552, _T_1554) @[el2_ifu_compress_ctl.scala 155:28] + node _T_1556 = or(_T_1543, _T_1555) @[el2_ifu_compress_ctl.scala 154:94] + node _T_1557 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1558 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1559 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1560 = eq(_T_1559, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1561 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1562 = eq(_T_1561, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1563 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1564 = and(_T_1557, _T_1558) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1565 = and(_T_1564, _T_1560) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1566 = and(_T_1565, _T_1562) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1567 = and(_T_1566, _T_1563) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1568 = or(_T_1556, _T_1567) @[el2_ifu_compress_ctl.scala 155:43] + node _T_1569 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1570 = eq(_T_1569, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1571 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1572 = eq(_T_1571, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1573 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1574 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] node _T_1575 = eq(_T_1574, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1576 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1577 = eq(_T_1576, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1578 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1579 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1580 = eq(_T_1579, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1581 = and(_T_1575, _T_1577) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1582 = and(_T_1581, _T_1578) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1583 = and(_T_1582, _T_1580) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1584 = or(_T_1573, _T_1583) @[el2_ifu_compress_ctl.scala 155:71] - node _T_1585 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1586 = eq(_T_1585, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1587 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1588 = eq(_T_1587, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1589 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1590 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1591 = and(_T_1586, _T_1588) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1592 = and(_T_1591, _T_1589) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1593 = and(_T_1592, _T_1590) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1594 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 156:37] - node _T_1595 = eq(_T_1594, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 156:30] - node _T_1596 = and(_T_1593, _T_1595) @[el2_ifu_compress_ctl.scala 156:28] - node _T_1597 = or(_T_1584, _T_1596) @[el2_ifu_compress_ctl.scala 155:97] - node _T_1598 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1599 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1600 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1601 = eq(_T_1600, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1602 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1603 = and(_T_1598, _T_1599) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1604 = and(_T_1603, _T_1601) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1605 = and(_T_1604, _T_1602) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1606 = or(_T_1597, _T_1605) @[el2_ifu_compress_ctl.scala 156:43] - node _T_1607 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1576 = and(_T_1570, _T_1572) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1577 = and(_T_1576, _T_1573) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1578 = and(_T_1577, _T_1575) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1579 = or(_T_1568, _T_1578) @[el2_ifu_compress_ctl.scala 155:71] + node _T_1580 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1581 = eq(_T_1580, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1582 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1583 = eq(_T_1582, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1584 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1585 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1586 = and(_T_1581, _T_1583) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1587 = and(_T_1586, _T_1584) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1588 = and(_T_1587, _T_1585) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1589 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 156:37] + node _T_1590 = eq(_T_1589, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 156:30] + node _T_1591 = and(_T_1588, _T_1590) @[el2_ifu_compress_ctl.scala 156:28] + node _T_1592 = or(_T_1579, _T_1591) @[el2_ifu_compress_ctl.scala 155:97] + node _T_1593 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1594 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1595 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1596 = eq(_T_1595, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1597 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1598 = and(_T_1593, _T_1594) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1599 = and(_T_1598, _T_1596) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1600 = and(_T_1599, _T_1597) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1601 = or(_T_1592, _T_1600) @[el2_ifu_compress_ctl.scala 156:43] + node _T_1602 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1603 = eq(_T_1602, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1604 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1605 = eq(_T_1604, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1606 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1607 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] node _T_1608 = eq(_T_1607, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1609 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1610 = eq(_T_1609, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1611 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1612 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1613 = eq(_T_1612, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1614 = and(_T_1608, _T_1610) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1615 = and(_T_1614, _T_1611) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1616 = and(_T_1615, _T_1613) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1617 = or(_T_1606, _T_1616) @[el2_ifu_compress_ctl.scala 156:67] - node _T_1618 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1619 = eq(_T_1618, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1620 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1621 = eq(_T_1620, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1622 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1623 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1624 = and(_T_1619, _T_1621) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1625 = and(_T_1624, _T_1622) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1626 = and(_T_1625, _T_1623) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1627 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 157:37] - node _T_1628 = eq(_T_1627, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 157:30] - node _T_1629 = and(_T_1626, _T_1628) @[el2_ifu_compress_ctl.scala 157:28] - node _T_1630 = or(_T_1617, _T_1629) @[el2_ifu_compress_ctl.scala 156:93] - node _T_1631 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1632 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1633 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1634 = eq(_T_1633, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1635 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1636 = and(_T_1631, _T_1632) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1637 = and(_T_1636, _T_1634) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1638 = and(_T_1637, _T_1635) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1639 = or(_T_1630, _T_1638) @[el2_ifu_compress_ctl.scala 157:43] - node _T_1640 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1641 = eq(_T_1640, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1642 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1643 = eq(_T_1642, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1644 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1645 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1646 = and(_T_1641, _T_1643) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1647 = and(_T_1646, _T_1644) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1648 = and(_T_1647, _T_1645) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1649 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 157:100] - node _T_1650 = eq(_T_1649, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 157:93] - node _T_1651 = and(_T_1648, _T_1650) @[el2_ifu_compress_ctl.scala 157:91] - node _T_1652 = or(_T_1639, _T_1651) @[el2_ifu_compress_ctl.scala 157:66] - node _T_1653 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1609 = and(_T_1603, _T_1605) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1610 = and(_T_1609, _T_1606) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1611 = and(_T_1610, _T_1608) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1612 = or(_T_1601, _T_1611) @[el2_ifu_compress_ctl.scala 156:67] + node _T_1613 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1614 = eq(_T_1613, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1615 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1616 = eq(_T_1615, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1617 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1618 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1619 = and(_T_1614, _T_1616) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1620 = and(_T_1619, _T_1617) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1621 = and(_T_1620, _T_1618) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1622 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 157:37] + node _T_1623 = eq(_T_1622, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 157:30] + node _T_1624 = and(_T_1621, _T_1623) @[el2_ifu_compress_ctl.scala 157:28] + node _T_1625 = or(_T_1612, _T_1624) @[el2_ifu_compress_ctl.scala 156:93] + node _T_1626 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1627 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1628 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1629 = eq(_T_1628, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1630 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1631 = and(_T_1626, _T_1627) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1632 = and(_T_1631, _T_1629) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1633 = and(_T_1632, _T_1630) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1634 = or(_T_1625, _T_1633) @[el2_ifu_compress_ctl.scala 157:43] + node _T_1635 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1636 = eq(_T_1635, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1637 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1638 = eq(_T_1637, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1639 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1640 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1641 = and(_T_1636, _T_1638) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1642 = and(_T_1641, _T_1639) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1643 = and(_T_1642, _T_1640) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1644 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 157:100] + node _T_1645 = eq(_T_1644, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 157:93] + node _T_1646 = and(_T_1643, _T_1645) @[el2_ifu_compress_ctl.scala 157:91] + node _T_1647 = or(_T_1634, _T_1646) @[el2_ifu_compress_ctl.scala 157:66] + node _T_1648 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1649 = eq(_T_1648, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1650 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1651 = eq(_T_1650, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1652 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1653 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] node _T_1654 = eq(_T_1653, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1655 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1656 = eq(_T_1655, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1657 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1658 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1659 = eq(_T_1658, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1660 = and(_T_1654, _T_1656) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1661 = and(_T_1660, _T_1657) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1662 = and(_T_1661, _T_1659) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1663 = or(_T_1652, _T_1662) @[el2_ifu_compress_ctl.scala 157:106] - node _T_1664 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1665 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1666 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1667 = eq(_T_1666, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1668 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1669 = and(_T_1664, _T_1665) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1670 = and(_T_1669, _T_1667) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1671 = and(_T_1670, _T_1668) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1672 = or(_T_1663, _T_1671) @[el2_ifu_compress_ctl.scala 158:29] - node _T_1673 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1674 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1675 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1676 = eq(_T_1675, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1677 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1678 = and(_T_1673, _T_1674) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1679 = and(_T_1678, _T_1676) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1680 = and(_T_1679, _T_1677) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1681 = or(_T_1672, _T_1680) @[el2_ifu_compress_ctl.scala 158:52] - node _T_1682 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1683 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1684 = eq(_T_1683, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1685 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1655 = and(_T_1649, _T_1651) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1656 = and(_T_1655, _T_1652) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1657 = and(_T_1656, _T_1654) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1658 = or(_T_1647, _T_1657) @[el2_ifu_compress_ctl.scala 157:106] + node _T_1659 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1660 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1661 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1662 = eq(_T_1661, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1663 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1664 = and(_T_1659, _T_1660) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1665 = and(_T_1664, _T_1662) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1666 = and(_T_1665, _T_1663) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1667 = or(_T_1658, _T_1666) @[el2_ifu_compress_ctl.scala 158:29] + node _T_1668 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1669 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1670 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1671 = eq(_T_1670, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1672 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1673 = and(_T_1668, _T_1669) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1674 = and(_T_1673, _T_1671) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1675 = and(_T_1674, _T_1672) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1676 = or(_T_1667, _T_1675) @[el2_ifu_compress_ctl.scala 158:52] + node _T_1677 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1678 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1679 = eq(_T_1678, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1680 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1681 = eq(_T_1680, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1682 = and(_T_1677, _T_1679) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1683 = and(_T_1682, _T_1681) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1684 = or(_T_1676, _T_1683) @[el2_ifu_compress_ctl.scala 158:75] + node _T_1685 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 20:90] node _T_1686 = eq(_T_1685, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1687 = and(_T_1682, _T_1684) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1688 = and(_T_1687, _T_1686) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1689 = or(_T_1681, _T_1688) @[el2_ifu_compress_ctl.scala 158:75] - node _T_1690 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1691 = eq(_T_1690, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1692 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1693 = eq(_T_1692, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1694 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1695 = eq(_T_1694, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1696 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1697 = and(_T_1691, _T_1693) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1698 = and(_T_1697, _T_1695) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1699 = and(_T_1698, _T_1696) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1700 = or(_T_1689, _T_1699) @[el2_ifu_compress_ctl.scala 158:98] - node _T_1701 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1702 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1703 = eq(_T_1702, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1704 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1705 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1706 = and(_T_1701, _T_1703) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1707 = and(_T_1706, _T_1704) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1708 = and(_T_1707, _T_1705) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1709 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 159:63] - node _T_1710 = eq(_T_1709, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 159:56] - node _T_1711 = and(_T_1708, _T_1710) @[el2_ifu_compress_ctl.scala 159:54] - node _T_1712 = or(_T_1700, _T_1711) @[el2_ifu_compress_ctl.scala 159:29] - node _T_1713 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1714 = eq(_T_1713, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1715 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1716 = eq(_T_1715, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1717 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1718 = eq(_T_1717, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1719 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1720 = and(_T_1714, _T_1716) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1721 = and(_T_1720, _T_1718) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1722 = and(_T_1721, _T_1719) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1723 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 159:105] - node _T_1724 = eq(_T_1723, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 159:98] - node _T_1725 = and(_T_1722, _T_1724) @[el2_ifu_compress_ctl.scala 159:96] - node _T_1726 = or(_T_1712, _T_1725) @[el2_ifu_compress_ctl.scala 159:69] - node _T_1727 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1687 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1688 = eq(_T_1687, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1689 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1690 = eq(_T_1689, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1691 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1692 = and(_T_1686, _T_1688) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1693 = and(_T_1692, _T_1690) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1694 = and(_T_1693, _T_1691) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1695 = or(_T_1684, _T_1694) @[el2_ifu_compress_ctl.scala 158:98] + node _T_1696 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1697 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1698 = eq(_T_1697, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1699 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1700 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1701 = and(_T_1696, _T_1698) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1702 = and(_T_1701, _T_1699) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1703 = and(_T_1702, _T_1700) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1704 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 159:63] + node _T_1705 = eq(_T_1704, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 159:56] + node _T_1706 = and(_T_1703, _T_1705) @[el2_ifu_compress_ctl.scala 159:54] + node _T_1707 = or(_T_1695, _T_1706) @[el2_ifu_compress_ctl.scala 159:29] + node _T_1708 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1709 = eq(_T_1708, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1710 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1711 = eq(_T_1710, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1712 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1713 = eq(_T_1712, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1714 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1715 = and(_T_1709, _T_1711) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1716 = and(_T_1715, _T_1713) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1717 = and(_T_1716, _T_1714) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1718 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 159:105] + node _T_1719 = eq(_T_1718, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 159:98] + node _T_1720 = and(_T_1717, _T_1719) @[el2_ifu_compress_ctl.scala 159:96] + node _T_1721 = or(_T_1707, _T_1720) @[el2_ifu_compress_ctl.scala 159:69] + node _T_1722 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1723 = eq(_T_1722, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1724 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1725 = eq(_T_1724, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1726 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1727 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] node _T_1728 = eq(_T_1727, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1729 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1730 = eq(_T_1729, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1731 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1732 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1733 = eq(_T_1732, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1734 = and(_T_1728, _T_1730) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1735 = and(_T_1734, _T_1731) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1736 = and(_T_1735, _T_1733) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1737 = or(_T_1726, _T_1736) @[el2_ifu_compress_ctl.scala 159:111] - node _T_1738 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 20:71] - node _T_1739 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] - node _T_1740 = eq(_T_1739, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] - node _T_1741 = and(_T_1738, _T_1740) @[el2_ifu_compress_ctl.scala 20:110] - node _T_1742 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 160:59] - node _T_1743 = eq(_T_1742, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 160:52] - node _T_1744 = and(_T_1741, _T_1743) @[el2_ifu_compress_ctl.scala 160:50] - node legal = or(_T_1737, _T_1744) @[el2_ifu_compress_ctl.scala 160:30] - node _T_1745 = bits(legal, 0, 0) @[Bitwise.scala 72:15] - node _T_1746 = mux(_T_1745, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_1747 = and(l3, _T_1746) @[el2_ifu_compress_ctl.scala 162:16] - io.dout <= _T_1747 @[el2_ifu_compress_ctl.scala 162:10] + node _T_1729 = and(_T_1723, _T_1725) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1730 = and(_T_1729, _T_1726) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1731 = and(_T_1730, _T_1728) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1732 = or(_T_1721, _T_1731) @[el2_ifu_compress_ctl.scala 159:111] + node _T_1733 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 20:71] + node _T_1734 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 20:90] + node _T_1735 = eq(_T_1734, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 20:83] + node _T_1736 = and(_T_1733, _T_1735) @[el2_ifu_compress_ctl.scala 20:110] + node _T_1737 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 160:59] + node _T_1738 = eq(_T_1737, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 160:52] + node _T_1739 = and(_T_1736, _T_1738) @[el2_ifu_compress_ctl.scala 160:50] + node legal = or(_T_1732, _T_1739) @[el2_ifu_compress_ctl.scala 160:30] + node _T_1740 = bits(legal, 0, 0) @[Bitwise.scala 72:15] + node _T_1741 = mux(_T_1740, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1742 = and(l3, _T_1741) @[el2_ifu_compress_ctl.scala 162:16] + io.dout <= _T_1742 @[el2_ifu_compress_ctl.scala 162:10] io.l1 <= l1 @[el2_ifu_compress_ctl.scala 163:9] io.l2 <= l2 @[el2_ifu_compress_ctl.scala 164:9] io.l3 <= l3 @[el2_ifu_compress_ctl.scala 165:9] io.legal <= legal @[el2_ifu_compress_ctl.scala 166:12] - node _T_1748 = cat(out[31], out[30]) @[Cat.scala 29:58] - node _T_1749 = cat(_T_1748, out[29]) @[Cat.scala 29:58] - node _T_1750 = cat(_T_1749, out[28]) @[Cat.scala 29:58] - node _T_1751 = cat(_T_1750, out[27]) @[Cat.scala 29:58] - node _T_1752 = cat(_T_1751, out[26]) @[Cat.scala 29:58] - node _T_1753 = cat(_T_1752, out[25]) @[Cat.scala 29:58] - node _T_1754 = cat(_T_1753, out[24]) @[Cat.scala 29:58] - node _T_1755 = cat(_T_1754, out[23]) @[Cat.scala 29:58] - node _T_1756 = cat(_T_1755, out[22]) @[Cat.scala 29:58] - node _T_1757 = cat(_T_1756, out[21]) @[Cat.scala 29:58] - node _T_1758 = cat(_T_1757, out[20]) @[Cat.scala 29:58] - node _T_1759 = cat(_T_1758, out[19]) @[Cat.scala 29:58] - node _T_1760 = cat(_T_1759, out[18]) @[Cat.scala 29:58] - node _T_1761 = cat(_T_1760, out[17]) @[Cat.scala 29:58] - node _T_1762 = cat(_T_1761, out[16]) @[Cat.scala 29:58] - node _T_1763 = cat(_T_1762, out[15]) @[Cat.scala 29:58] - node _T_1764 = cat(_T_1763, out[14]) @[Cat.scala 29:58] - node _T_1765 = cat(_T_1764, out[13]) @[Cat.scala 29:58] - node _T_1766 = cat(_T_1765, out[12]) @[Cat.scala 29:58] - node _T_1767 = cat(_T_1766, out[11]) @[Cat.scala 29:58] - node _T_1768 = cat(_T_1767, out[10]) @[Cat.scala 29:58] - node _T_1769 = cat(_T_1768, out[9]) @[Cat.scala 29:58] - node _T_1770 = cat(_T_1769, out[8]) @[Cat.scala 29:58] - node _T_1771 = cat(_T_1770, out[7]) @[Cat.scala 29:58] - node _T_1772 = cat(_T_1771, out[6]) @[Cat.scala 29:58] - node _T_1773 = cat(_T_1772, out[5]) @[Cat.scala 29:58] - node _T_1774 = cat(_T_1773, out[4]) @[Cat.scala 29:58] - node _T_1775 = cat(_T_1774, out[3]) @[Cat.scala 29:58] - node _T_1776 = cat(_T_1775, out[2]) @[Cat.scala 29:58] - node _T_1777 = cat(_T_1776, out[1]) @[Cat.scala 29:58] - node _T_1778 = cat(_T_1777, out[0]) @[Cat.scala 29:58] - io.o <= _T_1778 @[el2_ifu_compress_ctl.scala 167:8] + node _T_1743 = cat(out[31], out[30]) @[Cat.scala 29:58] + node _T_1744 = cat(_T_1743, out[29]) @[Cat.scala 29:58] + node _T_1745 = cat(_T_1744, out[28]) @[Cat.scala 29:58] + node _T_1746 = cat(_T_1745, out[27]) @[Cat.scala 29:58] + node _T_1747 = cat(_T_1746, out[26]) @[Cat.scala 29:58] + node _T_1748 = cat(_T_1747, out[25]) @[Cat.scala 29:58] + node _T_1749 = cat(_T_1748, out[24]) @[Cat.scala 29:58] + node _T_1750 = cat(_T_1749, out[23]) @[Cat.scala 29:58] + node _T_1751 = cat(_T_1750, out[22]) @[Cat.scala 29:58] + node _T_1752 = cat(_T_1751, out[21]) @[Cat.scala 29:58] + node _T_1753 = cat(_T_1752, out[20]) @[Cat.scala 29:58] + node _T_1754 = cat(_T_1753, out[19]) @[Cat.scala 29:58] + node _T_1755 = cat(_T_1754, out[18]) @[Cat.scala 29:58] + node _T_1756 = cat(_T_1755, out[17]) @[Cat.scala 29:58] + node _T_1757 = cat(_T_1756, out[16]) @[Cat.scala 29:58] + node _T_1758 = cat(_T_1757, out[15]) @[Cat.scala 29:58] + node _T_1759 = cat(_T_1758, out[14]) @[Cat.scala 29:58] + node _T_1760 = cat(_T_1759, out[13]) @[Cat.scala 29:58] + node _T_1761 = cat(_T_1760, out[12]) @[Cat.scala 29:58] + node _T_1762 = cat(_T_1761, out[11]) @[Cat.scala 29:58] + node _T_1763 = cat(_T_1762, out[10]) @[Cat.scala 29:58] + node _T_1764 = cat(_T_1763, out[9]) @[Cat.scala 29:58] + node _T_1765 = cat(_T_1764, out[8]) @[Cat.scala 29:58] + node _T_1766 = cat(_T_1765, out[7]) @[Cat.scala 29:58] + node _T_1767 = cat(_T_1766, out[6]) @[Cat.scala 29:58] + node _T_1768 = cat(_T_1767, out[5]) @[Cat.scala 29:58] + node _T_1769 = cat(_T_1768, out[4]) @[Cat.scala 29:58] + node _T_1770 = cat(_T_1769, out[3]) @[Cat.scala 29:58] + node _T_1771 = cat(_T_1770, out[2]) @[Cat.scala 29:58] + node _T_1772 = cat(_T_1771, out[1]) @[Cat.scala 29:58] + node _T_1773 = cat(_T_1772, out[0]) @[Cat.scala 29:58] + io.o <= _T_1773 @[el2_ifu_compress_ctl.scala 167:8] diff --git a/el2_ifu_compress_ctl.v b/el2_ifu_compress_ctl.v index 22c02335..985e3aee 100644 --- a/el2_ifu_compress_ctl.v +++ b/el2_ifu_compress_ctl.v @@ -317,212 +317,213 @@ module el2_ifu_compress_ctl( wire _T_1140 = _T_889 & io_din[11]; // @[el2_ifu_compress_ctl.scala 20:110] wire sluimm17_12 = _T_1132 | _T_1140; // @[el2_ifu_compress_ctl.scala 98:29] wire uimm5_0 = _T_79 | _T_195; // @[el2_ifu_compress_ctl.scala 99:45] - wire [4:0] _T_1186 = rdrd ? rdd : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1187 = rdprd ? rdpd : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1188 = rs2prd ? rs2pd : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1189 = rdeq1 ? 5'h1 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1190 = rdeq2 ? 5'h2 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1191 = _T_1186 | _T_1187; // @[Mux.scala 27:72] - wire [4:0] _T_1192 = _T_1191 | _T_1188; // @[Mux.scala 27:72] - wire [4:0] _T_1193 = _T_1192 | _T_1189; // @[Mux.scala 27:72] - wire [4:0] l1_11 = _T_1193 | _T_1190; // @[Mux.scala 27:72] - wire [4:0] _T_1205 = rdrs1 ? rdd : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1206 = rdprs1 ? rdpd : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1207 = rs1eq2 ? 5'h2 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1208 = _T_1205 | _T_1206; // @[Mux.scala 27:72] - wire [4:0] l1_19 = _T_1208 | _T_1207; // @[Mux.scala 27:72] - wire [4:0] _T_1215 = {out_20,1'h0,1'h0,2'h0}; // @[el2_ifu_compress_ctl.scala 110:64] - wire [4:0] _T_1218 = rs2rs2 ? rs2d : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1219 = rs2prs2 ? rs2pd : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1220 = _T_1218 | _T_1219; // @[Mux.scala 27:72] - wire [4:0] l1_24 = _T_1215 | _T_1220; // @[el2_ifu_compress_ctl.scala 110:71] - wire [14:0] _T_1229 = {out_14,out_13,out_12,l1_11,2'h3,out_2,_T_228,out_4,out_5,out_6}; // @[Cat.scala 29:58] - wire [16:0] _T_1231 = {4'h0,1'h0,out_30,1'h0,l1_24,l1_19}; // @[Cat.scala 29:58] - wire [31:0] l1 = {4'h0,1'h0,out_30,1'h0,l1_24,l1_19,_T_1229}; // @[Cat.scala 29:58] + wire [6:0] l1_6 = {out_6,out_5,out_4,_T_228,out_2,1'h1,1'h1}; // @[Cat.scala 29:58] + wire [4:0] _T_1184 = rdrd ? rdd : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1185 = rdprd ? rdpd : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1186 = rs2prd ? rs2pd : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1187 = rdeq1 ? 5'h1 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1188 = rdeq2 ? 5'h2 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1189 = _T_1184 | _T_1185; // @[Mux.scala 27:72] + wire [4:0] _T_1190 = _T_1189 | _T_1186; // @[Mux.scala 27:72] + wire [4:0] _T_1191 = _T_1190 | _T_1187; // @[Mux.scala 27:72] + wire [4:0] l1_11 = _T_1191 | _T_1188; // @[Mux.scala 27:72] + wire [4:0] _T_1202 = rdrs1 ? rdd : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1203 = rdprs1 ? rdpd : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1204 = rs1eq2 ? 5'h2 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1205 = _T_1202 | _T_1203; // @[Mux.scala 27:72] + wire [4:0] l1_19 = _T_1205 | _T_1204; // @[Mux.scala 27:72] + wire [4:0] _T_1211 = {3'h0,1'h0,out_20}; // @[Cat.scala 29:58] + wire [4:0] _T_1214 = rs2rs2 ? rs2d : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1215 = rs2prs2 ? rs2pd : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1216 = _T_1214 | _T_1215; // @[Mux.scala 27:72] + wire [4:0] l1_24 = _T_1211 | _T_1216; // @[el2_ifu_compress_ctl.scala 110:67] + wire [14:0] _T_1224 = {out_14,out_13,out_12,l1_11,l1_6}; // @[Cat.scala 29:58] + wire [16:0] _T_1226 = {1'h0,out_30,2'h0,3'h0,l1_24,l1_19}; // @[Cat.scala 29:58] + wire [31:0] l1 = {1'h0,out_30,2'h0,3'h0,l1_24,l1_19,_T_1224}; // @[Cat.scala 29:58] wire [5:0] simm5d = {io_din[12],rs2d}; // @[Cat.scala 29:58] wire [5:0] simm9d = {io_din[12],io_din[4:3],io_din[5],io_din[2],io_din[6]}; // @[Cat.scala 29:58] wire [8:0] sjald_12 = io_din[12] ? 9'h1ff : 9'h0; // @[Bitwise.scala 72:12] wire [19:0] sjald = {sjald_12,io_din[12],io_din[8],io_din[10:9],io_din[6],io_din[7],io_din[2],io_din[11],io_din[5:4],io_din[3]}; // @[Cat.scala 29:58] - wire [14:0] _T_1278 = io_din[12] ? 15'h7fff : 15'h0; // @[Bitwise.scala 72:12] - wire [19:0] sluimmd = {_T_1278,rs2d}; // @[Cat.scala 29:58] - wire [6:0] _T_1284 = simm5d[5] ? 7'h7f : 7'h0; // @[Bitwise.scala 72:12] - wire [11:0] _T_1286 = {_T_1284,simm5d[4:0]}; // @[Cat.scala 29:58] - wire [11:0] _T_1289 = {2'h0,io_din[10:7],io_din[12:11],io_din[5],io_din[6],2'h0}; // @[Cat.scala 29:58] - wire [2:0] _T_1293 = simm9d[5] ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [11:0] _T_1296 = {_T_1293,simm9d[4:0],4'h0}; // @[Cat.scala 29:58] - wire [11:0] _T_1299 = {5'h0,io_din[5],io_din[12:10],io_din[6],2'h0}; // @[Cat.scala 29:58] - wire [11:0] _T_1302 = {4'h0,io_din[3:2],io_din[12],io_din[6:4],2'h0}; // @[Cat.scala 29:58] - wire [11:0] _T_1304 = {6'h0,io_din[12],rs2d}; // @[Cat.scala 29:58] - wire [11:0] _T_1309 = {sjald[19],sjald[9:0],sjald[10]}; // @[Cat.scala 29:58] - wire [11:0] _T_1311 = simm5_0 ? _T_1286 : 12'h0; // @[Mux.scala 27:72] - wire [11:0] _T_1312 = uimm9_2 ? _T_1289 : 12'h0; // @[Mux.scala 27:72] - wire [11:0] _T_1313 = rdeq2 ? _T_1296 : 12'h0; // @[Mux.scala 27:72] - wire [11:0] _T_1314 = ulwimm6_2 ? _T_1299 : 12'h0; // @[Mux.scala 27:72] - wire [11:0] _T_1315 = ulwspimm7_2 ? _T_1302 : 12'h0; // @[Mux.scala 27:72] - wire [11:0] _T_1316 = uimm5_0 ? _T_1304 : 12'h0; // @[Mux.scala 27:72] - wire [11:0] _T_1317 = _T_228 ? _T_1309 : 12'h0; // @[Mux.scala 27:72] - wire [11:0] _T_1318 = sluimm17_12 ? sluimmd[19:8] : 12'h0; // @[Mux.scala 27:72] - wire [11:0] _T_1319 = _T_1311 | _T_1312; // @[Mux.scala 27:72] + wire [14:0] _T_1273 = io_din[12] ? 15'h7fff : 15'h0; // @[Bitwise.scala 72:12] + wire [19:0] sluimmd = {_T_1273,rs2d}; // @[Cat.scala 29:58] + wire [6:0] _T_1279 = simm5d[5] ? 7'h7f : 7'h0; // @[Bitwise.scala 72:12] + wire [11:0] _T_1281 = {_T_1279,simm5d[4:0]}; // @[Cat.scala 29:58] + wire [11:0] _T_1284 = {2'h0,io_din[10:7],io_din[12:11],io_din[5],io_din[6],2'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_1288 = simm9d[5] ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [11:0] _T_1291 = {_T_1288,simm9d[4:0],4'h0}; // @[Cat.scala 29:58] + wire [11:0] _T_1294 = {5'h0,io_din[5],io_din[12:10],io_din[6],2'h0}; // @[Cat.scala 29:58] + wire [11:0] _T_1297 = {4'h0,io_din[3:2],io_din[12],io_din[6:4],2'h0}; // @[Cat.scala 29:58] + wire [11:0] _T_1299 = {6'h0,io_din[12],rs2d}; // @[Cat.scala 29:58] + wire [11:0] _T_1304 = {sjald[19],sjald[9:0],sjald[10]}; // @[Cat.scala 29:58] + wire [11:0] _T_1306 = simm5_0 ? _T_1281 : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1307 = uimm9_2 ? _T_1284 : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1308 = rdeq2 ? _T_1291 : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1309 = ulwimm6_2 ? _T_1294 : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1310 = ulwspimm7_2 ? _T_1297 : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1311 = uimm5_0 ? _T_1299 : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1312 = _T_228 ? _T_1304 : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1313 = sluimm17_12 ? sluimmd[19:8] : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1314 = _T_1306 | _T_1307; // @[Mux.scala 27:72] + wire [11:0] _T_1315 = _T_1314 | _T_1308; // @[Mux.scala 27:72] + wire [11:0] _T_1316 = _T_1315 | _T_1309; // @[Mux.scala 27:72] + wire [11:0] _T_1317 = _T_1316 | _T_1310; // @[Mux.scala 27:72] + wire [11:0] _T_1318 = _T_1317 | _T_1311; // @[Mux.scala 27:72] + wire [11:0] _T_1319 = _T_1318 | _T_1312; // @[Mux.scala 27:72] wire [11:0] _T_1320 = _T_1319 | _T_1313; // @[Mux.scala 27:72] - wire [11:0] _T_1321 = _T_1320 | _T_1314; // @[Mux.scala 27:72] - wire [11:0] _T_1322 = _T_1321 | _T_1315; // @[Mux.scala 27:72] - wire [11:0] _T_1323 = _T_1322 | _T_1316; // @[Mux.scala 27:72] - wire [11:0] _T_1324 = _T_1323 | _T_1317; // @[Mux.scala 27:72] - wire [11:0] _T_1325 = _T_1324 | _T_1318; // @[Mux.scala 27:72] - wire [11:0] l2_31 = l1[31:20] | _T_1325; // @[el2_ifu_compress_ctl.scala 126:25] - wire [8:0] _T_1332 = _T_228 ? sjald[19:11] : 9'h0; // @[Mux.scala 27:72] - wire [7:0] _T_1333 = sluimm17_12 ? sluimmd[7:0] : 8'h0; // @[Mux.scala 27:72] - wire [8:0] _GEN_0 = {{1'd0}, _T_1333}; // @[Mux.scala 27:72] - wire [8:0] _T_1334 = _T_1332 | _GEN_0; // @[Mux.scala 27:72] + wire [11:0] l2_31 = l1[31:20] | _T_1320; // @[el2_ifu_compress_ctl.scala 126:25] + wire [8:0] _T_1327 = _T_228 ? sjald[19:11] : 9'h0; // @[Mux.scala 27:72] + wire [7:0] _T_1328 = sluimm17_12 ? sluimmd[7:0] : 8'h0; // @[Mux.scala 27:72] + wire [8:0] _GEN_0 = {{1'd0}, _T_1328}; // @[Mux.scala 27:72] + wire [8:0] _T_1329 = _T_1327 | _GEN_0; // @[Mux.scala 27:72] wire [8:0] _GEN_1 = {{1'd0}, l1[19:12]}; // @[el2_ifu_compress_ctl.scala 136:25] - wire [8:0] l2_19 = _GEN_1 | _T_1334; // @[el2_ifu_compress_ctl.scala 136:25] + wire [8:0] l2_19 = _GEN_1 | _T_1329; // @[el2_ifu_compress_ctl.scala 136:25] wire [32:0] l2 = {l2_31,l2_19,l1[11:0]}; // @[Cat.scala 29:58] wire [8:0] sbr8d = {io_din[12],io_din[6],io_din[5],io_din[2],io_din[11],io_din[10],io_din[4],io_din[3],1'h0}; // @[Cat.scala 29:58] wire [6:0] uswimm6d = {io_din[5],io_din[12:10],io_din[6],2'h0}; // @[Cat.scala 29:58] wire [7:0] uswspimm7d = {io_din[8:7],io_din[12:9],2'h0}; // @[Cat.scala 29:58] - wire [3:0] _T_1365 = sbr8d[8] ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [6:0] _T_1367 = {_T_1365,sbr8d[7:5]}; // @[Cat.scala 29:58] - wire [6:0] _T_1370 = {5'h0,uswimm6d[6:5]}; // @[Cat.scala 29:58] - wire [6:0] _T_1373 = {4'h0,uswspimm7d[7:5]}; // @[Cat.scala 29:58] - wire [6:0] _T_1374 = _T_234 ? _T_1367 : 7'h0; // @[Mux.scala 27:72] - wire [6:0] _T_1375 = _T_846 ? _T_1370 : 7'h0; // @[Mux.scala 27:72] - wire [6:0] _T_1376 = _T_799 ? _T_1373 : 7'h0; // @[Mux.scala 27:72] - wire [6:0] _T_1377 = _T_1374 | _T_1375; // @[Mux.scala 27:72] - wire [6:0] _T_1378 = _T_1377 | _T_1376; // @[Mux.scala 27:72] - wire [6:0] l3_31 = l2[31:25] | _T_1378; // @[el2_ifu_compress_ctl.scala 142:25] + wire [3:0] _T_1360 = sbr8d[8] ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [6:0] _T_1362 = {_T_1360,sbr8d[7:5]}; // @[Cat.scala 29:58] + wire [6:0] _T_1365 = {5'h0,uswimm6d[6:5]}; // @[Cat.scala 29:58] + wire [6:0] _T_1368 = {4'h0,uswspimm7d[7:5]}; // @[Cat.scala 29:58] + wire [6:0] _T_1369 = _T_234 ? _T_1362 : 7'h0; // @[Mux.scala 27:72] + wire [6:0] _T_1370 = _T_846 ? _T_1365 : 7'h0; // @[Mux.scala 27:72] + wire [6:0] _T_1371 = _T_799 ? _T_1368 : 7'h0; // @[Mux.scala 27:72] + wire [6:0] _T_1372 = _T_1369 | _T_1370; // @[Mux.scala 27:72] + wire [6:0] _T_1373 = _T_1372 | _T_1371; // @[Mux.scala 27:72] + wire [6:0] l3_31 = l2[31:25] | _T_1373; // @[el2_ifu_compress_ctl.scala 142:25] wire [12:0] l3_24 = l2[24:12]; // @[el2_ifu_compress_ctl.scala 145:17] - wire [4:0] _T_1384 = {sbr8d[4:1],sbr8d[8]}; // @[Cat.scala 29:58] - wire [4:0] _T_1389 = _T_234 ? _T_1384 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1390 = _T_846 ? uswimm6d[4:0] : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1391 = _T_799 ? uswspimm7d[4:0] : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1392 = _T_1389 | _T_1390; // @[Mux.scala 27:72] - wire [4:0] _T_1393 = _T_1392 | _T_1391; // @[Mux.scala 27:72] - wire [4:0] l3_11 = l2[11:7] | _T_1393; // @[el2_ifu_compress_ctl.scala 146:24] - wire [11:0] _T_1396 = {l3_11,l2[6:0]}; // @[Cat.scala 29:58] - wire [19:0] _T_1397 = {l3_31,l3_24}; // @[Cat.scala 29:58] + wire [4:0] _T_1379 = {sbr8d[4:1],sbr8d[8]}; // @[Cat.scala 29:58] + wire [4:0] _T_1384 = _T_234 ? _T_1379 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1385 = _T_846 ? uswimm6d[4:0] : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1386 = _T_799 ? uswspimm7d[4:0] : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1387 = _T_1384 | _T_1385; // @[Mux.scala 27:72] + wire [4:0] _T_1388 = _T_1387 | _T_1386; // @[Mux.scala 27:72] + wire [4:0] l3_11 = l2[11:7] | _T_1388; // @[el2_ifu_compress_ctl.scala 146:24] + wire [11:0] _T_1391 = {l3_11,l2[6:0]}; // @[Cat.scala 29:58] + wire [19:0] _T_1392 = {l3_31,l3_24}; // @[Cat.scala 29:58] wire [31:0] l3 = {l3_31,l3_24,l3_11,l2[6:0]}; // @[Cat.scala 29:58] - wire _T_1404 = _T_4 & _T_487; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1405 = _T_1404 & io_din[11]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1406 = _T_1405 & io_din[1]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1409 = _T_1406 & _T_147; // @[el2_ifu_compress_ctl.scala 151:39] - wire _T_1417 = _T_1404 & io_din[6]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1418 = _T_1417 & io_din[1]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1421 = _T_1418 & _T_147; // @[el2_ifu_compress_ctl.scala 151:79] - wire _T_1422 = _T_1409 | _T_1421; // @[el2_ifu_compress_ctl.scala 151:54] - wire _T_1431 = _T_642 & io_din[11]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1432 = _T_1431 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1433 = _T_1422 | _T_1432; // @[el2_ifu_compress_ctl.scala 151:94] - wire _T_1441 = _T_1404 & io_din[5]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1442 = _T_1441 & io_din[1]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1445 = _T_1442 & _T_147; // @[el2_ifu_compress_ctl.scala 152:55] - wire _T_1446 = _T_1433 | _T_1445; // @[el2_ifu_compress_ctl.scala 152:30] - wire _T_1454 = _T_1404 & io_din[10]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1455 = _T_1454 & io_din[1]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1458 = _T_1455 & _T_147; // @[el2_ifu_compress_ctl.scala 152:96] - wire _T_1459 = _T_1446 | _T_1458; // @[el2_ifu_compress_ctl.scala 152:70] - wire _T_1468 = _T_642 & io_din[6]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1469 = _T_1468 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1470 = _T_1459 | _T_1469; // @[el2_ifu_compress_ctl.scala 152:111] - wire _T_1477 = io_din[15] & _T_487; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1478 = _T_1477 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1479 = _T_1478 & io_din[0]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1480 = _T_1470 | _T_1479; // @[el2_ifu_compress_ctl.scala 153:29] - wire _T_1488 = _T_1404 & io_din[9]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1489 = _T_1488 & io_din[1]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1492 = _T_1489 & _T_147; // @[el2_ifu_compress_ctl.scala 153:79] - wire _T_1493 = _T_1480 | _T_1492; // @[el2_ifu_compress_ctl.scala 153:54] - wire _T_1500 = _T_487 & io_din[6]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1501 = _T_1500 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1502 = _T_1501 & io_din[0]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1503 = _T_1493 | _T_1502; // @[el2_ifu_compress_ctl.scala 153:94] - wire _T_1512 = _T_642 & io_din[5]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1513 = _T_1512 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1514 = _T_1503 | _T_1513; // @[el2_ifu_compress_ctl.scala 153:118] - wire _T_1522 = _T_1404 & io_din[8]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1523 = _T_1522 & io_din[1]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1526 = _T_1523 & _T_147; // @[el2_ifu_compress_ctl.scala 154:28] - wire _T_1527 = _T_1514 | _T_1526; // @[el2_ifu_compress_ctl.scala 153:144] - wire _T_1534 = _T_487 & io_din[5]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1535 = _T_1534 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1536 = _T_1535 & io_din[0]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1537 = _T_1527 | _T_1536; // @[el2_ifu_compress_ctl.scala 154:43] - wire _T_1546 = _T_642 & io_din[10]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1547 = _T_1546 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1548 = _T_1537 | _T_1547; // @[el2_ifu_compress_ctl.scala 154:67] - wire _T_1556 = _T_1404 & io_din[7]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1557 = _T_1556 & io_din[1]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1560 = _T_1557 & _T_147; // @[el2_ifu_compress_ctl.scala 155:28] - wire _T_1561 = _T_1548 | _T_1560; // @[el2_ifu_compress_ctl.scala 154:94] - wire _T_1569 = io_din[12] & io_din[11]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1570 = _T_1569 & _T_38; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1571 = _T_1570 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1572 = _T_1571 & io_din[0]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1573 = _T_1561 | _T_1572; // @[el2_ifu_compress_ctl.scala 155:43] - wire _T_1582 = _T_642 & io_din[9]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1583 = _T_1582 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1584 = _T_1573 | _T_1583; // @[el2_ifu_compress_ctl.scala 155:71] - wire _T_1592 = _T_1404 & io_din[4]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1593 = _T_1592 & io_din[1]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1596 = _T_1593 & _T_147; // @[el2_ifu_compress_ctl.scala 156:28] - wire _T_1597 = _T_1584 | _T_1596; // @[el2_ifu_compress_ctl.scala 155:97] - wire _T_1603 = io_din[13] & io_din[12]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1604 = _T_1603 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1605 = _T_1604 & io_din[0]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1606 = _T_1597 | _T_1605; // @[el2_ifu_compress_ctl.scala 156:43] - wire _T_1615 = _T_642 & io_din[8]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1616 = _T_1615 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1617 = _T_1606 | _T_1616; // @[el2_ifu_compress_ctl.scala 156:67] - wire _T_1625 = _T_1404 & io_din[3]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1626 = _T_1625 & io_din[1]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1629 = _T_1626 & _T_147; // @[el2_ifu_compress_ctl.scala 157:28] - wire _T_1630 = _T_1617 | _T_1629; // @[el2_ifu_compress_ctl.scala 156:93] - wire _T_1636 = io_din[13] & io_din[4]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1637 = _T_1636 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1638 = _T_1637 & io_din[0]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1639 = _T_1630 | _T_1638; // @[el2_ifu_compress_ctl.scala 157:43] - wire _T_1647 = _T_1404 & io_din[2]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1648 = _T_1647 & io_din[1]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1651 = _T_1648 & _T_147; // @[el2_ifu_compress_ctl.scala 157:91] - wire _T_1652 = _T_1639 | _T_1651; // @[el2_ifu_compress_ctl.scala 157:66] - wire _T_1661 = _T_642 & io_din[7]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1662 = _T_1661 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1663 = _T_1652 | _T_1662; // @[el2_ifu_compress_ctl.scala 157:106] - wire _T_1669 = io_din[13] & io_din[3]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1670 = _T_1669 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1671 = _T_1670 & io_din[0]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1672 = _T_1663 | _T_1671; // @[el2_ifu_compress_ctl.scala 158:29] - wire _T_1678 = io_din[13] & io_din[2]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1679 = _T_1678 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1680 = _T_1679 & io_din[0]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1681 = _T_1672 | _T_1680; // @[el2_ifu_compress_ctl.scala 158:52] - wire _T_1687 = io_din[14] & _T_4; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1688 = _T_1687 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1689 = _T_1681 | _T_1688; // @[el2_ifu_compress_ctl.scala 158:75] - wire _T_1698 = _T_703 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1699 = _T_1698 & io_din[0]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1700 = _T_1689 | _T_1699; // @[el2_ifu_compress_ctl.scala 158:98] - wire _T_1707 = _T_812 & io_din[12]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1708 = _T_1707 & io_din[1]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1711 = _T_1708 & _T_147; // @[el2_ifu_compress_ctl.scala 159:54] - wire _T_1712 = _T_1700 | _T_1711; // @[el2_ifu_compress_ctl.scala 159:29] - wire _T_1721 = _T_642 & _T_487; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1722 = _T_1721 & io_din[1]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1725 = _T_1722 & _T_147; // @[el2_ifu_compress_ctl.scala 159:96] - wire _T_1726 = _T_1712 | _T_1725; // @[el2_ifu_compress_ctl.scala 159:69] - wire _T_1735 = _T_642 & io_din[12]; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1736 = _T_1735 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] - wire _T_1737 = _T_1726 | _T_1736; // @[el2_ifu_compress_ctl.scala 159:111] - wire _T_1744 = _T_1687 & _T_147; // @[el2_ifu_compress_ctl.scala 160:50] - wire legal = _T_1737 | _T_1744; // @[el2_ifu_compress_ctl.scala 160:30] - wire [31:0] _T_1746 = legal ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [9:0] _T_1756 = {1'h0,out_30,1'h0,1'h0,1'h0,1'h0,1'h0,1'h0,1'h0,1'h0}; // @[Cat.scala 29:58] - wire [18:0] _T_1765 = {_T_1756,1'h0,out_20,1'h0,1'h0,1'h0,1'h0,1'h0,out_14,out_13}; // @[Cat.scala 29:58] - wire [27:0] _T_1774 = {_T_1765,out_12,1'h0,1'h0,1'h0,1'h0,1'h0,out_6,out_5,out_4}; // @[Cat.scala 29:58] - wire [30:0] _T_1777 = {_T_1774,_T_228,out_2,1'h1}; // @[Cat.scala 29:58] - assign io_dout = l3 & _T_1746; // @[el2_ifu_compress_ctl.scala 162:10] - assign io_l1 = {_T_1231,_T_1229}; // @[el2_ifu_compress_ctl.scala 163:9] + wire _T_1399 = _T_4 & _T_487; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1400 = _T_1399 & io_din[11]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1401 = _T_1400 & io_din[1]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1404 = _T_1401 & _T_147; // @[el2_ifu_compress_ctl.scala 151:39] + wire _T_1412 = _T_1399 & io_din[6]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1413 = _T_1412 & io_din[1]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1416 = _T_1413 & _T_147; // @[el2_ifu_compress_ctl.scala 151:79] + wire _T_1417 = _T_1404 | _T_1416; // @[el2_ifu_compress_ctl.scala 151:54] + wire _T_1426 = _T_642 & io_din[11]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1427 = _T_1426 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1428 = _T_1417 | _T_1427; // @[el2_ifu_compress_ctl.scala 151:94] + wire _T_1436 = _T_1399 & io_din[5]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1437 = _T_1436 & io_din[1]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1440 = _T_1437 & _T_147; // @[el2_ifu_compress_ctl.scala 152:55] + wire _T_1441 = _T_1428 | _T_1440; // @[el2_ifu_compress_ctl.scala 152:30] + wire _T_1449 = _T_1399 & io_din[10]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1450 = _T_1449 & io_din[1]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1453 = _T_1450 & _T_147; // @[el2_ifu_compress_ctl.scala 152:96] + wire _T_1454 = _T_1441 | _T_1453; // @[el2_ifu_compress_ctl.scala 152:70] + wire _T_1463 = _T_642 & io_din[6]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1464 = _T_1463 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1465 = _T_1454 | _T_1464; // @[el2_ifu_compress_ctl.scala 152:111] + wire _T_1472 = io_din[15] & _T_487; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1473 = _T_1472 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1474 = _T_1473 & io_din[0]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1475 = _T_1465 | _T_1474; // @[el2_ifu_compress_ctl.scala 153:29] + wire _T_1483 = _T_1399 & io_din[9]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1484 = _T_1483 & io_din[1]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1487 = _T_1484 & _T_147; // @[el2_ifu_compress_ctl.scala 153:79] + wire _T_1488 = _T_1475 | _T_1487; // @[el2_ifu_compress_ctl.scala 153:54] + wire _T_1495 = _T_487 & io_din[6]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1496 = _T_1495 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1497 = _T_1496 & io_din[0]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1498 = _T_1488 | _T_1497; // @[el2_ifu_compress_ctl.scala 153:94] + wire _T_1507 = _T_642 & io_din[5]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1508 = _T_1507 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1509 = _T_1498 | _T_1508; // @[el2_ifu_compress_ctl.scala 153:118] + wire _T_1517 = _T_1399 & io_din[8]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1518 = _T_1517 & io_din[1]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1521 = _T_1518 & _T_147; // @[el2_ifu_compress_ctl.scala 154:28] + wire _T_1522 = _T_1509 | _T_1521; // @[el2_ifu_compress_ctl.scala 153:144] + wire _T_1529 = _T_487 & io_din[5]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1530 = _T_1529 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1531 = _T_1530 & io_din[0]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1532 = _T_1522 | _T_1531; // @[el2_ifu_compress_ctl.scala 154:43] + wire _T_1541 = _T_642 & io_din[10]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1542 = _T_1541 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1543 = _T_1532 | _T_1542; // @[el2_ifu_compress_ctl.scala 154:67] + wire _T_1551 = _T_1399 & io_din[7]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1552 = _T_1551 & io_din[1]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1555 = _T_1552 & _T_147; // @[el2_ifu_compress_ctl.scala 155:28] + wire _T_1556 = _T_1543 | _T_1555; // @[el2_ifu_compress_ctl.scala 154:94] + wire _T_1564 = io_din[12] & io_din[11]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1565 = _T_1564 & _T_38; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1566 = _T_1565 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1567 = _T_1566 & io_din[0]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1568 = _T_1556 | _T_1567; // @[el2_ifu_compress_ctl.scala 155:43] + wire _T_1577 = _T_642 & io_din[9]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1578 = _T_1577 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1579 = _T_1568 | _T_1578; // @[el2_ifu_compress_ctl.scala 155:71] + wire _T_1587 = _T_1399 & io_din[4]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1588 = _T_1587 & io_din[1]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1591 = _T_1588 & _T_147; // @[el2_ifu_compress_ctl.scala 156:28] + wire _T_1592 = _T_1579 | _T_1591; // @[el2_ifu_compress_ctl.scala 155:97] + wire _T_1598 = io_din[13] & io_din[12]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1599 = _T_1598 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1600 = _T_1599 & io_din[0]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1601 = _T_1592 | _T_1600; // @[el2_ifu_compress_ctl.scala 156:43] + wire _T_1610 = _T_642 & io_din[8]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1611 = _T_1610 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1612 = _T_1601 | _T_1611; // @[el2_ifu_compress_ctl.scala 156:67] + wire _T_1620 = _T_1399 & io_din[3]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1621 = _T_1620 & io_din[1]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1624 = _T_1621 & _T_147; // @[el2_ifu_compress_ctl.scala 157:28] + wire _T_1625 = _T_1612 | _T_1624; // @[el2_ifu_compress_ctl.scala 156:93] + wire _T_1631 = io_din[13] & io_din[4]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1632 = _T_1631 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1633 = _T_1632 & io_din[0]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1634 = _T_1625 | _T_1633; // @[el2_ifu_compress_ctl.scala 157:43] + wire _T_1642 = _T_1399 & io_din[2]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1643 = _T_1642 & io_din[1]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1646 = _T_1643 & _T_147; // @[el2_ifu_compress_ctl.scala 157:91] + wire _T_1647 = _T_1634 | _T_1646; // @[el2_ifu_compress_ctl.scala 157:66] + wire _T_1656 = _T_642 & io_din[7]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1657 = _T_1656 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1658 = _T_1647 | _T_1657; // @[el2_ifu_compress_ctl.scala 157:106] + wire _T_1664 = io_din[13] & io_din[3]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1665 = _T_1664 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1666 = _T_1665 & io_din[0]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1667 = _T_1658 | _T_1666; // @[el2_ifu_compress_ctl.scala 158:29] + wire _T_1673 = io_din[13] & io_din[2]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1674 = _T_1673 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1675 = _T_1674 & io_din[0]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1676 = _T_1667 | _T_1675; // @[el2_ifu_compress_ctl.scala 158:52] + wire _T_1682 = io_din[14] & _T_4; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1683 = _T_1682 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1684 = _T_1676 | _T_1683; // @[el2_ifu_compress_ctl.scala 158:75] + wire _T_1693 = _T_703 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1694 = _T_1693 & io_din[0]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1695 = _T_1684 | _T_1694; // @[el2_ifu_compress_ctl.scala 158:98] + wire _T_1702 = _T_812 & io_din[12]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1703 = _T_1702 & io_din[1]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1706 = _T_1703 & _T_147; // @[el2_ifu_compress_ctl.scala 159:54] + wire _T_1707 = _T_1695 | _T_1706; // @[el2_ifu_compress_ctl.scala 159:29] + wire _T_1716 = _T_642 & _T_487; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1717 = _T_1716 & io_din[1]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1720 = _T_1717 & _T_147; // @[el2_ifu_compress_ctl.scala 159:96] + wire _T_1721 = _T_1707 | _T_1720; // @[el2_ifu_compress_ctl.scala 159:69] + wire _T_1730 = _T_642 & io_din[12]; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1731 = _T_1730 & _T_822; // @[el2_ifu_compress_ctl.scala 20:110] + wire _T_1732 = _T_1721 | _T_1731; // @[el2_ifu_compress_ctl.scala 159:111] + wire _T_1739 = _T_1682 & _T_147; // @[el2_ifu_compress_ctl.scala 160:50] + wire legal = _T_1732 | _T_1739; // @[el2_ifu_compress_ctl.scala 160:30] + wire [31:0] _T_1741 = legal ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [9:0] _T_1751 = {1'h0,out_30,1'h0,1'h0,1'h0,1'h0,1'h0,1'h0,1'h0,1'h0}; // @[Cat.scala 29:58] + wire [18:0] _T_1760 = {_T_1751,1'h0,out_20,1'h0,1'h0,1'h0,1'h0,1'h0,out_14,out_13}; // @[Cat.scala 29:58] + wire [27:0] _T_1769 = {_T_1760,out_12,1'h0,1'h0,1'h0,1'h0,1'h0,out_6,out_5,out_4}; // @[Cat.scala 29:58] + wire [30:0] _T_1772 = {_T_1769,_T_228,out_2,1'h1}; // @[Cat.scala 29:58] + assign io_dout = l3 & _T_1741; // @[el2_ifu_compress_ctl.scala 162:10] + assign io_l1 = {_T_1226,_T_1224}; // @[el2_ifu_compress_ctl.scala 163:9] assign io_l2 = l2[31:0]; // @[el2_ifu_compress_ctl.scala 164:9] - assign io_l3 = {_T_1397,_T_1396}; // @[el2_ifu_compress_ctl.scala 165:9] - assign io_legal = _T_1737 | _T_1744; // @[el2_ifu_compress_ctl.scala 166:12] - assign io_o = {_T_1777,1'h1}; // @[el2_ifu_compress_ctl.scala 167:8] + assign io_l3 = {_T_1392,_T_1391}; // @[el2_ifu_compress_ctl.scala 165:9] + assign io_legal = _T_1732 | _T_1739; // @[el2_ifu_compress_ctl.scala 166:12] + assign io_o = {_T_1772,1'h1}; // @[el2_ifu_compress_ctl.scala 167:8] endmodule diff --git a/src/main/scala/ifu/el2_ifu_compress_ctl.scala b/src/main/scala/ifu/el2_ifu_compress_ctl.scala index 08d4f34a..5d6cd30a 100644 --- a/src/main/scala/ifu/el2_ifu_compress_ctl.scala +++ b/src/main/scala/ifu/el2_ifu_compress_ctl.scala @@ -100,16 +100,16 @@ class el2_ifu_compress_ctl extends Module { val uswimm6_2 = pat(List(15,-1))&(!io.din(0)) val uswspimm7_2 = pat(List(15,14,1)) - val l1_6 = VecInit(out(6),out(5),out(4),out(3),out(2),out(1),out(0)).asUInt() - val l1_11 = VecInit(out(11),out(10),out(9),out(8),out(7)).asUInt | Mux1H(Seq(rdrd.asBool->rdd, + val l1_6 = Cat(out(6),out(5),out(4),out(3),out(2),out(1),out(0)).asUInt() + val l1_11 = Cat(out(11),out(10),out(9),out(8),out(7)).asUInt | Mux1H(Seq(rdrd.asBool->rdd, rdprd.asBool->rdpd, rs2prd.asBool->rs2pd, rdeq1.asBool->1.U(5.W), rdeq2.asBool->2.U(5.W))) val l1_14 = Cat(out(14),out(13),out(12)) - val l1_19 = VecInit(out(19),out(18),out(17),out(16),out(15)).asUInt | Mux1H(Seq(rdrs1.asBool->rdd, + val l1_19 = Cat(out(19),out(18),out(17),out(16),out(15)).asUInt | Mux1H(Seq(rdrs1.asBool->rdd, rdprs1.asBool->rdpd, rs1eq2.asBool->2.U(5.W))) - val l1_24 = VecInit(out(24),out(23),out(22),out(21),out(20)).asUInt | Mux1H(Seq(rs2rs2.asBool->rs2d, + val l1_24 = Cat(out(24),out(23),out(22),out(21),out(20)).asUInt | Mux1H(Seq(rs2rs2.asBool->rs2d, rs2prs2.asBool->rs2pd)) - val l1_31 = VecInit(out(31),out(30),out(29),out(28),out(27),out(26),out(25)).asUInt + val l1_31 = Cat(out(31),out(30),out(29),out(28),out(27),out(26),out(25)).asUInt val l1 = Cat(l1_31,l1_24,l1_19,l1_14,l1_11,l1_6) val simm5d = Cat(io.din(12), io.din(6,2)) diff --git a/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class b/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class index 793ed59d1e7bbbac2b044d471d973b1dd5b5e19c..2d92668be738a8e0fd59690a89fa4d00ad08fac0 100644 GIT binary patch delta 15531 zcmbuG2Y6IP_y1?^?q*XaB!K`)fIxsiNJ5K{Ua6@B(ntttB%y{Hx(f>kNRc{f=tV#f zQItp!l~;;1=}n4Mv7rd4FQC2xzwg~y!ZPH~bD!t`_?S6-=6vVOnS1x<&Y8{L*>+cF z+Btvy__O~ILOK`U#TY7x#n;OAeMHbkP(v>?ZOLe39!blG~mcJL-&|$oTZk%oc zC3U%Lw7apnQTHk(^|?!QUH&-I&SR2;&ZL`62`euyDl2N6F=j+rX^>Gjg*S}y37+Oa zn(JO;BuH^}B#Lf^qe7L7lsLwX8Z~@eTzUEMVy2r#4?EHwy4j4hRg9|FTwWfP(Pf@) zz9Ym77zq~QMU1o)4xEuN!QNt|yOaSq*P6LHzPj^YcC_Wg!L68w}o|pk-Ne=#K<4Q z`kImZ!aB;xU&1=h$p3_Ol99*4I?X5*)>%gN!up0$qs21oE->mS;3Y<#g>{)xS7BXc zw2rW@Gg?nr-!bYbtnV3ZD6AhDZ7i&x8Eq=8Ul{cj)@?@pgms6}0Abx@v<)e3ujc*- zqwNL!FQXlV^?;Jv#RCVGmzKTKcFgEOWo^3+Dl5aC_ZR;>*2_)zkAv=S-TxR35#EpZ zkFmaH-4jRM-+IDGmSuVM3L}}q(lZ)v@zmQf8YL`yMtX=|NA(`)!$>E~71cX4k}5h} z(4lN+BwTdVW~8U+aAzb=uzHO263oNQNQ8i1jHC(Jkda8iyctOstO+As1#8MkhG5MZ z=`2_aMtTe8$4D2!{29p>ERc~LVYOkTkFeS?8mp#cR`fxPb{5%nV6>aCf*DN^Rw$#% z!U|_JRalXXrU@&W(M(~*GMX){PK@RXs|%y~!s^Cok+9+!EfH2CBe{xM{p{+K8Hp9M z?!ic3F~gpWbQ3I%kvzdN80jup79;tBWiwJBEL@F3VfAICNLcxd4iMoA867OFevA$k zRtYoH5yI@xXt}TkGCEdRgBhJ5tTIMT!Wzb?Sy&?&ohGbNjLs0&XhvrVYb>L4g*Beh z`NEpWNIx+x6C=fzL<;qjnQ~C4K2h{j80Ero8lx4$n$GBQVa;T8wXkL}x=vVgC^3#I z9a}o8yp-wRAOjqA?)rI5`Bu5CuId68JN?4SA3LAaJ9T2pSY;fbp$p#=?@hb&>+z%Y zNtAHj#IKkK`qkmb6MXzq91Y2a9xir>s+%L6hgD_{`Oi8;}n>H5Oow9bnHfJ+Doh3GIGadr6x%3)-AMQrs)rw0)udP1au5 zrY(VXYOWL)Z>L(G&*jk8?JH^VKC4yxo~*?iuomrT#Er_6;^LiItM-(v#ap&kZE3y~ z7w_d-wSUW6yvb|PjzPZj3Z%Gr_t&cRDU`I>Eojwlm$lemXw@bZJs(%yk!aOkk+s;v zXwiPFii!4pP>rs-d*8lr*{d(v-OqSw5t=oNQYR(r;erfy-&>xod zD1TPRZ()9^{$1$v%~E_6O{?{vzg)i&`ajLSAvQHjO8n?1LJgTHW41+MQ!Q;8{6C?a zKZ2py)H{vPrcUuhVRX=x&d$%*L3d7R&@e;wr&|qTZ2A%Ep_KS5I?LSdO{tgmOj6g7 z4QD1|(f8>VgtW*NJs6IaUYw8OAkRmw{fwMm#~JO!vgGA9-8L_^Fr@b4K*`eEn*1ClpB-=VC9G2EFCqLOe zSGe=X(_hTjUv^gOPinq60jgo_K-oP{xEpbnX35Ar!#3Z1>tHyRt6{Kq@?Zs4cbucu z;2tEq7YcXYcIJzDb&#F=S;xk?T@7Og%kIU(-H1akOI{z%w9QK`w5f}PQQjVDR2$$X|lUo3c~38$?O;N%8;Ga8jLy> z9Cz0+c9!g}mQK{Xa87Q?YswtkywuIJIv9?jYZ$DLJebMqjuYw{-22Mz>h@aAtKHle z^U9ZMtAKl{r;)P0<~ zJUFwiVNL^OcXbP;=DX^R7xNt~JI}X{jkE6>#x9fH7YTPG4#+JTHJ)di?_%pJhg3l2ln|eqBnlc&h~| zHg6{G82hyaDKv+lTHuA3uiFcvVxPT9p%-sb^@xI>fjEPH1uZP)04!>G6?0J3qiFzF zL^`4zwY-iETG%z{*&y|7X4OHNsO-neJ~C8YF|%B)3A+SXET`d8`dx!P6fTI^Pq^QpW0 zxm78*x6#i&S61fKQC7JQa82ZKtGwLDY*!-vw^`kttnOX+BuMT9UBguO7Gt*QCT`Q2 zF_8T(-P9c2Hr;gCh&9t@?14P?hX$Uy*Q<1M(DO!>Zee-_}Y^GhRXWH00Q0goBb|jX^swe;SSt_Xm+OO7|?v4o@0k*S9*>S&6oVqL2tT;H$0R}_wn(E zO6Y!mhWz#pCNO`Uk=nZO3D`s45V{O4a^i?2B2=PEvKmIzo_y(>R^rj?sp zmELw}&{}8x8?a~ddj3Yy*Wu}BTj|xI_>{BFXkGs0RUcQ2PxW&`U!R{l+sfrxI9yG= zCwD&Q|1_H6Y5tx({#*cMeDt|yweTFOewyeT@D1mzVNRcG#@C%~uJ%_yK=h4y%Wtgx zTd#ZZVc$4VpJ!9CfBK-&H{^{F^v^pV(9BI9>e=K1EYDVbYyR!|*6vJQ9O=Wv8(s)-z^6RlAK{#M&kL=+ zo?X1>Kg9Z$yy8MDdv!4_Q#*8_wVP$gXAfbQ%6O%S!vdMCEE z&9J@5&57^37>EmU@1i$-g}YqJqaFFsOIb98e|0I7hHw$i<`?H(1H&(&= zd)JLD{x$2yOLIPP zqm`5Ob7IL?_gz3OX7fqM5=4F%;4j~6)xWRx>9(`yt}g0h_UX-%$t%ALu-+^-`SQEp zRo^T*yvfagns1hZn}IEn)iCSLl8ZogPd~PK;$|tZJg<@SwwvlbbM0mvE#f}kAEm{W zcfRjRX({jU;{!UNGT^7TC>>O}=jS&m9a5R~3;s2<^7gMwDIH!p^Ebeel@0E8rnJ1W z;GRjLWBBDi9?)@>Xa0<$bOLv~epj<3DHUJhonW^v4C^*Y zeP}j;VaCIZyF6$`r}D4|zVtO-@SqVMj*}kvHlE?R(Po5Ao|~QAlbNoWK3>N3% zn~<20PH1O_RD>);`)$bWkh_X0G$yoXXgb>Ep<6S6y7I%Ncb?c+rsySA5csY^&(nE_@f;a@mj=8#bk~+6mc=)vSNyC9T^=N zhjw=4vdEQa??gU{QWR5EQdHll0<>$Rwnc46`**Zsw6kK0PK_>%E=Ic{x+;1n+J9o4 zVrnU-m|ijcVoK3&irE?Sg<^_zj`fc9LAxmSo!AX%@5RwLy<&=2;_JlMQ_QCL!uXN# zqXBlrABsPsm=c^5yb~ZuC{7riFb?f!2}cr+E2c!Z#HNY9Xa^=vNHn3nl=y4n9mSLs zoYXxj5$%GcHA(9fQ?gTX!{o+j2PeOpJXtZNxu^N2`Jug$_D9-%#gx%9BQzsIF=cvZ zw#^K}l4pIAwLj~SV#-d)?vtITm~yV=+{^h>G38Fkos&CHG3C|DYn<0qG3BS_7w7j^ zOob;3uNU4_OhpHZ&KF%$OvU=*y2T!7FBIP@zO9%_(n^X;;8*f?$;Oh+XfKugT7rp| zdY85>4MN)w-=7_;n264hMJmVt6K3b!*=XoYjmm;2WFl!=F_Pk;*Y1gZg)^nS^=jXa zfs~Fn=#3wepo-sp=>WBNN4k-gQqlc|J$+;~cR5lQt9jCi*0-9!;_K>)@C|gKZLPGx zm4;Z&Wo|UaYF?>L=UIJ+)up|y^l&}8%Sz{X(&1M6$cz4FrFR-pXPpS~qzSEfI&M?C z+G<8MryH&2&%Si4)m+|^?w~dc(1Y}^7#WLqFLeQe{pmF^q-Ov#(`owCs4adrhAmNeJ#cHNR)B09( zU@UEHHRpDsDSF|1xf=~pZ021~X6{&dW4$4Bm>*M zO$N2!M+UaPONIosBW14`$$*RH(vbt~r+I3`gzeQwCza40AkTu1p$vY**M3-&%(efQ&FTfn^BKLz^$%+tdi>_f1I^&f+61#4jT zC18d@O0<03Ov8S5xCtyuI)r_};`82ByRt46)>Azq*z?wC0 z2lgpgi{@TnJHdQ?8-aZW=I3h!`y8yL?+vgo6!RY9?|TGr7htOvn*sj=$G{eEf_(`V z;J*xPH&`40>0o=nTDMUry%(%q8+FqAz}f~k1N#arDA*ZnKUn)QK4JGPJ_jUE(JRS787OwI}6q+^e)&ru(&XDAHZ(_yM%QCJP+78 zOch@M>lUVpFM@RquLE`oEI#ZJ*tcNa!-s)g21^Xj2D<{55PkscDp)e={XY6@U`Y}F zVAsKVM7V?9085FO3HF_`$4q)gi~zg|m>O{z@OwC>MH~S80jyVK9N3Rw8Ib{CKY^u3 zt_1rTEGzPLuv=i6Q3}{EVA+wk!F~nn9aR8!8>~-M0@!b0IZ@le?tt};S`B8t3z!@2 z40sPPKk6ai?_hb+#bAGc6-K9k{RvhOy%X%eVEv-kf!zlyim3(m0IVeXFR+JT#WAH| ze}VOnNd@~GtTg5euz$b?#=HymKd=F@KI#qfFW}%$n3Y)G7* z*c%Agu-H4q2Y;c`iVTgf2d03Hh$mn=u;KBe!SrCG;`6}_U?by?fZ2hKj^7Su1S?PQ z0ka1)k4->eg9G50gmHk5fa4R2z?{IwCE#;xU||EN(6HUo0il8tgabwYSKEudVtfD<^k3Rdo8&! zmGa|s6 zfX&bF1@i%$ml;IN_J*c_3o{!6HUnIcbqJ2l!4_w21M>x2l${6G0_@G~?qDs!c+Q_- zeqe9sTmi$=gS?eH56mBIN$xnX0I-U@reJ|!%kmglYp|vH{lVIRtuW{J1Z)eqyznMq zJHSSz*ZM~fOPcELcrFQs6j%( z-YrpMgn?}+!2`|^4z{TT4>&^vF}ETcOVsNW3AnjLy-rbZe6KVJEE;S}sk$;TVDFcX z1&amyu(S{?4(tQ9@+%JgT|MzSVW~)?bR17~_>T}r|A4?xl^LHJy2{maQS5GF7H-OT z^?L)VK$)N@mhTccDiaOeP^ngn*Uma+JZjGq@V}*qt*cK@szq#c1;JVUnuEQ+CC&@S zXtwomd&`i|)x(=R8WIeN)^mY6*0GHKpBd5#QKyVQ5Hs|txHW}#_sYdT6k;&+MT%&FEZrs_+%=z)7ck5sWTAr Ef5V;4+5i9m delta 15935 zcmbW82Ut``w8v-e?y{6YK~ce8KoPKEp*JhlLJ^TBWfdb)6uYadi5k1cNrKolCK^*L zC>mpni7|=2o7mHnXN)PD9^e1&thkK({OikNO0{lt~-(Y?q>C&j3Gaego|J^p3gE3Ob%Fw#SaD;eo599A(B zCfFKAx(K$8k!Zm-FcKxKS1Aee=l^u`=HsG#U0!p=ieIP1haZme(HV7H_oPFr13 z?VJ49D3j%FSEW+-4kO)!dOIT_!rIA5jIeew(p^~9jC2s0_c9VH*gi&51l!L@vak*^ zk|eCdj3f%{2qOu?`jC-$VSU6b;IUMZ-n(JBi{?_1S5BZb&8Rngms3IUxamz zk^92Bz{nrMy2Qxe!n(r9f5Q5lQ7Wu!jOvATgHfZ^vgmFx>MGzDjJgZ!D@Hwp^$nx- zh4mey4TbdsqdvmA!)Q}s-DR|euL;w<81)y{14aXd^#`LJiOEN;{Vztl z2>1`9-GucYzZ=uAgPu_0ReRI+9a&oHtL`(s;-aT|9it&uh2Frs#QItEMpsa0Mh06i zvEG%D3}G=w!-Z!ZMx%t~!AO5GTTk5wLmBC5{Z#c07#ScY8eu}&%}BVIXw1k!G0~Kf zIKi4TGDxtN7DgfjY|TijfWC}G3f7j9G{O8C=_6PGBk6(#G15!0j*Mgq)|rvsf(0`& zM6hm*WC^PWBSVE1%4n=wl10&nGulg37s+T}VMQ~VAgowMlZDlj(E-Bh&1kBy`ZAg! ztawI;2rH4%Y+)rcnkTIOj1~xMAfv^?N@XNlv8X@H`gBHO#i|E0k|S0)gpt02;VS0} zmcvLt!SWc%6KohG`NAq>WSFpu87UCfa7IUnbR~>FBdli_eO6ed%tFhAIhxUOVU;mD zQCQ;`og%F9jGBctkx`4VCNuh+u%sQ6j=)y zrk~4{V>Q<4z&21aoOloyvVxef0!`XGvKCiCi?#{!&PMvUy_K-GhFxFg_F6BLt!uo^R(ohj5T*NNqO;Ns8##1ti`LO7VQ+| z%^5D`#mlEw?LAqG*Hx|B*(0R9c)``G^)8XLcqP`VeM{EjC0eUCcBGUSuiaX;7i2Bo z)3s=);xj6FM#_sfd#&1kWG&wDwP>dy@3K)+UcB{d(N2ffw^Y)iPoP!1SJvW%T#I%g z@+Lnk<;5GkR_!fWi+6sl+VP{Myyy~W)jE%nwCF2n)vlJc=tOAMb}p0hqIaQH`=P8w zw?nIT$XF>a`XgGji}3m0k+tZkXw}XfC*?&CMyuAVT+*UzqeZ(IYu+Mj(dW^sjT|rK zMbk!$wgP$2$Xc{{v}%hdNO{p1(xP2~yno1Abdt1c7fqD%mTS{iB5%t{k`~=6EqPZ$ zyGz!hzokXH3flO|QeJe#v}jjDdrj7&ho(il2HLVIQeJf3v}*NJB`x}NTD0qscZIA) zXHTm(XquE4y+AG6^~ifz)}ni8)h;pn@@D47Piwnvgg(P8<>oc|=%;C4h4u$oi#B9U z?tassntK!Umg!RNM{PTG?bn{Je+_!C8Im3?&zk&WXFN6k>(IX;>(MB!(K}h5s^1KK zw8d|bUCWXZf4YTGLoT|QozT}*JDY}pU3BYq7>ZrH(`Xn5!h{2TlfH%TD1Dp0Lv-kO z?y~f<)M|}lwjojQHQ45>TH6%`sd7LSy3HU~LARrEN=bmCQ>(y~-6|9(c@+(wT!o0I z=v@&7M^S1meCEuC?vIzFc8NTns~>=KwI?f8+~gc;V@P$!DVqa#ciCO-1;L$np5^2# zufMMBtTunt*f0 zPI3^ewH!=s9;xm)X>#E1E4yb3cYgZ$C+lh}I}fqN##yU_*#5G6ws1G%_|#h0z}fb7 zshuiyp*SFN5G+UzmTPmzQJVwzj$+9}jzWKJ$ICOImI#_leCftoUkF%CF zYmR+cg|=Co>RD$G)|xHC?Cm*EZqHCTiQ0=(uMUpI9IPo^b~g!kK6>tx^+w9hBW$s8 z4DBFxwCr9Y+>JQDwpR4hT>E-Q*@EGm+d;6NaMJ{! z*<#})*Fo%f*?p{VH{yKPT35??_I0TZP4xr7A+LjA$#O8Y52?E2{MUhdf7xAaJN^w^np$fqlL6 zY{9Y}1}l_Y_+&yyT=bPP7Zv1)FW^8v8gI=aRW;8`1mC$cF#RtSk0*=DYSq$ zUD_B2+96A$q}f?Zn|P~_VJ-^6`L*&9@$m3bjw>gu50tx>_6dHRzoA|JZpu{UD4r9= z6Pz;DD#MYyRTj7`p#5VR9yt+TJPP_K*GWfwM~IJd0~R$D@EOY*y5p(o?h)ccwh=PC zsA|KqmYv*m69cMs4LzKyb#3|SLxpvA(tYnKYqIJqYduDIG~iucYFu|pE!JOUp3`?L zkpVkxVmDP>b~wRaT;kF232})>l*;_4@6!@&(iJGErN}8EV0W};*p^` zdoQilEyvVL)w&gFS^65+l|1@=|3{Ont&@hD$+djOQ2bG6P;t#hf?RIDx$Yq z1l`6LAL;w(TGv-E*X<*z2J+hv5v8wpC5B|@K zwVVI+fq(e_yTnp{_PwT_+jc8GYxaY;THve?YZW-!L4l*C0u8kb++$bZXpf=x1->io zhWGe@qy7Q5tz!MapZc+kezfM^HEV96uKDcI68k+|=3vcbybEvI9oxTez)s~$Y|H~# zrSk!$GE0YV4q=O8ct0)6fZ>C*EGG;{)3S^hj`7Nmn$u7C-jA~Baqe}jn4aWQj^)zR z{PM9(dX{(kIGvv7vp+7P7x{M|XVc5P_a_9O}^#Rq4YM_ z9nYj+^6cY9^lN_TcsBi(H#w0(zvp956x09Vmri8UA9?V}V)_%WIGIC#=D(fHpuh6O zQpffos+9@hBYj3zD+~AP zop|+`eo-#Fl_8>Vy&DSG+X~mar`ayJa%Zwp7Zw*d)1l&7gq1h%g(j;^r_a{hX&nx zE})6m<8{^EGWsU`r*rL%worW5H7`ErLgzZT$JE?L^ey<<^X=X@kh7O{hho0{ zrNA~ma;V44>T131>bvtvmpXWPsn<^WiQ;=N1-jtJJ}&_2>hn97Iy8R#xz+wj)_3Av zFSmDAuYz@H#g{uYw}yOtKi7A~Qf~m~Bgj z*w-5-Dy`4+uLR+vS#+g2jo@!y$)!=;<+H&wh7bEJgU0b{eC@^A=YweYBo`0zWAaGo-r;vkUZYH`Mmi}o8;aF zAt~TvZU!}%vdACmyElW>5A_-KL(SlKZ+39;+O3p|?|g4Pwc1g)+PkTrnDw@ZO4r>A ztm9?(!wz)iR$vo(&(-`&*JtzQw`+DuE+23^&{OOZ`?3~3b}8WdZwETw7k}RlYKJmL z+xA5fmf`g1XVoP3#R%&?8b$X1LfszIzKEkE`GGG^&{0(jzU)Kkv;53g59pYxV_&~a z>Da2=Z(pLcyy}9nf9@0t}lolpMx0ku?(`6Y_d zS^Vu^&(r7mtlyfs&rxrPEpb_2z>SK(`CEHBpI`jVk1phY|JDpQKA-!3bTN;)-wgXI z_r70?r9N-y4k$~j6~39cg>UuQTCG$Z!qdfJT^NGQ;Tz!pB0mQI7x>q(Id^-|()h|@ zdT*b86%T&!jpbmE(>=~9=8(oAfgv3+T0)kEaE#YO?uPuVm_xgV_6<$IxFB>@=vs_- zLm!4Iia9JXEITX@NA&5HT)d z0>+~emm)q>%#p1kgCn~uW=rIx$a#?q0j@><7$8287X zj6I{6<6`3m#ie6h7q>mGN-@Xph(8j4RI!*7>L#>IXrq`Dh9^u)n2K?K!pQ^(66+)MQ$I+(ka}4$r!7m{khV!Nr(a6{HvI?1oN+SaM#gQ6V$Lke9G^K!F%S78 z%O#5`=Alc5t{b{hG3WT_gylpk=G+6hr*h9K=6sr8FTa6eE|^iUv|zbnE}T#}w{X5< zE~+Z}u;`d#E`FhSMe!=dY@()mCit29m(4MJeUj0T#0Ndx=B66ds4RWxvf<@?no@DbNS${NqfHHZ=Uft8QDCGatZdIu z25O>K-JT&v`mfE*a-nr?W~nP}MBQuRk!%uOan_w$guD?$uUg1IcBh?e=HGQ_h`lD6 z^sVr0PM2CW6_-5dIw6_jNf#@^boHW{_L6MUUzMyAX&N-7?uy+aQb?*gyIBOh;Z665 zD3w0+M_a%JO{u$1&{ZvHg?;P+B(LJ1KPs6jG@A9TR>ze)O{4 z5|T-=I(b}7)^A6zKECK!`&oZ_R!p7qr#J0%{Yi|Rd{vnNWW6_bdOIbdee4^|LIIm+R8iGjsE`VGw4Ad+RWpjh*T@@)QVYs=Xty#ZIsbGm^~QgIOIF(-A#sm~9!8`_K^kIOSySp4&N4 z3(xcMG*|aHkYNK^piVckP3NT0q;@oa&zAA@IJJC1N;+R7BZFN?NpN>EDtIC(?OH~j z?dDEOyM>X_-Mf-8-DhHaioOlIj#$t9`_fS(KCoxdOjdCdrc;@ddHLJdVflu?~_bs_j#AhvGkop z=Jx%B%;<=EV;p3*tAEg-I5&2;I5GDT~RH{)@@d0e)oJfKSPi0l$+M z2X-LK2l){`XgPTaf2FWIHH^HR`U+W}T0tt(v&o9|Ss33WD+aG1m4gpr{Ek!(IYCxt zRg%iA56Q}*g=AHBCt_Kdodx4xvO4DzvMT3SvO0G;S(BGXR_DzlYw}N!wfVo0HN%>c zwFNq|uD~B-Dp^+)OV$?+$GD8FFP=p<7XM9NHN}ukrsv6Preoyw;cjH}@IqqkcsN}l z+jK{WlYX`GKK?Fd8)>RvL)sIn_aM&twH83V?qAYezYb96bOdlcn3L06q?LXHn8CRL z*hVmC<3q4l!Hmu&V4J{Pom0VH19Nd(4)!`2b2Ec&26JO+U|YcIuqd!Mz}(%Vz_x;U zxVIygR{A#q>((g)d<(E%ouP1i8_ctA7T7yrUUhqcZ3CupM9xJOjaY zf_Zy30ILFPHTJZs}R&DBm9R%}j{SVk7ur|J(!48A9^=%CHKA4|hGq59I z{(eTV55U^_-3I$mvAj&g?y(>2Bv_Y_j$o(2x`lXyod)X~!okjf^$3|xT3PgG0lSC% z40sMOG~_BA&x3`8CV*W43lHrIb`dNrbS>B=u*lGPV3)xn!W6J8V9}v>z&-~;bx-q{1 ziw{>f=9ggoBGk+J6M|&>_@Ock@vvvf~7}(4)zmRT2wOFJ+Q%1J-~hj%ZSA^2K){B_V5j46YuXc2 z>?kk=tRyxAOb0e1_KXEk5BN;%K0pKD$hdScC$Q4EXfPw#sJJRHXRy(6YrtH2X$@Fo zu$f6OfHeWLB>f526zsXAFTt8w0B0qq0yYPnog4|+0_^!@+&~O1!R99824ZLhHYcS6 zSZlC(DUHC|fW44<8O#@KLF)Tpeqi&{Hi5MTTa>m0tR2|G^dG?d!Iq?70K*SES)6g3 zSey+3fXgy)4=@A*F3p?-#~`rfnFU}Sz+TK^U>(6;8u9?F6Br-55v()VD?=B7bpd-h zClV|eY(-96u&!Vgxo5$;fvwDa53D;_Wj=1{h8|$6EqS=78$tkA6)Xn~1zcNT1`7jQ zQ#c8 zsBa5p4A^JLCS*G?A})Se7go%%(0+}J@Qp$YhGLBP%Q>R{v7E6SrJE|gvCsicD)lSr mIeMO6r04Z34MT{Yo~FO+8tW?!!}koFNu6}gBcRk72>D+NY+w@r