diff --git a/el2_ifu_mem_ctl.fir b/el2_ifu_mem_ctl.fir index a6d90be4..ba1fe973 100644 --- a/el2_ifu_mem_ctl.fir +++ b/el2_ifu_mem_ctl.fir @@ -1,5 +1,640 @@ ;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 circuit el2_ifu_mem_ctl : + module rvecc_encode_64 : + input clock : Clock + input reset : Reset + output io : {flip din : UInt<64>, ecc_out : UInt<7>} + + wire w0 : UInt<1>[35] @[el2_lib.scala 330:18] + wire w1 : UInt<1>[35] @[el2_lib.scala 331:18] + wire w2 : UInt<1>[35] @[el2_lib.scala 332:18] + wire w3 : UInt<1>[31] @[el2_lib.scala 333:18] + wire w4 : UInt<1>[31] @[el2_lib.scala 334:18] + wire w5 : UInt<1>[31] @[el2_lib.scala 335:18] + wire w6 : UInt<1>[7] @[el2_lib.scala 336:18] + node _T = bits(io.din, 0, 0) @[el2_lib.scala 343:39] + w0[0] <= _T @[el2_lib.scala 343:30] + node _T_1 = bits(io.din, 0, 0) @[el2_lib.scala 344:39] + w1[0] <= _T_1 @[el2_lib.scala 344:30] + node _T_2 = bits(io.din, 1, 1) @[el2_lib.scala 343:39] + w0[1] <= _T_2 @[el2_lib.scala 343:30] + node _T_3 = bits(io.din, 1, 1) @[el2_lib.scala 345:39] + w2[0] <= _T_3 @[el2_lib.scala 345:30] + node _T_4 = bits(io.din, 2, 2) @[el2_lib.scala 344:39] + w1[1] <= _T_4 @[el2_lib.scala 344:30] + node _T_5 = bits(io.din, 2, 2) @[el2_lib.scala 345:39] + w2[1] <= _T_5 @[el2_lib.scala 345:30] + node _T_6 = bits(io.din, 3, 3) @[el2_lib.scala 343:39] + w0[2] <= _T_6 @[el2_lib.scala 343:30] + node _T_7 = bits(io.din, 3, 3) @[el2_lib.scala 344:39] + w1[2] <= _T_7 @[el2_lib.scala 344:30] + node _T_8 = bits(io.din, 3, 3) @[el2_lib.scala 345:39] + w2[2] <= _T_8 @[el2_lib.scala 345:30] + node _T_9 = bits(io.din, 4, 4) @[el2_lib.scala 343:39] + w0[3] <= _T_9 @[el2_lib.scala 343:30] + node _T_10 = bits(io.din, 4, 4) @[el2_lib.scala 346:39] + w3[0] <= _T_10 @[el2_lib.scala 346:30] + node _T_11 = bits(io.din, 5, 5) @[el2_lib.scala 344:39] + w1[3] <= _T_11 @[el2_lib.scala 344:30] + node _T_12 = bits(io.din, 5, 5) @[el2_lib.scala 346:39] + w3[1] <= _T_12 @[el2_lib.scala 346:30] + node _T_13 = bits(io.din, 6, 6) @[el2_lib.scala 343:39] + w0[4] <= _T_13 @[el2_lib.scala 343:30] + node _T_14 = bits(io.din, 6, 6) @[el2_lib.scala 344:39] + w1[4] <= _T_14 @[el2_lib.scala 344:30] + node _T_15 = bits(io.din, 6, 6) @[el2_lib.scala 346:39] + w3[2] <= _T_15 @[el2_lib.scala 346:30] + node _T_16 = bits(io.din, 7, 7) @[el2_lib.scala 345:39] + w2[3] <= _T_16 @[el2_lib.scala 345:30] + node _T_17 = bits(io.din, 7, 7) @[el2_lib.scala 346:39] + w3[3] <= _T_17 @[el2_lib.scala 346:30] + node _T_18 = bits(io.din, 8, 8) @[el2_lib.scala 343:39] + w0[5] <= _T_18 @[el2_lib.scala 343:30] + node _T_19 = bits(io.din, 8, 8) @[el2_lib.scala 345:39] + w2[4] <= _T_19 @[el2_lib.scala 345:30] + node _T_20 = bits(io.din, 8, 8) @[el2_lib.scala 346:39] + w3[4] <= _T_20 @[el2_lib.scala 346:30] + node _T_21 = bits(io.din, 9, 9) @[el2_lib.scala 344:39] + w1[5] <= _T_21 @[el2_lib.scala 344:30] + node _T_22 = bits(io.din, 9, 9) @[el2_lib.scala 345:39] + w2[5] <= _T_22 @[el2_lib.scala 345:30] + node _T_23 = bits(io.din, 9, 9) @[el2_lib.scala 346:39] + w3[5] <= _T_23 @[el2_lib.scala 346:30] + node _T_24 = bits(io.din, 10, 10) @[el2_lib.scala 343:39] + w0[6] <= _T_24 @[el2_lib.scala 343:30] + node _T_25 = bits(io.din, 10, 10) @[el2_lib.scala 344:39] + w1[6] <= _T_25 @[el2_lib.scala 344:30] + node _T_26 = bits(io.din, 10, 10) @[el2_lib.scala 345:39] + w2[6] <= _T_26 @[el2_lib.scala 345:30] + node _T_27 = bits(io.din, 10, 10) @[el2_lib.scala 346:39] + w3[6] <= _T_27 @[el2_lib.scala 346:30] + node _T_28 = bits(io.din, 11, 11) @[el2_lib.scala 343:39] + w0[7] <= _T_28 @[el2_lib.scala 343:30] + node _T_29 = bits(io.din, 11, 11) @[el2_lib.scala 347:39] + w4[0] <= _T_29 @[el2_lib.scala 347:30] + node _T_30 = bits(io.din, 12, 12) @[el2_lib.scala 344:39] + w1[7] <= _T_30 @[el2_lib.scala 344:30] + node _T_31 = bits(io.din, 12, 12) @[el2_lib.scala 347:39] + w4[1] <= _T_31 @[el2_lib.scala 347:30] + node _T_32 = bits(io.din, 13, 13) @[el2_lib.scala 343:39] + w0[8] <= _T_32 @[el2_lib.scala 343:30] + node _T_33 = bits(io.din, 13, 13) @[el2_lib.scala 344:39] + w1[8] <= _T_33 @[el2_lib.scala 344:30] + node _T_34 = bits(io.din, 13, 13) @[el2_lib.scala 347:39] + w4[2] <= _T_34 @[el2_lib.scala 347:30] + node _T_35 = bits(io.din, 14, 14) @[el2_lib.scala 345:39] + w2[7] <= _T_35 @[el2_lib.scala 345:30] + node _T_36 = bits(io.din, 14, 14) @[el2_lib.scala 347:39] + w4[3] <= _T_36 @[el2_lib.scala 347:30] + node _T_37 = bits(io.din, 15, 15) @[el2_lib.scala 343:39] + w0[9] <= _T_37 @[el2_lib.scala 343:30] + node _T_38 = bits(io.din, 15, 15) @[el2_lib.scala 345:39] + w2[8] <= _T_38 @[el2_lib.scala 345:30] + node _T_39 = bits(io.din, 15, 15) @[el2_lib.scala 347:39] + w4[4] <= _T_39 @[el2_lib.scala 347:30] + node _T_40 = bits(io.din, 16, 16) @[el2_lib.scala 344:39] + w1[9] <= _T_40 @[el2_lib.scala 344:30] + node _T_41 = bits(io.din, 16, 16) @[el2_lib.scala 345:39] + w2[9] <= _T_41 @[el2_lib.scala 345:30] + node _T_42 = bits(io.din, 16, 16) @[el2_lib.scala 347:39] + w4[5] <= _T_42 @[el2_lib.scala 347:30] + node _T_43 = bits(io.din, 17, 17) @[el2_lib.scala 343:39] + w0[10] <= _T_43 @[el2_lib.scala 343:30] + node _T_44 = bits(io.din, 17, 17) @[el2_lib.scala 344:39] + w1[10] <= _T_44 @[el2_lib.scala 344:30] + node _T_45 = bits(io.din, 17, 17) @[el2_lib.scala 345:39] + w2[10] <= _T_45 @[el2_lib.scala 345:30] + node _T_46 = bits(io.din, 17, 17) @[el2_lib.scala 347:39] + w4[6] <= _T_46 @[el2_lib.scala 347:30] + node _T_47 = bits(io.din, 18, 18) @[el2_lib.scala 346:39] + w3[7] <= _T_47 @[el2_lib.scala 346:30] + node _T_48 = bits(io.din, 18, 18) @[el2_lib.scala 347:39] + w4[7] <= _T_48 @[el2_lib.scala 347:30] + node _T_49 = bits(io.din, 19, 19) @[el2_lib.scala 343:39] + w0[11] <= _T_49 @[el2_lib.scala 343:30] + node _T_50 = bits(io.din, 19, 19) @[el2_lib.scala 346:39] + w3[8] <= _T_50 @[el2_lib.scala 346:30] + node _T_51 = bits(io.din, 19, 19) @[el2_lib.scala 347:39] + w4[8] <= _T_51 @[el2_lib.scala 347:30] + node _T_52 = bits(io.din, 20, 20) @[el2_lib.scala 344:39] + w1[11] <= _T_52 @[el2_lib.scala 344:30] + node _T_53 = bits(io.din, 20, 20) @[el2_lib.scala 346:39] + w3[9] <= _T_53 @[el2_lib.scala 346:30] + node _T_54 = bits(io.din, 20, 20) @[el2_lib.scala 347:39] + w4[9] <= _T_54 @[el2_lib.scala 347:30] + node _T_55 = bits(io.din, 21, 21) @[el2_lib.scala 343:39] + w0[12] <= _T_55 @[el2_lib.scala 343:30] + node _T_56 = bits(io.din, 21, 21) @[el2_lib.scala 344:39] + w1[12] <= _T_56 @[el2_lib.scala 344:30] + node _T_57 = bits(io.din, 21, 21) @[el2_lib.scala 346:39] + w3[10] <= _T_57 @[el2_lib.scala 346:30] + node _T_58 = bits(io.din, 21, 21) @[el2_lib.scala 347:39] + w4[10] <= _T_58 @[el2_lib.scala 347:30] + node _T_59 = bits(io.din, 22, 22) @[el2_lib.scala 345:39] + w2[11] <= _T_59 @[el2_lib.scala 345:30] + node _T_60 = bits(io.din, 22, 22) @[el2_lib.scala 346:39] + w3[11] <= _T_60 @[el2_lib.scala 346:30] + node _T_61 = bits(io.din, 22, 22) @[el2_lib.scala 347:39] + w4[11] <= _T_61 @[el2_lib.scala 347:30] + node _T_62 = bits(io.din, 23, 23) @[el2_lib.scala 343:39] + w0[13] <= _T_62 @[el2_lib.scala 343:30] + node _T_63 = bits(io.din, 23, 23) @[el2_lib.scala 345:39] + w2[12] <= _T_63 @[el2_lib.scala 345:30] + node _T_64 = bits(io.din, 23, 23) @[el2_lib.scala 346:39] + w3[12] <= _T_64 @[el2_lib.scala 346:30] + node _T_65 = bits(io.din, 23, 23) @[el2_lib.scala 347:39] + w4[12] <= _T_65 @[el2_lib.scala 347:30] + node _T_66 = bits(io.din, 24, 24) @[el2_lib.scala 344:39] + w1[13] <= _T_66 @[el2_lib.scala 344:30] + node _T_67 = bits(io.din, 24, 24) @[el2_lib.scala 345:39] + w2[13] <= _T_67 @[el2_lib.scala 345:30] + node _T_68 = bits(io.din, 24, 24) @[el2_lib.scala 346:39] + w3[13] <= _T_68 @[el2_lib.scala 346:30] + node _T_69 = bits(io.din, 24, 24) @[el2_lib.scala 347:39] + w4[13] <= _T_69 @[el2_lib.scala 347:30] + node _T_70 = bits(io.din, 25, 25) @[el2_lib.scala 343:39] + w0[14] <= _T_70 @[el2_lib.scala 343:30] + node _T_71 = bits(io.din, 25, 25) @[el2_lib.scala 344:39] + w1[14] <= _T_71 @[el2_lib.scala 344:30] + node _T_72 = bits(io.din, 25, 25) @[el2_lib.scala 345:39] + w2[14] <= _T_72 @[el2_lib.scala 345:30] + node _T_73 = bits(io.din, 25, 25) @[el2_lib.scala 346:39] + w3[14] <= _T_73 @[el2_lib.scala 346:30] + node _T_74 = bits(io.din, 25, 25) @[el2_lib.scala 347:39] + w4[14] <= _T_74 @[el2_lib.scala 347:30] + node _T_75 = bits(io.din, 26, 26) @[el2_lib.scala 343:39] + w0[15] <= _T_75 @[el2_lib.scala 343:30] + node _T_76 = bits(io.din, 26, 26) @[el2_lib.scala 348:39] + w5[0] <= _T_76 @[el2_lib.scala 348:30] + node _T_77 = bits(io.din, 27, 27) @[el2_lib.scala 344:39] + w1[15] <= _T_77 @[el2_lib.scala 344:30] + node _T_78 = bits(io.din, 27, 27) @[el2_lib.scala 348:39] + w5[1] <= _T_78 @[el2_lib.scala 348:30] + node _T_79 = bits(io.din, 28, 28) @[el2_lib.scala 343:39] + w0[16] <= _T_79 @[el2_lib.scala 343:30] + node _T_80 = bits(io.din, 28, 28) @[el2_lib.scala 344:39] + w1[16] <= _T_80 @[el2_lib.scala 344:30] + node _T_81 = bits(io.din, 28, 28) @[el2_lib.scala 348:39] + w5[2] <= _T_81 @[el2_lib.scala 348:30] + node _T_82 = bits(io.din, 29, 29) @[el2_lib.scala 345:39] + w2[15] <= _T_82 @[el2_lib.scala 345:30] + node _T_83 = bits(io.din, 29, 29) @[el2_lib.scala 348:39] + w5[3] <= _T_83 @[el2_lib.scala 348:30] + node _T_84 = bits(io.din, 30, 30) @[el2_lib.scala 343:39] + w0[17] <= _T_84 @[el2_lib.scala 343:30] + node _T_85 = bits(io.din, 30, 30) @[el2_lib.scala 345:39] + w2[16] <= _T_85 @[el2_lib.scala 345:30] + node _T_86 = bits(io.din, 30, 30) @[el2_lib.scala 348:39] + w5[4] <= _T_86 @[el2_lib.scala 348:30] + node _T_87 = bits(io.din, 31, 31) @[el2_lib.scala 344:39] + w1[17] <= _T_87 @[el2_lib.scala 344:30] + node _T_88 = bits(io.din, 31, 31) @[el2_lib.scala 345:39] + w2[17] <= _T_88 @[el2_lib.scala 345:30] + node _T_89 = bits(io.din, 31, 31) @[el2_lib.scala 348:39] + w5[5] <= _T_89 @[el2_lib.scala 348:30] + node _T_90 = bits(io.din, 32, 32) @[el2_lib.scala 343:39] + w0[18] <= _T_90 @[el2_lib.scala 343:30] + node _T_91 = bits(io.din, 32, 32) @[el2_lib.scala 344:39] + w1[18] <= _T_91 @[el2_lib.scala 344:30] + node _T_92 = bits(io.din, 32, 32) @[el2_lib.scala 345:39] + w2[18] <= _T_92 @[el2_lib.scala 345:30] + node _T_93 = bits(io.din, 32, 32) @[el2_lib.scala 348:39] + w5[6] <= _T_93 @[el2_lib.scala 348:30] + node _T_94 = bits(io.din, 33, 33) @[el2_lib.scala 346:39] + w3[15] <= _T_94 @[el2_lib.scala 346:30] + node _T_95 = bits(io.din, 33, 33) @[el2_lib.scala 348:39] + w5[7] <= _T_95 @[el2_lib.scala 348:30] + node _T_96 = bits(io.din, 34, 34) @[el2_lib.scala 343:39] + w0[19] <= _T_96 @[el2_lib.scala 343:30] + node _T_97 = bits(io.din, 34, 34) @[el2_lib.scala 346:39] + w3[16] <= _T_97 @[el2_lib.scala 346:30] + node _T_98 = bits(io.din, 34, 34) @[el2_lib.scala 348:39] + w5[8] <= _T_98 @[el2_lib.scala 348:30] + node _T_99 = bits(io.din, 35, 35) @[el2_lib.scala 344:39] + w1[19] <= _T_99 @[el2_lib.scala 344:30] + node _T_100 = bits(io.din, 35, 35) @[el2_lib.scala 346:39] + w3[17] <= _T_100 @[el2_lib.scala 346:30] + node _T_101 = bits(io.din, 35, 35) @[el2_lib.scala 348:39] + w5[9] <= _T_101 @[el2_lib.scala 348:30] + node _T_102 = bits(io.din, 36, 36) @[el2_lib.scala 343:39] + w0[20] <= _T_102 @[el2_lib.scala 343:30] + node _T_103 = bits(io.din, 36, 36) @[el2_lib.scala 344:39] + w1[20] <= _T_103 @[el2_lib.scala 344:30] + node _T_104 = bits(io.din, 36, 36) @[el2_lib.scala 346:39] + w3[18] <= _T_104 @[el2_lib.scala 346:30] + node _T_105 = bits(io.din, 36, 36) @[el2_lib.scala 348:39] + w5[10] <= _T_105 @[el2_lib.scala 348:30] + node _T_106 = bits(io.din, 37, 37) @[el2_lib.scala 345:39] + w2[19] <= _T_106 @[el2_lib.scala 345:30] + node _T_107 = bits(io.din, 37, 37) @[el2_lib.scala 346:39] + w3[19] <= _T_107 @[el2_lib.scala 346:30] + node _T_108 = bits(io.din, 37, 37) @[el2_lib.scala 348:39] + w5[11] <= _T_108 @[el2_lib.scala 348:30] + node _T_109 = bits(io.din, 38, 38) @[el2_lib.scala 343:39] + w0[21] <= _T_109 @[el2_lib.scala 343:30] + node _T_110 = bits(io.din, 38, 38) @[el2_lib.scala 345:39] + w2[20] <= _T_110 @[el2_lib.scala 345:30] + node _T_111 = bits(io.din, 38, 38) @[el2_lib.scala 346:39] + w3[20] <= _T_111 @[el2_lib.scala 346:30] + node _T_112 = bits(io.din, 38, 38) @[el2_lib.scala 348:39] + w5[12] <= _T_112 @[el2_lib.scala 348:30] + node _T_113 = bits(io.din, 39, 39) @[el2_lib.scala 344:39] + w1[21] <= _T_113 @[el2_lib.scala 344:30] + node _T_114 = bits(io.din, 39, 39) @[el2_lib.scala 345:39] + w2[21] <= _T_114 @[el2_lib.scala 345:30] + node _T_115 = bits(io.din, 39, 39) @[el2_lib.scala 346:39] + w3[21] <= _T_115 @[el2_lib.scala 346:30] + node _T_116 = bits(io.din, 39, 39) @[el2_lib.scala 348:39] + w5[13] <= _T_116 @[el2_lib.scala 348:30] + node _T_117 = bits(io.din, 40, 40) @[el2_lib.scala 343:39] + w0[22] <= _T_117 @[el2_lib.scala 343:30] + node _T_118 = bits(io.din, 40, 40) @[el2_lib.scala 344:39] + w1[22] <= _T_118 @[el2_lib.scala 344:30] + node _T_119 = bits(io.din, 40, 40) @[el2_lib.scala 345:39] + w2[22] <= _T_119 @[el2_lib.scala 345:30] + node _T_120 = bits(io.din, 40, 40) @[el2_lib.scala 346:39] + w3[22] <= _T_120 @[el2_lib.scala 346:30] + node _T_121 = bits(io.din, 40, 40) @[el2_lib.scala 348:39] + w5[14] <= _T_121 @[el2_lib.scala 348:30] + node _T_122 = bits(io.din, 41, 41) @[el2_lib.scala 347:39] + w4[15] <= _T_122 @[el2_lib.scala 347:30] + node _T_123 = bits(io.din, 41, 41) @[el2_lib.scala 348:39] + w5[15] <= _T_123 @[el2_lib.scala 348:30] + node _T_124 = bits(io.din, 42, 42) @[el2_lib.scala 343:39] + w0[23] <= _T_124 @[el2_lib.scala 343:30] + node _T_125 = bits(io.din, 42, 42) @[el2_lib.scala 347:39] + w4[16] <= _T_125 @[el2_lib.scala 347:30] + node _T_126 = bits(io.din, 42, 42) @[el2_lib.scala 348:39] + w5[16] <= _T_126 @[el2_lib.scala 348:30] + node _T_127 = bits(io.din, 43, 43) @[el2_lib.scala 344:39] + w1[23] <= _T_127 @[el2_lib.scala 344:30] + node _T_128 = bits(io.din, 43, 43) @[el2_lib.scala 347:39] + w4[17] <= _T_128 @[el2_lib.scala 347:30] + node _T_129 = bits(io.din, 43, 43) @[el2_lib.scala 348:39] + w5[17] <= _T_129 @[el2_lib.scala 348:30] + node _T_130 = bits(io.din, 44, 44) @[el2_lib.scala 343:39] + w0[24] <= _T_130 @[el2_lib.scala 343:30] + node _T_131 = bits(io.din, 44, 44) @[el2_lib.scala 344:39] + w1[24] <= _T_131 @[el2_lib.scala 344:30] + node _T_132 = bits(io.din, 44, 44) @[el2_lib.scala 347:39] + w4[18] <= _T_132 @[el2_lib.scala 347:30] + node _T_133 = bits(io.din, 44, 44) @[el2_lib.scala 348:39] + w5[18] <= _T_133 @[el2_lib.scala 348:30] + node _T_134 = bits(io.din, 45, 45) @[el2_lib.scala 345:39] + w2[23] <= _T_134 @[el2_lib.scala 345:30] + node _T_135 = bits(io.din, 45, 45) @[el2_lib.scala 347:39] + w4[19] <= _T_135 @[el2_lib.scala 347:30] + node _T_136 = bits(io.din, 45, 45) @[el2_lib.scala 348:39] + w5[19] <= _T_136 @[el2_lib.scala 348:30] + node _T_137 = bits(io.din, 46, 46) @[el2_lib.scala 343:39] + w0[25] <= _T_137 @[el2_lib.scala 343:30] + node _T_138 = bits(io.din, 46, 46) @[el2_lib.scala 345:39] + w2[24] <= _T_138 @[el2_lib.scala 345:30] + node _T_139 = bits(io.din, 46, 46) @[el2_lib.scala 347:39] + w4[20] <= _T_139 @[el2_lib.scala 347:30] + node _T_140 = bits(io.din, 46, 46) @[el2_lib.scala 348:39] + w5[20] <= _T_140 @[el2_lib.scala 348:30] + node _T_141 = bits(io.din, 47, 47) @[el2_lib.scala 344:39] + w1[25] <= _T_141 @[el2_lib.scala 344:30] + node _T_142 = bits(io.din, 47, 47) @[el2_lib.scala 345:39] + w2[25] <= _T_142 @[el2_lib.scala 345:30] + node _T_143 = bits(io.din, 47, 47) @[el2_lib.scala 347:39] + w4[21] <= _T_143 @[el2_lib.scala 347:30] + node _T_144 = bits(io.din, 47, 47) @[el2_lib.scala 348:39] + w5[21] <= _T_144 @[el2_lib.scala 348:30] + node _T_145 = bits(io.din, 48, 48) @[el2_lib.scala 343:39] + w0[26] <= _T_145 @[el2_lib.scala 343:30] + node _T_146 = bits(io.din, 48, 48) @[el2_lib.scala 344:39] + w1[26] <= _T_146 @[el2_lib.scala 344:30] + node _T_147 = bits(io.din, 48, 48) @[el2_lib.scala 345:39] + w2[26] <= _T_147 @[el2_lib.scala 345:30] + node _T_148 = bits(io.din, 48, 48) @[el2_lib.scala 347:39] + w4[22] <= _T_148 @[el2_lib.scala 347:30] + node _T_149 = bits(io.din, 48, 48) @[el2_lib.scala 348:39] + w5[22] <= _T_149 @[el2_lib.scala 348:30] + node _T_150 = bits(io.din, 49, 49) @[el2_lib.scala 346:39] + w3[23] <= _T_150 @[el2_lib.scala 346:30] + node _T_151 = bits(io.din, 49, 49) @[el2_lib.scala 347:39] + w4[23] <= _T_151 @[el2_lib.scala 347:30] + node _T_152 = bits(io.din, 49, 49) @[el2_lib.scala 348:39] + w5[23] <= _T_152 @[el2_lib.scala 348:30] + node _T_153 = bits(io.din, 50, 50) @[el2_lib.scala 343:39] + w0[27] <= _T_153 @[el2_lib.scala 343:30] + node _T_154 = bits(io.din, 50, 50) @[el2_lib.scala 346:39] + w3[24] <= _T_154 @[el2_lib.scala 346:30] + node _T_155 = bits(io.din, 50, 50) @[el2_lib.scala 347:39] + w4[24] <= _T_155 @[el2_lib.scala 347:30] + node _T_156 = bits(io.din, 50, 50) @[el2_lib.scala 348:39] + w5[24] <= _T_156 @[el2_lib.scala 348:30] + node _T_157 = bits(io.din, 51, 51) @[el2_lib.scala 344:39] + w1[27] <= _T_157 @[el2_lib.scala 344:30] + node _T_158 = bits(io.din, 51, 51) @[el2_lib.scala 346:39] + w3[25] <= _T_158 @[el2_lib.scala 346:30] + node _T_159 = bits(io.din, 51, 51) @[el2_lib.scala 347:39] + w4[25] <= _T_159 @[el2_lib.scala 347:30] + node _T_160 = bits(io.din, 51, 51) @[el2_lib.scala 348:39] + w5[25] <= _T_160 @[el2_lib.scala 348:30] + node _T_161 = bits(io.din, 52, 52) @[el2_lib.scala 343:39] + w0[28] <= _T_161 @[el2_lib.scala 343:30] + node _T_162 = bits(io.din, 52, 52) @[el2_lib.scala 344:39] + w1[28] <= _T_162 @[el2_lib.scala 344:30] + node _T_163 = bits(io.din, 52, 52) @[el2_lib.scala 346:39] + w3[26] <= _T_163 @[el2_lib.scala 346:30] + node _T_164 = bits(io.din, 52, 52) @[el2_lib.scala 347:39] + w4[26] <= _T_164 @[el2_lib.scala 347:30] + node _T_165 = bits(io.din, 52, 52) @[el2_lib.scala 348:39] + w5[26] <= _T_165 @[el2_lib.scala 348:30] + node _T_166 = bits(io.din, 53, 53) @[el2_lib.scala 345:39] + w2[27] <= _T_166 @[el2_lib.scala 345:30] + node _T_167 = bits(io.din, 53, 53) @[el2_lib.scala 346:39] + w3[27] <= _T_167 @[el2_lib.scala 346:30] + node _T_168 = bits(io.din, 53, 53) @[el2_lib.scala 347:39] + w4[27] <= _T_168 @[el2_lib.scala 347:30] + node _T_169 = bits(io.din, 53, 53) @[el2_lib.scala 348:39] + w5[27] <= _T_169 @[el2_lib.scala 348:30] + node _T_170 = bits(io.din, 54, 54) @[el2_lib.scala 343:39] + w0[29] <= _T_170 @[el2_lib.scala 343:30] + node _T_171 = bits(io.din, 54, 54) @[el2_lib.scala 345:39] + w2[28] <= _T_171 @[el2_lib.scala 345:30] + node _T_172 = bits(io.din, 54, 54) @[el2_lib.scala 346:39] + w3[28] <= _T_172 @[el2_lib.scala 346:30] + node _T_173 = bits(io.din, 54, 54) @[el2_lib.scala 347:39] + w4[28] <= _T_173 @[el2_lib.scala 347:30] + node _T_174 = bits(io.din, 54, 54) @[el2_lib.scala 348:39] + w5[28] <= _T_174 @[el2_lib.scala 348:30] + node _T_175 = bits(io.din, 55, 55) @[el2_lib.scala 344:39] + w1[29] <= _T_175 @[el2_lib.scala 344:30] + node _T_176 = bits(io.din, 55, 55) @[el2_lib.scala 345:39] + w2[29] <= _T_176 @[el2_lib.scala 345:30] + node _T_177 = bits(io.din, 55, 55) @[el2_lib.scala 346:39] + w3[29] <= _T_177 @[el2_lib.scala 346:30] + node _T_178 = bits(io.din, 55, 55) @[el2_lib.scala 347:39] + w4[29] <= _T_178 @[el2_lib.scala 347:30] + node _T_179 = bits(io.din, 55, 55) @[el2_lib.scala 348:39] + w5[29] <= _T_179 @[el2_lib.scala 348:30] + node _T_180 = bits(io.din, 56, 56) @[el2_lib.scala 343:39] + w0[30] <= _T_180 @[el2_lib.scala 343:30] + node _T_181 = bits(io.din, 56, 56) @[el2_lib.scala 344:39] + w1[30] <= _T_181 @[el2_lib.scala 344:30] + node _T_182 = bits(io.din, 56, 56) @[el2_lib.scala 345:39] + w2[30] <= _T_182 @[el2_lib.scala 345:30] + node _T_183 = bits(io.din, 56, 56) @[el2_lib.scala 346:39] + w3[30] <= _T_183 @[el2_lib.scala 346:30] + node _T_184 = bits(io.din, 56, 56) @[el2_lib.scala 347:39] + w4[30] <= _T_184 @[el2_lib.scala 347:30] + node _T_185 = bits(io.din, 56, 56) @[el2_lib.scala 348:39] + w5[30] <= _T_185 @[el2_lib.scala 348:30] + node _T_186 = bits(io.din, 57, 57) @[el2_lib.scala 343:39] + w0[31] <= _T_186 @[el2_lib.scala 343:30] + node _T_187 = bits(io.din, 57, 57) @[el2_lib.scala 349:39] + w6[0] <= _T_187 @[el2_lib.scala 349:30] + node _T_188 = bits(io.din, 58, 58) @[el2_lib.scala 344:39] + w1[31] <= _T_188 @[el2_lib.scala 344:30] + node _T_189 = bits(io.din, 58, 58) @[el2_lib.scala 349:39] + w6[1] <= _T_189 @[el2_lib.scala 349:30] + node _T_190 = bits(io.din, 59, 59) @[el2_lib.scala 343:39] + w0[32] <= _T_190 @[el2_lib.scala 343:30] + node _T_191 = bits(io.din, 59, 59) @[el2_lib.scala 344:39] + w1[32] <= _T_191 @[el2_lib.scala 344:30] + node _T_192 = bits(io.din, 59, 59) @[el2_lib.scala 349:39] + w6[2] <= _T_192 @[el2_lib.scala 349:30] + node _T_193 = bits(io.din, 60, 60) @[el2_lib.scala 345:39] + w2[31] <= _T_193 @[el2_lib.scala 345:30] + node _T_194 = bits(io.din, 60, 60) @[el2_lib.scala 349:39] + w6[3] <= _T_194 @[el2_lib.scala 349:30] + node _T_195 = bits(io.din, 61, 61) @[el2_lib.scala 343:39] + w0[33] <= _T_195 @[el2_lib.scala 343:30] + node _T_196 = bits(io.din, 61, 61) @[el2_lib.scala 345:39] + w2[32] <= _T_196 @[el2_lib.scala 345:30] + node _T_197 = bits(io.din, 61, 61) @[el2_lib.scala 349:39] + w6[4] <= _T_197 @[el2_lib.scala 349:30] + node _T_198 = bits(io.din, 62, 62) @[el2_lib.scala 344:39] + w1[33] <= _T_198 @[el2_lib.scala 344:30] + node _T_199 = bits(io.din, 62, 62) @[el2_lib.scala 345:39] + w2[33] <= _T_199 @[el2_lib.scala 345:30] + node _T_200 = bits(io.din, 62, 62) @[el2_lib.scala 349:39] + w6[5] <= _T_200 @[el2_lib.scala 349:30] + node _T_201 = bits(io.din, 63, 63) @[el2_lib.scala 343:39] + w0[34] <= _T_201 @[el2_lib.scala 343:30] + node _T_202 = bits(io.din, 63, 63) @[el2_lib.scala 344:39] + w1[34] <= _T_202 @[el2_lib.scala 344:30] + node _T_203 = bits(io.din, 63, 63) @[el2_lib.scala 345:39] + w2[34] <= _T_203 @[el2_lib.scala 345:30] + node _T_204 = bits(io.din, 63, 63) @[el2_lib.scala 349:39] + w6[6] <= _T_204 @[el2_lib.scala 349:30] + node _T_205 = cat(w6[2], w6[1]) @[el2_lib.scala 351:27] + node _T_206 = cat(_T_205, w6[0]) @[el2_lib.scala 351:27] + node _T_207 = cat(w6[4], w6[3]) @[el2_lib.scala 351:27] + node _T_208 = cat(w6[6], w6[5]) @[el2_lib.scala 351:27] + node _T_209 = cat(_T_208, _T_207) @[el2_lib.scala 351:27] + node _T_210 = cat(_T_209, _T_206) @[el2_lib.scala 351:27] + node _T_211 = xorr(_T_210) @[el2_lib.scala 351:34] + node _T_212 = cat(w5[2], w5[1]) @[el2_lib.scala 351:44] + node _T_213 = cat(_T_212, w5[0]) @[el2_lib.scala 351:44] + node _T_214 = cat(w5[4], w5[3]) @[el2_lib.scala 351:44] + node _T_215 = cat(w5[6], w5[5]) @[el2_lib.scala 351:44] + node _T_216 = cat(_T_215, _T_214) @[el2_lib.scala 351:44] + node _T_217 = cat(_T_216, _T_213) @[el2_lib.scala 351:44] + node _T_218 = cat(w5[8], w5[7]) @[el2_lib.scala 351:44] + node _T_219 = cat(w5[10], w5[9]) @[el2_lib.scala 351:44] + node _T_220 = cat(_T_219, _T_218) @[el2_lib.scala 351:44] + node _T_221 = cat(w5[12], w5[11]) @[el2_lib.scala 351:44] + node _T_222 = cat(w5[14], w5[13]) @[el2_lib.scala 351:44] + node _T_223 = cat(_T_222, _T_221) @[el2_lib.scala 351:44] + node _T_224 = cat(_T_223, _T_220) @[el2_lib.scala 351:44] + node _T_225 = cat(_T_224, _T_217) @[el2_lib.scala 351:44] + node _T_226 = cat(w5[16], w5[15]) @[el2_lib.scala 351:44] + node _T_227 = cat(w5[18], w5[17]) @[el2_lib.scala 351:44] + node _T_228 = cat(_T_227, _T_226) @[el2_lib.scala 351:44] + node _T_229 = cat(w5[20], w5[19]) @[el2_lib.scala 351:44] + node _T_230 = cat(w5[22], w5[21]) @[el2_lib.scala 351:44] + node _T_231 = cat(_T_230, _T_229) @[el2_lib.scala 351:44] + node _T_232 = cat(_T_231, _T_228) @[el2_lib.scala 351:44] + node _T_233 = cat(w5[24], w5[23]) @[el2_lib.scala 351:44] + node _T_234 = cat(w5[26], w5[25]) @[el2_lib.scala 351:44] + node _T_235 = cat(_T_234, _T_233) @[el2_lib.scala 351:44] + node _T_236 = cat(w5[28], w5[27]) @[el2_lib.scala 351:44] + node _T_237 = cat(w5[30], w5[29]) @[el2_lib.scala 351:44] + node _T_238 = cat(_T_237, _T_236) @[el2_lib.scala 351:44] + node _T_239 = cat(_T_238, _T_235) @[el2_lib.scala 351:44] + node _T_240 = cat(_T_239, _T_232) @[el2_lib.scala 351:44] + node _T_241 = cat(_T_240, _T_225) @[el2_lib.scala 351:44] + node _T_242 = xorr(_T_241) @[el2_lib.scala 351:51] + node _T_243 = cat(w4[2], w4[1]) @[el2_lib.scala 351:61] + node _T_244 = cat(_T_243, w4[0]) @[el2_lib.scala 351:61] + node _T_245 = cat(w4[4], w4[3]) @[el2_lib.scala 351:61] + node _T_246 = cat(w4[6], w4[5]) @[el2_lib.scala 351:61] + node _T_247 = cat(_T_246, _T_245) @[el2_lib.scala 351:61] + node _T_248 = cat(_T_247, _T_244) @[el2_lib.scala 351:61] + node _T_249 = cat(w4[8], w4[7]) @[el2_lib.scala 351:61] + node _T_250 = cat(w4[10], w4[9]) @[el2_lib.scala 351:61] + node _T_251 = cat(_T_250, _T_249) @[el2_lib.scala 351:61] + node _T_252 = cat(w4[12], w4[11]) @[el2_lib.scala 351:61] + node _T_253 = cat(w4[14], w4[13]) @[el2_lib.scala 351:61] + node _T_254 = cat(_T_253, _T_252) @[el2_lib.scala 351:61] + node _T_255 = cat(_T_254, _T_251) @[el2_lib.scala 351:61] + node _T_256 = cat(_T_255, _T_248) @[el2_lib.scala 351:61] + node _T_257 = cat(w4[16], w4[15]) @[el2_lib.scala 351:61] + node _T_258 = cat(w4[18], w4[17]) @[el2_lib.scala 351:61] + node _T_259 = cat(_T_258, _T_257) @[el2_lib.scala 351:61] + node _T_260 = cat(w4[20], w4[19]) @[el2_lib.scala 351:61] + node _T_261 = cat(w4[22], w4[21]) @[el2_lib.scala 351:61] + node _T_262 = cat(_T_261, _T_260) @[el2_lib.scala 351:61] + node _T_263 = cat(_T_262, _T_259) @[el2_lib.scala 351:61] + node _T_264 = cat(w4[24], w4[23]) @[el2_lib.scala 351:61] + node _T_265 = cat(w4[26], w4[25]) @[el2_lib.scala 351:61] + node _T_266 = cat(_T_265, _T_264) @[el2_lib.scala 351:61] + node _T_267 = cat(w4[28], w4[27]) @[el2_lib.scala 351:61] + node _T_268 = cat(w4[30], w4[29]) @[el2_lib.scala 351:61] + node _T_269 = cat(_T_268, _T_267) @[el2_lib.scala 351:61] + node _T_270 = cat(_T_269, _T_266) @[el2_lib.scala 351:61] + node _T_271 = cat(_T_270, _T_263) @[el2_lib.scala 351:61] + node _T_272 = cat(_T_271, _T_256) @[el2_lib.scala 351:61] + node _T_273 = xorr(_T_272) @[el2_lib.scala 351:68] + node _T_274 = cat(w3[2], w3[1]) @[el2_lib.scala 351:78] + node _T_275 = cat(_T_274, w3[0]) @[el2_lib.scala 351:78] + node _T_276 = cat(w3[4], w3[3]) @[el2_lib.scala 351:78] + node _T_277 = cat(w3[6], w3[5]) @[el2_lib.scala 351:78] + node _T_278 = cat(_T_277, _T_276) @[el2_lib.scala 351:78] + node _T_279 = cat(_T_278, _T_275) @[el2_lib.scala 351:78] + node _T_280 = cat(w3[8], w3[7]) @[el2_lib.scala 351:78] + node _T_281 = cat(w3[10], w3[9]) @[el2_lib.scala 351:78] + node _T_282 = cat(_T_281, _T_280) @[el2_lib.scala 351:78] + node _T_283 = cat(w3[12], w3[11]) @[el2_lib.scala 351:78] + node _T_284 = cat(w3[14], w3[13]) @[el2_lib.scala 351:78] + node _T_285 = cat(_T_284, _T_283) @[el2_lib.scala 351:78] + node _T_286 = cat(_T_285, _T_282) @[el2_lib.scala 351:78] + node _T_287 = cat(_T_286, _T_279) @[el2_lib.scala 351:78] + node _T_288 = cat(w3[16], w3[15]) @[el2_lib.scala 351:78] + node _T_289 = cat(w3[18], w3[17]) @[el2_lib.scala 351:78] + node _T_290 = cat(_T_289, _T_288) @[el2_lib.scala 351:78] + node _T_291 = cat(w3[20], w3[19]) @[el2_lib.scala 351:78] + node _T_292 = cat(w3[22], w3[21]) @[el2_lib.scala 351:78] + node _T_293 = cat(_T_292, _T_291) @[el2_lib.scala 351:78] + node _T_294 = cat(_T_293, _T_290) @[el2_lib.scala 351:78] + node _T_295 = cat(w3[24], w3[23]) @[el2_lib.scala 351:78] + node _T_296 = cat(w3[26], w3[25]) @[el2_lib.scala 351:78] + node _T_297 = cat(_T_296, _T_295) @[el2_lib.scala 351:78] + node _T_298 = cat(w3[28], w3[27]) @[el2_lib.scala 351:78] + node _T_299 = cat(w3[30], w3[29]) @[el2_lib.scala 351:78] + node _T_300 = cat(_T_299, _T_298) @[el2_lib.scala 351:78] + node _T_301 = cat(_T_300, _T_297) @[el2_lib.scala 351:78] + node _T_302 = cat(_T_301, _T_294) @[el2_lib.scala 351:78] + node _T_303 = cat(_T_302, _T_287) @[el2_lib.scala 351:78] + node _T_304 = xorr(_T_303) @[el2_lib.scala 351:85] + node _T_305 = cat(w2[1], w2[0]) @[el2_lib.scala 351:95] + node _T_306 = cat(w2[3], w2[2]) @[el2_lib.scala 351:95] + node _T_307 = cat(_T_306, _T_305) @[el2_lib.scala 351:95] + node _T_308 = cat(w2[5], w2[4]) @[el2_lib.scala 351:95] + node _T_309 = cat(w2[7], w2[6]) @[el2_lib.scala 351:95] + node _T_310 = cat(_T_309, _T_308) @[el2_lib.scala 351:95] + node _T_311 = cat(_T_310, _T_307) @[el2_lib.scala 351:95] + node _T_312 = cat(w2[9], w2[8]) @[el2_lib.scala 351:95] + node _T_313 = cat(w2[11], w2[10]) @[el2_lib.scala 351:95] + node _T_314 = cat(_T_313, _T_312) @[el2_lib.scala 351:95] + node _T_315 = cat(w2[13], w2[12]) @[el2_lib.scala 351:95] + node _T_316 = cat(w2[16], w2[15]) @[el2_lib.scala 351:95] + node _T_317 = cat(_T_316, w2[14]) @[el2_lib.scala 351:95] + node _T_318 = cat(_T_317, _T_315) @[el2_lib.scala 351:95] + node _T_319 = cat(_T_318, _T_314) @[el2_lib.scala 351:95] + node _T_320 = cat(_T_319, _T_311) @[el2_lib.scala 351:95] + node _T_321 = cat(w2[18], w2[17]) @[el2_lib.scala 351:95] + node _T_322 = cat(w2[20], w2[19]) @[el2_lib.scala 351:95] + node _T_323 = cat(_T_322, _T_321) @[el2_lib.scala 351:95] + node _T_324 = cat(w2[22], w2[21]) @[el2_lib.scala 351:95] + node _T_325 = cat(w2[25], w2[24]) @[el2_lib.scala 351:95] + node _T_326 = cat(_T_325, w2[23]) @[el2_lib.scala 351:95] + node _T_327 = cat(_T_326, _T_324) @[el2_lib.scala 351:95] + node _T_328 = cat(_T_327, _T_323) @[el2_lib.scala 351:95] + node _T_329 = cat(w2[27], w2[26]) @[el2_lib.scala 351:95] + node _T_330 = cat(w2[29], w2[28]) @[el2_lib.scala 351:95] + node _T_331 = cat(_T_330, _T_329) @[el2_lib.scala 351:95] + node _T_332 = cat(w2[31], w2[30]) @[el2_lib.scala 351:95] + node _T_333 = cat(w2[34], w2[33]) @[el2_lib.scala 351:95] + node _T_334 = cat(_T_333, w2[32]) @[el2_lib.scala 351:95] + node _T_335 = cat(_T_334, _T_332) @[el2_lib.scala 351:95] + node _T_336 = cat(_T_335, _T_331) @[el2_lib.scala 351:95] + node _T_337 = cat(_T_336, _T_328) @[el2_lib.scala 351:95] + node _T_338 = cat(_T_337, _T_320) @[el2_lib.scala 351:95] + node _T_339 = xorr(_T_338) @[el2_lib.scala 351:102] + node _T_340 = cat(w1[1], w1[0]) @[el2_lib.scala 351:112] + node _T_341 = cat(w1[3], w1[2]) @[el2_lib.scala 351:112] + node _T_342 = cat(_T_341, _T_340) @[el2_lib.scala 351:112] + node _T_343 = cat(w1[5], w1[4]) @[el2_lib.scala 351:112] + node _T_344 = cat(w1[7], w1[6]) @[el2_lib.scala 351:112] + node _T_345 = cat(_T_344, _T_343) @[el2_lib.scala 351:112] + node _T_346 = cat(_T_345, _T_342) @[el2_lib.scala 351:112] + node _T_347 = cat(w1[9], w1[8]) @[el2_lib.scala 351:112] + node _T_348 = cat(w1[11], w1[10]) @[el2_lib.scala 351:112] + node _T_349 = cat(_T_348, _T_347) @[el2_lib.scala 351:112] + node _T_350 = cat(w1[13], w1[12]) @[el2_lib.scala 351:112] + node _T_351 = cat(w1[16], w1[15]) @[el2_lib.scala 351:112] + node _T_352 = cat(_T_351, w1[14]) @[el2_lib.scala 351:112] + node _T_353 = cat(_T_352, _T_350) @[el2_lib.scala 351:112] + node _T_354 = cat(_T_353, _T_349) @[el2_lib.scala 351:112] + node _T_355 = cat(_T_354, _T_346) @[el2_lib.scala 351:112] + node _T_356 = cat(w1[18], w1[17]) @[el2_lib.scala 351:112] + node _T_357 = cat(w1[20], w1[19]) @[el2_lib.scala 351:112] + node _T_358 = cat(_T_357, _T_356) @[el2_lib.scala 351:112] + node _T_359 = cat(w1[22], w1[21]) @[el2_lib.scala 351:112] + node _T_360 = cat(w1[25], w1[24]) @[el2_lib.scala 351:112] + node _T_361 = cat(_T_360, w1[23]) @[el2_lib.scala 351:112] + node _T_362 = cat(_T_361, _T_359) @[el2_lib.scala 351:112] + node _T_363 = cat(_T_362, _T_358) @[el2_lib.scala 351:112] + node _T_364 = cat(w1[27], w1[26]) @[el2_lib.scala 351:112] + node _T_365 = cat(w1[29], w1[28]) @[el2_lib.scala 351:112] + node _T_366 = cat(_T_365, _T_364) @[el2_lib.scala 351:112] + node _T_367 = cat(w1[31], w1[30]) @[el2_lib.scala 351:112] + node _T_368 = cat(w1[34], w1[33]) @[el2_lib.scala 351:112] + node _T_369 = cat(_T_368, w1[32]) @[el2_lib.scala 351:112] + node _T_370 = cat(_T_369, _T_367) @[el2_lib.scala 351:112] + node _T_371 = cat(_T_370, _T_366) @[el2_lib.scala 351:112] + node _T_372 = cat(_T_371, _T_363) @[el2_lib.scala 351:112] + node _T_373 = cat(_T_372, _T_355) @[el2_lib.scala 351:112] + node _T_374 = xorr(_T_373) @[el2_lib.scala 351:119] + node _T_375 = cat(w0[1], w0[0]) @[el2_lib.scala 351:129] + node _T_376 = cat(w0[3], w0[2]) @[el2_lib.scala 351:129] + node _T_377 = cat(_T_376, _T_375) @[el2_lib.scala 351:129] + node _T_378 = cat(w0[5], w0[4]) @[el2_lib.scala 351:129] + node _T_379 = cat(w0[7], w0[6]) @[el2_lib.scala 351:129] + node _T_380 = cat(_T_379, _T_378) @[el2_lib.scala 351:129] + node _T_381 = cat(_T_380, _T_377) @[el2_lib.scala 351:129] + node _T_382 = cat(w0[9], w0[8]) @[el2_lib.scala 351:129] + node _T_383 = cat(w0[11], w0[10]) @[el2_lib.scala 351:129] + node _T_384 = cat(_T_383, _T_382) @[el2_lib.scala 351:129] + node _T_385 = cat(w0[13], w0[12]) @[el2_lib.scala 351:129] + node _T_386 = cat(w0[16], w0[15]) @[el2_lib.scala 351:129] + node _T_387 = cat(_T_386, w0[14]) @[el2_lib.scala 351:129] + node _T_388 = cat(_T_387, _T_385) @[el2_lib.scala 351:129] + node _T_389 = cat(_T_388, _T_384) @[el2_lib.scala 351:129] + node _T_390 = cat(_T_389, _T_381) @[el2_lib.scala 351:129] + node _T_391 = cat(w0[18], w0[17]) @[el2_lib.scala 351:129] + node _T_392 = cat(w0[20], w0[19]) @[el2_lib.scala 351:129] + node _T_393 = cat(_T_392, _T_391) @[el2_lib.scala 351:129] + node _T_394 = cat(w0[22], w0[21]) @[el2_lib.scala 351:129] + node _T_395 = cat(w0[25], w0[24]) @[el2_lib.scala 351:129] + node _T_396 = cat(_T_395, w0[23]) @[el2_lib.scala 351:129] + node _T_397 = cat(_T_396, _T_394) @[el2_lib.scala 351:129] + node _T_398 = cat(_T_397, _T_393) @[el2_lib.scala 351:129] + node _T_399 = cat(w0[27], w0[26]) @[el2_lib.scala 351:129] + node _T_400 = cat(w0[29], w0[28]) @[el2_lib.scala 351:129] + node _T_401 = cat(_T_400, _T_399) @[el2_lib.scala 351:129] + node _T_402 = cat(w0[31], w0[30]) @[el2_lib.scala 351:129] + node _T_403 = cat(w0[34], w0[33]) @[el2_lib.scala 351:129] + node _T_404 = cat(_T_403, w0[32]) @[el2_lib.scala 351:129] + node _T_405 = cat(_T_404, _T_402) @[el2_lib.scala 351:129] + node _T_406 = cat(_T_405, _T_401) @[el2_lib.scala 351:129] + node _T_407 = cat(_T_406, _T_398) @[el2_lib.scala 351:129] + node _T_408 = cat(_T_407, _T_390) @[el2_lib.scala 351:129] + node _T_409 = xorr(_T_408) @[el2_lib.scala 351:136] + node _T_410 = cat(_T_339, _T_374) @[Cat.scala 29:58] + node _T_411 = cat(_T_410, _T_409) @[Cat.scala 29:58] + node _T_412 = cat(_T_273, _T_304) @[Cat.scala 29:58] + node _T_413 = cat(_T_211, _T_242) @[Cat.scala 29:58] + node _T_414 = cat(_T_413, _T_412) @[Cat.scala 29:58] + node _T_415 = cat(_T_414, _T_411) @[Cat.scala 29:58] + io.ecc_out <= _T_415 @[el2_lib.scala 351:16] + module el2_ifu_mem_ctl : input clock : Clock input reset : UInt<1> @@ -608,1284 +1243,1288 @@ circuit el2_ifu_mem_ctl : ifu_bus_rdata_ff <= UInt<1>("h00") wire ic_miss_buff_half : UInt<64> ic_miss_buff_half <= UInt<1>("h00") - wire _T_350 : UInt<1>[35] @[el2_lib.scala 326:18] - wire _T_351 : UInt<1>[35] @[el2_lib.scala 327:18] - wire _T_352 : UInt<1>[35] @[el2_lib.scala 328:18] - wire _T_353 : UInt<1>[31] @[el2_lib.scala 329:18] - wire _T_354 : UInt<1>[31] @[el2_lib.scala 330:18] - wire _T_355 : UInt<1>[31] @[el2_lib.scala 331:18] - wire _T_356 : UInt<1>[7] @[el2_lib.scala 332:18] - node _T_357 = bits(ifu_bus_rdata_ff, 0, 0) @[el2_lib.scala 339:36] - _T_350[0] <= _T_357 @[el2_lib.scala 339:30] - node _T_358 = bits(ifu_bus_rdata_ff, 0, 0) @[el2_lib.scala 340:36] - _T_351[0] <= _T_358 @[el2_lib.scala 340:30] - node _T_359 = bits(ifu_bus_rdata_ff, 1, 1) @[el2_lib.scala 339:36] - _T_350[1] <= _T_359 @[el2_lib.scala 339:30] - node _T_360 = bits(ifu_bus_rdata_ff, 1, 1) @[el2_lib.scala 341:36] - _T_352[0] <= _T_360 @[el2_lib.scala 341:30] - node _T_361 = bits(ifu_bus_rdata_ff, 2, 2) @[el2_lib.scala 340:36] - _T_351[1] <= _T_361 @[el2_lib.scala 340:30] - node _T_362 = bits(ifu_bus_rdata_ff, 2, 2) @[el2_lib.scala 341:36] - _T_352[1] <= _T_362 @[el2_lib.scala 341:30] - node _T_363 = bits(ifu_bus_rdata_ff, 3, 3) @[el2_lib.scala 339:36] - _T_350[2] <= _T_363 @[el2_lib.scala 339:30] - node _T_364 = bits(ifu_bus_rdata_ff, 3, 3) @[el2_lib.scala 340:36] - _T_351[2] <= _T_364 @[el2_lib.scala 340:30] - node _T_365 = bits(ifu_bus_rdata_ff, 3, 3) @[el2_lib.scala 341:36] - _T_352[2] <= _T_365 @[el2_lib.scala 341:30] - node _T_366 = bits(ifu_bus_rdata_ff, 4, 4) @[el2_lib.scala 339:36] - _T_350[3] <= _T_366 @[el2_lib.scala 339:30] - node _T_367 = bits(ifu_bus_rdata_ff, 4, 4) @[el2_lib.scala 342:36] - _T_353[0] <= _T_367 @[el2_lib.scala 342:30] - node _T_368 = bits(ifu_bus_rdata_ff, 5, 5) @[el2_lib.scala 340:36] - _T_351[3] <= _T_368 @[el2_lib.scala 340:30] - node _T_369 = bits(ifu_bus_rdata_ff, 5, 5) @[el2_lib.scala 342:36] - _T_353[1] <= _T_369 @[el2_lib.scala 342:30] - node _T_370 = bits(ifu_bus_rdata_ff, 6, 6) @[el2_lib.scala 339:36] - _T_350[4] <= _T_370 @[el2_lib.scala 339:30] - node _T_371 = bits(ifu_bus_rdata_ff, 6, 6) @[el2_lib.scala 340:36] - _T_351[4] <= _T_371 @[el2_lib.scala 340:30] - node _T_372 = bits(ifu_bus_rdata_ff, 6, 6) @[el2_lib.scala 342:36] - _T_353[2] <= _T_372 @[el2_lib.scala 342:30] - node _T_373 = bits(ifu_bus_rdata_ff, 7, 7) @[el2_lib.scala 341:36] - _T_352[3] <= _T_373 @[el2_lib.scala 341:30] - node _T_374 = bits(ifu_bus_rdata_ff, 7, 7) @[el2_lib.scala 342:36] - _T_353[3] <= _T_374 @[el2_lib.scala 342:30] - node _T_375 = bits(ifu_bus_rdata_ff, 8, 8) @[el2_lib.scala 339:36] - _T_350[5] <= _T_375 @[el2_lib.scala 339:30] - node _T_376 = bits(ifu_bus_rdata_ff, 8, 8) @[el2_lib.scala 341:36] - _T_352[4] <= _T_376 @[el2_lib.scala 341:30] - node _T_377 = bits(ifu_bus_rdata_ff, 8, 8) @[el2_lib.scala 342:36] - _T_353[4] <= _T_377 @[el2_lib.scala 342:30] - node _T_378 = bits(ifu_bus_rdata_ff, 9, 9) @[el2_lib.scala 340:36] - _T_351[5] <= _T_378 @[el2_lib.scala 340:30] - node _T_379 = bits(ifu_bus_rdata_ff, 9, 9) @[el2_lib.scala 341:36] - _T_352[5] <= _T_379 @[el2_lib.scala 341:30] - node _T_380 = bits(ifu_bus_rdata_ff, 9, 9) @[el2_lib.scala 342:36] - _T_353[5] <= _T_380 @[el2_lib.scala 342:30] - node _T_381 = bits(ifu_bus_rdata_ff, 10, 10) @[el2_lib.scala 339:36] - _T_350[6] <= _T_381 @[el2_lib.scala 339:30] - node _T_382 = bits(ifu_bus_rdata_ff, 10, 10) @[el2_lib.scala 340:36] - _T_351[6] <= _T_382 @[el2_lib.scala 340:30] - node _T_383 = bits(ifu_bus_rdata_ff, 10, 10) @[el2_lib.scala 341:36] - _T_352[6] <= _T_383 @[el2_lib.scala 341:30] - node _T_384 = bits(ifu_bus_rdata_ff, 10, 10) @[el2_lib.scala 342:36] - _T_353[6] <= _T_384 @[el2_lib.scala 342:30] - node _T_385 = bits(ifu_bus_rdata_ff, 11, 11) @[el2_lib.scala 339:36] - _T_350[7] <= _T_385 @[el2_lib.scala 339:30] - node _T_386 = bits(ifu_bus_rdata_ff, 11, 11) @[el2_lib.scala 343:36] - _T_354[0] <= _T_386 @[el2_lib.scala 343:30] - node _T_387 = bits(ifu_bus_rdata_ff, 12, 12) @[el2_lib.scala 340:36] - _T_351[7] <= _T_387 @[el2_lib.scala 340:30] - node _T_388 = bits(ifu_bus_rdata_ff, 12, 12) @[el2_lib.scala 343:36] - _T_354[1] <= _T_388 @[el2_lib.scala 343:30] - node _T_389 = bits(ifu_bus_rdata_ff, 13, 13) @[el2_lib.scala 339:36] - _T_350[8] <= _T_389 @[el2_lib.scala 339:30] - node _T_390 = bits(ifu_bus_rdata_ff, 13, 13) @[el2_lib.scala 340:36] - _T_351[8] <= _T_390 @[el2_lib.scala 340:30] - node _T_391 = bits(ifu_bus_rdata_ff, 13, 13) @[el2_lib.scala 343:36] - _T_354[2] <= _T_391 @[el2_lib.scala 343:30] - node _T_392 = bits(ifu_bus_rdata_ff, 14, 14) @[el2_lib.scala 341:36] - _T_352[7] <= _T_392 @[el2_lib.scala 341:30] - node _T_393 = bits(ifu_bus_rdata_ff, 14, 14) @[el2_lib.scala 343:36] - _T_354[3] <= _T_393 @[el2_lib.scala 343:30] - node _T_394 = bits(ifu_bus_rdata_ff, 15, 15) @[el2_lib.scala 339:36] - _T_350[9] <= _T_394 @[el2_lib.scala 339:30] - node _T_395 = bits(ifu_bus_rdata_ff, 15, 15) @[el2_lib.scala 341:36] - _T_352[8] <= _T_395 @[el2_lib.scala 341:30] - node _T_396 = bits(ifu_bus_rdata_ff, 15, 15) @[el2_lib.scala 343:36] - _T_354[4] <= _T_396 @[el2_lib.scala 343:30] - node _T_397 = bits(ifu_bus_rdata_ff, 16, 16) @[el2_lib.scala 340:36] - _T_351[9] <= _T_397 @[el2_lib.scala 340:30] - node _T_398 = bits(ifu_bus_rdata_ff, 16, 16) @[el2_lib.scala 341:36] - _T_352[9] <= _T_398 @[el2_lib.scala 341:30] - node _T_399 = bits(ifu_bus_rdata_ff, 16, 16) @[el2_lib.scala 343:36] - _T_354[5] <= _T_399 @[el2_lib.scala 343:30] - node _T_400 = bits(ifu_bus_rdata_ff, 17, 17) @[el2_lib.scala 339:36] - _T_350[10] <= _T_400 @[el2_lib.scala 339:30] - node _T_401 = bits(ifu_bus_rdata_ff, 17, 17) @[el2_lib.scala 340:36] - _T_351[10] <= _T_401 @[el2_lib.scala 340:30] - node _T_402 = bits(ifu_bus_rdata_ff, 17, 17) @[el2_lib.scala 341:36] - _T_352[10] <= _T_402 @[el2_lib.scala 341:30] - node _T_403 = bits(ifu_bus_rdata_ff, 17, 17) @[el2_lib.scala 343:36] - _T_354[6] <= _T_403 @[el2_lib.scala 343:30] - node _T_404 = bits(ifu_bus_rdata_ff, 18, 18) @[el2_lib.scala 342:36] - _T_353[7] <= _T_404 @[el2_lib.scala 342:30] - node _T_405 = bits(ifu_bus_rdata_ff, 18, 18) @[el2_lib.scala 343:36] - _T_354[7] <= _T_405 @[el2_lib.scala 343:30] - node _T_406 = bits(ifu_bus_rdata_ff, 19, 19) @[el2_lib.scala 339:36] - _T_350[11] <= _T_406 @[el2_lib.scala 339:30] - node _T_407 = bits(ifu_bus_rdata_ff, 19, 19) @[el2_lib.scala 342:36] - _T_353[8] <= _T_407 @[el2_lib.scala 342:30] - node _T_408 = bits(ifu_bus_rdata_ff, 19, 19) @[el2_lib.scala 343:36] - _T_354[8] <= _T_408 @[el2_lib.scala 343:30] - node _T_409 = bits(ifu_bus_rdata_ff, 20, 20) @[el2_lib.scala 340:36] - _T_351[11] <= _T_409 @[el2_lib.scala 340:30] - node _T_410 = bits(ifu_bus_rdata_ff, 20, 20) @[el2_lib.scala 342:36] - _T_353[9] <= _T_410 @[el2_lib.scala 342:30] - node _T_411 = bits(ifu_bus_rdata_ff, 20, 20) @[el2_lib.scala 343:36] - _T_354[9] <= _T_411 @[el2_lib.scala 343:30] - node _T_412 = bits(ifu_bus_rdata_ff, 21, 21) @[el2_lib.scala 339:36] - _T_350[12] <= _T_412 @[el2_lib.scala 339:30] - node _T_413 = bits(ifu_bus_rdata_ff, 21, 21) @[el2_lib.scala 340:36] - _T_351[12] <= _T_413 @[el2_lib.scala 340:30] - node _T_414 = bits(ifu_bus_rdata_ff, 21, 21) @[el2_lib.scala 342:36] - _T_353[10] <= _T_414 @[el2_lib.scala 342:30] - node _T_415 = bits(ifu_bus_rdata_ff, 21, 21) @[el2_lib.scala 343:36] - _T_354[10] <= _T_415 @[el2_lib.scala 343:30] - node _T_416 = bits(ifu_bus_rdata_ff, 22, 22) @[el2_lib.scala 341:36] - _T_352[11] <= _T_416 @[el2_lib.scala 341:30] - node _T_417 = bits(ifu_bus_rdata_ff, 22, 22) @[el2_lib.scala 342:36] - _T_353[11] <= _T_417 @[el2_lib.scala 342:30] - node _T_418 = bits(ifu_bus_rdata_ff, 22, 22) @[el2_lib.scala 343:36] - _T_354[11] <= _T_418 @[el2_lib.scala 343:30] - node _T_419 = bits(ifu_bus_rdata_ff, 23, 23) @[el2_lib.scala 339:36] - _T_350[13] <= _T_419 @[el2_lib.scala 339:30] - node _T_420 = bits(ifu_bus_rdata_ff, 23, 23) @[el2_lib.scala 341:36] - _T_352[12] <= _T_420 @[el2_lib.scala 341:30] - node _T_421 = bits(ifu_bus_rdata_ff, 23, 23) @[el2_lib.scala 342:36] - _T_353[12] <= _T_421 @[el2_lib.scala 342:30] - node _T_422 = bits(ifu_bus_rdata_ff, 23, 23) @[el2_lib.scala 343:36] - _T_354[12] <= _T_422 @[el2_lib.scala 343:30] - node _T_423 = bits(ifu_bus_rdata_ff, 24, 24) @[el2_lib.scala 340:36] - _T_351[13] <= _T_423 @[el2_lib.scala 340:30] - node _T_424 = bits(ifu_bus_rdata_ff, 24, 24) @[el2_lib.scala 341:36] - _T_352[13] <= _T_424 @[el2_lib.scala 341:30] - node _T_425 = bits(ifu_bus_rdata_ff, 24, 24) @[el2_lib.scala 342:36] - _T_353[13] <= _T_425 @[el2_lib.scala 342:30] - node _T_426 = bits(ifu_bus_rdata_ff, 24, 24) @[el2_lib.scala 343:36] - _T_354[13] <= _T_426 @[el2_lib.scala 343:30] - node _T_427 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 339:36] - _T_350[14] <= _T_427 @[el2_lib.scala 339:30] - node _T_428 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 340:36] - _T_351[14] <= _T_428 @[el2_lib.scala 340:30] - node _T_429 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 341:36] - _T_352[14] <= _T_429 @[el2_lib.scala 341:30] - node _T_430 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 342:36] - _T_353[14] <= _T_430 @[el2_lib.scala 342:30] - node _T_431 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 343:36] - _T_354[14] <= _T_431 @[el2_lib.scala 343:30] - node _T_432 = bits(ifu_bus_rdata_ff, 26, 26) @[el2_lib.scala 339:36] - _T_350[15] <= _T_432 @[el2_lib.scala 339:30] - node _T_433 = bits(ifu_bus_rdata_ff, 26, 26) @[el2_lib.scala 344:36] - _T_355[0] <= _T_433 @[el2_lib.scala 344:30] - node _T_434 = bits(ifu_bus_rdata_ff, 27, 27) @[el2_lib.scala 340:36] - _T_351[15] <= _T_434 @[el2_lib.scala 340:30] - node _T_435 = bits(ifu_bus_rdata_ff, 27, 27) @[el2_lib.scala 344:36] - _T_355[1] <= _T_435 @[el2_lib.scala 344:30] - node _T_436 = bits(ifu_bus_rdata_ff, 28, 28) @[el2_lib.scala 339:36] - _T_350[16] <= _T_436 @[el2_lib.scala 339:30] - node _T_437 = bits(ifu_bus_rdata_ff, 28, 28) @[el2_lib.scala 340:36] - _T_351[16] <= _T_437 @[el2_lib.scala 340:30] - node _T_438 = bits(ifu_bus_rdata_ff, 28, 28) @[el2_lib.scala 344:36] - _T_355[2] <= _T_438 @[el2_lib.scala 344:30] - node _T_439 = bits(ifu_bus_rdata_ff, 29, 29) @[el2_lib.scala 341:36] - _T_352[15] <= _T_439 @[el2_lib.scala 341:30] - node _T_440 = bits(ifu_bus_rdata_ff, 29, 29) @[el2_lib.scala 344:36] - _T_355[3] <= _T_440 @[el2_lib.scala 344:30] - node _T_441 = bits(ifu_bus_rdata_ff, 30, 30) @[el2_lib.scala 339:36] - _T_350[17] <= _T_441 @[el2_lib.scala 339:30] - node _T_442 = bits(ifu_bus_rdata_ff, 30, 30) @[el2_lib.scala 341:36] - _T_352[16] <= _T_442 @[el2_lib.scala 341:30] - node _T_443 = bits(ifu_bus_rdata_ff, 30, 30) @[el2_lib.scala 344:36] - _T_355[4] <= _T_443 @[el2_lib.scala 344:30] - node _T_444 = bits(ifu_bus_rdata_ff, 31, 31) @[el2_lib.scala 340:36] - _T_351[17] <= _T_444 @[el2_lib.scala 340:30] - node _T_445 = bits(ifu_bus_rdata_ff, 31, 31) @[el2_lib.scala 341:36] - _T_352[17] <= _T_445 @[el2_lib.scala 341:30] - node _T_446 = bits(ifu_bus_rdata_ff, 31, 31) @[el2_lib.scala 344:36] - _T_355[5] <= _T_446 @[el2_lib.scala 344:30] - node _T_447 = bits(ifu_bus_rdata_ff, 32, 32) @[el2_lib.scala 339:36] - _T_350[18] <= _T_447 @[el2_lib.scala 339:30] - node _T_448 = bits(ifu_bus_rdata_ff, 32, 32) @[el2_lib.scala 340:36] - _T_351[18] <= _T_448 @[el2_lib.scala 340:30] - node _T_449 = bits(ifu_bus_rdata_ff, 32, 32) @[el2_lib.scala 341:36] - _T_352[18] <= _T_449 @[el2_lib.scala 341:30] - node _T_450 = bits(ifu_bus_rdata_ff, 32, 32) @[el2_lib.scala 344:36] - _T_355[6] <= _T_450 @[el2_lib.scala 344:30] - node _T_451 = bits(ifu_bus_rdata_ff, 33, 33) @[el2_lib.scala 342:36] - _T_353[15] <= _T_451 @[el2_lib.scala 342:30] - node _T_452 = bits(ifu_bus_rdata_ff, 33, 33) @[el2_lib.scala 344:36] - _T_355[7] <= _T_452 @[el2_lib.scala 344:30] - node _T_453 = bits(ifu_bus_rdata_ff, 34, 34) @[el2_lib.scala 339:36] - _T_350[19] <= _T_453 @[el2_lib.scala 339:30] - node _T_454 = bits(ifu_bus_rdata_ff, 34, 34) @[el2_lib.scala 342:36] - _T_353[16] <= _T_454 @[el2_lib.scala 342:30] - node _T_455 = bits(ifu_bus_rdata_ff, 34, 34) @[el2_lib.scala 344:36] - _T_355[8] <= _T_455 @[el2_lib.scala 344:30] - node _T_456 = bits(ifu_bus_rdata_ff, 35, 35) @[el2_lib.scala 340:36] - _T_351[19] <= _T_456 @[el2_lib.scala 340:30] - node _T_457 = bits(ifu_bus_rdata_ff, 35, 35) @[el2_lib.scala 342:36] - _T_353[17] <= _T_457 @[el2_lib.scala 342:30] - node _T_458 = bits(ifu_bus_rdata_ff, 35, 35) @[el2_lib.scala 344:36] - _T_355[9] <= _T_458 @[el2_lib.scala 344:30] - node _T_459 = bits(ifu_bus_rdata_ff, 36, 36) @[el2_lib.scala 339:36] - _T_350[20] <= _T_459 @[el2_lib.scala 339:30] - node _T_460 = bits(ifu_bus_rdata_ff, 36, 36) @[el2_lib.scala 340:36] - _T_351[20] <= _T_460 @[el2_lib.scala 340:30] - node _T_461 = bits(ifu_bus_rdata_ff, 36, 36) @[el2_lib.scala 342:36] - _T_353[18] <= _T_461 @[el2_lib.scala 342:30] - node _T_462 = bits(ifu_bus_rdata_ff, 36, 36) @[el2_lib.scala 344:36] - _T_355[10] <= _T_462 @[el2_lib.scala 344:30] - node _T_463 = bits(ifu_bus_rdata_ff, 37, 37) @[el2_lib.scala 341:36] - _T_352[19] <= _T_463 @[el2_lib.scala 341:30] - node _T_464 = bits(ifu_bus_rdata_ff, 37, 37) @[el2_lib.scala 342:36] - _T_353[19] <= _T_464 @[el2_lib.scala 342:30] - node _T_465 = bits(ifu_bus_rdata_ff, 37, 37) @[el2_lib.scala 344:36] - _T_355[11] <= _T_465 @[el2_lib.scala 344:30] - node _T_466 = bits(ifu_bus_rdata_ff, 38, 38) @[el2_lib.scala 339:36] - _T_350[21] <= _T_466 @[el2_lib.scala 339:30] - node _T_467 = bits(ifu_bus_rdata_ff, 38, 38) @[el2_lib.scala 341:36] - _T_352[20] <= _T_467 @[el2_lib.scala 341:30] - node _T_468 = bits(ifu_bus_rdata_ff, 38, 38) @[el2_lib.scala 342:36] - _T_353[20] <= _T_468 @[el2_lib.scala 342:30] - node _T_469 = bits(ifu_bus_rdata_ff, 38, 38) @[el2_lib.scala 344:36] - _T_355[12] <= _T_469 @[el2_lib.scala 344:30] - node _T_470 = bits(ifu_bus_rdata_ff, 39, 39) @[el2_lib.scala 340:36] - _T_351[21] <= _T_470 @[el2_lib.scala 340:30] - node _T_471 = bits(ifu_bus_rdata_ff, 39, 39) @[el2_lib.scala 341:36] - _T_352[21] <= _T_471 @[el2_lib.scala 341:30] - node _T_472 = bits(ifu_bus_rdata_ff, 39, 39) @[el2_lib.scala 342:36] - _T_353[21] <= _T_472 @[el2_lib.scala 342:30] - node _T_473 = bits(ifu_bus_rdata_ff, 39, 39) @[el2_lib.scala 344:36] - _T_355[13] <= _T_473 @[el2_lib.scala 344:30] - node _T_474 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 339:36] - _T_350[22] <= _T_474 @[el2_lib.scala 339:30] - node _T_475 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 340:36] - _T_351[22] <= _T_475 @[el2_lib.scala 340:30] - node _T_476 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 341:36] - _T_352[22] <= _T_476 @[el2_lib.scala 341:30] - node _T_477 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 342:36] - _T_353[22] <= _T_477 @[el2_lib.scala 342:30] - node _T_478 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 344:36] - _T_355[14] <= _T_478 @[el2_lib.scala 344:30] - node _T_479 = bits(ifu_bus_rdata_ff, 41, 41) @[el2_lib.scala 343:36] - _T_354[15] <= _T_479 @[el2_lib.scala 343:30] - node _T_480 = bits(ifu_bus_rdata_ff, 41, 41) @[el2_lib.scala 344:36] - _T_355[15] <= _T_480 @[el2_lib.scala 344:30] - node _T_481 = bits(ifu_bus_rdata_ff, 42, 42) @[el2_lib.scala 339:36] - _T_350[23] <= _T_481 @[el2_lib.scala 339:30] - node _T_482 = bits(ifu_bus_rdata_ff, 42, 42) @[el2_lib.scala 343:36] - _T_354[16] <= _T_482 @[el2_lib.scala 343:30] - node _T_483 = bits(ifu_bus_rdata_ff, 42, 42) @[el2_lib.scala 344:36] - _T_355[16] <= _T_483 @[el2_lib.scala 344:30] - node _T_484 = bits(ifu_bus_rdata_ff, 43, 43) @[el2_lib.scala 340:36] - _T_351[23] <= _T_484 @[el2_lib.scala 340:30] - node _T_485 = bits(ifu_bus_rdata_ff, 43, 43) @[el2_lib.scala 343:36] - _T_354[17] <= _T_485 @[el2_lib.scala 343:30] - node _T_486 = bits(ifu_bus_rdata_ff, 43, 43) @[el2_lib.scala 344:36] - _T_355[17] <= _T_486 @[el2_lib.scala 344:30] - node _T_487 = bits(ifu_bus_rdata_ff, 44, 44) @[el2_lib.scala 339:36] - _T_350[24] <= _T_487 @[el2_lib.scala 339:30] - node _T_488 = bits(ifu_bus_rdata_ff, 44, 44) @[el2_lib.scala 340:36] - _T_351[24] <= _T_488 @[el2_lib.scala 340:30] - node _T_489 = bits(ifu_bus_rdata_ff, 44, 44) @[el2_lib.scala 343:36] - _T_354[18] <= _T_489 @[el2_lib.scala 343:30] - node _T_490 = bits(ifu_bus_rdata_ff, 44, 44) @[el2_lib.scala 344:36] - _T_355[18] <= _T_490 @[el2_lib.scala 344:30] - node _T_491 = bits(ifu_bus_rdata_ff, 45, 45) @[el2_lib.scala 341:36] - _T_352[23] <= _T_491 @[el2_lib.scala 341:30] - node _T_492 = bits(ifu_bus_rdata_ff, 45, 45) @[el2_lib.scala 343:36] - _T_354[19] <= _T_492 @[el2_lib.scala 343:30] - node _T_493 = bits(ifu_bus_rdata_ff, 45, 45) @[el2_lib.scala 344:36] - _T_355[19] <= _T_493 @[el2_lib.scala 344:30] - node _T_494 = bits(ifu_bus_rdata_ff, 46, 46) @[el2_lib.scala 339:36] - _T_350[25] <= _T_494 @[el2_lib.scala 339:30] - node _T_495 = bits(ifu_bus_rdata_ff, 46, 46) @[el2_lib.scala 341:36] - _T_352[24] <= _T_495 @[el2_lib.scala 341:30] - node _T_496 = bits(ifu_bus_rdata_ff, 46, 46) @[el2_lib.scala 343:36] - _T_354[20] <= _T_496 @[el2_lib.scala 343:30] - node _T_497 = bits(ifu_bus_rdata_ff, 46, 46) @[el2_lib.scala 344:36] - _T_355[20] <= _T_497 @[el2_lib.scala 344:30] - node _T_498 = bits(ifu_bus_rdata_ff, 47, 47) @[el2_lib.scala 340:36] - _T_351[25] <= _T_498 @[el2_lib.scala 340:30] - node _T_499 = bits(ifu_bus_rdata_ff, 47, 47) @[el2_lib.scala 341:36] - _T_352[25] <= _T_499 @[el2_lib.scala 341:30] - node _T_500 = bits(ifu_bus_rdata_ff, 47, 47) @[el2_lib.scala 343:36] - _T_354[21] <= _T_500 @[el2_lib.scala 343:30] - node _T_501 = bits(ifu_bus_rdata_ff, 47, 47) @[el2_lib.scala 344:36] - _T_355[21] <= _T_501 @[el2_lib.scala 344:30] - node _T_502 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 339:36] - _T_350[26] <= _T_502 @[el2_lib.scala 339:30] - node _T_503 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 340:36] - _T_351[26] <= _T_503 @[el2_lib.scala 340:30] - node _T_504 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 341:36] - _T_352[26] <= _T_504 @[el2_lib.scala 341:30] - node _T_505 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 343:36] - _T_354[22] <= _T_505 @[el2_lib.scala 343:30] - node _T_506 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 344:36] - _T_355[22] <= _T_506 @[el2_lib.scala 344:30] - node _T_507 = bits(ifu_bus_rdata_ff, 49, 49) @[el2_lib.scala 342:36] - _T_353[23] <= _T_507 @[el2_lib.scala 342:30] - node _T_508 = bits(ifu_bus_rdata_ff, 49, 49) @[el2_lib.scala 343:36] - _T_354[23] <= _T_508 @[el2_lib.scala 343:30] - node _T_509 = bits(ifu_bus_rdata_ff, 49, 49) @[el2_lib.scala 344:36] - _T_355[23] <= _T_509 @[el2_lib.scala 344:30] - node _T_510 = bits(ifu_bus_rdata_ff, 50, 50) @[el2_lib.scala 339:36] - _T_350[27] <= _T_510 @[el2_lib.scala 339:30] - node _T_511 = bits(ifu_bus_rdata_ff, 50, 50) @[el2_lib.scala 342:36] - _T_353[24] <= _T_511 @[el2_lib.scala 342:30] - node _T_512 = bits(ifu_bus_rdata_ff, 50, 50) @[el2_lib.scala 343:36] - _T_354[24] <= _T_512 @[el2_lib.scala 343:30] - node _T_513 = bits(ifu_bus_rdata_ff, 50, 50) @[el2_lib.scala 344:36] - _T_355[24] <= _T_513 @[el2_lib.scala 344:30] - node _T_514 = bits(ifu_bus_rdata_ff, 51, 51) @[el2_lib.scala 340:36] - _T_351[27] <= _T_514 @[el2_lib.scala 340:30] - node _T_515 = bits(ifu_bus_rdata_ff, 51, 51) @[el2_lib.scala 342:36] - _T_353[25] <= _T_515 @[el2_lib.scala 342:30] - node _T_516 = bits(ifu_bus_rdata_ff, 51, 51) @[el2_lib.scala 343:36] - _T_354[25] <= _T_516 @[el2_lib.scala 343:30] - node _T_517 = bits(ifu_bus_rdata_ff, 51, 51) @[el2_lib.scala 344:36] - _T_355[25] <= _T_517 @[el2_lib.scala 344:30] - node _T_518 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 339:36] - _T_350[28] <= _T_518 @[el2_lib.scala 339:30] - node _T_519 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 340:36] - _T_351[28] <= _T_519 @[el2_lib.scala 340:30] - node _T_520 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 342:36] - _T_353[26] <= _T_520 @[el2_lib.scala 342:30] - node _T_521 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 343:36] - _T_354[26] <= _T_521 @[el2_lib.scala 343:30] - node _T_522 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 344:36] - _T_355[26] <= _T_522 @[el2_lib.scala 344:30] - node _T_523 = bits(ifu_bus_rdata_ff, 53, 53) @[el2_lib.scala 341:36] - _T_352[27] <= _T_523 @[el2_lib.scala 341:30] - node _T_524 = bits(ifu_bus_rdata_ff, 53, 53) @[el2_lib.scala 342:36] - _T_353[27] <= _T_524 @[el2_lib.scala 342:30] - node _T_525 = bits(ifu_bus_rdata_ff, 53, 53) @[el2_lib.scala 343:36] - _T_354[27] <= _T_525 @[el2_lib.scala 343:30] - node _T_526 = bits(ifu_bus_rdata_ff, 53, 53) @[el2_lib.scala 344:36] - _T_355[27] <= _T_526 @[el2_lib.scala 344:30] - node _T_527 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 339:36] - _T_350[29] <= _T_527 @[el2_lib.scala 339:30] - node _T_528 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 341:36] - _T_352[28] <= _T_528 @[el2_lib.scala 341:30] - node _T_529 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 342:36] - _T_353[28] <= _T_529 @[el2_lib.scala 342:30] - node _T_530 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 343:36] - _T_354[28] <= _T_530 @[el2_lib.scala 343:30] - node _T_531 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 344:36] - _T_355[28] <= _T_531 @[el2_lib.scala 344:30] - node _T_532 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 340:36] - _T_351[29] <= _T_532 @[el2_lib.scala 340:30] - node _T_533 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 341:36] - _T_352[29] <= _T_533 @[el2_lib.scala 341:30] - node _T_534 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 342:36] - _T_353[29] <= _T_534 @[el2_lib.scala 342:30] - node _T_535 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 343:36] - _T_354[29] <= _T_535 @[el2_lib.scala 343:30] - node _T_536 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 344:36] - _T_355[29] <= _T_536 @[el2_lib.scala 344:30] - node _T_537 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 339:36] - _T_350[30] <= _T_537 @[el2_lib.scala 339:30] - node _T_538 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 340:36] - _T_351[30] <= _T_538 @[el2_lib.scala 340:30] - node _T_539 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 341:36] - _T_352[30] <= _T_539 @[el2_lib.scala 341:30] - node _T_540 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 342:36] - _T_353[30] <= _T_540 @[el2_lib.scala 342:30] - node _T_541 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 343:36] - _T_354[30] <= _T_541 @[el2_lib.scala 343:30] - node _T_542 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 344:36] - _T_355[30] <= _T_542 @[el2_lib.scala 344:30] - node _T_543 = bits(ifu_bus_rdata_ff, 57, 57) @[el2_lib.scala 339:36] - _T_350[31] <= _T_543 @[el2_lib.scala 339:30] - node _T_544 = bits(ifu_bus_rdata_ff, 57, 57) @[el2_lib.scala 345:36] - _T_356[0] <= _T_544 @[el2_lib.scala 345:30] - node _T_545 = bits(ifu_bus_rdata_ff, 58, 58) @[el2_lib.scala 340:36] - _T_351[31] <= _T_545 @[el2_lib.scala 340:30] - node _T_546 = bits(ifu_bus_rdata_ff, 58, 58) @[el2_lib.scala 345:36] - _T_356[1] <= _T_546 @[el2_lib.scala 345:30] - node _T_547 = bits(ifu_bus_rdata_ff, 59, 59) @[el2_lib.scala 339:36] - _T_350[32] <= _T_547 @[el2_lib.scala 339:30] - node _T_548 = bits(ifu_bus_rdata_ff, 59, 59) @[el2_lib.scala 340:36] - _T_351[32] <= _T_548 @[el2_lib.scala 340:30] - node _T_549 = bits(ifu_bus_rdata_ff, 59, 59) @[el2_lib.scala 345:36] - _T_356[2] <= _T_549 @[el2_lib.scala 345:30] - node _T_550 = bits(ifu_bus_rdata_ff, 60, 60) @[el2_lib.scala 341:36] - _T_352[31] <= _T_550 @[el2_lib.scala 341:30] - node _T_551 = bits(ifu_bus_rdata_ff, 60, 60) @[el2_lib.scala 345:36] - _T_356[3] <= _T_551 @[el2_lib.scala 345:30] - node _T_552 = bits(ifu_bus_rdata_ff, 61, 61) @[el2_lib.scala 339:36] - _T_350[33] <= _T_552 @[el2_lib.scala 339:30] - node _T_553 = bits(ifu_bus_rdata_ff, 61, 61) @[el2_lib.scala 341:36] - _T_352[32] <= _T_553 @[el2_lib.scala 341:30] - node _T_554 = bits(ifu_bus_rdata_ff, 61, 61) @[el2_lib.scala 345:36] - _T_356[4] <= _T_554 @[el2_lib.scala 345:30] - node _T_555 = bits(ifu_bus_rdata_ff, 62, 62) @[el2_lib.scala 340:36] - _T_351[33] <= _T_555 @[el2_lib.scala 340:30] - node _T_556 = bits(ifu_bus_rdata_ff, 62, 62) @[el2_lib.scala 341:36] - _T_352[33] <= _T_556 @[el2_lib.scala 341:30] - node _T_557 = bits(ifu_bus_rdata_ff, 62, 62) @[el2_lib.scala 345:36] - _T_356[5] <= _T_557 @[el2_lib.scala 345:30] - node _T_558 = bits(ifu_bus_rdata_ff, 63, 63) @[el2_lib.scala 339:36] - _T_350[34] <= _T_558 @[el2_lib.scala 339:30] - node _T_559 = bits(ifu_bus_rdata_ff, 63, 63) @[el2_lib.scala 340:36] - _T_351[34] <= _T_559 @[el2_lib.scala 340:30] - node _T_560 = bits(ifu_bus_rdata_ff, 63, 63) @[el2_lib.scala 341:36] - _T_352[34] <= _T_560 @[el2_lib.scala 341:30] - node _T_561 = bits(ifu_bus_rdata_ff, 63, 63) @[el2_lib.scala 345:36] - _T_356[6] <= _T_561 @[el2_lib.scala 345:30] - node _T_562 = cat(_T_350[1], _T_350[0]) @[el2_lib.scala 347:27] - node _T_563 = cat(_T_350[3], _T_350[2]) @[el2_lib.scala 347:27] - node _T_564 = cat(_T_563, _T_562) @[el2_lib.scala 347:27] - node _T_565 = cat(_T_350[5], _T_350[4]) @[el2_lib.scala 347:27] - node _T_566 = cat(_T_350[7], _T_350[6]) @[el2_lib.scala 347:27] - node _T_567 = cat(_T_566, _T_565) @[el2_lib.scala 347:27] - node _T_568 = cat(_T_567, _T_564) @[el2_lib.scala 347:27] - node _T_569 = cat(_T_350[9], _T_350[8]) @[el2_lib.scala 347:27] - node _T_570 = cat(_T_350[11], _T_350[10]) @[el2_lib.scala 347:27] - node _T_571 = cat(_T_570, _T_569) @[el2_lib.scala 347:27] - node _T_572 = cat(_T_350[13], _T_350[12]) @[el2_lib.scala 347:27] - node _T_573 = cat(_T_350[16], _T_350[15]) @[el2_lib.scala 347:27] - node _T_574 = cat(_T_573, _T_350[14]) @[el2_lib.scala 347:27] - node _T_575 = cat(_T_574, _T_572) @[el2_lib.scala 347:27] - node _T_576 = cat(_T_575, _T_571) @[el2_lib.scala 347:27] - node _T_577 = cat(_T_576, _T_568) @[el2_lib.scala 347:27] - node _T_578 = cat(_T_350[18], _T_350[17]) @[el2_lib.scala 347:27] - node _T_579 = cat(_T_350[20], _T_350[19]) @[el2_lib.scala 347:27] - node _T_580 = cat(_T_579, _T_578) @[el2_lib.scala 347:27] - node _T_581 = cat(_T_350[22], _T_350[21]) @[el2_lib.scala 347:27] - node _T_582 = cat(_T_350[25], _T_350[24]) @[el2_lib.scala 347:27] - node _T_583 = cat(_T_582, _T_350[23]) @[el2_lib.scala 347:27] - node _T_584 = cat(_T_583, _T_581) @[el2_lib.scala 347:27] - node _T_585 = cat(_T_584, _T_580) @[el2_lib.scala 347:27] - node _T_586 = cat(_T_350[27], _T_350[26]) @[el2_lib.scala 347:27] - node _T_587 = cat(_T_350[29], _T_350[28]) @[el2_lib.scala 347:27] - node _T_588 = cat(_T_587, _T_586) @[el2_lib.scala 347:27] - node _T_589 = cat(_T_350[31], _T_350[30]) @[el2_lib.scala 347:27] - node _T_590 = cat(_T_350[34], _T_350[33]) @[el2_lib.scala 347:27] - node _T_591 = cat(_T_590, _T_350[32]) @[el2_lib.scala 347:27] - node _T_592 = cat(_T_591, _T_589) @[el2_lib.scala 347:27] - node _T_593 = cat(_T_592, _T_588) @[el2_lib.scala 347:27] - node _T_594 = cat(_T_593, _T_585) @[el2_lib.scala 347:27] - node _T_595 = cat(_T_594, _T_577) @[el2_lib.scala 347:27] - node _T_596 = xorr(_T_595) @[el2_lib.scala 347:34] - node _T_597 = cat(_T_351[1], _T_351[0]) @[el2_lib.scala 347:44] - node _T_598 = cat(_T_351[3], _T_351[2]) @[el2_lib.scala 347:44] - node _T_599 = cat(_T_598, _T_597) @[el2_lib.scala 347:44] - node _T_600 = cat(_T_351[5], _T_351[4]) @[el2_lib.scala 347:44] - node _T_601 = cat(_T_351[7], _T_351[6]) @[el2_lib.scala 347:44] - node _T_602 = cat(_T_601, _T_600) @[el2_lib.scala 347:44] - node _T_603 = cat(_T_602, _T_599) @[el2_lib.scala 347:44] - node _T_604 = cat(_T_351[9], _T_351[8]) @[el2_lib.scala 347:44] - node _T_605 = cat(_T_351[11], _T_351[10]) @[el2_lib.scala 347:44] - node _T_606 = cat(_T_605, _T_604) @[el2_lib.scala 347:44] - node _T_607 = cat(_T_351[13], _T_351[12]) @[el2_lib.scala 347:44] - node _T_608 = cat(_T_351[16], _T_351[15]) @[el2_lib.scala 347:44] - node _T_609 = cat(_T_608, _T_351[14]) @[el2_lib.scala 347:44] - node _T_610 = cat(_T_609, _T_607) @[el2_lib.scala 347:44] - node _T_611 = cat(_T_610, _T_606) @[el2_lib.scala 347:44] - node _T_612 = cat(_T_611, _T_603) @[el2_lib.scala 347:44] - node _T_613 = cat(_T_351[18], _T_351[17]) @[el2_lib.scala 347:44] - node _T_614 = cat(_T_351[20], _T_351[19]) @[el2_lib.scala 347:44] - node _T_615 = cat(_T_614, _T_613) @[el2_lib.scala 347:44] - node _T_616 = cat(_T_351[22], _T_351[21]) @[el2_lib.scala 347:44] - node _T_617 = cat(_T_351[25], _T_351[24]) @[el2_lib.scala 347:44] - node _T_618 = cat(_T_617, _T_351[23]) @[el2_lib.scala 347:44] - node _T_619 = cat(_T_618, _T_616) @[el2_lib.scala 347:44] - node _T_620 = cat(_T_619, _T_615) @[el2_lib.scala 347:44] - node _T_621 = cat(_T_351[27], _T_351[26]) @[el2_lib.scala 347:44] - node _T_622 = cat(_T_351[29], _T_351[28]) @[el2_lib.scala 347:44] - node _T_623 = cat(_T_622, _T_621) @[el2_lib.scala 347:44] - node _T_624 = cat(_T_351[31], _T_351[30]) @[el2_lib.scala 347:44] - node _T_625 = cat(_T_351[34], _T_351[33]) @[el2_lib.scala 347:44] - node _T_626 = cat(_T_625, _T_351[32]) @[el2_lib.scala 347:44] - node _T_627 = cat(_T_626, _T_624) @[el2_lib.scala 347:44] - node _T_628 = cat(_T_627, _T_623) @[el2_lib.scala 347:44] - node _T_629 = cat(_T_628, _T_620) @[el2_lib.scala 347:44] - node _T_630 = cat(_T_629, _T_612) @[el2_lib.scala 347:44] - node _T_631 = xorr(_T_630) @[el2_lib.scala 347:51] - node _T_632 = cat(_T_352[1], _T_352[0]) @[el2_lib.scala 347:61] - node _T_633 = cat(_T_352[3], _T_352[2]) @[el2_lib.scala 347:61] - node _T_634 = cat(_T_633, _T_632) @[el2_lib.scala 347:61] - node _T_635 = cat(_T_352[5], _T_352[4]) @[el2_lib.scala 347:61] - node _T_636 = cat(_T_352[7], _T_352[6]) @[el2_lib.scala 347:61] - node _T_637 = cat(_T_636, _T_635) @[el2_lib.scala 347:61] - node _T_638 = cat(_T_637, _T_634) @[el2_lib.scala 347:61] - node _T_639 = cat(_T_352[9], _T_352[8]) @[el2_lib.scala 347:61] - node _T_640 = cat(_T_352[11], _T_352[10]) @[el2_lib.scala 347:61] - node _T_641 = cat(_T_640, _T_639) @[el2_lib.scala 347:61] - node _T_642 = cat(_T_352[13], _T_352[12]) @[el2_lib.scala 347:61] - node _T_643 = cat(_T_352[16], _T_352[15]) @[el2_lib.scala 347:61] - node _T_644 = cat(_T_643, _T_352[14]) @[el2_lib.scala 347:61] - node _T_645 = cat(_T_644, _T_642) @[el2_lib.scala 347:61] - node _T_646 = cat(_T_645, _T_641) @[el2_lib.scala 347:61] - node _T_647 = cat(_T_646, _T_638) @[el2_lib.scala 347:61] - node _T_648 = cat(_T_352[18], _T_352[17]) @[el2_lib.scala 347:61] - node _T_649 = cat(_T_352[20], _T_352[19]) @[el2_lib.scala 347:61] - node _T_650 = cat(_T_649, _T_648) @[el2_lib.scala 347:61] - node _T_651 = cat(_T_352[22], _T_352[21]) @[el2_lib.scala 347:61] - node _T_652 = cat(_T_352[25], _T_352[24]) @[el2_lib.scala 347:61] - node _T_653 = cat(_T_652, _T_352[23]) @[el2_lib.scala 347:61] - node _T_654 = cat(_T_653, _T_651) @[el2_lib.scala 347:61] - node _T_655 = cat(_T_654, _T_650) @[el2_lib.scala 347:61] - node _T_656 = cat(_T_352[27], _T_352[26]) @[el2_lib.scala 347:61] - node _T_657 = cat(_T_352[29], _T_352[28]) @[el2_lib.scala 347:61] - node _T_658 = cat(_T_657, _T_656) @[el2_lib.scala 347:61] - node _T_659 = cat(_T_352[31], _T_352[30]) @[el2_lib.scala 347:61] - node _T_660 = cat(_T_352[34], _T_352[33]) @[el2_lib.scala 347:61] - node _T_661 = cat(_T_660, _T_352[32]) @[el2_lib.scala 347:61] - node _T_662 = cat(_T_661, _T_659) @[el2_lib.scala 347:61] - node _T_663 = cat(_T_662, _T_658) @[el2_lib.scala 347:61] - node _T_664 = cat(_T_663, _T_655) @[el2_lib.scala 347:61] - node _T_665 = cat(_T_664, _T_647) @[el2_lib.scala 347:61] - node _T_666 = xorr(_T_665) @[el2_lib.scala 347:68] - node _T_667 = cat(_T_353[2], _T_353[1]) @[el2_lib.scala 347:78] - node _T_668 = cat(_T_667, _T_353[0]) @[el2_lib.scala 347:78] - node _T_669 = cat(_T_353[4], _T_353[3]) @[el2_lib.scala 347:78] - node _T_670 = cat(_T_353[6], _T_353[5]) @[el2_lib.scala 347:78] - node _T_671 = cat(_T_670, _T_669) @[el2_lib.scala 347:78] - node _T_672 = cat(_T_671, _T_668) @[el2_lib.scala 347:78] - node _T_673 = cat(_T_353[8], _T_353[7]) @[el2_lib.scala 347:78] - node _T_674 = cat(_T_353[10], _T_353[9]) @[el2_lib.scala 347:78] - node _T_675 = cat(_T_674, _T_673) @[el2_lib.scala 347:78] - node _T_676 = cat(_T_353[12], _T_353[11]) @[el2_lib.scala 347:78] - node _T_677 = cat(_T_353[14], _T_353[13]) @[el2_lib.scala 347:78] - node _T_678 = cat(_T_677, _T_676) @[el2_lib.scala 347:78] - node _T_679 = cat(_T_678, _T_675) @[el2_lib.scala 347:78] - node _T_680 = cat(_T_679, _T_672) @[el2_lib.scala 347:78] - node _T_681 = cat(_T_353[16], _T_353[15]) @[el2_lib.scala 347:78] - node _T_682 = cat(_T_353[18], _T_353[17]) @[el2_lib.scala 347:78] - node _T_683 = cat(_T_682, _T_681) @[el2_lib.scala 347:78] - node _T_684 = cat(_T_353[20], _T_353[19]) @[el2_lib.scala 347:78] - node _T_685 = cat(_T_353[22], _T_353[21]) @[el2_lib.scala 347:78] - node _T_686 = cat(_T_685, _T_684) @[el2_lib.scala 347:78] - node _T_687 = cat(_T_686, _T_683) @[el2_lib.scala 347:78] - node _T_688 = cat(_T_353[24], _T_353[23]) @[el2_lib.scala 347:78] - node _T_689 = cat(_T_353[26], _T_353[25]) @[el2_lib.scala 347:78] - node _T_690 = cat(_T_689, _T_688) @[el2_lib.scala 347:78] - node _T_691 = cat(_T_353[28], _T_353[27]) @[el2_lib.scala 347:78] - node _T_692 = cat(_T_353[30], _T_353[29]) @[el2_lib.scala 347:78] - node _T_693 = cat(_T_692, _T_691) @[el2_lib.scala 347:78] - node _T_694 = cat(_T_693, _T_690) @[el2_lib.scala 347:78] - node _T_695 = cat(_T_694, _T_687) @[el2_lib.scala 347:78] - node _T_696 = cat(_T_695, _T_680) @[el2_lib.scala 347:78] - node _T_697 = xorr(_T_696) @[el2_lib.scala 347:85] - node _T_698 = cat(_T_354[2], _T_354[1]) @[el2_lib.scala 347:95] - node _T_699 = cat(_T_698, _T_354[0]) @[el2_lib.scala 347:95] - node _T_700 = cat(_T_354[4], _T_354[3]) @[el2_lib.scala 347:95] - node _T_701 = cat(_T_354[6], _T_354[5]) @[el2_lib.scala 347:95] - node _T_702 = cat(_T_701, _T_700) @[el2_lib.scala 347:95] - node _T_703 = cat(_T_702, _T_699) @[el2_lib.scala 347:95] - node _T_704 = cat(_T_354[8], _T_354[7]) @[el2_lib.scala 347:95] - node _T_705 = cat(_T_354[10], _T_354[9]) @[el2_lib.scala 347:95] - node _T_706 = cat(_T_705, _T_704) @[el2_lib.scala 347:95] - node _T_707 = cat(_T_354[12], _T_354[11]) @[el2_lib.scala 347:95] - node _T_708 = cat(_T_354[14], _T_354[13]) @[el2_lib.scala 347:95] - node _T_709 = cat(_T_708, _T_707) @[el2_lib.scala 347:95] - node _T_710 = cat(_T_709, _T_706) @[el2_lib.scala 347:95] - node _T_711 = cat(_T_710, _T_703) @[el2_lib.scala 347:95] - node _T_712 = cat(_T_354[16], _T_354[15]) @[el2_lib.scala 347:95] - node _T_713 = cat(_T_354[18], _T_354[17]) @[el2_lib.scala 347:95] - node _T_714 = cat(_T_713, _T_712) @[el2_lib.scala 347:95] - node _T_715 = cat(_T_354[20], _T_354[19]) @[el2_lib.scala 347:95] - node _T_716 = cat(_T_354[22], _T_354[21]) @[el2_lib.scala 347:95] - node _T_717 = cat(_T_716, _T_715) @[el2_lib.scala 347:95] - node _T_718 = cat(_T_717, _T_714) @[el2_lib.scala 347:95] - node _T_719 = cat(_T_354[24], _T_354[23]) @[el2_lib.scala 347:95] - node _T_720 = cat(_T_354[26], _T_354[25]) @[el2_lib.scala 347:95] - node _T_721 = cat(_T_720, _T_719) @[el2_lib.scala 347:95] - node _T_722 = cat(_T_354[28], _T_354[27]) @[el2_lib.scala 347:95] - node _T_723 = cat(_T_354[30], _T_354[29]) @[el2_lib.scala 347:95] - node _T_724 = cat(_T_723, _T_722) @[el2_lib.scala 347:95] - node _T_725 = cat(_T_724, _T_721) @[el2_lib.scala 347:95] - node _T_726 = cat(_T_725, _T_718) @[el2_lib.scala 347:95] - node _T_727 = cat(_T_726, _T_711) @[el2_lib.scala 347:95] - node _T_728 = xorr(_T_727) @[el2_lib.scala 347:102] - node _T_729 = cat(_T_355[2], _T_355[1]) @[el2_lib.scala 347:112] - node _T_730 = cat(_T_729, _T_355[0]) @[el2_lib.scala 347:112] - node _T_731 = cat(_T_355[4], _T_355[3]) @[el2_lib.scala 347:112] - node _T_732 = cat(_T_355[6], _T_355[5]) @[el2_lib.scala 347:112] - node _T_733 = cat(_T_732, _T_731) @[el2_lib.scala 347:112] - node _T_734 = cat(_T_733, _T_730) @[el2_lib.scala 347:112] - node _T_735 = cat(_T_355[8], _T_355[7]) @[el2_lib.scala 347:112] - node _T_736 = cat(_T_355[10], _T_355[9]) @[el2_lib.scala 347:112] - node _T_737 = cat(_T_736, _T_735) @[el2_lib.scala 347:112] - node _T_738 = cat(_T_355[12], _T_355[11]) @[el2_lib.scala 347:112] - node _T_739 = cat(_T_355[14], _T_355[13]) @[el2_lib.scala 347:112] - node _T_740 = cat(_T_739, _T_738) @[el2_lib.scala 347:112] - node _T_741 = cat(_T_740, _T_737) @[el2_lib.scala 347:112] - node _T_742 = cat(_T_741, _T_734) @[el2_lib.scala 347:112] - node _T_743 = cat(_T_355[16], _T_355[15]) @[el2_lib.scala 347:112] - node _T_744 = cat(_T_355[18], _T_355[17]) @[el2_lib.scala 347:112] - node _T_745 = cat(_T_744, _T_743) @[el2_lib.scala 347:112] - node _T_746 = cat(_T_355[20], _T_355[19]) @[el2_lib.scala 347:112] - node _T_747 = cat(_T_355[22], _T_355[21]) @[el2_lib.scala 347:112] - node _T_748 = cat(_T_747, _T_746) @[el2_lib.scala 347:112] - node _T_749 = cat(_T_748, _T_745) @[el2_lib.scala 347:112] - node _T_750 = cat(_T_355[24], _T_355[23]) @[el2_lib.scala 347:112] - node _T_751 = cat(_T_355[26], _T_355[25]) @[el2_lib.scala 347:112] - node _T_752 = cat(_T_751, _T_750) @[el2_lib.scala 347:112] - node _T_753 = cat(_T_355[28], _T_355[27]) @[el2_lib.scala 347:112] - node _T_754 = cat(_T_355[30], _T_355[29]) @[el2_lib.scala 347:112] - node _T_755 = cat(_T_754, _T_753) @[el2_lib.scala 347:112] - node _T_756 = cat(_T_755, _T_752) @[el2_lib.scala 347:112] - node _T_757 = cat(_T_756, _T_749) @[el2_lib.scala 347:112] - node _T_758 = cat(_T_757, _T_742) @[el2_lib.scala 347:112] - node _T_759 = xorr(_T_758) @[el2_lib.scala 347:119] - node _T_760 = cat(_T_356[2], _T_356[1]) @[el2_lib.scala 347:129] - node _T_761 = cat(_T_760, _T_356[0]) @[el2_lib.scala 347:129] - node _T_762 = cat(_T_356[4], _T_356[3]) @[el2_lib.scala 347:129] - node _T_763 = cat(_T_356[6], _T_356[5]) @[el2_lib.scala 347:129] - node _T_764 = cat(_T_763, _T_762) @[el2_lib.scala 347:129] - node _T_765 = cat(_T_764, _T_761) @[el2_lib.scala 347:129] - node _T_766 = xorr(_T_765) @[el2_lib.scala 347:136] + wire _T_350 : UInt<1>[35] @[el2_lib.scala 363:18] + wire _T_351 : UInt<1>[35] @[el2_lib.scala 364:18] + wire _T_352 : UInt<1>[35] @[el2_lib.scala 365:18] + wire _T_353 : UInt<1>[31] @[el2_lib.scala 366:18] + wire _T_354 : UInt<1>[31] @[el2_lib.scala 367:18] + wire _T_355 : UInt<1>[31] @[el2_lib.scala 368:18] + wire _T_356 : UInt<1>[7] @[el2_lib.scala 369:18] + node _T_357 = bits(ifu_bus_rdata_ff, 0, 0) @[el2_lib.scala 376:36] + _T_350[0] <= _T_357 @[el2_lib.scala 376:30] + node _T_358 = bits(ifu_bus_rdata_ff, 0, 0) @[el2_lib.scala 377:36] + _T_351[0] <= _T_358 @[el2_lib.scala 377:30] + node _T_359 = bits(ifu_bus_rdata_ff, 1, 1) @[el2_lib.scala 376:36] + _T_350[1] <= _T_359 @[el2_lib.scala 376:30] + node _T_360 = bits(ifu_bus_rdata_ff, 1, 1) @[el2_lib.scala 378:36] + _T_352[0] <= _T_360 @[el2_lib.scala 378:30] + node _T_361 = bits(ifu_bus_rdata_ff, 2, 2) @[el2_lib.scala 377:36] + _T_351[1] <= _T_361 @[el2_lib.scala 377:30] + node _T_362 = bits(ifu_bus_rdata_ff, 2, 2) @[el2_lib.scala 378:36] + _T_352[1] <= _T_362 @[el2_lib.scala 378:30] + node _T_363 = bits(ifu_bus_rdata_ff, 3, 3) @[el2_lib.scala 376:36] + _T_350[2] <= _T_363 @[el2_lib.scala 376:30] + node _T_364 = bits(ifu_bus_rdata_ff, 3, 3) @[el2_lib.scala 377:36] + _T_351[2] <= _T_364 @[el2_lib.scala 377:30] + node _T_365 = bits(ifu_bus_rdata_ff, 3, 3) @[el2_lib.scala 378:36] + _T_352[2] <= _T_365 @[el2_lib.scala 378:30] + node _T_366 = bits(ifu_bus_rdata_ff, 4, 4) @[el2_lib.scala 376:36] + _T_350[3] <= _T_366 @[el2_lib.scala 376:30] + node _T_367 = bits(ifu_bus_rdata_ff, 4, 4) @[el2_lib.scala 379:36] + _T_353[0] <= _T_367 @[el2_lib.scala 379:30] + node _T_368 = bits(ifu_bus_rdata_ff, 5, 5) @[el2_lib.scala 377:36] + _T_351[3] <= _T_368 @[el2_lib.scala 377:30] + node _T_369 = bits(ifu_bus_rdata_ff, 5, 5) @[el2_lib.scala 379:36] + _T_353[1] <= _T_369 @[el2_lib.scala 379:30] + node _T_370 = bits(ifu_bus_rdata_ff, 6, 6) @[el2_lib.scala 376:36] + _T_350[4] <= _T_370 @[el2_lib.scala 376:30] + node _T_371 = bits(ifu_bus_rdata_ff, 6, 6) @[el2_lib.scala 377:36] + _T_351[4] <= _T_371 @[el2_lib.scala 377:30] + node _T_372 = bits(ifu_bus_rdata_ff, 6, 6) @[el2_lib.scala 379:36] + _T_353[2] <= _T_372 @[el2_lib.scala 379:30] + node _T_373 = bits(ifu_bus_rdata_ff, 7, 7) @[el2_lib.scala 378:36] + _T_352[3] <= _T_373 @[el2_lib.scala 378:30] + node _T_374 = bits(ifu_bus_rdata_ff, 7, 7) @[el2_lib.scala 379:36] + _T_353[3] <= _T_374 @[el2_lib.scala 379:30] + node _T_375 = bits(ifu_bus_rdata_ff, 8, 8) @[el2_lib.scala 376:36] + _T_350[5] <= _T_375 @[el2_lib.scala 376:30] + node _T_376 = bits(ifu_bus_rdata_ff, 8, 8) @[el2_lib.scala 378:36] + _T_352[4] <= _T_376 @[el2_lib.scala 378:30] + node _T_377 = bits(ifu_bus_rdata_ff, 8, 8) @[el2_lib.scala 379:36] + _T_353[4] <= _T_377 @[el2_lib.scala 379:30] + node _T_378 = bits(ifu_bus_rdata_ff, 9, 9) @[el2_lib.scala 377:36] + _T_351[5] <= _T_378 @[el2_lib.scala 377:30] + node _T_379 = bits(ifu_bus_rdata_ff, 9, 9) @[el2_lib.scala 378:36] + _T_352[5] <= _T_379 @[el2_lib.scala 378:30] + node _T_380 = bits(ifu_bus_rdata_ff, 9, 9) @[el2_lib.scala 379:36] + _T_353[5] <= _T_380 @[el2_lib.scala 379:30] + node _T_381 = bits(ifu_bus_rdata_ff, 10, 10) @[el2_lib.scala 376:36] + _T_350[6] <= _T_381 @[el2_lib.scala 376:30] + node _T_382 = bits(ifu_bus_rdata_ff, 10, 10) @[el2_lib.scala 377:36] + _T_351[6] <= _T_382 @[el2_lib.scala 377:30] + node _T_383 = bits(ifu_bus_rdata_ff, 10, 10) @[el2_lib.scala 378:36] + _T_352[6] <= _T_383 @[el2_lib.scala 378:30] + node _T_384 = bits(ifu_bus_rdata_ff, 10, 10) @[el2_lib.scala 379:36] + _T_353[6] <= _T_384 @[el2_lib.scala 379:30] + node _T_385 = bits(ifu_bus_rdata_ff, 11, 11) @[el2_lib.scala 376:36] + _T_350[7] <= _T_385 @[el2_lib.scala 376:30] + node _T_386 = bits(ifu_bus_rdata_ff, 11, 11) @[el2_lib.scala 380:36] + _T_354[0] <= _T_386 @[el2_lib.scala 380:30] + node _T_387 = bits(ifu_bus_rdata_ff, 12, 12) @[el2_lib.scala 377:36] + _T_351[7] <= _T_387 @[el2_lib.scala 377:30] + node _T_388 = bits(ifu_bus_rdata_ff, 12, 12) @[el2_lib.scala 380:36] + _T_354[1] <= _T_388 @[el2_lib.scala 380:30] + node _T_389 = bits(ifu_bus_rdata_ff, 13, 13) @[el2_lib.scala 376:36] + _T_350[8] <= _T_389 @[el2_lib.scala 376:30] + node _T_390 = bits(ifu_bus_rdata_ff, 13, 13) @[el2_lib.scala 377:36] + _T_351[8] <= _T_390 @[el2_lib.scala 377:30] + node _T_391 = bits(ifu_bus_rdata_ff, 13, 13) @[el2_lib.scala 380:36] + _T_354[2] <= _T_391 @[el2_lib.scala 380:30] + node _T_392 = bits(ifu_bus_rdata_ff, 14, 14) @[el2_lib.scala 378:36] + _T_352[7] <= _T_392 @[el2_lib.scala 378:30] + node _T_393 = bits(ifu_bus_rdata_ff, 14, 14) @[el2_lib.scala 380:36] + _T_354[3] <= _T_393 @[el2_lib.scala 380:30] + node _T_394 = bits(ifu_bus_rdata_ff, 15, 15) @[el2_lib.scala 376:36] + _T_350[9] <= _T_394 @[el2_lib.scala 376:30] + node _T_395 = bits(ifu_bus_rdata_ff, 15, 15) @[el2_lib.scala 378:36] + _T_352[8] <= _T_395 @[el2_lib.scala 378:30] + node _T_396 = bits(ifu_bus_rdata_ff, 15, 15) @[el2_lib.scala 380:36] + _T_354[4] <= _T_396 @[el2_lib.scala 380:30] + node _T_397 = bits(ifu_bus_rdata_ff, 16, 16) @[el2_lib.scala 377:36] + _T_351[9] <= _T_397 @[el2_lib.scala 377:30] + node _T_398 = bits(ifu_bus_rdata_ff, 16, 16) @[el2_lib.scala 378:36] + _T_352[9] <= _T_398 @[el2_lib.scala 378:30] + node _T_399 = bits(ifu_bus_rdata_ff, 16, 16) @[el2_lib.scala 380:36] + _T_354[5] <= _T_399 @[el2_lib.scala 380:30] + node _T_400 = bits(ifu_bus_rdata_ff, 17, 17) @[el2_lib.scala 376:36] + _T_350[10] <= _T_400 @[el2_lib.scala 376:30] + node _T_401 = bits(ifu_bus_rdata_ff, 17, 17) @[el2_lib.scala 377:36] + _T_351[10] <= _T_401 @[el2_lib.scala 377:30] + node _T_402 = bits(ifu_bus_rdata_ff, 17, 17) @[el2_lib.scala 378:36] + _T_352[10] <= _T_402 @[el2_lib.scala 378:30] + node _T_403 = bits(ifu_bus_rdata_ff, 17, 17) @[el2_lib.scala 380:36] + _T_354[6] <= _T_403 @[el2_lib.scala 380:30] + node _T_404 = bits(ifu_bus_rdata_ff, 18, 18) @[el2_lib.scala 379:36] + _T_353[7] <= _T_404 @[el2_lib.scala 379:30] + node _T_405 = bits(ifu_bus_rdata_ff, 18, 18) @[el2_lib.scala 380:36] + _T_354[7] <= _T_405 @[el2_lib.scala 380:30] + node _T_406 = bits(ifu_bus_rdata_ff, 19, 19) @[el2_lib.scala 376:36] + _T_350[11] <= _T_406 @[el2_lib.scala 376:30] + node _T_407 = bits(ifu_bus_rdata_ff, 19, 19) @[el2_lib.scala 379:36] + _T_353[8] <= _T_407 @[el2_lib.scala 379:30] + node _T_408 = bits(ifu_bus_rdata_ff, 19, 19) @[el2_lib.scala 380:36] + _T_354[8] <= _T_408 @[el2_lib.scala 380:30] + node _T_409 = bits(ifu_bus_rdata_ff, 20, 20) @[el2_lib.scala 377:36] + _T_351[11] <= _T_409 @[el2_lib.scala 377:30] + node _T_410 = bits(ifu_bus_rdata_ff, 20, 20) @[el2_lib.scala 379:36] + _T_353[9] <= _T_410 @[el2_lib.scala 379:30] + node _T_411 = bits(ifu_bus_rdata_ff, 20, 20) @[el2_lib.scala 380:36] + _T_354[9] <= _T_411 @[el2_lib.scala 380:30] + node _T_412 = bits(ifu_bus_rdata_ff, 21, 21) @[el2_lib.scala 376:36] + _T_350[12] <= _T_412 @[el2_lib.scala 376:30] + node _T_413 = bits(ifu_bus_rdata_ff, 21, 21) @[el2_lib.scala 377:36] + _T_351[12] <= _T_413 @[el2_lib.scala 377:30] + node _T_414 = bits(ifu_bus_rdata_ff, 21, 21) @[el2_lib.scala 379:36] + _T_353[10] <= _T_414 @[el2_lib.scala 379:30] + node _T_415 = bits(ifu_bus_rdata_ff, 21, 21) @[el2_lib.scala 380:36] + _T_354[10] <= _T_415 @[el2_lib.scala 380:30] + node _T_416 = bits(ifu_bus_rdata_ff, 22, 22) @[el2_lib.scala 378:36] + _T_352[11] <= _T_416 @[el2_lib.scala 378:30] + node _T_417 = bits(ifu_bus_rdata_ff, 22, 22) @[el2_lib.scala 379:36] + _T_353[11] <= _T_417 @[el2_lib.scala 379:30] + node _T_418 = bits(ifu_bus_rdata_ff, 22, 22) @[el2_lib.scala 380:36] + _T_354[11] <= _T_418 @[el2_lib.scala 380:30] + node _T_419 = bits(ifu_bus_rdata_ff, 23, 23) @[el2_lib.scala 376:36] + _T_350[13] <= _T_419 @[el2_lib.scala 376:30] + node _T_420 = bits(ifu_bus_rdata_ff, 23, 23) @[el2_lib.scala 378:36] + _T_352[12] <= _T_420 @[el2_lib.scala 378:30] + node _T_421 = bits(ifu_bus_rdata_ff, 23, 23) @[el2_lib.scala 379:36] + _T_353[12] <= _T_421 @[el2_lib.scala 379:30] + node _T_422 = bits(ifu_bus_rdata_ff, 23, 23) @[el2_lib.scala 380:36] + _T_354[12] <= _T_422 @[el2_lib.scala 380:30] + node _T_423 = bits(ifu_bus_rdata_ff, 24, 24) @[el2_lib.scala 377:36] + _T_351[13] <= _T_423 @[el2_lib.scala 377:30] + node _T_424 = bits(ifu_bus_rdata_ff, 24, 24) @[el2_lib.scala 378:36] + _T_352[13] <= _T_424 @[el2_lib.scala 378:30] + node _T_425 = bits(ifu_bus_rdata_ff, 24, 24) @[el2_lib.scala 379:36] + _T_353[13] <= _T_425 @[el2_lib.scala 379:30] + node _T_426 = bits(ifu_bus_rdata_ff, 24, 24) @[el2_lib.scala 380:36] + _T_354[13] <= _T_426 @[el2_lib.scala 380:30] + node _T_427 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 376:36] + _T_350[14] <= _T_427 @[el2_lib.scala 376:30] + node _T_428 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 377:36] + _T_351[14] <= _T_428 @[el2_lib.scala 377:30] + node _T_429 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 378:36] + _T_352[14] <= _T_429 @[el2_lib.scala 378:30] + node _T_430 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 379:36] + _T_353[14] <= _T_430 @[el2_lib.scala 379:30] + node _T_431 = bits(ifu_bus_rdata_ff, 25, 25) @[el2_lib.scala 380:36] + _T_354[14] <= _T_431 @[el2_lib.scala 380:30] + node _T_432 = bits(ifu_bus_rdata_ff, 26, 26) @[el2_lib.scala 376:36] + _T_350[15] <= _T_432 @[el2_lib.scala 376:30] + node _T_433 = bits(ifu_bus_rdata_ff, 26, 26) @[el2_lib.scala 381:36] + _T_355[0] <= _T_433 @[el2_lib.scala 381:30] + node _T_434 = bits(ifu_bus_rdata_ff, 27, 27) @[el2_lib.scala 377:36] + _T_351[15] <= _T_434 @[el2_lib.scala 377:30] + node _T_435 = bits(ifu_bus_rdata_ff, 27, 27) @[el2_lib.scala 381:36] + _T_355[1] <= _T_435 @[el2_lib.scala 381:30] + node _T_436 = bits(ifu_bus_rdata_ff, 28, 28) @[el2_lib.scala 376:36] + _T_350[16] <= _T_436 @[el2_lib.scala 376:30] + node _T_437 = bits(ifu_bus_rdata_ff, 28, 28) @[el2_lib.scala 377:36] + _T_351[16] <= _T_437 @[el2_lib.scala 377:30] + node _T_438 = bits(ifu_bus_rdata_ff, 28, 28) @[el2_lib.scala 381:36] + _T_355[2] <= _T_438 @[el2_lib.scala 381:30] + node _T_439 = bits(ifu_bus_rdata_ff, 29, 29) @[el2_lib.scala 378:36] + _T_352[15] <= _T_439 @[el2_lib.scala 378:30] + node _T_440 = bits(ifu_bus_rdata_ff, 29, 29) @[el2_lib.scala 381:36] + _T_355[3] <= _T_440 @[el2_lib.scala 381:30] + node _T_441 = bits(ifu_bus_rdata_ff, 30, 30) @[el2_lib.scala 376:36] + _T_350[17] <= _T_441 @[el2_lib.scala 376:30] + node _T_442 = bits(ifu_bus_rdata_ff, 30, 30) @[el2_lib.scala 378:36] + _T_352[16] <= _T_442 @[el2_lib.scala 378:30] + node _T_443 = bits(ifu_bus_rdata_ff, 30, 30) @[el2_lib.scala 381:36] + _T_355[4] <= _T_443 @[el2_lib.scala 381:30] + node _T_444 = bits(ifu_bus_rdata_ff, 31, 31) @[el2_lib.scala 377:36] + _T_351[17] <= _T_444 @[el2_lib.scala 377:30] + node _T_445 = bits(ifu_bus_rdata_ff, 31, 31) @[el2_lib.scala 378:36] + _T_352[17] <= _T_445 @[el2_lib.scala 378:30] + node _T_446 = bits(ifu_bus_rdata_ff, 31, 31) @[el2_lib.scala 381:36] + _T_355[5] <= _T_446 @[el2_lib.scala 381:30] + node _T_447 = bits(ifu_bus_rdata_ff, 32, 32) @[el2_lib.scala 376:36] + _T_350[18] <= _T_447 @[el2_lib.scala 376:30] + node _T_448 = bits(ifu_bus_rdata_ff, 32, 32) @[el2_lib.scala 377:36] + _T_351[18] <= _T_448 @[el2_lib.scala 377:30] + node _T_449 = bits(ifu_bus_rdata_ff, 32, 32) @[el2_lib.scala 378:36] + _T_352[18] <= _T_449 @[el2_lib.scala 378:30] + node _T_450 = bits(ifu_bus_rdata_ff, 32, 32) @[el2_lib.scala 381:36] + _T_355[6] <= _T_450 @[el2_lib.scala 381:30] + node _T_451 = bits(ifu_bus_rdata_ff, 33, 33) @[el2_lib.scala 379:36] + _T_353[15] <= _T_451 @[el2_lib.scala 379:30] + node _T_452 = bits(ifu_bus_rdata_ff, 33, 33) @[el2_lib.scala 381:36] + _T_355[7] <= _T_452 @[el2_lib.scala 381:30] + node _T_453 = bits(ifu_bus_rdata_ff, 34, 34) @[el2_lib.scala 376:36] + _T_350[19] <= _T_453 @[el2_lib.scala 376:30] + node _T_454 = bits(ifu_bus_rdata_ff, 34, 34) @[el2_lib.scala 379:36] + _T_353[16] <= _T_454 @[el2_lib.scala 379:30] + node _T_455 = bits(ifu_bus_rdata_ff, 34, 34) @[el2_lib.scala 381:36] + _T_355[8] <= _T_455 @[el2_lib.scala 381:30] + node _T_456 = bits(ifu_bus_rdata_ff, 35, 35) @[el2_lib.scala 377:36] + _T_351[19] <= _T_456 @[el2_lib.scala 377:30] + node _T_457 = bits(ifu_bus_rdata_ff, 35, 35) @[el2_lib.scala 379:36] + _T_353[17] <= _T_457 @[el2_lib.scala 379:30] + node _T_458 = bits(ifu_bus_rdata_ff, 35, 35) @[el2_lib.scala 381:36] + _T_355[9] <= _T_458 @[el2_lib.scala 381:30] + node _T_459 = bits(ifu_bus_rdata_ff, 36, 36) @[el2_lib.scala 376:36] + _T_350[20] <= _T_459 @[el2_lib.scala 376:30] + node _T_460 = bits(ifu_bus_rdata_ff, 36, 36) @[el2_lib.scala 377:36] + _T_351[20] <= _T_460 @[el2_lib.scala 377:30] + node _T_461 = bits(ifu_bus_rdata_ff, 36, 36) @[el2_lib.scala 379:36] + _T_353[18] <= _T_461 @[el2_lib.scala 379:30] + node _T_462 = bits(ifu_bus_rdata_ff, 36, 36) @[el2_lib.scala 381:36] + _T_355[10] <= _T_462 @[el2_lib.scala 381:30] + node _T_463 = bits(ifu_bus_rdata_ff, 37, 37) @[el2_lib.scala 378:36] + _T_352[19] <= _T_463 @[el2_lib.scala 378:30] + node _T_464 = bits(ifu_bus_rdata_ff, 37, 37) @[el2_lib.scala 379:36] + _T_353[19] <= _T_464 @[el2_lib.scala 379:30] + node _T_465 = bits(ifu_bus_rdata_ff, 37, 37) @[el2_lib.scala 381:36] + _T_355[11] <= _T_465 @[el2_lib.scala 381:30] + node _T_466 = bits(ifu_bus_rdata_ff, 38, 38) @[el2_lib.scala 376:36] + _T_350[21] <= _T_466 @[el2_lib.scala 376:30] + node _T_467 = bits(ifu_bus_rdata_ff, 38, 38) @[el2_lib.scala 378:36] + _T_352[20] <= _T_467 @[el2_lib.scala 378:30] + node _T_468 = bits(ifu_bus_rdata_ff, 38, 38) @[el2_lib.scala 379:36] + _T_353[20] <= _T_468 @[el2_lib.scala 379:30] + node _T_469 = bits(ifu_bus_rdata_ff, 38, 38) @[el2_lib.scala 381:36] + _T_355[12] <= _T_469 @[el2_lib.scala 381:30] + node _T_470 = bits(ifu_bus_rdata_ff, 39, 39) @[el2_lib.scala 377:36] + _T_351[21] <= _T_470 @[el2_lib.scala 377:30] + node _T_471 = bits(ifu_bus_rdata_ff, 39, 39) @[el2_lib.scala 378:36] + _T_352[21] <= _T_471 @[el2_lib.scala 378:30] + node _T_472 = bits(ifu_bus_rdata_ff, 39, 39) @[el2_lib.scala 379:36] + _T_353[21] <= _T_472 @[el2_lib.scala 379:30] + node _T_473 = bits(ifu_bus_rdata_ff, 39, 39) @[el2_lib.scala 381:36] + _T_355[13] <= _T_473 @[el2_lib.scala 381:30] + node _T_474 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 376:36] + _T_350[22] <= _T_474 @[el2_lib.scala 376:30] + node _T_475 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 377:36] + _T_351[22] <= _T_475 @[el2_lib.scala 377:30] + node _T_476 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 378:36] + _T_352[22] <= _T_476 @[el2_lib.scala 378:30] + node _T_477 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 379:36] + _T_353[22] <= _T_477 @[el2_lib.scala 379:30] + node _T_478 = bits(ifu_bus_rdata_ff, 40, 40) @[el2_lib.scala 381:36] + _T_355[14] <= _T_478 @[el2_lib.scala 381:30] + node _T_479 = bits(ifu_bus_rdata_ff, 41, 41) @[el2_lib.scala 380:36] + _T_354[15] <= _T_479 @[el2_lib.scala 380:30] + node _T_480 = bits(ifu_bus_rdata_ff, 41, 41) @[el2_lib.scala 381:36] + _T_355[15] <= _T_480 @[el2_lib.scala 381:30] + node _T_481 = bits(ifu_bus_rdata_ff, 42, 42) @[el2_lib.scala 376:36] + _T_350[23] <= _T_481 @[el2_lib.scala 376:30] + node _T_482 = bits(ifu_bus_rdata_ff, 42, 42) @[el2_lib.scala 380:36] + _T_354[16] <= _T_482 @[el2_lib.scala 380:30] + node _T_483 = bits(ifu_bus_rdata_ff, 42, 42) @[el2_lib.scala 381:36] + _T_355[16] <= _T_483 @[el2_lib.scala 381:30] + node _T_484 = bits(ifu_bus_rdata_ff, 43, 43) @[el2_lib.scala 377:36] + _T_351[23] <= _T_484 @[el2_lib.scala 377:30] + node _T_485 = bits(ifu_bus_rdata_ff, 43, 43) @[el2_lib.scala 380:36] + _T_354[17] <= _T_485 @[el2_lib.scala 380:30] + node _T_486 = bits(ifu_bus_rdata_ff, 43, 43) @[el2_lib.scala 381:36] + _T_355[17] <= _T_486 @[el2_lib.scala 381:30] + node _T_487 = bits(ifu_bus_rdata_ff, 44, 44) @[el2_lib.scala 376:36] + _T_350[24] <= _T_487 @[el2_lib.scala 376:30] + node _T_488 = bits(ifu_bus_rdata_ff, 44, 44) @[el2_lib.scala 377:36] + _T_351[24] <= _T_488 @[el2_lib.scala 377:30] + node _T_489 = bits(ifu_bus_rdata_ff, 44, 44) @[el2_lib.scala 380:36] + _T_354[18] <= _T_489 @[el2_lib.scala 380:30] + node _T_490 = bits(ifu_bus_rdata_ff, 44, 44) @[el2_lib.scala 381:36] + _T_355[18] <= _T_490 @[el2_lib.scala 381:30] + node _T_491 = bits(ifu_bus_rdata_ff, 45, 45) @[el2_lib.scala 378:36] + _T_352[23] <= _T_491 @[el2_lib.scala 378:30] + node _T_492 = bits(ifu_bus_rdata_ff, 45, 45) @[el2_lib.scala 380:36] + _T_354[19] <= _T_492 @[el2_lib.scala 380:30] + node _T_493 = bits(ifu_bus_rdata_ff, 45, 45) @[el2_lib.scala 381:36] + _T_355[19] <= _T_493 @[el2_lib.scala 381:30] + node _T_494 = bits(ifu_bus_rdata_ff, 46, 46) @[el2_lib.scala 376:36] + _T_350[25] <= _T_494 @[el2_lib.scala 376:30] + node _T_495 = bits(ifu_bus_rdata_ff, 46, 46) @[el2_lib.scala 378:36] + _T_352[24] <= _T_495 @[el2_lib.scala 378:30] + node _T_496 = bits(ifu_bus_rdata_ff, 46, 46) @[el2_lib.scala 380:36] + _T_354[20] <= _T_496 @[el2_lib.scala 380:30] + node _T_497 = bits(ifu_bus_rdata_ff, 46, 46) @[el2_lib.scala 381:36] + _T_355[20] <= _T_497 @[el2_lib.scala 381:30] + node _T_498 = bits(ifu_bus_rdata_ff, 47, 47) @[el2_lib.scala 377:36] + _T_351[25] <= _T_498 @[el2_lib.scala 377:30] + node _T_499 = bits(ifu_bus_rdata_ff, 47, 47) @[el2_lib.scala 378:36] + _T_352[25] <= _T_499 @[el2_lib.scala 378:30] + node _T_500 = bits(ifu_bus_rdata_ff, 47, 47) @[el2_lib.scala 380:36] + _T_354[21] <= _T_500 @[el2_lib.scala 380:30] + node _T_501 = bits(ifu_bus_rdata_ff, 47, 47) @[el2_lib.scala 381:36] + _T_355[21] <= _T_501 @[el2_lib.scala 381:30] + node _T_502 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 376:36] + _T_350[26] <= _T_502 @[el2_lib.scala 376:30] + node _T_503 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 377:36] + _T_351[26] <= _T_503 @[el2_lib.scala 377:30] + node _T_504 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 378:36] + _T_352[26] <= _T_504 @[el2_lib.scala 378:30] + node _T_505 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 380:36] + _T_354[22] <= _T_505 @[el2_lib.scala 380:30] + node _T_506 = bits(ifu_bus_rdata_ff, 48, 48) @[el2_lib.scala 381:36] + _T_355[22] <= _T_506 @[el2_lib.scala 381:30] + node _T_507 = bits(ifu_bus_rdata_ff, 49, 49) @[el2_lib.scala 379:36] + _T_353[23] <= _T_507 @[el2_lib.scala 379:30] + node _T_508 = bits(ifu_bus_rdata_ff, 49, 49) @[el2_lib.scala 380:36] + _T_354[23] <= _T_508 @[el2_lib.scala 380:30] + node _T_509 = bits(ifu_bus_rdata_ff, 49, 49) @[el2_lib.scala 381:36] + _T_355[23] <= _T_509 @[el2_lib.scala 381:30] + node _T_510 = bits(ifu_bus_rdata_ff, 50, 50) @[el2_lib.scala 376:36] + _T_350[27] <= _T_510 @[el2_lib.scala 376:30] + node _T_511 = bits(ifu_bus_rdata_ff, 50, 50) @[el2_lib.scala 379:36] + _T_353[24] <= _T_511 @[el2_lib.scala 379:30] + node _T_512 = bits(ifu_bus_rdata_ff, 50, 50) @[el2_lib.scala 380:36] + _T_354[24] <= _T_512 @[el2_lib.scala 380:30] + node _T_513 = bits(ifu_bus_rdata_ff, 50, 50) @[el2_lib.scala 381:36] + _T_355[24] <= _T_513 @[el2_lib.scala 381:30] + node _T_514 = bits(ifu_bus_rdata_ff, 51, 51) @[el2_lib.scala 377:36] + _T_351[27] <= _T_514 @[el2_lib.scala 377:30] + node _T_515 = bits(ifu_bus_rdata_ff, 51, 51) @[el2_lib.scala 379:36] + _T_353[25] <= _T_515 @[el2_lib.scala 379:30] + node _T_516 = bits(ifu_bus_rdata_ff, 51, 51) @[el2_lib.scala 380:36] + _T_354[25] <= _T_516 @[el2_lib.scala 380:30] + node _T_517 = bits(ifu_bus_rdata_ff, 51, 51) @[el2_lib.scala 381:36] + _T_355[25] <= _T_517 @[el2_lib.scala 381:30] + node _T_518 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 376:36] + _T_350[28] <= _T_518 @[el2_lib.scala 376:30] + node _T_519 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 377:36] + _T_351[28] <= _T_519 @[el2_lib.scala 377:30] + node _T_520 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 379:36] + _T_353[26] <= _T_520 @[el2_lib.scala 379:30] + node _T_521 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 380:36] + _T_354[26] <= _T_521 @[el2_lib.scala 380:30] + node _T_522 = bits(ifu_bus_rdata_ff, 52, 52) @[el2_lib.scala 381:36] + _T_355[26] <= _T_522 @[el2_lib.scala 381:30] + node _T_523 = bits(ifu_bus_rdata_ff, 53, 53) @[el2_lib.scala 378:36] + _T_352[27] <= _T_523 @[el2_lib.scala 378:30] + node _T_524 = bits(ifu_bus_rdata_ff, 53, 53) @[el2_lib.scala 379:36] + _T_353[27] <= _T_524 @[el2_lib.scala 379:30] + node _T_525 = bits(ifu_bus_rdata_ff, 53, 53) @[el2_lib.scala 380:36] + _T_354[27] <= _T_525 @[el2_lib.scala 380:30] + node _T_526 = bits(ifu_bus_rdata_ff, 53, 53) @[el2_lib.scala 381:36] + _T_355[27] <= _T_526 @[el2_lib.scala 381:30] + node _T_527 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 376:36] + _T_350[29] <= _T_527 @[el2_lib.scala 376:30] + node _T_528 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 378:36] + _T_352[28] <= _T_528 @[el2_lib.scala 378:30] + node _T_529 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 379:36] + _T_353[28] <= _T_529 @[el2_lib.scala 379:30] + node _T_530 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 380:36] + _T_354[28] <= _T_530 @[el2_lib.scala 380:30] + node _T_531 = bits(ifu_bus_rdata_ff, 54, 54) @[el2_lib.scala 381:36] + _T_355[28] <= _T_531 @[el2_lib.scala 381:30] + node _T_532 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 377:36] + _T_351[29] <= _T_532 @[el2_lib.scala 377:30] + node _T_533 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 378:36] + _T_352[29] <= _T_533 @[el2_lib.scala 378:30] + node _T_534 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 379:36] + _T_353[29] <= _T_534 @[el2_lib.scala 379:30] + node _T_535 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 380:36] + _T_354[29] <= _T_535 @[el2_lib.scala 380:30] + node _T_536 = bits(ifu_bus_rdata_ff, 55, 55) @[el2_lib.scala 381:36] + _T_355[29] <= _T_536 @[el2_lib.scala 381:30] + node _T_537 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 376:36] + _T_350[30] <= _T_537 @[el2_lib.scala 376:30] + node _T_538 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 377:36] + _T_351[30] <= _T_538 @[el2_lib.scala 377:30] + node _T_539 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 378:36] + _T_352[30] <= _T_539 @[el2_lib.scala 378:30] + node _T_540 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 379:36] + _T_353[30] <= _T_540 @[el2_lib.scala 379:30] + node _T_541 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 380:36] + _T_354[30] <= _T_541 @[el2_lib.scala 380:30] + node _T_542 = bits(ifu_bus_rdata_ff, 56, 56) @[el2_lib.scala 381:36] + _T_355[30] <= _T_542 @[el2_lib.scala 381:30] + node _T_543 = bits(ifu_bus_rdata_ff, 57, 57) @[el2_lib.scala 376:36] + _T_350[31] <= _T_543 @[el2_lib.scala 376:30] + node _T_544 = bits(ifu_bus_rdata_ff, 57, 57) @[el2_lib.scala 382:36] + _T_356[0] <= _T_544 @[el2_lib.scala 382:30] + node _T_545 = bits(ifu_bus_rdata_ff, 58, 58) @[el2_lib.scala 377:36] + _T_351[31] <= _T_545 @[el2_lib.scala 377:30] + node _T_546 = bits(ifu_bus_rdata_ff, 58, 58) @[el2_lib.scala 382:36] + _T_356[1] <= _T_546 @[el2_lib.scala 382:30] + node _T_547 = bits(ifu_bus_rdata_ff, 59, 59) @[el2_lib.scala 376:36] + _T_350[32] <= _T_547 @[el2_lib.scala 376:30] + node _T_548 = bits(ifu_bus_rdata_ff, 59, 59) @[el2_lib.scala 377:36] + _T_351[32] <= _T_548 @[el2_lib.scala 377:30] + node _T_549 = bits(ifu_bus_rdata_ff, 59, 59) @[el2_lib.scala 382:36] + _T_356[2] <= _T_549 @[el2_lib.scala 382:30] + node _T_550 = bits(ifu_bus_rdata_ff, 60, 60) @[el2_lib.scala 378:36] + _T_352[31] <= _T_550 @[el2_lib.scala 378:30] + node _T_551 = bits(ifu_bus_rdata_ff, 60, 60) @[el2_lib.scala 382:36] + _T_356[3] <= _T_551 @[el2_lib.scala 382:30] + node _T_552 = bits(ifu_bus_rdata_ff, 61, 61) @[el2_lib.scala 376:36] + _T_350[33] <= _T_552 @[el2_lib.scala 376:30] + node _T_553 = bits(ifu_bus_rdata_ff, 61, 61) @[el2_lib.scala 378:36] + _T_352[32] <= _T_553 @[el2_lib.scala 378:30] + node _T_554 = bits(ifu_bus_rdata_ff, 61, 61) @[el2_lib.scala 382:36] + _T_356[4] <= _T_554 @[el2_lib.scala 382:30] + node _T_555 = bits(ifu_bus_rdata_ff, 62, 62) @[el2_lib.scala 377:36] + _T_351[33] <= _T_555 @[el2_lib.scala 377:30] + node _T_556 = bits(ifu_bus_rdata_ff, 62, 62) @[el2_lib.scala 378:36] + _T_352[33] <= _T_556 @[el2_lib.scala 378:30] + node _T_557 = bits(ifu_bus_rdata_ff, 62, 62) @[el2_lib.scala 382:36] + _T_356[5] <= _T_557 @[el2_lib.scala 382:30] + node _T_558 = bits(ifu_bus_rdata_ff, 63, 63) @[el2_lib.scala 376:36] + _T_350[34] <= _T_558 @[el2_lib.scala 376:30] + node _T_559 = bits(ifu_bus_rdata_ff, 63, 63) @[el2_lib.scala 377:36] + _T_351[34] <= _T_559 @[el2_lib.scala 377:30] + node _T_560 = bits(ifu_bus_rdata_ff, 63, 63) @[el2_lib.scala 378:36] + _T_352[34] <= _T_560 @[el2_lib.scala 378:30] + node _T_561 = bits(ifu_bus_rdata_ff, 63, 63) @[el2_lib.scala 382:36] + _T_356[6] <= _T_561 @[el2_lib.scala 382:30] + node _T_562 = cat(_T_350[1], _T_350[0]) @[el2_lib.scala 384:27] + node _T_563 = cat(_T_350[3], _T_350[2]) @[el2_lib.scala 384:27] + node _T_564 = cat(_T_563, _T_562) @[el2_lib.scala 384:27] + node _T_565 = cat(_T_350[5], _T_350[4]) @[el2_lib.scala 384:27] + node _T_566 = cat(_T_350[7], _T_350[6]) @[el2_lib.scala 384:27] + node _T_567 = cat(_T_566, _T_565) @[el2_lib.scala 384:27] + node _T_568 = cat(_T_567, _T_564) @[el2_lib.scala 384:27] + node _T_569 = cat(_T_350[9], _T_350[8]) @[el2_lib.scala 384:27] + node _T_570 = cat(_T_350[11], _T_350[10]) @[el2_lib.scala 384:27] + node _T_571 = cat(_T_570, _T_569) @[el2_lib.scala 384:27] + node _T_572 = cat(_T_350[13], _T_350[12]) @[el2_lib.scala 384:27] + node _T_573 = cat(_T_350[16], _T_350[15]) @[el2_lib.scala 384:27] + node _T_574 = cat(_T_573, _T_350[14]) @[el2_lib.scala 384:27] + node _T_575 = cat(_T_574, _T_572) @[el2_lib.scala 384:27] + node _T_576 = cat(_T_575, _T_571) @[el2_lib.scala 384:27] + node _T_577 = cat(_T_576, _T_568) @[el2_lib.scala 384:27] + node _T_578 = cat(_T_350[18], _T_350[17]) @[el2_lib.scala 384:27] + node _T_579 = cat(_T_350[20], _T_350[19]) @[el2_lib.scala 384:27] + node _T_580 = cat(_T_579, _T_578) @[el2_lib.scala 384:27] + node _T_581 = cat(_T_350[22], _T_350[21]) @[el2_lib.scala 384:27] + node _T_582 = cat(_T_350[25], _T_350[24]) @[el2_lib.scala 384:27] + node _T_583 = cat(_T_582, _T_350[23]) @[el2_lib.scala 384:27] + node _T_584 = cat(_T_583, _T_581) @[el2_lib.scala 384:27] + node _T_585 = cat(_T_584, _T_580) @[el2_lib.scala 384:27] + node _T_586 = cat(_T_350[27], _T_350[26]) @[el2_lib.scala 384:27] + node _T_587 = cat(_T_350[29], _T_350[28]) @[el2_lib.scala 384:27] + node _T_588 = cat(_T_587, _T_586) @[el2_lib.scala 384:27] + node _T_589 = cat(_T_350[31], _T_350[30]) @[el2_lib.scala 384:27] + node _T_590 = cat(_T_350[34], _T_350[33]) @[el2_lib.scala 384:27] + node _T_591 = cat(_T_590, _T_350[32]) @[el2_lib.scala 384:27] + node _T_592 = cat(_T_591, _T_589) @[el2_lib.scala 384:27] + node _T_593 = cat(_T_592, _T_588) @[el2_lib.scala 384:27] + node _T_594 = cat(_T_593, _T_585) @[el2_lib.scala 384:27] + node _T_595 = cat(_T_594, _T_577) @[el2_lib.scala 384:27] + node _T_596 = xorr(_T_595) @[el2_lib.scala 384:34] + node _T_597 = cat(_T_351[1], _T_351[0]) @[el2_lib.scala 384:44] + node _T_598 = cat(_T_351[3], _T_351[2]) @[el2_lib.scala 384:44] + node _T_599 = cat(_T_598, _T_597) @[el2_lib.scala 384:44] + node _T_600 = cat(_T_351[5], _T_351[4]) @[el2_lib.scala 384:44] + node _T_601 = cat(_T_351[7], _T_351[6]) @[el2_lib.scala 384:44] + node _T_602 = cat(_T_601, _T_600) @[el2_lib.scala 384:44] + node _T_603 = cat(_T_602, _T_599) @[el2_lib.scala 384:44] + node _T_604 = cat(_T_351[9], _T_351[8]) @[el2_lib.scala 384:44] + node _T_605 = cat(_T_351[11], _T_351[10]) @[el2_lib.scala 384:44] + node _T_606 = cat(_T_605, _T_604) @[el2_lib.scala 384:44] + node _T_607 = cat(_T_351[13], _T_351[12]) @[el2_lib.scala 384:44] + node _T_608 = cat(_T_351[16], _T_351[15]) @[el2_lib.scala 384:44] + node _T_609 = cat(_T_608, _T_351[14]) @[el2_lib.scala 384:44] + node _T_610 = cat(_T_609, _T_607) @[el2_lib.scala 384:44] + node _T_611 = cat(_T_610, _T_606) @[el2_lib.scala 384:44] + node _T_612 = cat(_T_611, _T_603) @[el2_lib.scala 384:44] + node _T_613 = cat(_T_351[18], _T_351[17]) @[el2_lib.scala 384:44] + node _T_614 = cat(_T_351[20], _T_351[19]) @[el2_lib.scala 384:44] + node _T_615 = cat(_T_614, _T_613) @[el2_lib.scala 384:44] + node _T_616 = cat(_T_351[22], _T_351[21]) @[el2_lib.scala 384:44] + node _T_617 = cat(_T_351[25], _T_351[24]) @[el2_lib.scala 384:44] + node _T_618 = cat(_T_617, _T_351[23]) @[el2_lib.scala 384:44] + node _T_619 = cat(_T_618, _T_616) @[el2_lib.scala 384:44] + node _T_620 = cat(_T_619, _T_615) @[el2_lib.scala 384:44] + node _T_621 = cat(_T_351[27], _T_351[26]) @[el2_lib.scala 384:44] + node _T_622 = cat(_T_351[29], _T_351[28]) @[el2_lib.scala 384:44] + node _T_623 = cat(_T_622, _T_621) @[el2_lib.scala 384:44] + node _T_624 = cat(_T_351[31], _T_351[30]) @[el2_lib.scala 384:44] + node _T_625 = cat(_T_351[34], _T_351[33]) @[el2_lib.scala 384:44] + node _T_626 = cat(_T_625, _T_351[32]) @[el2_lib.scala 384:44] + node _T_627 = cat(_T_626, _T_624) @[el2_lib.scala 384:44] + node _T_628 = cat(_T_627, _T_623) @[el2_lib.scala 384:44] + node _T_629 = cat(_T_628, _T_620) @[el2_lib.scala 384:44] + node _T_630 = cat(_T_629, _T_612) @[el2_lib.scala 384:44] + node _T_631 = xorr(_T_630) @[el2_lib.scala 384:51] + node _T_632 = cat(_T_352[1], _T_352[0]) @[el2_lib.scala 384:61] + node _T_633 = cat(_T_352[3], _T_352[2]) @[el2_lib.scala 384:61] + node _T_634 = cat(_T_633, _T_632) @[el2_lib.scala 384:61] + node _T_635 = cat(_T_352[5], _T_352[4]) @[el2_lib.scala 384:61] + node _T_636 = cat(_T_352[7], _T_352[6]) @[el2_lib.scala 384:61] + node _T_637 = cat(_T_636, _T_635) @[el2_lib.scala 384:61] + node _T_638 = cat(_T_637, _T_634) @[el2_lib.scala 384:61] + node _T_639 = cat(_T_352[9], _T_352[8]) @[el2_lib.scala 384:61] + node _T_640 = cat(_T_352[11], _T_352[10]) @[el2_lib.scala 384:61] + node _T_641 = cat(_T_640, _T_639) @[el2_lib.scala 384:61] + node _T_642 = cat(_T_352[13], _T_352[12]) @[el2_lib.scala 384:61] + node _T_643 = cat(_T_352[16], _T_352[15]) @[el2_lib.scala 384:61] + node _T_644 = cat(_T_643, _T_352[14]) @[el2_lib.scala 384:61] + node _T_645 = cat(_T_644, _T_642) @[el2_lib.scala 384:61] + node _T_646 = cat(_T_645, _T_641) @[el2_lib.scala 384:61] + node _T_647 = cat(_T_646, _T_638) @[el2_lib.scala 384:61] + node _T_648 = cat(_T_352[18], _T_352[17]) @[el2_lib.scala 384:61] + node _T_649 = cat(_T_352[20], _T_352[19]) @[el2_lib.scala 384:61] + node _T_650 = cat(_T_649, _T_648) @[el2_lib.scala 384:61] + node _T_651 = cat(_T_352[22], _T_352[21]) @[el2_lib.scala 384:61] + node _T_652 = cat(_T_352[25], _T_352[24]) @[el2_lib.scala 384:61] + node _T_653 = cat(_T_652, _T_352[23]) @[el2_lib.scala 384:61] + node _T_654 = cat(_T_653, _T_651) @[el2_lib.scala 384:61] + node _T_655 = cat(_T_654, _T_650) @[el2_lib.scala 384:61] + node _T_656 = cat(_T_352[27], _T_352[26]) @[el2_lib.scala 384:61] + node _T_657 = cat(_T_352[29], _T_352[28]) @[el2_lib.scala 384:61] + node _T_658 = cat(_T_657, _T_656) @[el2_lib.scala 384:61] + node _T_659 = cat(_T_352[31], _T_352[30]) @[el2_lib.scala 384:61] + node _T_660 = cat(_T_352[34], _T_352[33]) @[el2_lib.scala 384:61] + node _T_661 = cat(_T_660, _T_352[32]) @[el2_lib.scala 384:61] + node _T_662 = cat(_T_661, _T_659) @[el2_lib.scala 384:61] + node _T_663 = cat(_T_662, _T_658) @[el2_lib.scala 384:61] + node _T_664 = cat(_T_663, _T_655) @[el2_lib.scala 384:61] + node _T_665 = cat(_T_664, _T_647) @[el2_lib.scala 384:61] + node _T_666 = xorr(_T_665) @[el2_lib.scala 384:68] + node _T_667 = cat(_T_353[2], _T_353[1]) @[el2_lib.scala 384:78] + node _T_668 = cat(_T_667, _T_353[0]) @[el2_lib.scala 384:78] + node _T_669 = cat(_T_353[4], _T_353[3]) @[el2_lib.scala 384:78] + node _T_670 = cat(_T_353[6], _T_353[5]) @[el2_lib.scala 384:78] + node _T_671 = cat(_T_670, _T_669) @[el2_lib.scala 384:78] + node _T_672 = cat(_T_671, _T_668) @[el2_lib.scala 384:78] + node _T_673 = cat(_T_353[8], _T_353[7]) @[el2_lib.scala 384:78] + node _T_674 = cat(_T_353[10], _T_353[9]) @[el2_lib.scala 384:78] + node _T_675 = cat(_T_674, _T_673) @[el2_lib.scala 384:78] + node _T_676 = cat(_T_353[12], _T_353[11]) @[el2_lib.scala 384:78] + node _T_677 = cat(_T_353[14], _T_353[13]) @[el2_lib.scala 384:78] + node _T_678 = cat(_T_677, _T_676) @[el2_lib.scala 384:78] + node _T_679 = cat(_T_678, _T_675) @[el2_lib.scala 384:78] + node _T_680 = cat(_T_679, _T_672) @[el2_lib.scala 384:78] + node _T_681 = cat(_T_353[16], _T_353[15]) @[el2_lib.scala 384:78] + node _T_682 = cat(_T_353[18], _T_353[17]) @[el2_lib.scala 384:78] + node _T_683 = cat(_T_682, _T_681) @[el2_lib.scala 384:78] + node _T_684 = cat(_T_353[20], _T_353[19]) @[el2_lib.scala 384:78] + node _T_685 = cat(_T_353[22], _T_353[21]) @[el2_lib.scala 384:78] + node _T_686 = cat(_T_685, _T_684) @[el2_lib.scala 384:78] + node _T_687 = cat(_T_686, _T_683) @[el2_lib.scala 384:78] + node _T_688 = cat(_T_353[24], _T_353[23]) @[el2_lib.scala 384:78] + node _T_689 = cat(_T_353[26], _T_353[25]) @[el2_lib.scala 384:78] + node _T_690 = cat(_T_689, _T_688) @[el2_lib.scala 384:78] + node _T_691 = cat(_T_353[28], _T_353[27]) @[el2_lib.scala 384:78] + node _T_692 = cat(_T_353[30], _T_353[29]) @[el2_lib.scala 384:78] + node _T_693 = cat(_T_692, _T_691) @[el2_lib.scala 384:78] + node _T_694 = cat(_T_693, _T_690) @[el2_lib.scala 384:78] + node _T_695 = cat(_T_694, _T_687) @[el2_lib.scala 384:78] + node _T_696 = cat(_T_695, _T_680) @[el2_lib.scala 384:78] + node _T_697 = xorr(_T_696) @[el2_lib.scala 384:85] + node _T_698 = cat(_T_354[2], _T_354[1]) @[el2_lib.scala 384:95] + node _T_699 = cat(_T_698, _T_354[0]) @[el2_lib.scala 384:95] + node _T_700 = cat(_T_354[4], _T_354[3]) @[el2_lib.scala 384:95] + node _T_701 = cat(_T_354[6], _T_354[5]) @[el2_lib.scala 384:95] + node _T_702 = cat(_T_701, _T_700) @[el2_lib.scala 384:95] + node _T_703 = cat(_T_702, _T_699) @[el2_lib.scala 384:95] + node _T_704 = cat(_T_354[8], _T_354[7]) @[el2_lib.scala 384:95] + node _T_705 = cat(_T_354[10], _T_354[9]) @[el2_lib.scala 384:95] + node _T_706 = cat(_T_705, _T_704) @[el2_lib.scala 384:95] + node _T_707 = cat(_T_354[12], _T_354[11]) @[el2_lib.scala 384:95] + node _T_708 = cat(_T_354[14], _T_354[13]) @[el2_lib.scala 384:95] + node _T_709 = cat(_T_708, _T_707) @[el2_lib.scala 384:95] + node _T_710 = cat(_T_709, _T_706) @[el2_lib.scala 384:95] + node _T_711 = cat(_T_710, _T_703) @[el2_lib.scala 384:95] + node _T_712 = cat(_T_354[16], _T_354[15]) @[el2_lib.scala 384:95] + node _T_713 = cat(_T_354[18], _T_354[17]) @[el2_lib.scala 384:95] + node _T_714 = cat(_T_713, _T_712) @[el2_lib.scala 384:95] + node _T_715 = cat(_T_354[20], _T_354[19]) @[el2_lib.scala 384:95] + node _T_716 = cat(_T_354[22], _T_354[21]) @[el2_lib.scala 384:95] + node _T_717 = cat(_T_716, _T_715) @[el2_lib.scala 384:95] + node _T_718 = cat(_T_717, _T_714) @[el2_lib.scala 384:95] + node _T_719 = cat(_T_354[24], _T_354[23]) @[el2_lib.scala 384:95] + node _T_720 = cat(_T_354[26], _T_354[25]) @[el2_lib.scala 384:95] + node _T_721 = cat(_T_720, _T_719) @[el2_lib.scala 384:95] + node _T_722 = cat(_T_354[28], _T_354[27]) @[el2_lib.scala 384:95] + node _T_723 = cat(_T_354[30], _T_354[29]) @[el2_lib.scala 384:95] + node _T_724 = cat(_T_723, _T_722) @[el2_lib.scala 384:95] + node _T_725 = cat(_T_724, _T_721) @[el2_lib.scala 384:95] + node _T_726 = cat(_T_725, _T_718) @[el2_lib.scala 384:95] + node _T_727 = cat(_T_726, _T_711) @[el2_lib.scala 384:95] + node _T_728 = xorr(_T_727) @[el2_lib.scala 384:102] + node _T_729 = cat(_T_355[2], _T_355[1]) @[el2_lib.scala 384:112] + node _T_730 = cat(_T_729, _T_355[0]) @[el2_lib.scala 384:112] + node _T_731 = cat(_T_355[4], _T_355[3]) @[el2_lib.scala 384:112] + node _T_732 = cat(_T_355[6], _T_355[5]) @[el2_lib.scala 384:112] + node _T_733 = cat(_T_732, _T_731) @[el2_lib.scala 384:112] + node _T_734 = cat(_T_733, _T_730) @[el2_lib.scala 384:112] + node _T_735 = cat(_T_355[8], _T_355[7]) @[el2_lib.scala 384:112] + node _T_736 = cat(_T_355[10], _T_355[9]) @[el2_lib.scala 384:112] + node _T_737 = cat(_T_736, _T_735) @[el2_lib.scala 384:112] + node _T_738 = cat(_T_355[12], _T_355[11]) @[el2_lib.scala 384:112] + node _T_739 = cat(_T_355[14], _T_355[13]) @[el2_lib.scala 384:112] + node _T_740 = cat(_T_739, _T_738) @[el2_lib.scala 384:112] + node _T_741 = cat(_T_740, _T_737) @[el2_lib.scala 384:112] + node _T_742 = cat(_T_741, _T_734) @[el2_lib.scala 384:112] + node _T_743 = cat(_T_355[16], _T_355[15]) @[el2_lib.scala 384:112] + node _T_744 = cat(_T_355[18], _T_355[17]) @[el2_lib.scala 384:112] + node _T_745 = cat(_T_744, _T_743) @[el2_lib.scala 384:112] + node _T_746 = cat(_T_355[20], _T_355[19]) @[el2_lib.scala 384:112] + node _T_747 = cat(_T_355[22], _T_355[21]) @[el2_lib.scala 384:112] + node _T_748 = cat(_T_747, _T_746) @[el2_lib.scala 384:112] + node _T_749 = cat(_T_748, _T_745) @[el2_lib.scala 384:112] + node _T_750 = cat(_T_355[24], _T_355[23]) @[el2_lib.scala 384:112] + node _T_751 = cat(_T_355[26], _T_355[25]) @[el2_lib.scala 384:112] + node _T_752 = cat(_T_751, _T_750) @[el2_lib.scala 384:112] + node _T_753 = cat(_T_355[28], _T_355[27]) @[el2_lib.scala 384:112] + node _T_754 = cat(_T_355[30], _T_355[29]) @[el2_lib.scala 384:112] + node _T_755 = cat(_T_754, _T_753) @[el2_lib.scala 384:112] + node _T_756 = cat(_T_755, _T_752) @[el2_lib.scala 384:112] + node _T_757 = cat(_T_756, _T_749) @[el2_lib.scala 384:112] + node _T_758 = cat(_T_757, _T_742) @[el2_lib.scala 384:112] + node _T_759 = xorr(_T_758) @[el2_lib.scala 384:119] + node _T_760 = cat(_T_356[2], _T_356[1]) @[el2_lib.scala 384:129] + node _T_761 = cat(_T_760, _T_356[0]) @[el2_lib.scala 384:129] + node _T_762 = cat(_T_356[4], _T_356[3]) @[el2_lib.scala 384:129] + node _T_763 = cat(_T_356[6], _T_356[5]) @[el2_lib.scala 384:129] + node _T_764 = cat(_T_763, _T_762) @[el2_lib.scala 384:129] + node _T_765 = cat(_T_764, _T_761) @[el2_lib.scala 384:129] + node _T_766 = xorr(_T_765) @[el2_lib.scala 384:136] node _T_767 = cat(_T_728, _T_759) @[Cat.scala 29:58] node _T_768 = cat(_T_767, _T_766) @[Cat.scala 29:58] node _T_769 = cat(_T_666, _T_697) @[Cat.scala 29:58] node _T_770 = cat(_T_596, _T_631) @[Cat.scala 29:58] node _T_771 = cat(_T_770, _T_769) @[Cat.scala 29:58] node ic_wr_ecc = cat(_T_771, _T_768) @[Cat.scala 29:58] - io.ic_wr_ecc <= ic_wr_ecc @[el2_ifu_mem_ctl.scala 343:16] - wire _T_772 : UInt<1>[35] @[el2_lib.scala 326:18] - wire _T_773 : UInt<1>[35] @[el2_lib.scala 327:18] - wire _T_774 : UInt<1>[35] @[el2_lib.scala 328:18] - wire _T_775 : UInt<1>[31] @[el2_lib.scala 329:18] - wire _T_776 : UInt<1>[31] @[el2_lib.scala 330:18] - wire _T_777 : UInt<1>[31] @[el2_lib.scala 331:18] - wire _T_778 : UInt<1>[7] @[el2_lib.scala 332:18] - node _T_779 = bits(ic_miss_buff_half, 0, 0) @[el2_lib.scala 339:36] - _T_772[0] <= _T_779 @[el2_lib.scala 339:30] - node _T_780 = bits(ic_miss_buff_half, 0, 0) @[el2_lib.scala 340:36] - _T_773[0] <= _T_780 @[el2_lib.scala 340:30] - node _T_781 = bits(ic_miss_buff_half, 1, 1) @[el2_lib.scala 339:36] - _T_772[1] <= _T_781 @[el2_lib.scala 339:30] - node _T_782 = bits(ic_miss_buff_half, 1, 1) @[el2_lib.scala 341:36] - _T_774[0] <= _T_782 @[el2_lib.scala 341:30] - node _T_783 = bits(ic_miss_buff_half, 2, 2) @[el2_lib.scala 340:36] - _T_773[1] <= _T_783 @[el2_lib.scala 340:30] - node _T_784 = bits(ic_miss_buff_half, 2, 2) @[el2_lib.scala 341:36] - _T_774[1] <= _T_784 @[el2_lib.scala 341:30] - node _T_785 = bits(ic_miss_buff_half, 3, 3) @[el2_lib.scala 339:36] - _T_772[2] <= _T_785 @[el2_lib.scala 339:30] - node _T_786 = bits(ic_miss_buff_half, 3, 3) @[el2_lib.scala 340:36] - _T_773[2] <= _T_786 @[el2_lib.scala 340:30] - node _T_787 = bits(ic_miss_buff_half, 3, 3) @[el2_lib.scala 341:36] - _T_774[2] <= _T_787 @[el2_lib.scala 341:30] - node _T_788 = bits(ic_miss_buff_half, 4, 4) @[el2_lib.scala 339:36] - _T_772[3] <= _T_788 @[el2_lib.scala 339:30] - node _T_789 = bits(ic_miss_buff_half, 4, 4) @[el2_lib.scala 342:36] - _T_775[0] <= _T_789 @[el2_lib.scala 342:30] - node _T_790 = bits(ic_miss_buff_half, 5, 5) @[el2_lib.scala 340:36] - _T_773[3] <= _T_790 @[el2_lib.scala 340:30] - node _T_791 = bits(ic_miss_buff_half, 5, 5) @[el2_lib.scala 342:36] - _T_775[1] <= _T_791 @[el2_lib.scala 342:30] - node _T_792 = bits(ic_miss_buff_half, 6, 6) @[el2_lib.scala 339:36] - _T_772[4] <= _T_792 @[el2_lib.scala 339:30] - node _T_793 = bits(ic_miss_buff_half, 6, 6) @[el2_lib.scala 340:36] - _T_773[4] <= _T_793 @[el2_lib.scala 340:30] - node _T_794 = bits(ic_miss_buff_half, 6, 6) @[el2_lib.scala 342:36] - _T_775[2] <= _T_794 @[el2_lib.scala 342:30] - node _T_795 = bits(ic_miss_buff_half, 7, 7) @[el2_lib.scala 341:36] - _T_774[3] <= _T_795 @[el2_lib.scala 341:30] - node _T_796 = bits(ic_miss_buff_half, 7, 7) @[el2_lib.scala 342:36] - _T_775[3] <= _T_796 @[el2_lib.scala 342:30] - node _T_797 = bits(ic_miss_buff_half, 8, 8) @[el2_lib.scala 339:36] - _T_772[5] <= _T_797 @[el2_lib.scala 339:30] - node _T_798 = bits(ic_miss_buff_half, 8, 8) @[el2_lib.scala 341:36] - _T_774[4] <= _T_798 @[el2_lib.scala 341:30] - node _T_799 = bits(ic_miss_buff_half, 8, 8) @[el2_lib.scala 342:36] - _T_775[4] <= _T_799 @[el2_lib.scala 342:30] - node _T_800 = bits(ic_miss_buff_half, 9, 9) @[el2_lib.scala 340:36] - _T_773[5] <= _T_800 @[el2_lib.scala 340:30] - node _T_801 = bits(ic_miss_buff_half, 9, 9) @[el2_lib.scala 341:36] - _T_774[5] <= _T_801 @[el2_lib.scala 341:30] - node _T_802 = bits(ic_miss_buff_half, 9, 9) @[el2_lib.scala 342:36] - _T_775[5] <= _T_802 @[el2_lib.scala 342:30] - node _T_803 = bits(ic_miss_buff_half, 10, 10) @[el2_lib.scala 339:36] - _T_772[6] <= _T_803 @[el2_lib.scala 339:30] - node _T_804 = bits(ic_miss_buff_half, 10, 10) @[el2_lib.scala 340:36] - _T_773[6] <= _T_804 @[el2_lib.scala 340:30] - node _T_805 = bits(ic_miss_buff_half, 10, 10) @[el2_lib.scala 341:36] - _T_774[6] <= _T_805 @[el2_lib.scala 341:30] - node _T_806 = bits(ic_miss_buff_half, 10, 10) @[el2_lib.scala 342:36] - _T_775[6] <= _T_806 @[el2_lib.scala 342:30] - node _T_807 = bits(ic_miss_buff_half, 11, 11) @[el2_lib.scala 339:36] - _T_772[7] <= _T_807 @[el2_lib.scala 339:30] - node _T_808 = bits(ic_miss_buff_half, 11, 11) @[el2_lib.scala 343:36] - _T_776[0] <= _T_808 @[el2_lib.scala 343:30] - node _T_809 = bits(ic_miss_buff_half, 12, 12) @[el2_lib.scala 340:36] - _T_773[7] <= _T_809 @[el2_lib.scala 340:30] - node _T_810 = bits(ic_miss_buff_half, 12, 12) @[el2_lib.scala 343:36] - _T_776[1] <= _T_810 @[el2_lib.scala 343:30] - node _T_811 = bits(ic_miss_buff_half, 13, 13) @[el2_lib.scala 339:36] - _T_772[8] <= _T_811 @[el2_lib.scala 339:30] - node _T_812 = bits(ic_miss_buff_half, 13, 13) @[el2_lib.scala 340:36] - _T_773[8] <= _T_812 @[el2_lib.scala 340:30] - node _T_813 = bits(ic_miss_buff_half, 13, 13) @[el2_lib.scala 343:36] - _T_776[2] <= _T_813 @[el2_lib.scala 343:30] - node _T_814 = bits(ic_miss_buff_half, 14, 14) @[el2_lib.scala 341:36] - _T_774[7] <= _T_814 @[el2_lib.scala 341:30] - node _T_815 = bits(ic_miss_buff_half, 14, 14) @[el2_lib.scala 343:36] - _T_776[3] <= _T_815 @[el2_lib.scala 343:30] - node _T_816 = bits(ic_miss_buff_half, 15, 15) @[el2_lib.scala 339:36] - _T_772[9] <= _T_816 @[el2_lib.scala 339:30] - node _T_817 = bits(ic_miss_buff_half, 15, 15) @[el2_lib.scala 341:36] - _T_774[8] <= _T_817 @[el2_lib.scala 341:30] - node _T_818 = bits(ic_miss_buff_half, 15, 15) @[el2_lib.scala 343:36] - _T_776[4] <= _T_818 @[el2_lib.scala 343:30] - node _T_819 = bits(ic_miss_buff_half, 16, 16) @[el2_lib.scala 340:36] - _T_773[9] <= _T_819 @[el2_lib.scala 340:30] - node _T_820 = bits(ic_miss_buff_half, 16, 16) @[el2_lib.scala 341:36] - _T_774[9] <= _T_820 @[el2_lib.scala 341:30] - node _T_821 = bits(ic_miss_buff_half, 16, 16) @[el2_lib.scala 343:36] - _T_776[5] <= _T_821 @[el2_lib.scala 343:30] - node _T_822 = bits(ic_miss_buff_half, 17, 17) @[el2_lib.scala 339:36] - _T_772[10] <= _T_822 @[el2_lib.scala 339:30] - node _T_823 = bits(ic_miss_buff_half, 17, 17) @[el2_lib.scala 340:36] - _T_773[10] <= _T_823 @[el2_lib.scala 340:30] - node _T_824 = bits(ic_miss_buff_half, 17, 17) @[el2_lib.scala 341:36] - _T_774[10] <= _T_824 @[el2_lib.scala 341:30] - node _T_825 = bits(ic_miss_buff_half, 17, 17) @[el2_lib.scala 343:36] - _T_776[6] <= _T_825 @[el2_lib.scala 343:30] - node _T_826 = bits(ic_miss_buff_half, 18, 18) @[el2_lib.scala 342:36] - _T_775[7] <= _T_826 @[el2_lib.scala 342:30] - node _T_827 = bits(ic_miss_buff_half, 18, 18) @[el2_lib.scala 343:36] - _T_776[7] <= _T_827 @[el2_lib.scala 343:30] - node _T_828 = bits(ic_miss_buff_half, 19, 19) @[el2_lib.scala 339:36] - _T_772[11] <= _T_828 @[el2_lib.scala 339:30] - node _T_829 = bits(ic_miss_buff_half, 19, 19) @[el2_lib.scala 342:36] - _T_775[8] <= _T_829 @[el2_lib.scala 342:30] - node _T_830 = bits(ic_miss_buff_half, 19, 19) @[el2_lib.scala 343:36] - _T_776[8] <= _T_830 @[el2_lib.scala 343:30] - node _T_831 = bits(ic_miss_buff_half, 20, 20) @[el2_lib.scala 340:36] - _T_773[11] <= _T_831 @[el2_lib.scala 340:30] - node _T_832 = bits(ic_miss_buff_half, 20, 20) @[el2_lib.scala 342:36] - _T_775[9] <= _T_832 @[el2_lib.scala 342:30] - node _T_833 = bits(ic_miss_buff_half, 20, 20) @[el2_lib.scala 343:36] - _T_776[9] <= _T_833 @[el2_lib.scala 343:30] - node _T_834 = bits(ic_miss_buff_half, 21, 21) @[el2_lib.scala 339:36] - _T_772[12] <= _T_834 @[el2_lib.scala 339:30] - node _T_835 = bits(ic_miss_buff_half, 21, 21) @[el2_lib.scala 340:36] - _T_773[12] <= _T_835 @[el2_lib.scala 340:30] - node _T_836 = bits(ic_miss_buff_half, 21, 21) @[el2_lib.scala 342:36] - _T_775[10] <= _T_836 @[el2_lib.scala 342:30] - node _T_837 = bits(ic_miss_buff_half, 21, 21) @[el2_lib.scala 343:36] - _T_776[10] <= _T_837 @[el2_lib.scala 343:30] - node _T_838 = bits(ic_miss_buff_half, 22, 22) @[el2_lib.scala 341:36] - _T_774[11] <= _T_838 @[el2_lib.scala 341:30] - node _T_839 = bits(ic_miss_buff_half, 22, 22) @[el2_lib.scala 342:36] - _T_775[11] <= _T_839 @[el2_lib.scala 342:30] - node _T_840 = bits(ic_miss_buff_half, 22, 22) @[el2_lib.scala 343:36] - _T_776[11] <= _T_840 @[el2_lib.scala 343:30] - node _T_841 = bits(ic_miss_buff_half, 23, 23) @[el2_lib.scala 339:36] - _T_772[13] <= _T_841 @[el2_lib.scala 339:30] - node _T_842 = bits(ic_miss_buff_half, 23, 23) @[el2_lib.scala 341:36] - _T_774[12] <= _T_842 @[el2_lib.scala 341:30] - node _T_843 = bits(ic_miss_buff_half, 23, 23) @[el2_lib.scala 342:36] - _T_775[12] <= _T_843 @[el2_lib.scala 342:30] - node _T_844 = bits(ic_miss_buff_half, 23, 23) @[el2_lib.scala 343:36] - _T_776[12] <= _T_844 @[el2_lib.scala 343:30] - node _T_845 = bits(ic_miss_buff_half, 24, 24) @[el2_lib.scala 340:36] - _T_773[13] <= _T_845 @[el2_lib.scala 340:30] - node _T_846 = bits(ic_miss_buff_half, 24, 24) @[el2_lib.scala 341:36] - _T_774[13] <= _T_846 @[el2_lib.scala 341:30] - node _T_847 = bits(ic_miss_buff_half, 24, 24) @[el2_lib.scala 342:36] - _T_775[13] <= _T_847 @[el2_lib.scala 342:30] - node _T_848 = bits(ic_miss_buff_half, 24, 24) @[el2_lib.scala 343:36] - _T_776[13] <= _T_848 @[el2_lib.scala 343:30] - node _T_849 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 339:36] - _T_772[14] <= _T_849 @[el2_lib.scala 339:30] - node _T_850 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 340:36] - _T_773[14] <= _T_850 @[el2_lib.scala 340:30] - node _T_851 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 341:36] - _T_774[14] <= _T_851 @[el2_lib.scala 341:30] - node _T_852 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 342:36] - _T_775[14] <= _T_852 @[el2_lib.scala 342:30] - node _T_853 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 343:36] - _T_776[14] <= _T_853 @[el2_lib.scala 343:30] - node _T_854 = bits(ic_miss_buff_half, 26, 26) @[el2_lib.scala 339:36] - _T_772[15] <= _T_854 @[el2_lib.scala 339:30] - node _T_855 = bits(ic_miss_buff_half, 26, 26) @[el2_lib.scala 344:36] - _T_777[0] <= _T_855 @[el2_lib.scala 344:30] - node _T_856 = bits(ic_miss_buff_half, 27, 27) @[el2_lib.scala 340:36] - _T_773[15] <= _T_856 @[el2_lib.scala 340:30] - node _T_857 = bits(ic_miss_buff_half, 27, 27) @[el2_lib.scala 344:36] - _T_777[1] <= _T_857 @[el2_lib.scala 344:30] - node _T_858 = bits(ic_miss_buff_half, 28, 28) @[el2_lib.scala 339:36] - _T_772[16] <= _T_858 @[el2_lib.scala 339:30] - node _T_859 = bits(ic_miss_buff_half, 28, 28) @[el2_lib.scala 340:36] - _T_773[16] <= _T_859 @[el2_lib.scala 340:30] - node _T_860 = bits(ic_miss_buff_half, 28, 28) @[el2_lib.scala 344:36] - _T_777[2] <= _T_860 @[el2_lib.scala 344:30] - node _T_861 = bits(ic_miss_buff_half, 29, 29) @[el2_lib.scala 341:36] - _T_774[15] <= _T_861 @[el2_lib.scala 341:30] - node _T_862 = bits(ic_miss_buff_half, 29, 29) @[el2_lib.scala 344:36] - _T_777[3] <= _T_862 @[el2_lib.scala 344:30] - node _T_863 = bits(ic_miss_buff_half, 30, 30) @[el2_lib.scala 339:36] - _T_772[17] <= _T_863 @[el2_lib.scala 339:30] - node _T_864 = bits(ic_miss_buff_half, 30, 30) @[el2_lib.scala 341:36] - _T_774[16] <= _T_864 @[el2_lib.scala 341:30] - node _T_865 = bits(ic_miss_buff_half, 30, 30) @[el2_lib.scala 344:36] - _T_777[4] <= _T_865 @[el2_lib.scala 344:30] - node _T_866 = bits(ic_miss_buff_half, 31, 31) @[el2_lib.scala 340:36] - _T_773[17] <= _T_866 @[el2_lib.scala 340:30] - node _T_867 = bits(ic_miss_buff_half, 31, 31) @[el2_lib.scala 341:36] - _T_774[17] <= _T_867 @[el2_lib.scala 341:30] - node _T_868 = bits(ic_miss_buff_half, 31, 31) @[el2_lib.scala 344:36] - _T_777[5] <= _T_868 @[el2_lib.scala 344:30] - node _T_869 = bits(ic_miss_buff_half, 32, 32) @[el2_lib.scala 339:36] - _T_772[18] <= _T_869 @[el2_lib.scala 339:30] - node _T_870 = bits(ic_miss_buff_half, 32, 32) @[el2_lib.scala 340:36] - _T_773[18] <= _T_870 @[el2_lib.scala 340:30] - node _T_871 = bits(ic_miss_buff_half, 32, 32) @[el2_lib.scala 341:36] - _T_774[18] <= _T_871 @[el2_lib.scala 341:30] - node _T_872 = bits(ic_miss_buff_half, 32, 32) @[el2_lib.scala 344:36] - _T_777[6] <= _T_872 @[el2_lib.scala 344:30] - node _T_873 = bits(ic_miss_buff_half, 33, 33) @[el2_lib.scala 342:36] - _T_775[15] <= _T_873 @[el2_lib.scala 342:30] - node _T_874 = bits(ic_miss_buff_half, 33, 33) @[el2_lib.scala 344:36] - _T_777[7] <= _T_874 @[el2_lib.scala 344:30] - node _T_875 = bits(ic_miss_buff_half, 34, 34) @[el2_lib.scala 339:36] - _T_772[19] <= _T_875 @[el2_lib.scala 339:30] - node _T_876 = bits(ic_miss_buff_half, 34, 34) @[el2_lib.scala 342:36] - _T_775[16] <= _T_876 @[el2_lib.scala 342:30] - node _T_877 = bits(ic_miss_buff_half, 34, 34) @[el2_lib.scala 344:36] - _T_777[8] <= _T_877 @[el2_lib.scala 344:30] - node _T_878 = bits(ic_miss_buff_half, 35, 35) @[el2_lib.scala 340:36] - _T_773[19] <= _T_878 @[el2_lib.scala 340:30] - node _T_879 = bits(ic_miss_buff_half, 35, 35) @[el2_lib.scala 342:36] - _T_775[17] <= _T_879 @[el2_lib.scala 342:30] - node _T_880 = bits(ic_miss_buff_half, 35, 35) @[el2_lib.scala 344:36] - _T_777[9] <= _T_880 @[el2_lib.scala 344:30] - node _T_881 = bits(ic_miss_buff_half, 36, 36) @[el2_lib.scala 339:36] - _T_772[20] <= _T_881 @[el2_lib.scala 339:30] - node _T_882 = bits(ic_miss_buff_half, 36, 36) @[el2_lib.scala 340:36] - _T_773[20] <= _T_882 @[el2_lib.scala 340:30] - node _T_883 = bits(ic_miss_buff_half, 36, 36) @[el2_lib.scala 342:36] - _T_775[18] <= _T_883 @[el2_lib.scala 342:30] - node _T_884 = bits(ic_miss_buff_half, 36, 36) @[el2_lib.scala 344:36] - _T_777[10] <= _T_884 @[el2_lib.scala 344:30] - node _T_885 = bits(ic_miss_buff_half, 37, 37) @[el2_lib.scala 341:36] - _T_774[19] <= _T_885 @[el2_lib.scala 341:30] - node _T_886 = bits(ic_miss_buff_half, 37, 37) @[el2_lib.scala 342:36] - _T_775[19] <= _T_886 @[el2_lib.scala 342:30] - node _T_887 = bits(ic_miss_buff_half, 37, 37) @[el2_lib.scala 344:36] - _T_777[11] <= _T_887 @[el2_lib.scala 344:30] - node _T_888 = bits(ic_miss_buff_half, 38, 38) @[el2_lib.scala 339:36] - _T_772[21] <= _T_888 @[el2_lib.scala 339:30] - node _T_889 = bits(ic_miss_buff_half, 38, 38) @[el2_lib.scala 341:36] - _T_774[20] <= _T_889 @[el2_lib.scala 341:30] - node _T_890 = bits(ic_miss_buff_half, 38, 38) @[el2_lib.scala 342:36] - _T_775[20] <= _T_890 @[el2_lib.scala 342:30] - node _T_891 = bits(ic_miss_buff_half, 38, 38) @[el2_lib.scala 344:36] - _T_777[12] <= _T_891 @[el2_lib.scala 344:30] - node _T_892 = bits(ic_miss_buff_half, 39, 39) @[el2_lib.scala 340:36] - _T_773[21] <= _T_892 @[el2_lib.scala 340:30] - node _T_893 = bits(ic_miss_buff_half, 39, 39) @[el2_lib.scala 341:36] - _T_774[21] <= _T_893 @[el2_lib.scala 341:30] - node _T_894 = bits(ic_miss_buff_half, 39, 39) @[el2_lib.scala 342:36] - _T_775[21] <= _T_894 @[el2_lib.scala 342:30] - node _T_895 = bits(ic_miss_buff_half, 39, 39) @[el2_lib.scala 344:36] - _T_777[13] <= _T_895 @[el2_lib.scala 344:30] - node _T_896 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 339:36] - _T_772[22] <= _T_896 @[el2_lib.scala 339:30] - node _T_897 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 340:36] - _T_773[22] <= _T_897 @[el2_lib.scala 340:30] - node _T_898 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 341:36] - _T_774[22] <= _T_898 @[el2_lib.scala 341:30] - node _T_899 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 342:36] - _T_775[22] <= _T_899 @[el2_lib.scala 342:30] - node _T_900 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 344:36] - _T_777[14] <= _T_900 @[el2_lib.scala 344:30] - node _T_901 = bits(ic_miss_buff_half, 41, 41) @[el2_lib.scala 343:36] - _T_776[15] <= _T_901 @[el2_lib.scala 343:30] - node _T_902 = bits(ic_miss_buff_half, 41, 41) @[el2_lib.scala 344:36] - _T_777[15] <= _T_902 @[el2_lib.scala 344:30] - node _T_903 = bits(ic_miss_buff_half, 42, 42) @[el2_lib.scala 339:36] - _T_772[23] <= _T_903 @[el2_lib.scala 339:30] - node _T_904 = bits(ic_miss_buff_half, 42, 42) @[el2_lib.scala 343:36] - _T_776[16] <= _T_904 @[el2_lib.scala 343:30] - node _T_905 = bits(ic_miss_buff_half, 42, 42) @[el2_lib.scala 344:36] - _T_777[16] <= _T_905 @[el2_lib.scala 344:30] - node _T_906 = bits(ic_miss_buff_half, 43, 43) @[el2_lib.scala 340:36] - _T_773[23] <= _T_906 @[el2_lib.scala 340:30] - node _T_907 = bits(ic_miss_buff_half, 43, 43) @[el2_lib.scala 343:36] - _T_776[17] <= _T_907 @[el2_lib.scala 343:30] - node _T_908 = bits(ic_miss_buff_half, 43, 43) @[el2_lib.scala 344:36] - _T_777[17] <= _T_908 @[el2_lib.scala 344:30] - node _T_909 = bits(ic_miss_buff_half, 44, 44) @[el2_lib.scala 339:36] - _T_772[24] <= _T_909 @[el2_lib.scala 339:30] - node _T_910 = bits(ic_miss_buff_half, 44, 44) @[el2_lib.scala 340:36] - _T_773[24] <= _T_910 @[el2_lib.scala 340:30] - node _T_911 = bits(ic_miss_buff_half, 44, 44) @[el2_lib.scala 343:36] - _T_776[18] <= _T_911 @[el2_lib.scala 343:30] - node _T_912 = bits(ic_miss_buff_half, 44, 44) @[el2_lib.scala 344:36] - _T_777[18] <= _T_912 @[el2_lib.scala 344:30] - node _T_913 = bits(ic_miss_buff_half, 45, 45) @[el2_lib.scala 341:36] - _T_774[23] <= _T_913 @[el2_lib.scala 341:30] - node _T_914 = bits(ic_miss_buff_half, 45, 45) @[el2_lib.scala 343:36] - _T_776[19] <= _T_914 @[el2_lib.scala 343:30] - node _T_915 = bits(ic_miss_buff_half, 45, 45) @[el2_lib.scala 344:36] - _T_777[19] <= _T_915 @[el2_lib.scala 344:30] - node _T_916 = bits(ic_miss_buff_half, 46, 46) @[el2_lib.scala 339:36] - _T_772[25] <= _T_916 @[el2_lib.scala 339:30] - node _T_917 = bits(ic_miss_buff_half, 46, 46) @[el2_lib.scala 341:36] - _T_774[24] <= _T_917 @[el2_lib.scala 341:30] - node _T_918 = bits(ic_miss_buff_half, 46, 46) @[el2_lib.scala 343:36] - _T_776[20] <= _T_918 @[el2_lib.scala 343:30] - node _T_919 = bits(ic_miss_buff_half, 46, 46) @[el2_lib.scala 344:36] - _T_777[20] <= _T_919 @[el2_lib.scala 344:30] - node _T_920 = bits(ic_miss_buff_half, 47, 47) @[el2_lib.scala 340:36] - _T_773[25] <= _T_920 @[el2_lib.scala 340:30] - node _T_921 = bits(ic_miss_buff_half, 47, 47) @[el2_lib.scala 341:36] - _T_774[25] <= _T_921 @[el2_lib.scala 341:30] - node _T_922 = bits(ic_miss_buff_half, 47, 47) @[el2_lib.scala 343:36] - _T_776[21] <= _T_922 @[el2_lib.scala 343:30] - node _T_923 = bits(ic_miss_buff_half, 47, 47) @[el2_lib.scala 344:36] - _T_777[21] <= _T_923 @[el2_lib.scala 344:30] - node _T_924 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 339:36] - _T_772[26] <= _T_924 @[el2_lib.scala 339:30] - node _T_925 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 340:36] - _T_773[26] <= _T_925 @[el2_lib.scala 340:30] - node _T_926 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 341:36] - _T_774[26] <= _T_926 @[el2_lib.scala 341:30] - node _T_927 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 343:36] - _T_776[22] <= _T_927 @[el2_lib.scala 343:30] - node _T_928 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 344:36] - _T_777[22] <= _T_928 @[el2_lib.scala 344:30] - node _T_929 = bits(ic_miss_buff_half, 49, 49) @[el2_lib.scala 342:36] - _T_775[23] <= _T_929 @[el2_lib.scala 342:30] - node _T_930 = bits(ic_miss_buff_half, 49, 49) @[el2_lib.scala 343:36] - _T_776[23] <= _T_930 @[el2_lib.scala 343:30] - node _T_931 = bits(ic_miss_buff_half, 49, 49) @[el2_lib.scala 344:36] - _T_777[23] <= _T_931 @[el2_lib.scala 344:30] - node _T_932 = bits(ic_miss_buff_half, 50, 50) @[el2_lib.scala 339:36] - _T_772[27] <= _T_932 @[el2_lib.scala 339:30] - node _T_933 = bits(ic_miss_buff_half, 50, 50) @[el2_lib.scala 342:36] - _T_775[24] <= _T_933 @[el2_lib.scala 342:30] - node _T_934 = bits(ic_miss_buff_half, 50, 50) @[el2_lib.scala 343:36] - _T_776[24] <= _T_934 @[el2_lib.scala 343:30] - node _T_935 = bits(ic_miss_buff_half, 50, 50) @[el2_lib.scala 344:36] - _T_777[24] <= _T_935 @[el2_lib.scala 344:30] - node _T_936 = bits(ic_miss_buff_half, 51, 51) @[el2_lib.scala 340:36] - _T_773[27] <= _T_936 @[el2_lib.scala 340:30] - node _T_937 = bits(ic_miss_buff_half, 51, 51) @[el2_lib.scala 342:36] - _T_775[25] <= _T_937 @[el2_lib.scala 342:30] - node _T_938 = bits(ic_miss_buff_half, 51, 51) @[el2_lib.scala 343:36] - _T_776[25] <= _T_938 @[el2_lib.scala 343:30] - node _T_939 = bits(ic_miss_buff_half, 51, 51) @[el2_lib.scala 344:36] - _T_777[25] <= _T_939 @[el2_lib.scala 344:30] - node _T_940 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 339:36] - _T_772[28] <= _T_940 @[el2_lib.scala 339:30] - node _T_941 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 340:36] - _T_773[28] <= _T_941 @[el2_lib.scala 340:30] - node _T_942 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 342:36] - _T_775[26] <= _T_942 @[el2_lib.scala 342:30] - node _T_943 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 343:36] - _T_776[26] <= _T_943 @[el2_lib.scala 343:30] - node _T_944 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 344:36] - _T_777[26] <= _T_944 @[el2_lib.scala 344:30] - node _T_945 = bits(ic_miss_buff_half, 53, 53) @[el2_lib.scala 341:36] - _T_774[27] <= _T_945 @[el2_lib.scala 341:30] - node _T_946 = bits(ic_miss_buff_half, 53, 53) @[el2_lib.scala 342:36] - _T_775[27] <= _T_946 @[el2_lib.scala 342:30] - node _T_947 = bits(ic_miss_buff_half, 53, 53) @[el2_lib.scala 343:36] - _T_776[27] <= _T_947 @[el2_lib.scala 343:30] - node _T_948 = bits(ic_miss_buff_half, 53, 53) @[el2_lib.scala 344:36] - _T_777[27] <= _T_948 @[el2_lib.scala 344:30] - node _T_949 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 339:36] - _T_772[29] <= _T_949 @[el2_lib.scala 339:30] - node _T_950 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 341:36] - _T_774[28] <= _T_950 @[el2_lib.scala 341:30] - node _T_951 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 342:36] - _T_775[28] <= _T_951 @[el2_lib.scala 342:30] - node _T_952 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 343:36] - _T_776[28] <= _T_952 @[el2_lib.scala 343:30] - node _T_953 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 344:36] - _T_777[28] <= _T_953 @[el2_lib.scala 344:30] - node _T_954 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 340:36] - _T_773[29] <= _T_954 @[el2_lib.scala 340:30] - node _T_955 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 341:36] - _T_774[29] <= _T_955 @[el2_lib.scala 341:30] - node _T_956 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 342:36] - _T_775[29] <= _T_956 @[el2_lib.scala 342:30] - node _T_957 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 343:36] - _T_776[29] <= _T_957 @[el2_lib.scala 343:30] - node _T_958 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 344:36] - _T_777[29] <= _T_958 @[el2_lib.scala 344:30] - node _T_959 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 339:36] - _T_772[30] <= _T_959 @[el2_lib.scala 339:30] - node _T_960 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 340:36] - _T_773[30] <= _T_960 @[el2_lib.scala 340:30] - node _T_961 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 341:36] - _T_774[30] <= _T_961 @[el2_lib.scala 341:30] - node _T_962 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 342:36] - _T_775[30] <= _T_962 @[el2_lib.scala 342:30] - node _T_963 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 343:36] - _T_776[30] <= _T_963 @[el2_lib.scala 343:30] - node _T_964 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 344:36] - _T_777[30] <= _T_964 @[el2_lib.scala 344:30] - node _T_965 = bits(ic_miss_buff_half, 57, 57) @[el2_lib.scala 339:36] - _T_772[31] <= _T_965 @[el2_lib.scala 339:30] - node _T_966 = bits(ic_miss_buff_half, 57, 57) @[el2_lib.scala 345:36] - _T_778[0] <= _T_966 @[el2_lib.scala 345:30] - node _T_967 = bits(ic_miss_buff_half, 58, 58) @[el2_lib.scala 340:36] - _T_773[31] <= _T_967 @[el2_lib.scala 340:30] - node _T_968 = bits(ic_miss_buff_half, 58, 58) @[el2_lib.scala 345:36] - _T_778[1] <= _T_968 @[el2_lib.scala 345:30] - node _T_969 = bits(ic_miss_buff_half, 59, 59) @[el2_lib.scala 339:36] - _T_772[32] <= _T_969 @[el2_lib.scala 339:30] - node _T_970 = bits(ic_miss_buff_half, 59, 59) @[el2_lib.scala 340:36] - _T_773[32] <= _T_970 @[el2_lib.scala 340:30] - node _T_971 = bits(ic_miss_buff_half, 59, 59) @[el2_lib.scala 345:36] - _T_778[2] <= _T_971 @[el2_lib.scala 345:30] - node _T_972 = bits(ic_miss_buff_half, 60, 60) @[el2_lib.scala 341:36] - _T_774[31] <= _T_972 @[el2_lib.scala 341:30] - node _T_973 = bits(ic_miss_buff_half, 60, 60) @[el2_lib.scala 345:36] - _T_778[3] <= _T_973 @[el2_lib.scala 345:30] - node _T_974 = bits(ic_miss_buff_half, 61, 61) @[el2_lib.scala 339:36] - _T_772[33] <= _T_974 @[el2_lib.scala 339:30] - node _T_975 = bits(ic_miss_buff_half, 61, 61) @[el2_lib.scala 341:36] - _T_774[32] <= _T_975 @[el2_lib.scala 341:30] - node _T_976 = bits(ic_miss_buff_half, 61, 61) @[el2_lib.scala 345:36] - _T_778[4] <= _T_976 @[el2_lib.scala 345:30] - node _T_977 = bits(ic_miss_buff_half, 62, 62) @[el2_lib.scala 340:36] - _T_773[33] <= _T_977 @[el2_lib.scala 340:30] - node _T_978 = bits(ic_miss_buff_half, 62, 62) @[el2_lib.scala 341:36] - _T_774[33] <= _T_978 @[el2_lib.scala 341:30] - node _T_979 = bits(ic_miss_buff_half, 62, 62) @[el2_lib.scala 345:36] - _T_778[5] <= _T_979 @[el2_lib.scala 345:30] - node _T_980 = bits(ic_miss_buff_half, 63, 63) @[el2_lib.scala 339:36] - _T_772[34] <= _T_980 @[el2_lib.scala 339:30] - node _T_981 = bits(ic_miss_buff_half, 63, 63) @[el2_lib.scala 340:36] - _T_773[34] <= _T_981 @[el2_lib.scala 340:30] - node _T_982 = bits(ic_miss_buff_half, 63, 63) @[el2_lib.scala 341:36] - _T_774[34] <= _T_982 @[el2_lib.scala 341:30] - node _T_983 = bits(ic_miss_buff_half, 63, 63) @[el2_lib.scala 345:36] - _T_778[6] <= _T_983 @[el2_lib.scala 345:30] - node _T_984 = cat(_T_772[1], _T_772[0]) @[el2_lib.scala 347:27] - node _T_985 = cat(_T_772[3], _T_772[2]) @[el2_lib.scala 347:27] - node _T_986 = cat(_T_985, _T_984) @[el2_lib.scala 347:27] - node _T_987 = cat(_T_772[5], _T_772[4]) @[el2_lib.scala 347:27] - node _T_988 = cat(_T_772[7], _T_772[6]) @[el2_lib.scala 347:27] - node _T_989 = cat(_T_988, _T_987) @[el2_lib.scala 347:27] - node _T_990 = cat(_T_989, _T_986) @[el2_lib.scala 347:27] - node _T_991 = cat(_T_772[9], _T_772[8]) @[el2_lib.scala 347:27] - node _T_992 = cat(_T_772[11], _T_772[10]) @[el2_lib.scala 347:27] - node _T_993 = cat(_T_992, _T_991) @[el2_lib.scala 347:27] - node _T_994 = cat(_T_772[13], _T_772[12]) @[el2_lib.scala 347:27] - node _T_995 = cat(_T_772[16], _T_772[15]) @[el2_lib.scala 347:27] - node _T_996 = cat(_T_995, _T_772[14]) @[el2_lib.scala 347:27] - node _T_997 = cat(_T_996, _T_994) @[el2_lib.scala 347:27] - node _T_998 = cat(_T_997, _T_993) @[el2_lib.scala 347:27] - node _T_999 = cat(_T_998, _T_990) @[el2_lib.scala 347:27] - node _T_1000 = cat(_T_772[18], _T_772[17]) @[el2_lib.scala 347:27] - node _T_1001 = cat(_T_772[20], _T_772[19]) @[el2_lib.scala 347:27] - node _T_1002 = cat(_T_1001, _T_1000) @[el2_lib.scala 347:27] - node _T_1003 = cat(_T_772[22], _T_772[21]) @[el2_lib.scala 347:27] - node _T_1004 = cat(_T_772[25], _T_772[24]) @[el2_lib.scala 347:27] - node _T_1005 = cat(_T_1004, _T_772[23]) @[el2_lib.scala 347:27] - node _T_1006 = cat(_T_1005, _T_1003) @[el2_lib.scala 347:27] - node _T_1007 = cat(_T_1006, _T_1002) @[el2_lib.scala 347:27] - node _T_1008 = cat(_T_772[27], _T_772[26]) @[el2_lib.scala 347:27] - node _T_1009 = cat(_T_772[29], _T_772[28]) @[el2_lib.scala 347:27] - node _T_1010 = cat(_T_1009, _T_1008) @[el2_lib.scala 347:27] - node _T_1011 = cat(_T_772[31], _T_772[30]) @[el2_lib.scala 347:27] - node _T_1012 = cat(_T_772[34], _T_772[33]) @[el2_lib.scala 347:27] - node _T_1013 = cat(_T_1012, _T_772[32]) @[el2_lib.scala 347:27] - node _T_1014 = cat(_T_1013, _T_1011) @[el2_lib.scala 347:27] - node _T_1015 = cat(_T_1014, _T_1010) @[el2_lib.scala 347:27] - node _T_1016 = cat(_T_1015, _T_1007) @[el2_lib.scala 347:27] - node _T_1017 = cat(_T_1016, _T_999) @[el2_lib.scala 347:27] - node _T_1018 = xorr(_T_1017) @[el2_lib.scala 347:34] - node _T_1019 = cat(_T_773[1], _T_773[0]) @[el2_lib.scala 347:44] - node _T_1020 = cat(_T_773[3], _T_773[2]) @[el2_lib.scala 347:44] - node _T_1021 = cat(_T_1020, _T_1019) @[el2_lib.scala 347:44] - node _T_1022 = cat(_T_773[5], _T_773[4]) @[el2_lib.scala 347:44] - node _T_1023 = cat(_T_773[7], _T_773[6]) @[el2_lib.scala 347:44] - node _T_1024 = cat(_T_1023, _T_1022) @[el2_lib.scala 347:44] - node _T_1025 = cat(_T_1024, _T_1021) @[el2_lib.scala 347:44] - node _T_1026 = cat(_T_773[9], _T_773[8]) @[el2_lib.scala 347:44] - node _T_1027 = cat(_T_773[11], _T_773[10]) @[el2_lib.scala 347:44] - node _T_1028 = cat(_T_1027, _T_1026) @[el2_lib.scala 347:44] - node _T_1029 = cat(_T_773[13], _T_773[12]) @[el2_lib.scala 347:44] - node _T_1030 = cat(_T_773[16], _T_773[15]) @[el2_lib.scala 347:44] - node _T_1031 = cat(_T_1030, _T_773[14]) @[el2_lib.scala 347:44] - node _T_1032 = cat(_T_1031, _T_1029) @[el2_lib.scala 347:44] - node _T_1033 = cat(_T_1032, _T_1028) @[el2_lib.scala 347:44] - node _T_1034 = cat(_T_1033, _T_1025) @[el2_lib.scala 347:44] - node _T_1035 = cat(_T_773[18], _T_773[17]) @[el2_lib.scala 347:44] - node _T_1036 = cat(_T_773[20], _T_773[19]) @[el2_lib.scala 347:44] - node _T_1037 = cat(_T_1036, _T_1035) @[el2_lib.scala 347:44] - node _T_1038 = cat(_T_773[22], _T_773[21]) @[el2_lib.scala 347:44] - node _T_1039 = cat(_T_773[25], _T_773[24]) @[el2_lib.scala 347:44] - node _T_1040 = cat(_T_1039, _T_773[23]) @[el2_lib.scala 347:44] - node _T_1041 = cat(_T_1040, _T_1038) @[el2_lib.scala 347:44] - node _T_1042 = cat(_T_1041, _T_1037) @[el2_lib.scala 347:44] - node _T_1043 = cat(_T_773[27], _T_773[26]) @[el2_lib.scala 347:44] - node _T_1044 = cat(_T_773[29], _T_773[28]) @[el2_lib.scala 347:44] - node _T_1045 = cat(_T_1044, _T_1043) @[el2_lib.scala 347:44] - node _T_1046 = cat(_T_773[31], _T_773[30]) @[el2_lib.scala 347:44] - node _T_1047 = cat(_T_773[34], _T_773[33]) @[el2_lib.scala 347:44] - node _T_1048 = cat(_T_1047, _T_773[32]) @[el2_lib.scala 347:44] - node _T_1049 = cat(_T_1048, _T_1046) @[el2_lib.scala 347:44] - node _T_1050 = cat(_T_1049, _T_1045) @[el2_lib.scala 347:44] - node _T_1051 = cat(_T_1050, _T_1042) @[el2_lib.scala 347:44] - node _T_1052 = cat(_T_1051, _T_1034) @[el2_lib.scala 347:44] - node _T_1053 = xorr(_T_1052) @[el2_lib.scala 347:51] - node _T_1054 = cat(_T_774[1], _T_774[0]) @[el2_lib.scala 347:61] - node _T_1055 = cat(_T_774[3], _T_774[2]) @[el2_lib.scala 347:61] - node _T_1056 = cat(_T_1055, _T_1054) @[el2_lib.scala 347:61] - node _T_1057 = cat(_T_774[5], _T_774[4]) @[el2_lib.scala 347:61] - node _T_1058 = cat(_T_774[7], _T_774[6]) @[el2_lib.scala 347:61] - node _T_1059 = cat(_T_1058, _T_1057) @[el2_lib.scala 347:61] - node _T_1060 = cat(_T_1059, _T_1056) @[el2_lib.scala 347:61] - node _T_1061 = cat(_T_774[9], _T_774[8]) @[el2_lib.scala 347:61] - node _T_1062 = cat(_T_774[11], _T_774[10]) @[el2_lib.scala 347:61] - node _T_1063 = cat(_T_1062, _T_1061) @[el2_lib.scala 347:61] - node _T_1064 = cat(_T_774[13], _T_774[12]) @[el2_lib.scala 347:61] - node _T_1065 = cat(_T_774[16], _T_774[15]) @[el2_lib.scala 347:61] - node _T_1066 = cat(_T_1065, _T_774[14]) @[el2_lib.scala 347:61] - node _T_1067 = cat(_T_1066, _T_1064) @[el2_lib.scala 347:61] - node _T_1068 = cat(_T_1067, _T_1063) @[el2_lib.scala 347:61] - node _T_1069 = cat(_T_1068, _T_1060) @[el2_lib.scala 347:61] - node _T_1070 = cat(_T_774[18], _T_774[17]) @[el2_lib.scala 347:61] - node _T_1071 = cat(_T_774[20], _T_774[19]) @[el2_lib.scala 347:61] - node _T_1072 = cat(_T_1071, _T_1070) @[el2_lib.scala 347:61] - node _T_1073 = cat(_T_774[22], _T_774[21]) @[el2_lib.scala 347:61] - node _T_1074 = cat(_T_774[25], _T_774[24]) @[el2_lib.scala 347:61] - node _T_1075 = cat(_T_1074, _T_774[23]) @[el2_lib.scala 347:61] - node _T_1076 = cat(_T_1075, _T_1073) @[el2_lib.scala 347:61] - node _T_1077 = cat(_T_1076, _T_1072) @[el2_lib.scala 347:61] - node _T_1078 = cat(_T_774[27], _T_774[26]) @[el2_lib.scala 347:61] - node _T_1079 = cat(_T_774[29], _T_774[28]) @[el2_lib.scala 347:61] - node _T_1080 = cat(_T_1079, _T_1078) @[el2_lib.scala 347:61] - node _T_1081 = cat(_T_774[31], _T_774[30]) @[el2_lib.scala 347:61] - node _T_1082 = cat(_T_774[34], _T_774[33]) @[el2_lib.scala 347:61] - node _T_1083 = cat(_T_1082, _T_774[32]) @[el2_lib.scala 347:61] - node _T_1084 = cat(_T_1083, _T_1081) @[el2_lib.scala 347:61] - node _T_1085 = cat(_T_1084, _T_1080) @[el2_lib.scala 347:61] - node _T_1086 = cat(_T_1085, _T_1077) @[el2_lib.scala 347:61] - node _T_1087 = cat(_T_1086, _T_1069) @[el2_lib.scala 347:61] - node _T_1088 = xorr(_T_1087) @[el2_lib.scala 347:68] - node _T_1089 = cat(_T_775[2], _T_775[1]) @[el2_lib.scala 347:78] - node _T_1090 = cat(_T_1089, _T_775[0]) @[el2_lib.scala 347:78] - node _T_1091 = cat(_T_775[4], _T_775[3]) @[el2_lib.scala 347:78] - node _T_1092 = cat(_T_775[6], _T_775[5]) @[el2_lib.scala 347:78] - node _T_1093 = cat(_T_1092, _T_1091) @[el2_lib.scala 347:78] - node _T_1094 = cat(_T_1093, _T_1090) @[el2_lib.scala 347:78] - node _T_1095 = cat(_T_775[8], _T_775[7]) @[el2_lib.scala 347:78] - node _T_1096 = cat(_T_775[10], _T_775[9]) @[el2_lib.scala 347:78] - node _T_1097 = cat(_T_1096, _T_1095) @[el2_lib.scala 347:78] - node _T_1098 = cat(_T_775[12], _T_775[11]) @[el2_lib.scala 347:78] - node _T_1099 = cat(_T_775[14], _T_775[13]) @[el2_lib.scala 347:78] - node _T_1100 = cat(_T_1099, _T_1098) @[el2_lib.scala 347:78] - node _T_1101 = cat(_T_1100, _T_1097) @[el2_lib.scala 347:78] - node _T_1102 = cat(_T_1101, _T_1094) @[el2_lib.scala 347:78] - node _T_1103 = cat(_T_775[16], _T_775[15]) @[el2_lib.scala 347:78] - node _T_1104 = cat(_T_775[18], _T_775[17]) @[el2_lib.scala 347:78] - node _T_1105 = cat(_T_1104, _T_1103) @[el2_lib.scala 347:78] - node _T_1106 = cat(_T_775[20], _T_775[19]) @[el2_lib.scala 347:78] - node _T_1107 = cat(_T_775[22], _T_775[21]) @[el2_lib.scala 347:78] - node _T_1108 = cat(_T_1107, _T_1106) @[el2_lib.scala 347:78] - node _T_1109 = cat(_T_1108, _T_1105) @[el2_lib.scala 347:78] - node _T_1110 = cat(_T_775[24], _T_775[23]) @[el2_lib.scala 347:78] - node _T_1111 = cat(_T_775[26], _T_775[25]) @[el2_lib.scala 347:78] - node _T_1112 = cat(_T_1111, _T_1110) @[el2_lib.scala 347:78] - node _T_1113 = cat(_T_775[28], _T_775[27]) @[el2_lib.scala 347:78] - node _T_1114 = cat(_T_775[30], _T_775[29]) @[el2_lib.scala 347:78] - node _T_1115 = cat(_T_1114, _T_1113) @[el2_lib.scala 347:78] - node _T_1116 = cat(_T_1115, _T_1112) @[el2_lib.scala 347:78] - node _T_1117 = cat(_T_1116, _T_1109) @[el2_lib.scala 347:78] - node _T_1118 = cat(_T_1117, _T_1102) @[el2_lib.scala 347:78] - node _T_1119 = xorr(_T_1118) @[el2_lib.scala 347:85] - node _T_1120 = cat(_T_776[2], _T_776[1]) @[el2_lib.scala 347:95] - node _T_1121 = cat(_T_1120, _T_776[0]) @[el2_lib.scala 347:95] - node _T_1122 = cat(_T_776[4], _T_776[3]) @[el2_lib.scala 347:95] - node _T_1123 = cat(_T_776[6], _T_776[5]) @[el2_lib.scala 347:95] - node _T_1124 = cat(_T_1123, _T_1122) @[el2_lib.scala 347:95] - node _T_1125 = cat(_T_1124, _T_1121) @[el2_lib.scala 347:95] - node _T_1126 = cat(_T_776[8], _T_776[7]) @[el2_lib.scala 347:95] - node _T_1127 = cat(_T_776[10], _T_776[9]) @[el2_lib.scala 347:95] - node _T_1128 = cat(_T_1127, _T_1126) @[el2_lib.scala 347:95] - node _T_1129 = cat(_T_776[12], _T_776[11]) @[el2_lib.scala 347:95] - node _T_1130 = cat(_T_776[14], _T_776[13]) @[el2_lib.scala 347:95] - node _T_1131 = cat(_T_1130, _T_1129) @[el2_lib.scala 347:95] - node _T_1132 = cat(_T_1131, _T_1128) @[el2_lib.scala 347:95] - node _T_1133 = cat(_T_1132, _T_1125) @[el2_lib.scala 347:95] - node _T_1134 = cat(_T_776[16], _T_776[15]) @[el2_lib.scala 347:95] - node _T_1135 = cat(_T_776[18], _T_776[17]) @[el2_lib.scala 347:95] - node _T_1136 = cat(_T_1135, _T_1134) @[el2_lib.scala 347:95] - node _T_1137 = cat(_T_776[20], _T_776[19]) @[el2_lib.scala 347:95] - node _T_1138 = cat(_T_776[22], _T_776[21]) @[el2_lib.scala 347:95] - node _T_1139 = cat(_T_1138, _T_1137) @[el2_lib.scala 347:95] - node _T_1140 = cat(_T_1139, _T_1136) @[el2_lib.scala 347:95] - node _T_1141 = cat(_T_776[24], _T_776[23]) @[el2_lib.scala 347:95] - node _T_1142 = cat(_T_776[26], _T_776[25]) @[el2_lib.scala 347:95] - node _T_1143 = cat(_T_1142, _T_1141) @[el2_lib.scala 347:95] - node _T_1144 = cat(_T_776[28], _T_776[27]) @[el2_lib.scala 347:95] - node _T_1145 = cat(_T_776[30], _T_776[29]) @[el2_lib.scala 347:95] - node _T_1146 = cat(_T_1145, _T_1144) @[el2_lib.scala 347:95] - node _T_1147 = cat(_T_1146, _T_1143) @[el2_lib.scala 347:95] - node _T_1148 = cat(_T_1147, _T_1140) @[el2_lib.scala 347:95] - node _T_1149 = cat(_T_1148, _T_1133) @[el2_lib.scala 347:95] - node _T_1150 = xorr(_T_1149) @[el2_lib.scala 347:102] - node _T_1151 = cat(_T_777[2], _T_777[1]) @[el2_lib.scala 347:112] - node _T_1152 = cat(_T_1151, _T_777[0]) @[el2_lib.scala 347:112] - node _T_1153 = cat(_T_777[4], _T_777[3]) @[el2_lib.scala 347:112] - node _T_1154 = cat(_T_777[6], _T_777[5]) @[el2_lib.scala 347:112] - node _T_1155 = cat(_T_1154, _T_1153) @[el2_lib.scala 347:112] - node _T_1156 = cat(_T_1155, _T_1152) @[el2_lib.scala 347:112] - node _T_1157 = cat(_T_777[8], _T_777[7]) @[el2_lib.scala 347:112] - node _T_1158 = cat(_T_777[10], _T_777[9]) @[el2_lib.scala 347:112] - node _T_1159 = cat(_T_1158, _T_1157) @[el2_lib.scala 347:112] - node _T_1160 = cat(_T_777[12], _T_777[11]) @[el2_lib.scala 347:112] - node _T_1161 = cat(_T_777[14], _T_777[13]) @[el2_lib.scala 347:112] - node _T_1162 = cat(_T_1161, _T_1160) @[el2_lib.scala 347:112] - node _T_1163 = cat(_T_1162, _T_1159) @[el2_lib.scala 347:112] - node _T_1164 = cat(_T_1163, _T_1156) @[el2_lib.scala 347:112] - node _T_1165 = cat(_T_777[16], _T_777[15]) @[el2_lib.scala 347:112] - node _T_1166 = cat(_T_777[18], _T_777[17]) @[el2_lib.scala 347:112] - node _T_1167 = cat(_T_1166, _T_1165) @[el2_lib.scala 347:112] - node _T_1168 = cat(_T_777[20], _T_777[19]) @[el2_lib.scala 347:112] - node _T_1169 = cat(_T_777[22], _T_777[21]) @[el2_lib.scala 347:112] - node _T_1170 = cat(_T_1169, _T_1168) @[el2_lib.scala 347:112] - node _T_1171 = cat(_T_1170, _T_1167) @[el2_lib.scala 347:112] - node _T_1172 = cat(_T_777[24], _T_777[23]) @[el2_lib.scala 347:112] - node _T_1173 = cat(_T_777[26], _T_777[25]) @[el2_lib.scala 347:112] - node _T_1174 = cat(_T_1173, _T_1172) @[el2_lib.scala 347:112] - node _T_1175 = cat(_T_777[28], _T_777[27]) @[el2_lib.scala 347:112] - node _T_1176 = cat(_T_777[30], _T_777[29]) @[el2_lib.scala 347:112] - node _T_1177 = cat(_T_1176, _T_1175) @[el2_lib.scala 347:112] - node _T_1178 = cat(_T_1177, _T_1174) @[el2_lib.scala 347:112] - node _T_1179 = cat(_T_1178, _T_1171) @[el2_lib.scala 347:112] - node _T_1180 = cat(_T_1179, _T_1164) @[el2_lib.scala 347:112] - node _T_1181 = xorr(_T_1180) @[el2_lib.scala 347:119] - node _T_1182 = cat(_T_778[2], _T_778[1]) @[el2_lib.scala 347:129] - node _T_1183 = cat(_T_1182, _T_778[0]) @[el2_lib.scala 347:129] - node _T_1184 = cat(_T_778[4], _T_778[3]) @[el2_lib.scala 347:129] - node _T_1185 = cat(_T_778[6], _T_778[5]) @[el2_lib.scala 347:129] - node _T_1186 = cat(_T_1185, _T_1184) @[el2_lib.scala 347:129] - node _T_1187 = cat(_T_1186, _T_1183) @[el2_lib.scala 347:129] - node _T_1188 = xorr(_T_1187) @[el2_lib.scala 347:136] + inst m1 of rvecc_encode_64 @[el2_ifu_mem_ctl.scala 343:18] + m1.clock <= clock + m1.reset <= reset + m1.io.din <= ifu_bus_rdata_ff @[el2_ifu_mem_ctl.scala 344:13] + io.ic_wr_ecc <= m1.io.ecc_out @[el2_ifu_mem_ctl.scala 345:16] + wire _T_772 : UInt<1>[35] @[el2_lib.scala 363:18] + wire _T_773 : UInt<1>[35] @[el2_lib.scala 364:18] + wire _T_774 : UInt<1>[35] @[el2_lib.scala 365:18] + wire _T_775 : UInt<1>[31] @[el2_lib.scala 366:18] + wire _T_776 : UInt<1>[31] @[el2_lib.scala 367:18] + wire _T_777 : UInt<1>[31] @[el2_lib.scala 368:18] + wire _T_778 : UInt<1>[7] @[el2_lib.scala 369:18] + node _T_779 = bits(ic_miss_buff_half, 0, 0) @[el2_lib.scala 376:36] + _T_772[0] <= _T_779 @[el2_lib.scala 376:30] + node _T_780 = bits(ic_miss_buff_half, 0, 0) @[el2_lib.scala 377:36] + _T_773[0] <= _T_780 @[el2_lib.scala 377:30] + node _T_781 = bits(ic_miss_buff_half, 1, 1) @[el2_lib.scala 376:36] + _T_772[1] <= _T_781 @[el2_lib.scala 376:30] + node _T_782 = bits(ic_miss_buff_half, 1, 1) @[el2_lib.scala 378:36] + _T_774[0] <= _T_782 @[el2_lib.scala 378:30] + node _T_783 = bits(ic_miss_buff_half, 2, 2) @[el2_lib.scala 377:36] + _T_773[1] <= _T_783 @[el2_lib.scala 377:30] + node _T_784 = bits(ic_miss_buff_half, 2, 2) @[el2_lib.scala 378:36] + _T_774[1] <= _T_784 @[el2_lib.scala 378:30] + node _T_785 = bits(ic_miss_buff_half, 3, 3) @[el2_lib.scala 376:36] + _T_772[2] <= _T_785 @[el2_lib.scala 376:30] + node _T_786 = bits(ic_miss_buff_half, 3, 3) @[el2_lib.scala 377:36] + _T_773[2] <= _T_786 @[el2_lib.scala 377:30] + node _T_787 = bits(ic_miss_buff_half, 3, 3) @[el2_lib.scala 378:36] + _T_774[2] <= _T_787 @[el2_lib.scala 378:30] + node _T_788 = bits(ic_miss_buff_half, 4, 4) @[el2_lib.scala 376:36] + _T_772[3] <= _T_788 @[el2_lib.scala 376:30] + node _T_789 = bits(ic_miss_buff_half, 4, 4) @[el2_lib.scala 379:36] + _T_775[0] <= _T_789 @[el2_lib.scala 379:30] + node _T_790 = bits(ic_miss_buff_half, 5, 5) @[el2_lib.scala 377:36] + _T_773[3] <= _T_790 @[el2_lib.scala 377:30] + node _T_791 = bits(ic_miss_buff_half, 5, 5) @[el2_lib.scala 379:36] + _T_775[1] <= _T_791 @[el2_lib.scala 379:30] + node _T_792 = bits(ic_miss_buff_half, 6, 6) @[el2_lib.scala 376:36] + _T_772[4] <= _T_792 @[el2_lib.scala 376:30] + node _T_793 = bits(ic_miss_buff_half, 6, 6) @[el2_lib.scala 377:36] + _T_773[4] <= _T_793 @[el2_lib.scala 377:30] + node _T_794 = bits(ic_miss_buff_half, 6, 6) @[el2_lib.scala 379:36] + _T_775[2] <= _T_794 @[el2_lib.scala 379:30] + node _T_795 = bits(ic_miss_buff_half, 7, 7) @[el2_lib.scala 378:36] + _T_774[3] <= _T_795 @[el2_lib.scala 378:30] + node _T_796 = bits(ic_miss_buff_half, 7, 7) @[el2_lib.scala 379:36] + _T_775[3] <= _T_796 @[el2_lib.scala 379:30] + node _T_797 = bits(ic_miss_buff_half, 8, 8) @[el2_lib.scala 376:36] + _T_772[5] <= _T_797 @[el2_lib.scala 376:30] + node _T_798 = bits(ic_miss_buff_half, 8, 8) @[el2_lib.scala 378:36] + _T_774[4] <= _T_798 @[el2_lib.scala 378:30] + node _T_799 = bits(ic_miss_buff_half, 8, 8) @[el2_lib.scala 379:36] + _T_775[4] <= _T_799 @[el2_lib.scala 379:30] + node _T_800 = bits(ic_miss_buff_half, 9, 9) @[el2_lib.scala 377:36] + _T_773[5] <= _T_800 @[el2_lib.scala 377:30] + node _T_801 = bits(ic_miss_buff_half, 9, 9) @[el2_lib.scala 378:36] + _T_774[5] <= _T_801 @[el2_lib.scala 378:30] + node _T_802 = bits(ic_miss_buff_half, 9, 9) @[el2_lib.scala 379:36] + _T_775[5] <= _T_802 @[el2_lib.scala 379:30] + node _T_803 = bits(ic_miss_buff_half, 10, 10) @[el2_lib.scala 376:36] + _T_772[6] <= _T_803 @[el2_lib.scala 376:30] + node _T_804 = bits(ic_miss_buff_half, 10, 10) @[el2_lib.scala 377:36] + _T_773[6] <= _T_804 @[el2_lib.scala 377:30] + node _T_805 = bits(ic_miss_buff_half, 10, 10) @[el2_lib.scala 378:36] + _T_774[6] <= _T_805 @[el2_lib.scala 378:30] + node _T_806 = bits(ic_miss_buff_half, 10, 10) @[el2_lib.scala 379:36] + _T_775[6] <= _T_806 @[el2_lib.scala 379:30] + node _T_807 = bits(ic_miss_buff_half, 11, 11) @[el2_lib.scala 376:36] + _T_772[7] <= _T_807 @[el2_lib.scala 376:30] + node _T_808 = bits(ic_miss_buff_half, 11, 11) @[el2_lib.scala 380:36] + _T_776[0] <= _T_808 @[el2_lib.scala 380:30] + node _T_809 = bits(ic_miss_buff_half, 12, 12) @[el2_lib.scala 377:36] + _T_773[7] <= _T_809 @[el2_lib.scala 377:30] + node _T_810 = bits(ic_miss_buff_half, 12, 12) @[el2_lib.scala 380:36] + _T_776[1] <= _T_810 @[el2_lib.scala 380:30] + node _T_811 = bits(ic_miss_buff_half, 13, 13) @[el2_lib.scala 376:36] + _T_772[8] <= _T_811 @[el2_lib.scala 376:30] + node _T_812 = bits(ic_miss_buff_half, 13, 13) @[el2_lib.scala 377:36] + _T_773[8] <= _T_812 @[el2_lib.scala 377:30] + node _T_813 = bits(ic_miss_buff_half, 13, 13) @[el2_lib.scala 380:36] + _T_776[2] <= _T_813 @[el2_lib.scala 380:30] + node _T_814 = bits(ic_miss_buff_half, 14, 14) @[el2_lib.scala 378:36] + _T_774[7] <= _T_814 @[el2_lib.scala 378:30] + node _T_815 = bits(ic_miss_buff_half, 14, 14) @[el2_lib.scala 380:36] + _T_776[3] <= _T_815 @[el2_lib.scala 380:30] + node _T_816 = bits(ic_miss_buff_half, 15, 15) @[el2_lib.scala 376:36] + _T_772[9] <= _T_816 @[el2_lib.scala 376:30] + node _T_817 = bits(ic_miss_buff_half, 15, 15) @[el2_lib.scala 378:36] + _T_774[8] <= _T_817 @[el2_lib.scala 378:30] + node _T_818 = bits(ic_miss_buff_half, 15, 15) @[el2_lib.scala 380:36] + _T_776[4] <= _T_818 @[el2_lib.scala 380:30] + node _T_819 = bits(ic_miss_buff_half, 16, 16) @[el2_lib.scala 377:36] + _T_773[9] <= _T_819 @[el2_lib.scala 377:30] + node _T_820 = bits(ic_miss_buff_half, 16, 16) @[el2_lib.scala 378:36] + _T_774[9] <= _T_820 @[el2_lib.scala 378:30] + node _T_821 = bits(ic_miss_buff_half, 16, 16) @[el2_lib.scala 380:36] + _T_776[5] <= _T_821 @[el2_lib.scala 380:30] + node _T_822 = bits(ic_miss_buff_half, 17, 17) @[el2_lib.scala 376:36] + _T_772[10] <= _T_822 @[el2_lib.scala 376:30] + node _T_823 = bits(ic_miss_buff_half, 17, 17) @[el2_lib.scala 377:36] + _T_773[10] <= _T_823 @[el2_lib.scala 377:30] + node _T_824 = bits(ic_miss_buff_half, 17, 17) @[el2_lib.scala 378:36] + _T_774[10] <= _T_824 @[el2_lib.scala 378:30] + node _T_825 = bits(ic_miss_buff_half, 17, 17) @[el2_lib.scala 380:36] + _T_776[6] <= _T_825 @[el2_lib.scala 380:30] + node _T_826 = bits(ic_miss_buff_half, 18, 18) @[el2_lib.scala 379:36] + _T_775[7] <= _T_826 @[el2_lib.scala 379:30] + node _T_827 = bits(ic_miss_buff_half, 18, 18) @[el2_lib.scala 380:36] + _T_776[7] <= _T_827 @[el2_lib.scala 380:30] + node _T_828 = bits(ic_miss_buff_half, 19, 19) @[el2_lib.scala 376:36] + _T_772[11] <= _T_828 @[el2_lib.scala 376:30] + node _T_829 = bits(ic_miss_buff_half, 19, 19) @[el2_lib.scala 379:36] + _T_775[8] <= _T_829 @[el2_lib.scala 379:30] + node _T_830 = bits(ic_miss_buff_half, 19, 19) @[el2_lib.scala 380:36] + _T_776[8] <= _T_830 @[el2_lib.scala 380:30] + node _T_831 = bits(ic_miss_buff_half, 20, 20) @[el2_lib.scala 377:36] + _T_773[11] <= _T_831 @[el2_lib.scala 377:30] + node _T_832 = bits(ic_miss_buff_half, 20, 20) @[el2_lib.scala 379:36] + _T_775[9] <= _T_832 @[el2_lib.scala 379:30] + node _T_833 = bits(ic_miss_buff_half, 20, 20) @[el2_lib.scala 380:36] + _T_776[9] <= _T_833 @[el2_lib.scala 380:30] + node _T_834 = bits(ic_miss_buff_half, 21, 21) @[el2_lib.scala 376:36] + _T_772[12] <= _T_834 @[el2_lib.scala 376:30] + node _T_835 = bits(ic_miss_buff_half, 21, 21) @[el2_lib.scala 377:36] + _T_773[12] <= _T_835 @[el2_lib.scala 377:30] + node _T_836 = bits(ic_miss_buff_half, 21, 21) @[el2_lib.scala 379:36] + _T_775[10] <= _T_836 @[el2_lib.scala 379:30] + node _T_837 = bits(ic_miss_buff_half, 21, 21) @[el2_lib.scala 380:36] + _T_776[10] <= _T_837 @[el2_lib.scala 380:30] + node _T_838 = bits(ic_miss_buff_half, 22, 22) @[el2_lib.scala 378:36] + _T_774[11] <= _T_838 @[el2_lib.scala 378:30] + node _T_839 = bits(ic_miss_buff_half, 22, 22) @[el2_lib.scala 379:36] + _T_775[11] <= _T_839 @[el2_lib.scala 379:30] + node _T_840 = bits(ic_miss_buff_half, 22, 22) @[el2_lib.scala 380:36] + _T_776[11] <= _T_840 @[el2_lib.scala 380:30] + node _T_841 = bits(ic_miss_buff_half, 23, 23) @[el2_lib.scala 376:36] + _T_772[13] <= _T_841 @[el2_lib.scala 376:30] + node _T_842 = bits(ic_miss_buff_half, 23, 23) @[el2_lib.scala 378:36] + _T_774[12] <= _T_842 @[el2_lib.scala 378:30] + node _T_843 = bits(ic_miss_buff_half, 23, 23) @[el2_lib.scala 379:36] + _T_775[12] <= _T_843 @[el2_lib.scala 379:30] + node _T_844 = bits(ic_miss_buff_half, 23, 23) @[el2_lib.scala 380:36] + _T_776[12] <= _T_844 @[el2_lib.scala 380:30] + node _T_845 = bits(ic_miss_buff_half, 24, 24) @[el2_lib.scala 377:36] + _T_773[13] <= _T_845 @[el2_lib.scala 377:30] + node _T_846 = bits(ic_miss_buff_half, 24, 24) @[el2_lib.scala 378:36] + _T_774[13] <= _T_846 @[el2_lib.scala 378:30] + node _T_847 = bits(ic_miss_buff_half, 24, 24) @[el2_lib.scala 379:36] + _T_775[13] <= _T_847 @[el2_lib.scala 379:30] + node _T_848 = bits(ic_miss_buff_half, 24, 24) @[el2_lib.scala 380:36] + _T_776[13] <= _T_848 @[el2_lib.scala 380:30] + node _T_849 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 376:36] + _T_772[14] <= _T_849 @[el2_lib.scala 376:30] + node _T_850 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 377:36] + _T_773[14] <= _T_850 @[el2_lib.scala 377:30] + node _T_851 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 378:36] + _T_774[14] <= _T_851 @[el2_lib.scala 378:30] + node _T_852 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 379:36] + _T_775[14] <= _T_852 @[el2_lib.scala 379:30] + node _T_853 = bits(ic_miss_buff_half, 25, 25) @[el2_lib.scala 380:36] + _T_776[14] <= _T_853 @[el2_lib.scala 380:30] + node _T_854 = bits(ic_miss_buff_half, 26, 26) @[el2_lib.scala 376:36] + _T_772[15] <= _T_854 @[el2_lib.scala 376:30] + node _T_855 = bits(ic_miss_buff_half, 26, 26) @[el2_lib.scala 381:36] + _T_777[0] <= _T_855 @[el2_lib.scala 381:30] + node _T_856 = bits(ic_miss_buff_half, 27, 27) @[el2_lib.scala 377:36] + _T_773[15] <= _T_856 @[el2_lib.scala 377:30] + node _T_857 = bits(ic_miss_buff_half, 27, 27) @[el2_lib.scala 381:36] + _T_777[1] <= _T_857 @[el2_lib.scala 381:30] + node _T_858 = bits(ic_miss_buff_half, 28, 28) @[el2_lib.scala 376:36] + _T_772[16] <= _T_858 @[el2_lib.scala 376:30] + node _T_859 = bits(ic_miss_buff_half, 28, 28) @[el2_lib.scala 377:36] + _T_773[16] <= _T_859 @[el2_lib.scala 377:30] + node _T_860 = bits(ic_miss_buff_half, 28, 28) @[el2_lib.scala 381:36] + _T_777[2] <= _T_860 @[el2_lib.scala 381:30] + node _T_861 = bits(ic_miss_buff_half, 29, 29) @[el2_lib.scala 378:36] + _T_774[15] <= _T_861 @[el2_lib.scala 378:30] + node _T_862 = bits(ic_miss_buff_half, 29, 29) @[el2_lib.scala 381:36] + _T_777[3] <= _T_862 @[el2_lib.scala 381:30] + node _T_863 = bits(ic_miss_buff_half, 30, 30) @[el2_lib.scala 376:36] + _T_772[17] <= _T_863 @[el2_lib.scala 376:30] + node _T_864 = bits(ic_miss_buff_half, 30, 30) @[el2_lib.scala 378:36] + _T_774[16] <= _T_864 @[el2_lib.scala 378:30] + node _T_865 = bits(ic_miss_buff_half, 30, 30) @[el2_lib.scala 381:36] + _T_777[4] <= _T_865 @[el2_lib.scala 381:30] + node _T_866 = bits(ic_miss_buff_half, 31, 31) @[el2_lib.scala 377:36] + _T_773[17] <= _T_866 @[el2_lib.scala 377:30] + node _T_867 = bits(ic_miss_buff_half, 31, 31) @[el2_lib.scala 378:36] + _T_774[17] <= _T_867 @[el2_lib.scala 378:30] + node _T_868 = bits(ic_miss_buff_half, 31, 31) @[el2_lib.scala 381:36] + _T_777[5] <= _T_868 @[el2_lib.scala 381:30] + node _T_869 = bits(ic_miss_buff_half, 32, 32) @[el2_lib.scala 376:36] + _T_772[18] <= _T_869 @[el2_lib.scala 376:30] + node _T_870 = bits(ic_miss_buff_half, 32, 32) @[el2_lib.scala 377:36] + _T_773[18] <= _T_870 @[el2_lib.scala 377:30] + node _T_871 = bits(ic_miss_buff_half, 32, 32) @[el2_lib.scala 378:36] + _T_774[18] <= _T_871 @[el2_lib.scala 378:30] + node _T_872 = bits(ic_miss_buff_half, 32, 32) @[el2_lib.scala 381:36] + _T_777[6] <= _T_872 @[el2_lib.scala 381:30] + node _T_873 = bits(ic_miss_buff_half, 33, 33) @[el2_lib.scala 379:36] + _T_775[15] <= _T_873 @[el2_lib.scala 379:30] + node _T_874 = bits(ic_miss_buff_half, 33, 33) @[el2_lib.scala 381:36] + _T_777[7] <= _T_874 @[el2_lib.scala 381:30] + node _T_875 = bits(ic_miss_buff_half, 34, 34) @[el2_lib.scala 376:36] + _T_772[19] <= _T_875 @[el2_lib.scala 376:30] + node _T_876 = bits(ic_miss_buff_half, 34, 34) @[el2_lib.scala 379:36] + _T_775[16] <= _T_876 @[el2_lib.scala 379:30] + node _T_877 = bits(ic_miss_buff_half, 34, 34) @[el2_lib.scala 381:36] + _T_777[8] <= _T_877 @[el2_lib.scala 381:30] + node _T_878 = bits(ic_miss_buff_half, 35, 35) @[el2_lib.scala 377:36] + _T_773[19] <= _T_878 @[el2_lib.scala 377:30] + node _T_879 = bits(ic_miss_buff_half, 35, 35) @[el2_lib.scala 379:36] + _T_775[17] <= _T_879 @[el2_lib.scala 379:30] + node _T_880 = bits(ic_miss_buff_half, 35, 35) @[el2_lib.scala 381:36] + _T_777[9] <= _T_880 @[el2_lib.scala 381:30] + node _T_881 = bits(ic_miss_buff_half, 36, 36) @[el2_lib.scala 376:36] + _T_772[20] <= _T_881 @[el2_lib.scala 376:30] + node _T_882 = bits(ic_miss_buff_half, 36, 36) @[el2_lib.scala 377:36] + _T_773[20] <= _T_882 @[el2_lib.scala 377:30] + node _T_883 = bits(ic_miss_buff_half, 36, 36) @[el2_lib.scala 379:36] + _T_775[18] <= _T_883 @[el2_lib.scala 379:30] + node _T_884 = bits(ic_miss_buff_half, 36, 36) @[el2_lib.scala 381:36] + _T_777[10] <= _T_884 @[el2_lib.scala 381:30] + node _T_885 = bits(ic_miss_buff_half, 37, 37) @[el2_lib.scala 378:36] + _T_774[19] <= _T_885 @[el2_lib.scala 378:30] + node _T_886 = bits(ic_miss_buff_half, 37, 37) @[el2_lib.scala 379:36] + _T_775[19] <= _T_886 @[el2_lib.scala 379:30] + node _T_887 = bits(ic_miss_buff_half, 37, 37) @[el2_lib.scala 381:36] + _T_777[11] <= _T_887 @[el2_lib.scala 381:30] + node _T_888 = bits(ic_miss_buff_half, 38, 38) @[el2_lib.scala 376:36] + _T_772[21] <= _T_888 @[el2_lib.scala 376:30] + node _T_889 = bits(ic_miss_buff_half, 38, 38) @[el2_lib.scala 378:36] + _T_774[20] <= _T_889 @[el2_lib.scala 378:30] + node _T_890 = bits(ic_miss_buff_half, 38, 38) @[el2_lib.scala 379:36] + _T_775[20] <= _T_890 @[el2_lib.scala 379:30] + node _T_891 = bits(ic_miss_buff_half, 38, 38) @[el2_lib.scala 381:36] + _T_777[12] <= _T_891 @[el2_lib.scala 381:30] + node _T_892 = bits(ic_miss_buff_half, 39, 39) @[el2_lib.scala 377:36] + _T_773[21] <= _T_892 @[el2_lib.scala 377:30] + node _T_893 = bits(ic_miss_buff_half, 39, 39) @[el2_lib.scala 378:36] + _T_774[21] <= _T_893 @[el2_lib.scala 378:30] + node _T_894 = bits(ic_miss_buff_half, 39, 39) @[el2_lib.scala 379:36] + _T_775[21] <= _T_894 @[el2_lib.scala 379:30] + node _T_895 = bits(ic_miss_buff_half, 39, 39) @[el2_lib.scala 381:36] + _T_777[13] <= _T_895 @[el2_lib.scala 381:30] + node _T_896 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 376:36] + _T_772[22] <= _T_896 @[el2_lib.scala 376:30] + node _T_897 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 377:36] + _T_773[22] <= _T_897 @[el2_lib.scala 377:30] + node _T_898 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 378:36] + _T_774[22] <= _T_898 @[el2_lib.scala 378:30] + node _T_899 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 379:36] + _T_775[22] <= _T_899 @[el2_lib.scala 379:30] + node _T_900 = bits(ic_miss_buff_half, 40, 40) @[el2_lib.scala 381:36] + _T_777[14] <= _T_900 @[el2_lib.scala 381:30] + node _T_901 = bits(ic_miss_buff_half, 41, 41) @[el2_lib.scala 380:36] + _T_776[15] <= _T_901 @[el2_lib.scala 380:30] + node _T_902 = bits(ic_miss_buff_half, 41, 41) @[el2_lib.scala 381:36] + _T_777[15] <= _T_902 @[el2_lib.scala 381:30] + node _T_903 = bits(ic_miss_buff_half, 42, 42) @[el2_lib.scala 376:36] + _T_772[23] <= _T_903 @[el2_lib.scala 376:30] + node _T_904 = bits(ic_miss_buff_half, 42, 42) @[el2_lib.scala 380:36] + _T_776[16] <= _T_904 @[el2_lib.scala 380:30] + node _T_905 = bits(ic_miss_buff_half, 42, 42) @[el2_lib.scala 381:36] + _T_777[16] <= _T_905 @[el2_lib.scala 381:30] + node _T_906 = bits(ic_miss_buff_half, 43, 43) @[el2_lib.scala 377:36] + _T_773[23] <= _T_906 @[el2_lib.scala 377:30] + node _T_907 = bits(ic_miss_buff_half, 43, 43) @[el2_lib.scala 380:36] + _T_776[17] <= _T_907 @[el2_lib.scala 380:30] + node _T_908 = bits(ic_miss_buff_half, 43, 43) @[el2_lib.scala 381:36] + _T_777[17] <= _T_908 @[el2_lib.scala 381:30] + node _T_909 = bits(ic_miss_buff_half, 44, 44) @[el2_lib.scala 376:36] + _T_772[24] <= _T_909 @[el2_lib.scala 376:30] + node _T_910 = bits(ic_miss_buff_half, 44, 44) @[el2_lib.scala 377:36] + _T_773[24] <= _T_910 @[el2_lib.scala 377:30] + node _T_911 = bits(ic_miss_buff_half, 44, 44) @[el2_lib.scala 380:36] + _T_776[18] <= _T_911 @[el2_lib.scala 380:30] + node _T_912 = bits(ic_miss_buff_half, 44, 44) @[el2_lib.scala 381:36] + _T_777[18] <= _T_912 @[el2_lib.scala 381:30] + node _T_913 = bits(ic_miss_buff_half, 45, 45) @[el2_lib.scala 378:36] + _T_774[23] <= _T_913 @[el2_lib.scala 378:30] + node _T_914 = bits(ic_miss_buff_half, 45, 45) @[el2_lib.scala 380:36] + _T_776[19] <= _T_914 @[el2_lib.scala 380:30] + node _T_915 = bits(ic_miss_buff_half, 45, 45) @[el2_lib.scala 381:36] + _T_777[19] <= _T_915 @[el2_lib.scala 381:30] + node _T_916 = bits(ic_miss_buff_half, 46, 46) @[el2_lib.scala 376:36] + _T_772[25] <= _T_916 @[el2_lib.scala 376:30] + node _T_917 = bits(ic_miss_buff_half, 46, 46) @[el2_lib.scala 378:36] + _T_774[24] <= _T_917 @[el2_lib.scala 378:30] + node _T_918 = bits(ic_miss_buff_half, 46, 46) @[el2_lib.scala 380:36] + _T_776[20] <= _T_918 @[el2_lib.scala 380:30] + node _T_919 = bits(ic_miss_buff_half, 46, 46) @[el2_lib.scala 381:36] + _T_777[20] <= _T_919 @[el2_lib.scala 381:30] + node _T_920 = bits(ic_miss_buff_half, 47, 47) @[el2_lib.scala 377:36] + _T_773[25] <= _T_920 @[el2_lib.scala 377:30] + node _T_921 = bits(ic_miss_buff_half, 47, 47) @[el2_lib.scala 378:36] + _T_774[25] <= _T_921 @[el2_lib.scala 378:30] + node _T_922 = bits(ic_miss_buff_half, 47, 47) @[el2_lib.scala 380:36] + _T_776[21] <= _T_922 @[el2_lib.scala 380:30] + node _T_923 = bits(ic_miss_buff_half, 47, 47) @[el2_lib.scala 381:36] + _T_777[21] <= _T_923 @[el2_lib.scala 381:30] + node _T_924 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 376:36] + _T_772[26] <= _T_924 @[el2_lib.scala 376:30] + node _T_925 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 377:36] + _T_773[26] <= _T_925 @[el2_lib.scala 377:30] + node _T_926 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 378:36] + _T_774[26] <= _T_926 @[el2_lib.scala 378:30] + node _T_927 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 380:36] + _T_776[22] <= _T_927 @[el2_lib.scala 380:30] + node _T_928 = bits(ic_miss_buff_half, 48, 48) @[el2_lib.scala 381:36] + _T_777[22] <= _T_928 @[el2_lib.scala 381:30] + node _T_929 = bits(ic_miss_buff_half, 49, 49) @[el2_lib.scala 379:36] + _T_775[23] <= _T_929 @[el2_lib.scala 379:30] + node _T_930 = bits(ic_miss_buff_half, 49, 49) @[el2_lib.scala 380:36] + _T_776[23] <= _T_930 @[el2_lib.scala 380:30] + node _T_931 = bits(ic_miss_buff_half, 49, 49) @[el2_lib.scala 381:36] + _T_777[23] <= _T_931 @[el2_lib.scala 381:30] + node _T_932 = bits(ic_miss_buff_half, 50, 50) @[el2_lib.scala 376:36] + _T_772[27] <= _T_932 @[el2_lib.scala 376:30] + node _T_933 = bits(ic_miss_buff_half, 50, 50) @[el2_lib.scala 379:36] + _T_775[24] <= _T_933 @[el2_lib.scala 379:30] + node _T_934 = bits(ic_miss_buff_half, 50, 50) @[el2_lib.scala 380:36] + _T_776[24] <= _T_934 @[el2_lib.scala 380:30] + node _T_935 = bits(ic_miss_buff_half, 50, 50) @[el2_lib.scala 381:36] + _T_777[24] <= _T_935 @[el2_lib.scala 381:30] + node _T_936 = bits(ic_miss_buff_half, 51, 51) @[el2_lib.scala 377:36] + _T_773[27] <= _T_936 @[el2_lib.scala 377:30] + node _T_937 = bits(ic_miss_buff_half, 51, 51) @[el2_lib.scala 379:36] + _T_775[25] <= _T_937 @[el2_lib.scala 379:30] + node _T_938 = bits(ic_miss_buff_half, 51, 51) @[el2_lib.scala 380:36] + _T_776[25] <= _T_938 @[el2_lib.scala 380:30] + node _T_939 = bits(ic_miss_buff_half, 51, 51) @[el2_lib.scala 381:36] + _T_777[25] <= _T_939 @[el2_lib.scala 381:30] + node _T_940 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 376:36] + _T_772[28] <= _T_940 @[el2_lib.scala 376:30] + node _T_941 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 377:36] + _T_773[28] <= _T_941 @[el2_lib.scala 377:30] + node _T_942 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 379:36] + _T_775[26] <= _T_942 @[el2_lib.scala 379:30] + node _T_943 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 380:36] + _T_776[26] <= _T_943 @[el2_lib.scala 380:30] + node _T_944 = bits(ic_miss_buff_half, 52, 52) @[el2_lib.scala 381:36] + _T_777[26] <= _T_944 @[el2_lib.scala 381:30] + node _T_945 = bits(ic_miss_buff_half, 53, 53) @[el2_lib.scala 378:36] + _T_774[27] <= _T_945 @[el2_lib.scala 378:30] + node _T_946 = bits(ic_miss_buff_half, 53, 53) @[el2_lib.scala 379:36] + _T_775[27] <= _T_946 @[el2_lib.scala 379:30] + node _T_947 = bits(ic_miss_buff_half, 53, 53) @[el2_lib.scala 380:36] + _T_776[27] <= _T_947 @[el2_lib.scala 380:30] + node _T_948 = bits(ic_miss_buff_half, 53, 53) @[el2_lib.scala 381:36] + _T_777[27] <= _T_948 @[el2_lib.scala 381:30] + node _T_949 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 376:36] + _T_772[29] <= _T_949 @[el2_lib.scala 376:30] + node _T_950 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 378:36] + _T_774[28] <= _T_950 @[el2_lib.scala 378:30] + node _T_951 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 379:36] + _T_775[28] <= _T_951 @[el2_lib.scala 379:30] + node _T_952 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 380:36] + _T_776[28] <= _T_952 @[el2_lib.scala 380:30] + node _T_953 = bits(ic_miss_buff_half, 54, 54) @[el2_lib.scala 381:36] + _T_777[28] <= _T_953 @[el2_lib.scala 381:30] + node _T_954 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 377:36] + _T_773[29] <= _T_954 @[el2_lib.scala 377:30] + node _T_955 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 378:36] + _T_774[29] <= _T_955 @[el2_lib.scala 378:30] + node _T_956 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 379:36] + _T_775[29] <= _T_956 @[el2_lib.scala 379:30] + node _T_957 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 380:36] + _T_776[29] <= _T_957 @[el2_lib.scala 380:30] + node _T_958 = bits(ic_miss_buff_half, 55, 55) @[el2_lib.scala 381:36] + _T_777[29] <= _T_958 @[el2_lib.scala 381:30] + node _T_959 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 376:36] + _T_772[30] <= _T_959 @[el2_lib.scala 376:30] + node _T_960 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 377:36] + _T_773[30] <= _T_960 @[el2_lib.scala 377:30] + node _T_961 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 378:36] + _T_774[30] <= _T_961 @[el2_lib.scala 378:30] + node _T_962 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 379:36] + _T_775[30] <= _T_962 @[el2_lib.scala 379:30] + node _T_963 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 380:36] + _T_776[30] <= _T_963 @[el2_lib.scala 380:30] + node _T_964 = bits(ic_miss_buff_half, 56, 56) @[el2_lib.scala 381:36] + _T_777[30] <= _T_964 @[el2_lib.scala 381:30] + node _T_965 = bits(ic_miss_buff_half, 57, 57) @[el2_lib.scala 376:36] + _T_772[31] <= _T_965 @[el2_lib.scala 376:30] + node _T_966 = bits(ic_miss_buff_half, 57, 57) @[el2_lib.scala 382:36] + _T_778[0] <= _T_966 @[el2_lib.scala 382:30] + node _T_967 = bits(ic_miss_buff_half, 58, 58) @[el2_lib.scala 377:36] + _T_773[31] <= _T_967 @[el2_lib.scala 377:30] + node _T_968 = bits(ic_miss_buff_half, 58, 58) @[el2_lib.scala 382:36] + _T_778[1] <= _T_968 @[el2_lib.scala 382:30] + node _T_969 = bits(ic_miss_buff_half, 59, 59) @[el2_lib.scala 376:36] + _T_772[32] <= _T_969 @[el2_lib.scala 376:30] + node _T_970 = bits(ic_miss_buff_half, 59, 59) @[el2_lib.scala 377:36] + _T_773[32] <= _T_970 @[el2_lib.scala 377:30] + node _T_971 = bits(ic_miss_buff_half, 59, 59) @[el2_lib.scala 382:36] + _T_778[2] <= _T_971 @[el2_lib.scala 382:30] + node _T_972 = bits(ic_miss_buff_half, 60, 60) @[el2_lib.scala 378:36] + _T_774[31] <= _T_972 @[el2_lib.scala 378:30] + node _T_973 = bits(ic_miss_buff_half, 60, 60) @[el2_lib.scala 382:36] + _T_778[3] <= _T_973 @[el2_lib.scala 382:30] + node _T_974 = bits(ic_miss_buff_half, 61, 61) @[el2_lib.scala 376:36] + _T_772[33] <= _T_974 @[el2_lib.scala 376:30] + node _T_975 = bits(ic_miss_buff_half, 61, 61) @[el2_lib.scala 378:36] + _T_774[32] <= _T_975 @[el2_lib.scala 378:30] + node _T_976 = bits(ic_miss_buff_half, 61, 61) @[el2_lib.scala 382:36] + _T_778[4] <= _T_976 @[el2_lib.scala 382:30] + node _T_977 = bits(ic_miss_buff_half, 62, 62) @[el2_lib.scala 377:36] + _T_773[33] <= _T_977 @[el2_lib.scala 377:30] + node _T_978 = bits(ic_miss_buff_half, 62, 62) @[el2_lib.scala 378:36] + _T_774[33] <= _T_978 @[el2_lib.scala 378:30] + node _T_979 = bits(ic_miss_buff_half, 62, 62) @[el2_lib.scala 382:36] + _T_778[5] <= _T_979 @[el2_lib.scala 382:30] + node _T_980 = bits(ic_miss_buff_half, 63, 63) @[el2_lib.scala 376:36] + _T_772[34] <= _T_980 @[el2_lib.scala 376:30] + node _T_981 = bits(ic_miss_buff_half, 63, 63) @[el2_lib.scala 377:36] + _T_773[34] <= _T_981 @[el2_lib.scala 377:30] + node _T_982 = bits(ic_miss_buff_half, 63, 63) @[el2_lib.scala 378:36] + _T_774[34] <= _T_982 @[el2_lib.scala 378:30] + node _T_983 = bits(ic_miss_buff_half, 63, 63) @[el2_lib.scala 382:36] + _T_778[6] <= _T_983 @[el2_lib.scala 382:30] + node _T_984 = cat(_T_772[1], _T_772[0]) @[el2_lib.scala 384:27] + node _T_985 = cat(_T_772[3], _T_772[2]) @[el2_lib.scala 384:27] + node _T_986 = cat(_T_985, _T_984) @[el2_lib.scala 384:27] + node _T_987 = cat(_T_772[5], _T_772[4]) @[el2_lib.scala 384:27] + node _T_988 = cat(_T_772[7], _T_772[6]) @[el2_lib.scala 384:27] + node _T_989 = cat(_T_988, _T_987) @[el2_lib.scala 384:27] + node _T_990 = cat(_T_989, _T_986) @[el2_lib.scala 384:27] + node _T_991 = cat(_T_772[9], _T_772[8]) @[el2_lib.scala 384:27] + node _T_992 = cat(_T_772[11], _T_772[10]) @[el2_lib.scala 384:27] + node _T_993 = cat(_T_992, _T_991) @[el2_lib.scala 384:27] + node _T_994 = cat(_T_772[13], _T_772[12]) @[el2_lib.scala 384:27] + node _T_995 = cat(_T_772[16], _T_772[15]) @[el2_lib.scala 384:27] + node _T_996 = cat(_T_995, _T_772[14]) @[el2_lib.scala 384:27] + node _T_997 = cat(_T_996, _T_994) @[el2_lib.scala 384:27] + node _T_998 = cat(_T_997, _T_993) @[el2_lib.scala 384:27] + node _T_999 = cat(_T_998, _T_990) @[el2_lib.scala 384:27] + node _T_1000 = cat(_T_772[18], _T_772[17]) @[el2_lib.scala 384:27] + node _T_1001 = cat(_T_772[20], _T_772[19]) @[el2_lib.scala 384:27] + node _T_1002 = cat(_T_1001, _T_1000) @[el2_lib.scala 384:27] + node _T_1003 = cat(_T_772[22], _T_772[21]) @[el2_lib.scala 384:27] + node _T_1004 = cat(_T_772[25], _T_772[24]) @[el2_lib.scala 384:27] + node _T_1005 = cat(_T_1004, _T_772[23]) @[el2_lib.scala 384:27] + node _T_1006 = cat(_T_1005, _T_1003) @[el2_lib.scala 384:27] + node _T_1007 = cat(_T_1006, _T_1002) @[el2_lib.scala 384:27] + node _T_1008 = cat(_T_772[27], _T_772[26]) @[el2_lib.scala 384:27] + node _T_1009 = cat(_T_772[29], _T_772[28]) @[el2_lib.scala 384:27] + node _T_1010 = cat(_T_1009, _T_1008) @[el2_lib.scala 384:27] + node _T_1011 = cat(_T_772[31], _T_772[30]) @[el2_lib.scala 384:27] + node _T_1012 = cat(_T_772[34], _T_772[33]) @[el2_lib.scala 384:27] + node _T_1013 = cat(_T_1012, _T_772[32]) @[el2_lib.scala 384:27] + node _T_1014 = cat(_T_1013, _T_1011) @[el2_lib.scala 384:27] + node _T_1015 = cat(_T_1014, _T_1010) @[el2_lib.scala 384:27] + node _T_1016 = cat(_T_1015, _T_1007) @[el2_lib.scala 384:27] + node _T_1017 = cat(_T_1016, _T_999) @[el2_lib.scala 384:27] + node _T_1018 = xorr(_T_1017) @[el2_lib.scala 384:34] + node _T_1019 = cat(_T_773[1], _T_773[0]) @[el2_lib.scala 384:44] + node _T_1020 = cat(_T_773[3], _T_773[2]) @[el2_lib.scala 384:44] + node _T_1021 = cat(_T_1020, _T_1019) @[el2_lib.scala 384:44] + node _T_1022 = cat(_T_773[5], _T_773[4]) @[el2_lib.scala 384:44] + node _T_1023 = cat(_T_773[7], _T_773[6]) @[el2_lib.scala 384:44] + node _T_1024 = cat(_T_1023, _T_1022) @[el2_lib.scala 384:44] + node _T_1025 = cat(_T_1024, _T_1021) @[el2_lib.scala 384:44] + node _T_1026 = cat(_T_773[9], _T_773[8]) @[el2_lib.scala 384:44] + node _T_1027 = cat(_T_773[11], _T_773[10]) @[el2_lib.scala 384:44] + node _T_1028 = cat(_T_1027, _T_1026) @[el2_lib.scala 384:44] + node _T_1029 = cat(_T_773[13], _T_773[12]) @[el2_lib.scala 384:44] + node _T_1030 = cat(_T_773[16], _T_773[15]) @[el2_lib.scala 384:44] + node _T_1031 = cat(_T_1030, _T_773[14]) @[el2_lib.scala 384:44] + node _T_1032 = cat(_T_1031, _T_1029) @[el2_lib.scala 384:44] + node _T_1033 = cat(_T_1032, _T_1028) @[el2_lib.scala 384:44] + node _T_1034 = cat(_T_1033, _T_1025) @[el2_lib.scala 384:44] + node _T_1035 = cat(_T_773[18], _T_773[17]) @[el2_lib.scala 384:44] + node _T_1036 = cat(_T_773[20], _T_773[19]) @[el2_lib.scala 384:44] + node _T_1037 = cat(_T_1036, _T_1035) @[el2_lib.scala 384:44] + node _T_1038 = cat(_T_773[22], _T_773[21]) @[el2_lib.scala 384:44] + node _T_1039 = cat(_T_773[25], _T_773[24]) @[el2_lib.scala 384:44] + node _T_1040 = cat(_T_1039, _T_773[23]) @[el2_lib.scala 384:44] + node _T_1041 = cat(_T_1040, _T_1038) @[el2_lib.scala 384:44] + node _T_1042 = cat(_T_1041, _T_1037) @[el2_lib.scala 384:44] + node _T_1043 = cat(_T_773[27], _T_773[26]) @[el2_lib.scala 384:44] + node _T_1044 = cat(_T_773[29], _T_773[28]) @[el2_lib.scala 384:44] + node _T_1045 = cat(_T_1044, _T_1043) @[el2_lib.scala 384:44] + node _T_1046 = cat(_T_773[31], _T_773[30]) @[el2_lib.scala 384:44] + node _T_1047 = cat(_T_773[34], _T_773[33]) @[el2_lib.scala 384:44] + node _T_1048 = cat(_T_1047, _T_773[32]) @[el2_lib.scala 384:44] + node _T_1049 = cat(_T_1048, _T_1046) @[el2_lib.scala 384:44] + node _T_1050 = cat(_T_1049, _T_1045) @[el2_lib.scala 384:44] + node _T_1051 = cat(_T_1050, _T_1042) @[el2_lib.scala 384:44] + node _T_1052 = cat(_T_1051, _T_1034) @[el2_lib.scala 384:44] + node _T_1053 = xorr(_T_1052) @[el2_lib.scala 384:51] + node _T_1054 = cat(_T_774[1], _T_774[0]) @[el2_lib.scala 384:61] + node _T_1055 = cat(_T_774[3], _T_774[2]) @[el2_lib.scala 384:61] + node _T_1056 = cat(_T_1055, _T_1054) @[el2_lib.scala 384:61] + node _T_1057 = cat(_T_774[5], _T_774[4]) @[el2_lib.scala 384:61] + node _T_1058 = cat(_T_774[7], _T_774[6]) @[el2_lib.scala 384:61] + node _T_1059 = cat(_T_1058, _T_1057) @[el2_lib.scala 384:61] + node _T_1060 = cat(_T_1059, _T_1056) @[el2_lib.scala 384:61] + node _T_1061 = cat(_T_774[9], _T_774[8]) @[el2_lib.scala 384:61] + node _T_1062 = cat(_T_774[11], _T_774[10]) @[el2_lib.scala 384:61] + node _T_1063 = cat(_T_1062, _T_1061) @[el2_lib.scala 384:61] + node _T_1064 = cat(_T_774[13], _T_774[12]) @[el2_lib.scala 384:61] + node _T_1065 = cat(_T_774[16], _T_774[15]) @[el2_lib.scala 384:61] + node _T_1066 = cat(_T_1065, _T_774[14]) @[el2_lib.scala 384:61] + node _T_1067 = cat(_T_1066, _T_1064) @[el2_lib.scala 384:61] + node _T_1068 = cat(_T_1067, _T_1063) @[el2_lib.scala 384:61] + node _T_1069 = cat(_T_1068, _T_1060) @[el2_lib.scala 384:61] + node _T_1070 = cat(_T_774[18], _T_774[17]) @[el2_lib.scala 384:61] + node _T_1071 = cat(_T_774[20], _T_774[19]) @[el2_lib.scala 384:61] + node _T_1072 = cat(_T_1071, _T_1070) @[el2_lib.scala 384:61] + node _T_1073 = cat(_T_774[22], _T_774[21]) @[el2_lib.scala 384:61] + node _T_1074 = cat(_T_774[25], _T_774[24]) @[el2_lib.scala 384:61] + node _T_1075 = cat(_T_1074, _T_774[23]) @[el2_lib.scala 384:61] + node _T_1076 = cat(_T_1075, _T_1073) @[el2_lib.scala 384:61] + node _T_1077 = cat(_T_1076, _T_1072) @[el2_lib.scala 384:61] + node _T_1078 = cat(_T_774[27], _T_774[26]) @[el2_lib.scala 384:61] + node _T_1079 = cat(_T_774[29], _T_774[28]) @[el2_lib.scala 384:61] + node _T_1080 = cat(_T_1079, _T_1078) @[el2_lib.scala 384:61] + node _T_1081 = cat(_T_774[31], _T_774[30]) @[el2_lib.scala 384:61] + node _T_1082 = cat(_T_774[34], _T_774[33]) @[el2_lib.scala 384:61] + node _T_1083 = cat(_T_1082, _T_774[32]) @[el2_lib.scala 384:61] + node _T_1084 = cat(_T_1083, _T_1081) @[el2_lib.scala 384:61] + node _T_1085 = cat(_T_1084, _T_1080) @[el2_lib.scala 384:61] + node _T_1086 = cat(_T_1085, _T_1077) @[el2_lib.scala 384:61] + node _T_1087 = cat(_T_1086, _T_1069) @[el2_lib.scala 384:61] + node _T_1088 = xorr(_T_1087) @[el2_lib.scala 384:68] + node _T_1089 = cat(_T_775[2], _T_775[1]) @[el2_lib.scala 384:78] + node _T_1090 = cat(_T_1089, _T_775[0]) @[el2_lib.scala 384:78] + node _T_1091 = cat(_T_775[4], _T_775[3]) @[el2_lib.scala 384:78] + node _T_1092 = cat(_T_775[6], _T_775[5]) @[el2_lib.scala 384:78] + node _T_1093 = cat(_T_1092, _T_1091) @[el2_lib.scala 384:78] + node _T_1094 = cat(_T_1093, _T_1090) @[el2_lib.scala 384:78] + node _T_1095 = cat(_T_775[8], _T_775[7]) @[el2_lib.scala 384:78] + node _T_1096 = cat(_T_775[10], _T_775[9]) @[el2_lib.scala 384:78] + node _T_1097 = cat(_T_1096, _T_1095) @[el2_lib.scala 384:78] + node _T_1098 = cat(_T_775[12], _T_775[11]) @[el2_lib.scala 384:78] + node _T_1099 = cat(_T_775[14], _T_775[13]) @[el2_lib.scala 384:78] + node _T_1100 = cat(_T_1099, _T_1098) @[el2_lib.scala 384:78] + node _T_1101 = cat(_T_1100, _T_1097) @[el2_lib.scala 384:78] + node _T_1102 = cat(_T_1101, _T_1094) @[el2_lib.scala 384:78] + node _T_1103 = cat(_T_775[16], _T_775[15]) @[el2_lib.scala 384:78] + node _T_1104 = cat(_T_775[18], _T_775[17]) @[el2_lib.scala 384:78] + node _T_1105 = cat(_T_1104, _T_1103) @[el2_lib.scala 384:78] + node _T_1106 = cat(_T_775[20], _T_775[19]) @[el2_lib.scala 384:78] + node _T_1107 = cat(_T_775[22], _T_775[21]) @[el2_lib.scala 384:78] + node _T_1108 = cat(_T_1107, _T_1106) @[el2_lib.scala 384:78] + node _T_1109 = cat(_T_1108, _T_1105) @[el2_lib.scala 384:78] + node _T_1110 = cat(_T_775[24], _T_775[23]) @[el2_lib.scala 384:78] + node _T_1111 = cat(_T_775[26], _T_775[25]) @[el2_lib.scala 384:78] + node _T_1112 = cat(_T_1111, _T_1110) @[el2_lib.scala 384:78] + node _T_1113 = cat(_T_775[28], _T_775[27]) @[el2_lib.scala 384:78] + node _T_1114 = cat(_T_775[30], _T_775[29]) @[el2_lib.scala 384:78] + node _T_1115 = cat(_T_1114, _T_1113) @[el2_lib.scala 384:78] + node _T_1116 = cat(_T_1115, _T_1112) @[el2_lib.scala 384:78] + node _T_1117 = cat(_T_1116, _T_1109) @[el2_lib.scala 384:78] + node _T_1118 = cat(_T_1117, _T_1102) @[el2_lib.scala 384:78] + node _T_1119 = xorr(_T_1118) @[el2_lib.scala 384:85] + node _T_1120 = cat(_T_776[2], _T_776[1]) @[el2_lib.scala 384:95] + node _T_1121 = cat(_T_1120, _T_776[0]) @[el2_lib.scala 384:95] + node _T_1122 = cat(_T_776[4], _T_776[3]) @[el2_lib.scala 384:95] + node _T_1123 = cat(_T_776[6], _T_776[5]) @[el2_lib.scala 384:95] + node _T_1124 = cat(_T_1123, _T_1122) @[el2_lib.scala 384:95] + node _T_1125 = cat(_T_1124, _T_1121) @[el2_lib.scala 384:95] + node _T_1126 = cat(_T_776[8], _T_776[7]) @[el2_lib.scala 384:95] + node _T_1127 = cat(_T_776[10], _T_776[9]) @[el2_lib.scala 384:95] + node _T_1128 = cat(_T_1127, _T_1126) @[el2_lib.scala 384:95] + node _T_1129 = cat(_T_776[12], _T_776[11]) @[el2_lib.scala 384:95] + node _T_1130 = cat(_T_776[14], _T_776[13]) @[el2_lib.scala 384:95] + node _T_1131 = cat(_T_1130, _T_1129) @[el2_lib.scala 384:95] + node _T_1132 = cat(_T_1131, _T_1128) @[el2_lib.scala 384:95] + node _T_1133 = cat(_T_1132, _T_1125) @[el2_lib.scala 384:95] + node _T_1134 = cat(_T_776[16], _T_776[15]) @[el2_lib.scala 384:95] + node _T_1135 = cat(_T_776[18], _T_776[17]) @[el2_lib.scala 384:95] + node _T_1136 = cat(_T_1135, _T_1134) @[el2_lib.scala 384:95] + node _T_1137 = cat(_T_776[20], _T_776[19]) @[el2_lib.scala 384:95] + node _T_1138 = cat(_T_776[22], _T_776[21]) @[el2_lib.scala 384:95] + node _T_1139 = cat(_T_1138, _T_1137) @[el2_lib.scala 384:95] + node _T_1140 = cat(_T_1139, _T_1136) @[el2_lib.scala 384:95] + node _T_1141 = cat(_T_776[24], _T_776[23]) @[el2_lib.scala 384:95] + node _T_1142 = cat(_T_776[26], _T_776[25]) @[el2_lib.scala 384:95] + node _T_1143 = cat(_T_1142, _T_1141) @[el2_lib.scala 384:95] + node _T_1144 = cat(_T_776[28], _T_776[27]) @[el2_lib.scala 384:95] + node _T_1145 = cat(_T_776[30], _T_776[29]) @[el2_lib.scala 384:95] + node _T_1146 = cat(_T_1145, _T_1144) @[el2_lib.scala 384:95] + node _T_1147 = cat(_T_1146, _T_1143) @[el2_lib.scala 384:95] + node _T_1148 = cat(_T_1147, _T_1140) @[el2_lib.scala 384:95] + node _T_1149 = cat(_T_1148, _T_1133) @[el2_lib.scala 384:95] + node _T_1150 = xorr(_T_1149) @[el2_lib.scala 384:102] + node _T_1151 = cat(_T_777[2], _T_777[1]) @[el2_lib.scala 384:112] + node _T_1152 = cat(_T_1151, _T_777[0]) @[el2_lib.scala 384:112] + node _T_1153 = cat(_T_777[4], _T_777[3]) @[el2_lib.scala 384:112] + node _T_1154 = cat(_T_777[6], _T_777[5]) @[el2_lib.scala 384:112] + node _T_1155 = cat(_T_1154, _T_1153) @[el2_lib.scala 384:112] + node _T_1156 = cat(_T_1155, _T_1152) @[el2_lib.scala 384:112] + node _T_1157 = cat(_T_777[8], _T_777[7]) @[el2_lib.scala 384:112] + node _T_1158 = cat(_T_777[10], _T_777[9]) @[el2_lib.scala 384:112] + node _T_1159 = cat(_T_1158, _T_1157) @[el2_lib.scala 384:112] + node _T_1160 = cat(_T_777[12], _T_777[11]) @[el2_lib.scala 384:112] + node _T_1161 = cat(_T_777[14], _T_777[13]) @[el2_lib.scala 384:112] + node _T_1162 = cat(_T_1161, _T_1160) @[el2_lib.scala 384:112] + node _T_1163 = cat(_T_1162, _T_1159) @[el2_lib.scala 384:112] + node _T_1164 = cat(_T_1163, _T_1156) @[el2_lib.scala 384:112] + node _T_1165 = cat(_T_777[16], _T_777[15]) @[el2_lib.scala 384:112] + node _T_1166 = cat(_T_777[18], _T_777[17]) @[el2_lib.scala 384:112] + node _T_1167 = cat(_T_1166, _T_1165) @[el2_lib.scala 384:112] + node _T_1168 = cat(_T_777[20], _T_777[19]) @[el2_lib.scala 384:112] + node _T_1169 = cat(_T_777[22], _T_777[21]) @[el2_lib.scala 384:112] + node _T_1170 = cat(_T_1169, _T_1168) @[el2_lib.scala 384:112] + node _T_1171 = cat(_T_1170, _T_1167) @[el2_lib.scala 384:112] + node _T_1172 = cat(_T_777[24], _T_777[23]) @[el2_lib.scala 384:112] + node _T_1173 = cat(_T_777[26], _T_777[25]) @[el2_lib.scala 384:112] + node _T_1174 = cat(_T_1173, _T_1172) @[el2_lib.scala 384:112] + node _T_1175 = cat(_T_777[28], _T_777[27]) @[el2_lib.scala 384:112] + node _T_1176 = cat(_T_777[30], _T_777[29]) @[el2_lib.scala 384:112] + node _T_1177 = cat(_T_1176, _T_1175) @[el2_lib.scala 384:112] + node _T_1178 = cat(_T_1177, _T_1174) @[el2_lib.scala 384:112] + node _T_1179 = cat(_T_1178, _T_1171) @[el2_lib.scala 384:112] + node _T_1180 = cat(_T_1179, _T_1164) @[el2_lib.scala 384:112] + node _T_1181 = xorr(_T_1180) @[el2_lib.scala 384:119] + node _T_1182 = cat(_T_778[2], _T_778[1]) @[el2_lib.scala 384:129] + node _T_1183 = cat(_T_1182, _T_778[0]) @[el2_lib.scala 384:129] + node _T_1184 = cat(_T_778[4], _T_778[3]) @[el2_lib.scala 384:129] + node _T_1185 = cat(_T_778[6], _T_778[5]) @[el2_lib.scala 384:129] + node _T_1186 = cat(_T_1185, _T_1184) @[el2_lib.scala 384:129] + node _T_1187 = cat(_T_1186, _T_1183) @[el2_lib.scala 384:129] + node _T_1188 = xorr(_T_1187) @[el2_lib.scala 384:136] node _T_1189 = cat(_T_1150, _T_1181) @[Cat.scala 29:58] node _T_1190 = cat(_T_1189, _T_1188) @[Cat.scala 29:58] node _T_1191 = cat(_T_1088, _T_1119) @[Cat.scala 29:58] node _T_1192 = cat(_T_1018, _T_1053) @[Cat.scala 29:58] node _T_1193 = cat(_T_1192, _T_1191) @[Cat.scala 29:58] node ic_miss_buff_ecc = cat(_T_1193, _T_1190) @[Cat.scala 29:58] - io.ic_miss_buff_ecc <= ic_miss_buff_ecc @[el2_ifu_mem_ctl.scala 345:23] + io.ic_miss_buff_ecc <= ic_miss_buff_ecc @[el2_ifu_mem_ctl.scala 347:23] wire ic_wr_16bytes_data : UInt<142> ic_wr_16bytes_data <= UInt<1>("h00") - node _T_1194 = bits(ic_wr_16bytes_data, 70, 0) @[el2_ifu_mem_ctl.scala 347:72] - node _T_1195 = bits(ic_wr_16bytes_data, 141, 71) @[el2_ifu_mem_ctl.scala 347:72] - io.ic_wr_data[0] <= _T_1194 @[el2_ifu_mem_ctl.scala 347:17] - io.ic_wr_data[1] <= _T_1195 @[el2_ifu_mem_ctl.scala 347:17] - io.ic_debug_wr_data <= io.dec_tlu_ic_diag_pkt.icache_wrdata @[el2_ifu_mem_ctl.scala 348:23] + node _T_1194 = bits(ic_wr_16bytes_data, 70, 0) @[el2_ifu_mem_ctl.scala 349:72] + node _T_1195 = bits(ic_wr_16bytes_data, 141, 71) @[el2_ifu_mem_ctl.scala 349:72] + io.ic_wr_data[0] <= _T_1194 @[el2_ifu_mem_ctl.scala 349:17] + io.ic_wr_data[1] <= _T_1195 @[el2_ifu_mem_ctl.scala 349:17] + io.ic_debug_wr_data <= io.dec_tlu_ic_diag_pkt.icache_wrdata @[el2_ifu_mem_ctl.scala 350:23] wire ic_rd_parity_final_err : UInt<1> ic_rd_parity_final_err <= UInt<1>("h00") - node _T_1196 = orr(io.ic_eccerr) @[el2_ifu_mem_ctl.scala 350:56] - node _T_1197 = and(_T_1196, ic_act_hit_f) @[el2_ifu_mem_ctl.scala 350:83] - node _T_1198 = or(_T_1197, ic_rd_parity_final_err) @[el2_ifu_mem_ctl.scala 350:99] - io.ic_error_start <= _T_1198 @[el2_ifu_mem_ctl.scala 350:21] + node _T_1196 = orr(io.ic_eccerr) @[el2_ifu_mem_ctl.scala 352:56] + node _T_1197 = and(_T_1196, ic_act_hit_f) @[el2_ifu_mem_ctl.scala 352:83] + node _T_1198 = or(_T_1197, ic_rd_parity_final_err) @[el2_ifu_mem_ctl.scala 352:99] + io.ic_error_start <= _T_1198 @[el2_ifu_mem_ctl.scala 352:21] wire ic_debug_tag_val_rd_out : UInt<1> ic_debug_tag_val_rd_out <= UInt<1>("h00") wire ic_debug_ict_array_sel_ff : UInt<1> ic_debug_ict_array_sel_ff <= UInt<1>("h00") - node _T_1199 = bits(ic_debug_ict_array_sel_ff, 0, 0) @[el2_ifu_mem_ctl.scala 353:63] - node _T_1200 = bits(io.ictag_debug_rd_data, 25, 21) @[el2_ifu_mem_ctl.scala 353:121] - node _T_1201 = bits(io.ictag_debug_rd_data, 20, 0) @[el2_ifu_mem_ctl.scala 353:161] + node _T_1199 = bits(ic_debug_ict_array_sel_ff, 0, 0) @[el2_ifu_mem_ctl.scala 355:63] + node _T_1200 = bits(io.ictag_debug_rd_data, 25, 21) @[el2_ifu_mem_ctl.scala 355:121] + node _T_1201 = bits(io.ictag_debug_rd_data, 20, 0) @[el2_ifu_mem_ctl.scala 355:161] node _T_1202 = cat(UInt<3>("h00"), ic_debug_tag_val_rd_out) @[Cat.scala 29:58] node _T_1203 = cat(UInt<1>("h00"), way_status) @[Cat.scala 29:58] node _T_1204 = cat(_T_1203, _T_1202) @[Cat.scala 29:58] @@ -1893,289 +2532,289 @@ circuit el2_ifu_mem_ctl : node _T_1206 = cat(UInt<2>("h00"), _T_1200) @[Cat.scala 29:58] node _T_1207 = cat(_T_1206, _T_1205) @[Cat.scala 29:58] node _T_1208 = cat(_T_1207, _T_1204) @[Cat.scala 29:58] - node ifu_ic_debug_rd_data_in = mux(_T_1199, _T_1208, io.ic_debug_rd_data) @[el2_ifu_mem_ctl.scala 353:36] - reg _T_1209 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 356:37] - _T_1209 <= ifu_ic_debug_rd_data_in @[el2_ifu_mem_ctl.scala 356:37] - io.ifu_ic_debug_rd_data <= _T_1209 @[el2_ifu_mem_ctl.scala 356:27] - node _T_1210 = bits(ifu_bus_rdata_ff, 15, 0) @[el2_ifu_mem_ctl.scala 357:74] + node ifu_ic_debug_rd_data_in = mux(_T_1199, _T_1208, io.ic_debug_rd_data) @[el2_ifu_mem_ctl.scala 355:36] + reg _T_1209 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 358:37] + _T_1209 <= ifu_ic_debug_rd_data_in @[el2_ifu_mem_ctl.scala 358:37] + io.ifu_ic_debug_rd_data <= _T_1209 @[el2_ifu_mem_ctl.scala 358:27] + node _T_1210 = bits(ifu_bus_rdata_ff, 15, 0) @[el2_ifu_mem_ctl.scala 359:74] node _T_1211 = xorr(_T_1210) @[el2_lib.scala 208:13] - node _T_1212 = bits(ifu_bus_rdata_ff, 31, 16) @[el2_ifu_mem_ctl.scala 357:74] + node _T_1212 = bits(ifu_bus_rdata_ff, 31, 16) @[el2_ifu_mem_ctl.scala 359:74] node _T_1213 = xorr(_T_1212) @[el2_lib.scala 208:13] - node _T_1214 = bits(ifu_bus_rdata_ff, 47, 32) @[el2_ifu_mem_ctl.scala 357:74] + node _T_1214 = bits(ifu_bus_rdata_ff, 47, 32) @[el2_ifu_mem_ctl.scala 359:74] node _T_1215 = xorr(_T_1214) @[el2_lib.scala 208:13] - node _T_1216 = bits(ifu_bus_rdata_ff, 63, 48) @[el2_ifu_mem_ctl.scala 357:74] + node _T_1216 = bits(ifu_bus_rdata_ff, 63, 48) @[el2_ifu_mem_ctl.scala 359:74] node _T_1217 = xorr(_T_1216) @[el2_lib.scala 208:13] node _T_1218 = cat(_T_1217, _T_1215) @[Cat.scala 29:58] node _T_1219 = cat(_T_1218, _T_1213) @[Cat.scala 29:58] node ic_wr_parity = cat(_T_1219, _T_1211) @[Cat.scala 29:58] - node _T_1220 = bits(ic_miss_buff_half, 15, 0) @[el2_ifu_mem_ctl.scala 358:82] + node _T_1220 = bits(ic_miss_buff_half, 15, 0) @[el2_ifu_mem_ctl.scala 360:82] node _T_1221 = xorr(_T_1220) @[el2_lib.scala 208:13] - node _T_1222 = bits(ic_miss_buff_half, 31, 16) @[el2_ifu_mem_ctl.scala 358:82] + node _T_1222 = bits(ic_miss_buff_half, 31, 16) @[el2_ifu_mem_ctl.scala 360:82] node _T_1223 = xorr(_T_1222) @[el2_lib.scala 208:13] - node _T_1224 = bits(ic_miss_buff_half, 47, 32) @[el2_ifu_mem_ctl.scala 358:82] + node _T_1224 = bits(ic_miss_buff_half, 47, 32) @[el2_ifu_mem_ctl.scala 360:82] node _T_1225 = xorr(_T_1224) @[el2_lib.scala 208:13] - node _T_1226 = bits(ic_miss_buff_half, 63, 48) @[el2_ifu_mem_ctl.scala 358:82] + node _T_1226 = bits(ic_miss_buff_half, 63, 48) @[el2_ifu_mem_ctl.scala 360:82] node _T_1227 = xorr(_T_1226) @[el2_lib.scala 208:13] node _T_1228 = cat(_T_1227, _T_1225) @[Cat.scala 29:58] node _T_1229 = cat(_T_1228, _T_1223) @[Cat.scala 29:58] node ic_miss_buff_parity = cat(_T_1229, _T_1221) @[Cat.scala 29:58] - node _T_1230 = bits(ifu_bus_rid_ff, 0, 0) @[el2_ifu_mem_ctl.scala 360:43] - node _T_1231 = bits(_T_1230, 0, 0) @[el2_ifu_mem_ctl.scala 360:47] - node _T_1232 = bits(ifu_bus_rdata_ff, 63, 0) @[el2_ifu_mem_ctl.scala 360:117] - node _T_1233 = bits(ic_miss_buff_half, 63, 0) @[el2_ifu_mem_ctl.scala 360:201] + node _T_1230 = bits(ifu_bus_rid_ff, 0, 0) @[el2_ifu_mem_ctl.scala 362:43] + node _T_1231 = bits(_T_1230, 0, 0) @[el2_ifu_mem_ctl.scala 362:47] + node _T_1232 = bits(ifu_bus_rdata_ff, 63, 0) @[el2_ifu_mem_ctl.scala 362:117] + node _T_1233 = bits(ic_miss_buff_half, 63, 0) @[el2_ifu_mem_ctl.scala 362:201] node _T_1234 = cat(ic_miss_buff_ecc, _T_1233) @[Cat.scala 29:58] node _T_1235 = cat(ic_wr_ecc, _T_1232) @[Cat.scala 29:58] node _T_1236 = cat(_T_1235, _T_1234) @[Cat.scala 29:58] node _T_1237 = cat(ic_wr_ecc, ifu_bus_rdata_ff) @[Cat.scala 29:58] node _T_1238 = cat(ic_miss_buff_ecc, ic_miss_buff_half) @[Cat.scala 29:58] node _T_1239 = cat(_T_1238, _T_1237) @[Cat.scala 29:58] - node _T_1240 = mux(_T_1231, _T_1236, _T_1239) @[el2_ifu_mem_ctl.scala 360:28] - ic_wr_16bytes_data <= _T_1240 @[el2_ifu_mem_ctl.scala 360:22] + node _T_1240 = mux(_T_1231, _T_1236, _T_1239) @[el2_ifu_mem_ctl.scala 362:28] + ic_wr_16bytes_data <= _T_1240 @[el2_ifu_mem_ctl.scala 362:22] wire bus_ifu_wr_data_error_ff : UInt<1> bus_ifu_wr_data_error_ff <= UInt<1>("h00") wire ifu_wr_data_comb_err_ff : UInt<1> ifu_wr_data_comb_err_ff <= UInt<1>("h00") wire reset_beat_cnt : UInt<1> reset_beat_cnt <= UInt<1>("h00") - node _T_1241 = or(bus_ifu_wr_data_error_ff, ifu_wr_data_comb_err_ff) @[el2_ifu_mem_ctl.scala 368:53] - node _T_1242 = eq(reset_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 368:82] - node ifu_wr_cumulative_err = and(_T_1241, _T_1242) @[el2_ifu_mem_ctl.scala 368:80] - node _T_1243 = or(bus_ifu_wr_data_error_ff, ifu_wr_data_comb_err_ff) @[el2_ifu_mem_ctl.scala 369:55] - ifu_wr_cumulative_err_data <= _T_1243 @[el2_ifu_mem_ctl.scala 369:30] - reg _T_1244 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 370:61] - _T_1244 <= ifu_wr_cumulative_err @[el2_ifu_mem_ctl.scala 370:61] - ifu_wr_data_comb_err_ff <= _T_1244 @[el2_ifu_mem_ctl.scala 370:27] + node _T_1241 = or(bus_ifu_wr_data_error_ff, ifu_wr_data_comb_err_ff) @[el2_ifu_mem_ctl.scala 370:53] + node _T_1242 = eq(reset_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 370:82] + node ifu_wr_cumulative_err = and(_T_1241, _T_1242) @[el2_ifu_mem_ctl.scala 370:80] + node _T_1243 = or(bus_ifu_wr_data_error_ff, ifu_wr_data_comb_err_ff) @[el2_ifu_mem_ctl.scala 371:55] + ifu_wr_cumulative_err_data <= _T_1243 @[el2_ifu_mem_ctl.scala 371:30] + reg _T_1244 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 372:61] + _T_1244 <= ifu_wr_cumulative_err @[el2_ifu_mem_ctl.scala 372:61] + ifu_wr_data_comb_err_ff <= _T_1244 @[el2_ifu_mem_ctl.scala 372:27] wire ic_crit_wd_rdy : UInt<1> ic_crit_wd_rdy <= UInt<1>("h00") wire ifu_byp_data_err_new : UInt<1> ifu_byp_data_err_new <= UInt<1>("h00") - node _T_1245 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 373:51] - node _T_1246 = or(ic_crit_wd_rdy, _T_1245) @[el2_ifu_mem_ctl.scala 373:38] - node _T_1247 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 373:77] - node _T_1248 = or(_T_1246, _T_1247) @[el2_ifu_mem_ctl.scala 373:64] - node _T_1249 = eq(ifu_byp_data_err_new, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 373:98] - node sel_byp_data = and(_T_1248, _T_1249) @[el2_ifu_mem_ctl.scala 373:96] - node _T_1250 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 374:51] - node _T_1251 = or(ic_crit_wd_rdy, _T_1250) @[el2_ifu_mem_ctl.scala 374:38] - node _T_1252 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 374:77] - node _T_1253 = or(_T_1251, _T_1252) @[el2_ifu_mem_ctl.scala 374:64] - node _T_1254 = eq(_T_1253, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 374:21] - node _T_1255 = eq(fetch_req_iccm_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 374:98] - node sel_ic_data = and(_T_1254, _T_1255) @[el2_ifu_mem_ctl.scala 374:96] + node _T_1245 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 375:51] + node _T_1246 = or(ic_crit_wd_rdy, _T_1245) @[el2_ifu_mem_ctl.scala 375:38] + node _T_1247 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 375:77] + node _T_1248 = or(_T_1246, _T_1247) @[el2_ifu_mem_ctl.scala 375:64] + node _T_1249 = eq(ifu_byp_data_err_new, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 375:98] + node sel_byp_data = and(_T_1248, _T_1249) @[el2_ifu_mem_ctl.scala 375:96] + node _T_1250 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 376:51] + node _T_1251 = or(ic_crit_wd_rdy, _T_1250) @[el2_ifu_mem_ctl.scala 376:38] + node _T_1252 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 376:77] + node _T_1253 = or(_T_1251, _T_1252) @[el2_ifu_mem_ctl.scala 376:64] + node _T_1254 = eq(_T_1253, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 376:21] + node _T_1255 = eq(fetch_req_iccm_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 376:98] + node sel_ic_data = and(_T_1254, _T_1255) @[el2_ifu_mem_ctl.scala 376:96] wire ic_byp_data_only_new : UInt<80> ic_byp_data_only_new <= UInt<1>("h00") - node _T_1256 = or(fetch_req_iccm_f, sel_ic_data) @[el2_ifu_mem_ctl.scala 378:81] - node _T_1257 = or(sel_byp_data, _T_1256) @[el2_ifu_mem_ctl.scala 378:47] - node _T_1258 = bits(_T_1257, 0, 0) @[el2_ifu_mem_ctl.scala 378:140] + node _T_1256 = or(fetch_req_iccm_f, sel_ic_data) @[el2_ifu_mem_ctl.scala 380:81] + node _T_1257 = or(sel_byp_data, _T_1256) @[el2_ifu_mem_ctl.scala 380:47] + node _T_1258 = bits(_T_1257, 0, 0) @[el2_ifu_mem_ctl.scala 380:140] node _T_1259 = bits(fetch_req_iccm_f, 0, 0) @[Bitwise.scala 72:15] node _T_1260 = mux(_T_1259, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_1261 = and(_T_1260, io.iccm_rd_data) @[el2_ifu_mem_ctl.scala 380:64] + node _T_1261 = and(_T_1260, io.iccm_rd_data) @[el2_ifu_mem_ctl.scala 382:64] node _T_1262 = bits(sel_byp_data, 0, 0) @[Bitwise.scala 72:15] node _T_1263 = mux(_T_1262, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_1264 = and(_T_1263, ic_byp_data_only_new) @[el2_ifu_mem_ctl.scala 380:109] - node ic_premux_data = or(_T_1261, _T_1264) @[el2_ifu_mem_ctl.scala 380:83] - node ic_sel_premux_data = or(fetch_req_iccm_f, sel_byp_data) @[el2_ifu_mem_ctl.scala 382:58] - io.ic_premux_data <= ic_premux_data @[el2_ifu_mem_ctl.scala 383:21] - io.ic_sel_premux_data <= ic_sel_premux_data @[el2_ifu_mem_ctl.scala 384:25] - node ifc_bus_acc_fault_f = and(ic_byp_hit_f, ifu_byp_data_err_new) @[el2_ifu_mem_ctl.scala 385:42] - io.ic_data_f <= io.ic_rd_data @[el2_ifu_mem_ctl.scala 386:16] - node _T_1265 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 387:40] - node fetch_req_f_qual = and(io.ic_hit_f, _T_1265) @[el2_ifu_mem_ctl.scala 387:38] + node _T_1264 = and(_T_1263, ic_byp_data_only_new) @[el2_ifu_mem_ctl.scala 382:109] + node ic_premux_data = or(_T_1261, _T_1264) @[el2_ifu_mem_ctl.scala 382:83] + node ic_sel_premux_data = or(fetch_req_iccm_f, sel_byp_data) @[el2_ifu_mem_ctl.scala 384:58] + io.ic_premux_data <= ic_premux_data @[el2_ifu_mem_ctl.scala 385:21] + io.ic_sel_premux_data <= ic_sel_premux_data @[el2_ifu_mem_ctl.scala 386:25] + node ifc_bus_acc_fault_f = and(ic_byp_hit_f, ifu_byp_data_err_new) @[el2_ifu_mem_ctl.scala 387:42] + io.ic_data_f <= io.ic_rd_data @[el2_ifu_mem_ctl.scala 388:16] + node _T_1265 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 389:40] + node fetch_req_f_qual = and(io.ic_hit_f, _T_1265) @[el2_ifu_mem_ctl.scala 389:38] wire ifc_region_acc_fault_memory_f : UInt<1> ifc_region_acc_fault_memory_f <= UInt<1>("h00") - node _T_1266 = or(ifc_region_acc_fault_final_f, ifc_bus_acc_fault_f) @[el2_ifu_mem_ctl.scala 389:57] - node _T_1267 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 389:82] - node _T_1268 = and(_T_1266, _T_1267) @[el2_ifu_mem_ctl.scala 389:80] - io.ic_access_fault_f <= _T_1268 @[el2_ifu_mem_ctl.scala 389:24] - node _T_1269 = bits(io.iccm_rd_ecc_double_err, 0, 0) @[el2_ifu_mem_ctl.scala 390:62] - node _T_1270 = bits(ifc_region_acc_fault_f, 0, 0) @[el2_ifu_mem_ctl.scala 391:32] - node _T_1271 = bits(ifc_region_acc_fault_memory_f, 0, 0) @[el2_ifu_mem_ctl.scala 392:47] - node _T_1272 = mux(_T_1271, UInt<2>("h03"), UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 392:10] - node _T_1273 = mux(_T_1270, UInt<2>("h02"), _T_1272) @[el2_ifu_mem_ctl.scala 391:8] - node _T_1274 = mux(_T_1269, UInt<1>("h01"), _T_1273) @[el2_ifu_mem_ctl.scala 390:35] - io.ic_access_fault_type_f <= _T_1274 @[el2_ifu_mem_ctl.scala 390:29] + node _T_1266 = or(ifc_region_acc_fault_final_f, ifc_bus_acc_fault_f) @[el2_ifu_mem_ctl.scala 391:57] + node _T_1267 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 391:82] + node _T_1268 = and(_T_1266, _T_1267) @[el2_ifu_mem_ctl.scala 391:80] + io.ic_access_fault_f <= _T_1268 @[el2_ifu_mem_ctl.scala 391:24] + node _T_1269 = bits(io.iccm_rd_ecc_double_err, 0, 0) @[el2_ifu_mem_ctl.scala 392:62] + node _T_1270 = bits(ifc_region_acc_fault_f, 0, 0) @[el2_ifu_mem_ctl.scala 393:32] + node _T_1271 = bits(ifc_region_acc_fault_memory_f, 0, 0) @[el2_ifu_mem_ctl.scala 394:47] + node _T_1272 = mux(_T_1271, UInt<2>("h03"), UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 394:10] + node _T_1273 = mux(_T_1270, UInt<2>("h02"), _T_1272) @[el2_ifu_mem_ctl.scala 393:8] + node _T_1274 = mux(_T_1269, UInt<1>("h01"), _T_1273) @[el2_ifu_mem_ctl.scala 392:35] + io.ic_access_fault_type_f <= _T_1274 @[el2_ifu_mem_ctl.scala 392:29] wire ifu_bp_inst_mask_f : UInt<1> ifu_bp_inst_mask_f <= UInt<1>("h00") - node _T_1275 = and(fetch_req_f_qual, ifu_bp_inst_mask_f) @[el2_ifu_mem_ctl.scala 394:45] + node _T_1275 = and(fetch_req_f_qual, ifu_bp_inst_mask_f) @[el2_ifu_mem_ctl.scala 396:45] node _T_1276 = mux(UInt<1>("h01"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_1277 = eq(ifu_fetch_addr_int_f, _T_1276) @[el2_ifu_mem_ctl.scala 394:77] - node _T_1278 = eq(_T_1277, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 394:68] - node _T_1279 = and(_T_1275, _T_1278) @[el2_ifu_mem_ctl.scala 394:66] - node _T_1280 = neq(err_stop_state, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 394:128] - node _T_1281 = and(_T_1279, _T_1280) @[el2_ifu_mem_ctl.scala 394:111] + node _T_1277 = eq(ifu_fetch_addr_int_f, _T_1276) @[el2_ifu_mem_ctl.scala 396:77] + node _T_1278 = eq(_T_1277, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 396:68] + node _T_1279 = and(_T_1275, _T_1278) @[el2_ifu_mem_ctl.scala 396:66] + node _T_1280 = neq(err_stop_state, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 396:128] + node _T_1281 = and(_T_1279, _T_1280) @[el2_ifu_mem_ctl.scala 396:111] node _T_1282 = cat(_T_1281, fetch_req_f_qual) @[Cat.scala 29:58] - io.ic_fetch_val_f <= _T_1282 @[el2_ifu_mem_ctl.scala 394:21] - node _T_1283 = bits(io.ic_data_f, 1, 0) @[el2_ifu_mem_ctl.scala 395:36] - node two_byte_instr = neq(_T_1283, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 395:42] + io.ic_fetch_val_f <= _T_1282 @[el2_ifu_mem_ctl.scala 396:21] + node _T_1283 = bits(io.ic_data_f, 1, 0) @[el2_ifu_mem_ctl.scala 397:36] + node two_byte_instr = neq(_T_1283, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 397:42] wire ic_miss_buff_data_in : UInt<64> ic_miss_buff_data_in <= UInt<1>("h00") wire ifu_bus_rsp_tag : UInt<3> ifu_bus_rsp_tag <= UInt<1>("h00") wire bus_ifu_wr_en : UInt<1> bus_ifu_wr_en <= UInt<1>("h00") - node _T_1284 = eq(ifu_bus_rsp_tag, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 401:91] - node write_fill_data_0 = and(bus_ifu_wr_en, _T_1284) @[el2_ifu_mem_ctl.scala 401:73] - node _T_1285 = eq(ifu_bus_rsp_tag, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 401:91] - node write_fill_data_1 = and(bus_ifu_wr_en, _T_1285) @[el2_ifu_mem_ctl.scala 401:73] - node _T_1286 = eq(ifu_bus_rsp_tag, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 401:91] - node write_fill_data_2 = and(bus_ifu_wr_en, _T_1286) @[el2_ifu_mem_ctl.scala 401:73] - node _T_1287 = eq(ifu_bus_rsp_tag, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 401:91] - node write_fill_data_3 = and(bus_ifu_wr_en, _T_1287) @[el2_ifu_mem_ctl.scala 401:73] - node _T_1288 = eq(ifu_bus_rsp_tag, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 401:91] - node write_fill_data_4 = and(bus_ifu_wr_en, _T_1288) @[el2_ifu_mem_ctl.scala 401:73] - node _T_1289 = eq(ifu_bus_rsp_tag, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 401:91] - node write_fill_data_5 = and(bus_ifu_wr_en, _T_1289) @[el2_ifu_mem_ctl.scala 401:73] - node _T_1290 = eq(ifu_bus_rsp_tag, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 401:91] - node write_fill_data_6 = and(bus_ifu_wr_en, _T_1290) @[el2_ifu_mem_ctl.scala 401:73] - node _T_1291 = eq(ifu_bus_rsp_tag, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 401:91] - node write_fill_data_7 = and(bus_ifu_wr_en, _T_1291) @[el2_ifu_mem_ctl.scala 401:73] - wire ic_miss_buff_data : UInt<32>[16] @[el2_ifu_mem_ctl.scala 402:31] - node _T_1292 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 404:59] - node _T_1293 = bits(write_fill_data_0, 0, 0) @[el2_ifu_mem_ctl.scala 404:97] + node _T_1284 = eq(ifu_bus_rsp_tag, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 403:91] + node write_fill_data_0 = and(bus_ifu_wr_en, _T_1284) @[el2_ifu_mem_ctl.scala 403:73] + node _T_1285 = eq(ifu_bus_rsp_tag, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 403:91] + node write_fill_data_1 = and(bus_ifu_wr_en, _T_1285) @[el2_ifu_mem_ctl.scala 403:73] + node _T_1286 = eq(ifu_bus_rsp_tag, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 403:91] + node write_fill_data_2 = and(bus_ifu_wr_en, _T_1286) @[el2_ifu_mem_ctl.scala 403:73] + node _T_1287 = eq(ifu_bus_rsp_tag, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 403:91] + node write_fill_data_3 = and(bus_ifu_wr_en, _T_1287) @[el2_ifu_mem_ctl.scala 403:73] + node _T_1288 = eq(ifu_bus_rsp_tag, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 403:91] + node write_fill_data_4 = and(bus_ifu_wr_en, _T_1288) @[el2_ifu_mem_ctl.scala 403:73] + node _T_1289 = eq(ifu_bus_rsp_tag, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 403:91] + node write_fill_data_5 = and(bus_ifu_wr_en, _T_1289) @[el2_ifu_mem_ctl.scala 403:73] + node _T_1290 = eq(ifu_bus_rsp_tag, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 403:91] + node write_fill_data_6 = and(bus_ifu_wr_en, _T_1290) @[el2_ifu_mem_ctl.scala 403:73] + node _T_1291 = eq(ifu_bus_rsp_tag, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 403:91] + node write_fill_data_7 = and(bus_ifu_wr_en, _T_1291) @[el2_ifu_mem_ctl.scala 403:73] + wire ic_miss_buff_data : UInt<32>[16] @[el2_ifu_mem_ctl.scala 404:31] + node _T_1292 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 406:59] + node _T_1293 = bits(write_fill_data_0, 0, 0) @[el2_ifu_mem_ctl.scala 406:97] reg _T_1294 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1293 : @[Reg.scala 28:19] _T_1294 <= _T_1292 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[0] <= _T_1294 @[el2_ifu_mem_ctl.scala 404:26] - node _T_1295 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 405:61] - node _T_1296 = bits(write_fill_data_0, 0, 0) @[el2_ifu_mem_ctl.scala 405:100] + ic_miss_buff_data[0] <= _T_1294 @[el2_ifu_mem_ctl.scala 406:26] + node _T_1295 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 407:61] + node _T_1296 = bits(write_fill_data_0, 0, 0) @[el2_ifu_mem_ctl.scala 407:100] reg _T_1297 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1296 : @[Reg.scala 28:19] _T_1297 <= _T_1295 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[1] <= _T_1297 @[el2_ifu_mem_ctl.scala 405:28] - node _T_1298 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 404:59] - node _T_1299 = bits(write_fill_data_1, 0, 0) @[el2_ifu_mem_ctl.scala 404:97] + ic_miss_buff_data[1] <= _T_1297 @[el2_ifu_mem_ctl.scala 407:28] + node _T_1298 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 406:59] + node _T_1299 = bits(write_fill_data_1, 0, 0) @[el2_ifu_mem_ctl.scala 406:97] reg _T_1300 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1299 : @[Reg.scala 28:19] _T_1300 <= _T_1298 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[2] <= _T_1300 @[el2_ifu_mem_ctl.scala 404:26] - node _T_1301 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 405:61] - node _T_1302 = bits(write_fill_data_1, 0, 0) @[el2_ifu_mem_ctl.scala 405:100] + ic_miss_buff_data[2] <= _T_1300 @[el2_ifu_mem_ctl.scala 406:26] + node _T_1301 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 407:61] + node _T_1302 = bits(write_fill_data_1, 0, 0) @[el2_ifu_mem_ctl.scala 407:100] reg _T_1303 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1302 : @[Reg.scala 28:19] _T_1303 <= _T_1301 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[3] <= _T_1303 @[el2_ifu_mem_ctl.scala 405:28] - node _T_1304 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 404:59] - node _T_1305 = bits(write_fill_data_2, 0, 0) @[el2_ifu_mem_ctl.scala 404:97] + ic_miss_buff_data[3] <= _T_1303 @[el2_ifu_mem_ctl.scala 407:28] + node _T_1304 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 406:59] + node _T_1305 = bits(write_fill_data_2, 0, 0) @[el2_ifu_mem_ctl.scala 406:97] reg _T_1306 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1305 : @[Reg.scala 28:19] _T_1306 <= _T_1304 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[4] <= _T_1306 @[el2_ifu_mem_ctl.scala 404:26] - node _T_1307 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 405:61] - node _T_1308 = bits(write_fill_data_2, 0, 0) @[el2_ifu_mem_ctl.scala 405:100] + ic_miss_buff_data[4] <= _T_1306 @[el2_ifu_mem_ctl.scala 406:26] + node _T_1307 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 407:61] + node _T_1308 = bits(write_fill_data_2, 0, 0) @[el2_ifu_mem_ctl.scala 407:100] reg _T_1309 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1308 : @[Reg.scala 28:19] _T_1309 <= _T_1307 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[5] <= _T_1309 @[el2_ifu_mem_ctl.scala 405:28] - node _T_1310 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 404:59] - node _T_1311 = bits(write_fill_data_3, 0, 0) @[el2_ifu_mem_ctl.scala 404:97] + ic_miss_buff_data[5] <= _T_1309 @[el2_ifu_mem_ctl.scala 407:28] + node _T_1310 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 406:59] + node _T_1311 = bits(write_fill_data_3, 0, 0) @[el2_ifu_mem_ctl.scala 406:97] reg _T_1312 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1311 : @[Reg.scala 28:19] _T_1312 <= _T_1310 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[6] <= _T_1312 @[el2_ifu_mem_ctl.scala 404:26] - node _T_1313 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 405:61] - node _T_1314 = bits(write_fill_data_3, 0, 0) @[el2_ifu_mem_ctl.scala 405:100] + ic_miss_buff_data[6] <= _T_1312 @[el2_ifu_mem_ctl.scala 406:26] + node _T_1313 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 407:61] + node _T_1314 = bits(write_fill_data_3, 0, 0) @[el2_ifu_mem_ctl.scala 407:100] reg _T_1315 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1314 : @[Reg.scala 28:19] _T_1315 <= _T_1313 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[7] <= _T_1315 @[el2_ifu_mem_ctl.scala 405:28] - node _T_1316 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 404:59] - node _T_1317 = bits(write_fill_data_4, 0, 0) @[el2_ifu_mem_ctl.scala 404:97] + ic_miss_buff_data[7] <= _T_1315 @[el2_ifu_mem_ctl.scala 407:28] + node _T_1316 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 406:59] + node _T_1317 = bits(write_fill_data_4, 0, 0) @[el2_ifu_mem_ctl.scala 406:97] reg _T_1318 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1317 : @[Reg.scala 28:19] _T_1318 <= _T_1316 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[8] <= _T_1318 @[el2_ifu_mem_ctl.scala 404:26] - node _T_1319 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 405:61] - node _T_1320 = bits(write_fill_data_4, 0, 0) @[el2_ifu_mem_ctl.scala 405:100] + ic_miss_buff_data[8] <= _T_1318 @[el2_ifu_mem_ctl.scala 406:26] + node _T_1319 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 407:61] + node _T_1320 = bits(write_fill_data_4, 0, 0) @[el2_ifu_mem_ctl.scala 407:100] reg _T_1321 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1320 : @[Reg.scala 28:19] _T_1321 <= _T_1319 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[9] <= _T_1321 @[el2_ifu_mem_ctl.scala 405:28] - node _T_1322 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 404:59] - node _T_1323 = bits(write_fill_data_5, 0, 0) @[el2_ifu_mem_ctl.scala 404:97] + ic_miss_buff_data[9] <= _T_1321 @[el2_ifu_mem_ctl.scala 407:28] + node _T_1322 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 406:59] + node _T_1323 = bits(write_fill_data_5, 0, 0) @[el2_ifu_mem_ctl.scala 406:97] reg _T_1324 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1323 : @[Reg.scala 28:19] _T_1324 <= _T_1322 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[10] <= _T_1324 @[el2_ifu_mem_ctl.scala 404:26] - node _T_1325 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 405:61] - node _T_1326 = bits(write_fill_data_5, 0, 0) @[el2_ifu_mem_ctl.scala 405:100] + ic_miss_buff_data[10] <= _T_1324 @[el2_ifu_mem_ctl.scala 406:26] + node _T_1325 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 407:61] + node _T_1326 = bits(write_fill_data_5, 0, 0) @[el2_ifu_mem_ctl.scala 407:100] reg _T_1327 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1326 : @[Reg.scala 28:19] _T_1327 <= _T_1325 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[11] <= _T_1327 @[el2_ifu_mem_ctl.scala 405:28] - node _T_1328 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 404:59] - node _T_1329 = bits(write_fill_data_6, 0, 0) @[el2_ifu_mem_ctl.scala 404:97] + ic_miss_buff_data[11] <= _T_1327 @[el2_ifu_mem_ctl.scala 407:28] + node _T_1328 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 406:59] + node _T_1329 = bits(write_fill_data_6, 0, 0) @[el2_ifu_mem_ctl.scala 406:97] reg _T_1330 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1329 : @[Reg.scala 28:19] _T_1330 <= _T_1328 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[12] <= _T_1330 @[el2_ifu_mem_ctl.scala 404:26] - node _T_1331 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 405:61] - node _T_1332 = bits(write_fill_data_6, 0, 0) @[el2_ifu_mem_ctl.scala 405:100] + ic_miss_buff_data[12] <= _T_1330 @[el2_ifu_mem_ctl.scala 406:26] + node _T_1331 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 407:61] + node _T_1332 = bits(write_fill_data_6, 0, 0) @[el2_ifu_mem_ctl.scala 407:100] reg _T_1333 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1332 : @[Reg.scala 28:19] _T_1333 <= _T_1331 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[13] <= _T_1333 @[el2_ifu_mem_ctl.scala 405:28] - node _T_1334 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 404:59] - node _T_1335 = bits(write_fill_data_7, 0, 0) @[el2_ifu_mem_ctl.scala 404:97] + ic_miss_buff_data[13] <= _T_1333 @[el2_ifu_mem_ctl.scala 407:28] + node _T_1334 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 406:59] + node _T_1335 = bits(write_fill_data_7, 0, 0) @[el2_ifu_mem_ctl.scala 406:97] reg _T_1336 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1335 : @[Reg.scala 28:19] _T_1336 <= _T_1334 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[14] <= _T_1336 @[el2_ifu_mem_ctl.scala 404:26] - node _T_1337 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 405:61] - node _T_1338 = bits(write_fill_data_7, 0, 0) @[el2_ifu_mem_ctl.scala 405:100] + ic_miss_buff_data[14] <= _T_1336 @[el2_ifu_mem_ctl.scala 406:26] + node _T_1337 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 407:61] + node _T_1338 = bits(write_fill_data_7, 0, 0) @[el2_ifu_mem_ctl.scala 407:100] reg _T_1339 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1338 : @[Reg.scala 28:19] _T_1339 <= _T_1337 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[15] <= _T_1339 @[el2_ifu_mem_ctl.scala 405:28] + ic_miss_buff_data[15] <= _T_1339 @[el2_ifu_mem_ctl.scala 407:28] wire ic_miss_buff_data_valid : UInt<8> ic_miss_buff_data_valid <= UInt<1>("h00") - node _T_1340 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 407:113] - node _T_1341 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 407:118] - node _T_1342 = and(_T_1340, _T_1341) @[el2_ifu_mem_ctl.scala 407:116] - node ic_miss_buff_data_valid_in_0 = or(write_fill_data_0, _T_1342) @[el2_ifu_mem_ctl.scala 407:88] - node _T_1343 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 407:113] - node _T_1344 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 407:118] - node _T_1345 = and(_T_1343, _T_1344) @[el2_ifu_mem_ctl.scala 407:116] - node ic_miss_buff_data_valid_in_1 = or(write_fill_data_1, _T_1345) @[el2_ifu_mem_ctl.scala 407:88] - node _T_1346 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 407:113] - node _T_1347 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 407:118] - node _T_1348 = and(_T_1346, _T_1347) @[el2_ifu_mem_ctl.scala 407:116] - node ic_miss_buff_data_valid_in_2 = or(write_fill_data_2, _T_1348) @[el2_ifu_mem_ctl.scala 407:88] - node _T_1349 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 407:113] - node _T_1350 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 407:118] - node _T_1351 = and(_T_1349, _T_1350) @[el2_ifu_mem_ctl.scala 407:116] - node ic_miss_buff_data_valid_in_3 = or(write_fill_data_3, _T_1351) @[el2_ifu_mem_ctl.scala 407:88] - node _T_1352 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 407:113] - node _T_1353 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 407:118] - node _T_1354 = and(_T_1352, _T_1353) @[el2_ifu_mem_ctl.scala 407:116] - node ic_miss_buff_data_valid_in_4 = or(write_fill_data_4, _T_1354) @[el2_ifu_mem_ctl.scala 407:88] - node _T_1355 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 407:113] - node _T_1356 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 407:118] - node _T_1357 = and(_T_1355, _T_1356) @[el2_ifu_mem_ctl.scala 407:116] - node ic_miss_buff_data_valid_in_5 = or(write_fill_data_5, _T_1357) @[el2_ifu_mem_ctl.scala 407:88] - node _T_1358 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 407:113] - node _T_1359 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 407:118] - node _T_1360 = and(_T_1358, _T_1359) @[el2_ifu_mem_ctl.scala 407:116] - node ic_miss_buff_data_valid_in_6 = or(write_fill_data_6, _T_1360) @[el2_ifu_mem_ctl.scala 407:88] - node _T_1361 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 407:113] - node _T_1362 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 407:118] - node _T_1363 = and(_T_1361, _T_1362) @[el2_ifu_mem_ctl.scala 407:116] - node ic_miss_buff_data_valid_in_7 = or(write_fill_data_7, _T_1363) @[el2_ifu_mem_ctl.scala 407:88] + node _T_1340 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 409:113] + node _T_1341 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 409:118] + node _T_1342 = and(_T_1340, _T_1341) @[el2_ifu_mem_ctl.scala 409:116] + node ic_miss_buff_data_valid_in_0 = or(write_fill_data_0, _T_1342) @[el2_ifu_mem_ctl.scala 409:88] + node _T_1343 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 409:113] + node _T_1344 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 409:118] + node _T_1345 = and(_T_1343, _T_1344) @[el2_ifu_mem_ctl.scala 409:116] + node ic_miss_buff_data_valid_in_1 = or(write_fill_data_1, _T_1345) @[el2_ifu_mem_ctl.scala 409:88] + node _T_1346 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 409:113] + node _T_1347 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 409:118] + node _T_1348 = and(_T_1346, _T_1347) @[el2_ifu_mem_ctl.scala 409:116] + node ic_miss_buff_data_valid_in_2 = or(write_fill_data_2, _T_1348) @[el2_ifu_mem_ctl.scala 409:88] + node _T_1349 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 409:113] + node _T_1350 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 409:118] + node _T_1351 = and(_T_1349, _T_1350) @[el2_ifu_mem_ctl.scala 409:116] + node ic_miss_buff_data_valid_in_3 = or(write_fill_data_3, _T_1351) @[el2_ifu_mem_ctl.scala 409:88] + node _T_1352 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 409:113] + node _T_1353 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 409:118] + node _T_1354 = and(_T_1352, _T_1353) @[el2_ifu_mem_ctl.scala 409:116] + node ic_miss_buff_data_valid_in_4 = or(write_fill_data_4, _T_1354) @[el2_ifu_mem_ctl.scala 409:88] + node _T_1355 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 409:113] + node _T_1356 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 409:118] + node _T_1357 = and(_T_1355, _T_1356) @[el2_ifu_mem_ctl.scala 409:116] + node ic_miss_buff_data_valid_in_5 = or(write_fill_data_5, _T_1357) @[el2_ifu_mem_ctl.scala 409:88] + node _T_1358 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 409:113] + node _T_1359 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 409:118] + node _T_1360 = and(_T_1358, _T_1359) @[el2_ifu_mem_ctl.scala 409:116] + node ic_miss_buff_data_valid_in_6 = or(write_fill_data_6, _T_1360) @[el2_ifu_mem_ctl.scala 409:88] + node _T_1361 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 409:113] + node _T_1362 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 409:118] + node _T_1363 = and(_T_1361, _T_1362) @[el2_ifu_mem_ctl.scala 409:116] + node ic_miss_buff_data_valid_in_7 = or(write_fill_data_7, _T_1363) @[el2_ifu_mem_ctl.scala 409:88] node _T_1364 = cat(ic_miss_buff_data_valid_in_7, ic_miss_buff_data_valid_in_6) @[Cat.scala 29:58] node _T_1365 = cat(_T_1364, ic_miss_buff_data_valid_in_5) @[Cat.scala 29:58] node _T_1366 = cat(_T_1365, ic_miss_buff_data_valid_in_4) @[Cat.scala 29:58] @@ -2183,53 +2822,53 @@ circuit el2_ifu_mem_ctl : node _T_1368 = cat(_T_1367, ic_miss_buff_data_valid_in_2) @[Cat.scala 29:58] node _T_1369 = cat(_T_1368, ic_miss_buff_data_valid_in_1) @[Cat.scala 29:58] node _T_1370 = cat(_T_1369, ic_miss_buff_data_valid_in_0) @[Cat.scala 29:58] - reg _T_1371 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 408:60] - _T_1371 <= _T_1370 @[el2_ifu_mem_ctl.scala 408:60] - ic_miss_buff_data_valid <= _T_1371 @[el2_ifu_mem_ctl.scala 408:27] + reg _T_1371 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 410:60] + _T_1371 <= _T_1370 @[el2_ifu_mem_ctl.scala 410:60] + ic_miss_buff_data_valid <= _T_1371 @[el2_ifu_mem_ctl.scala 410:27] wire bus_ifu_wr_data_error : UInt<1> bus_ifu_wr_data_error <= UInt<1>("h00") wire ic_miss_buff_data_error : UInt<8> ic_miss_buff_data_error <= UInt<1>("h00") - node _T_1372 = bits(write_fill_data_0, 0, 0) @[el2_ifu_mem_ctl.scala 411:92] - node _T_1373 = bits(ic_miss_buff_data_error, 0, 0) @[el2_ifu_mem_ctl.scala 412:28] - node _T_1374 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 412:34] - node _T_1375 = and(_T_1373, _T_1374) @[el2_ifu_mem_ctl.scala 412:32] - node ic_miss_buff_data_error_in_0 = mux(_T_1372, bus_ifu_wr_data_error, _T_1375) @[el2_ifu_mem_ctl.scala 411:72] - node _T_1376 = bits(write_fill_data_1, 0, 0) @[el2_ifu_mem_ctl.scala 411:92] - node _T_1377 = bits(ic_miss_buff_data_error, 1, 1) @[el2_ifu_mem_ctl.scala 412:28] - node _T_1378 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 412:34] - node _T_1379 = and(_T_1377, _T_1378) @[el2_ifu_mem_ctl.scala 412:32] - node ic_miss_buff_data_error_in_1 = mux(_T_1376, bus_ifu_wr_data_error, _T_1379) @[el2_ifu_mem_ctl.scala 411:72] - node _T_1380 = bits(write_fill_data_2, 0, 0) @[el2_ifu_mem_ctl.scala 411:92] - node _T_1381 = bits(ic_miss_buff_data_error, 2, 2) @[el2_ifu_mem_ctl.scala 412:28] - node _T_1382 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 412:34] - node _T_1383 = and(_T_1381, _T_1382) @[el2_ifu_mem_ctl.scala 412:32] - node ic_miss_buff_data_error_in_2 = mux(_T_1380, bus_ifu_wr_data_error, _T_1383) @[el2_ifu_mem_ctl.scala 411:72] - node _T_1384 = bits(write_fill_data_3, 0, 0) @[el2_ifu_mem_ctl.scala 411:92] - node _T_1385 = bits(ic_miss_buff_data_error, 3, 3) @[el2_ifu_mem_ctl.scala 412:28] - node _T_1386 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 412:34] - node _T_1387 = and(_T_1385, _T_1386) @[el2_ifu_mem_ctl.scala 412:32] - node ic_miss_buff_data_error_in_3 = mux(_T_1384, bus_ifu_wr_data_error, _T_1387) @[el2_ifu_mem_ctl.scala 411:72] - node _T_1388 = bits(write_fill_data_4, 0, 0) @[el2_ifu_mem_ctl.scala 411:92] - node _T_1389 = bits(ic_miss_buff_data_error, 4, 4) @[el2_ifu_mem_ctl.scala 412:28] - node _T_1390 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 412:34] - node _T_1391 = and(_T_1389, _T_1390) @[el2_ifu_mem_ctl.scala 412:32] - node ic_miss_buff_data_error_in_4 = mux(_T_1388, bus_ifu_wr_data_error, _T_1391) @[el2_ifu_mem_ctl.scala 411:72] - node _T_1392 = bits(write_fill_data_5, 0, 0) @[el2_ifu_mem_ctl.scala 411:92] - node _T_1393 = bits(ic_miss_buff_data_error, 5, 5) @[el2_ifu_mem_ctl.scala 412:28] - node _T_1394 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 412:34] - node _T_1395 = and(_T_1393, _T_1394) @[el2_ifu_mem_ctl.scala 412:32] - node ic_miss_buff_data_error_in_5 = mux(_T_1392, bus_ifu_wr_data_error, _T_1395) @[el2_ifu_mem_ctl.scala 411:72] - node _T_1396 = bits(write_fill_data_6, 0, 0) @[el2_ifu_mem_ctl.scala 411:92] - node _T_1397 = bits(ic_miss_buff_data_error, 6, 6) @[el2_ifu_mem_ctl.scala 412:28] - node _T_1398 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 412:34] - node _T_1399 = and(_T_1397, _T_1398) @[el2_ifu_mem_ctl.scala 412:32] - node ic_miss_buff_data_error_in_6 = mux(_T_1396, bus_ifu_wr_data_error, _T_1399) @[el2_ifu_mem_ctl.scala 411:72] - node _T_1400 = bits(write_fill_data_7, 0, 0) @[el2_ifu_mem_ctl.scala 411:92] - node _T_1401 = bits(ic_miss_buff_data_error, 7, 7) @[el2_ifu_mem_ctl.scala 412:28] - node _T_1402 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 412:34] - node _T_1403 = and(_T_1401, _T_1402) @[el2_ifu_mem_ctl.scala 412:32] - node ic_miss_buff_data_error_in_7 = mux(_T_1400, bus_ifu_wr_data_error, _T_1403) @[el2_ifu_mem_ctl.scala 411:72] + node _T_1372 = bits(write_fill_data_0, 0, 0) @[el2_ifu_mem_ctl.scala 413:92] + node _T_1373 = bits(ic_miss_buff_data_error, 0, 0) @[el2_ifu_mem_ctl.scala 414:28] + node _T_1374 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 414:34] + node _T_1375 = and(_T_1373, _T_1374) @[el2_ifu_mem_ctl.scala 414:32] + node ic_miss_buff_data_error_in_0 = mux(_T_1372, bus_ifu_wr_data_error, _T_1375) @[el2_ifu_mem_ctl.scala 413:72] + node _T_1376 = bits(write_fill_data_1, 0, 0) @[el2_ifu_mem_ctl.scala 413:92] + node _T_1377 = bits(ic_miss_buff_data_error, 1, 1) @[el2_ifu_mem_ctl.scala 414:28] + node _T_1378 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 414:34] + node _T_1379 = and(_T_1377, _T_1378) @[el2_ifu_mem_ctl.scala 414:32] + node ic_miss_buff_data_error_in_1 = mux(_T_1376, bus_ifu_wr_data_error, _T_1379) @[el2_ifu_mem_ctl.scala 413:72] + node _T_1380 = bits(write_fill_data_2, 0, 0) @[el2_ifu_mem_ctl.scala 413:92] + node _T_1381 = bits(ic_miss_buff_data_error, 2, 2) @[el2_ifu_mem_ctl.scala 414:28] + node _T_1382 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 414:34] + node _T_1383 = and(_T_1381, _T_1382) @[el2_ifu_mem_ctl.scala 414:32] + node ic_miss_buff_data_error_in_2 = mux(_T_1380, bus_ifu_wr_data_error, _T_1383) @[el2_ifu_mem_ctl.scala 413:72] + node _T_1384 = bits(write_fill_data_3, 0, 0) @[el2_ifu_mem_ctl.scala 413:92] + node _T_1385 = bits(ic_miss_buff_data_error, 3, 3) @[el2_ifu_mem_ctl.scala 414:28] + node _T_1386 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 414:34] + node _T_1387 = and(_T_1385, _T_1386) @[el2_ifu_mem_ctl.scala 414:32] + node ic_miss_buff_data_error_in_3 = mux(_T_1384, bus_ifu_wr_data_error, _T_1387) @[el2_ifu_mem_ctl.scala 413:72] + node _T_1388 = bits(write_fill_data_4, 0, 0) @[el2_ifu_mem_ctl.scala 413:92] + node _T_1389 = bits(ic_miss_buff_data_error, 4, 4) @[el2_ifu_mem_ctl.scala 414:28] + node _T_1390 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 414:34] + node _T_1391 = and(_T_1389, _T_1390) @[el2_ifu_mem_ctl.scala 414:32] + node ic_miss_buff_data_error_in_4 = mux(_T_1388, bus_ifu_wr_data_error, _T_1391) @[el2_ifu_mem_ctl.scala 413:72] + node _T_1392 = bits(write_fill_data_5, 0, 0) @[el2_ifu_mem_ctl.scala 413:92] + node _T_1393 = bits(ic_miss_buff_data_error, 5, 5) @[el2_ifu_mem_ctl.scala 414:28] + node _T_1394 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 414:34] + node _T_1395 = and(_T_1393, _T_1394) @[el2_ifu_mem_ctl.scala 414:32] + node ic_miss_buff_data_error_in_5 = mux(_T_1392, bus_ifu_wr_data_error, _T_1395) @[el2_ifu_mem_ctl.scala 413:72] + node _T_1396 = bits(write_fill_data_6, 0, 0) @[el2_ifu_mem_ctl.scala 413:92] + node _T_1397 = bits(ic_miss_buff_data_error, 6, 6) @[el2_ifu_mem_ctl.scala 414:28] + node _T_1398 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 414:34] + node _T_1399 = and(_T_1397, _T_1398) @[el2_ifu_mem_ctl.scala 414:32] + node ic_miss_buff_data_error_in_6 = mux(_T_1396, bus_ifu_wr_data_error, _T_1399) @[el2_ifu_mem_ctl.scala 413:72] + node _T_1400 = bits(write_fill_data_7, 0, 0) @[el2_ifu_mem_ctl.scala 413:92] + node _T_1401 = bits(ic_miss_buff_data_error, 7, 7) @[el2_ifu_mem_ctl.scala 414:28] + node _T_1402 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 414:34] + node _T_1403 = and(_T_1401, _T_1402) @[el2_ifu_mem_ctl.scala 414:32] + node ic_miss_buff_data_error_in_7 = mux(_T_1400, bus_ifu_wr_data_error, _T_1403) @[el2_ifu_mem_ctl.scala 413:72] node _T_1404 = cat(ic_miss_buff_data_error_in_7, ic_miss_buff_data_error_in_6) @[Cat.scala 29:58] node _T_1405 = cat(_T_1404, ic_miss_buff_data_error_in_5) @[Cat.scala 29:58] node _T_1406 = cat(_T_1405, ic_miss_buff_data_error_in_4) @[Cat.scala 29:58] @@ -2237,37 +2876,37 @@ circuit el2_ifu_mem_ctl : node _T_1408 = cat(_T_1407, ic_miss_buff_data_error_in_2) @[Cat.scala 29:58] node _T_1409 = cat(_T_1408, ic_miss_buff_data_error_in_1) @[Cat.scala 29:58] node _T_1410 = cat(_T_1409, ic_miss_buff_data_error_in_0) @[Cat.scala 29:58] - reg _T_1411 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 413:60] - _T_1411 <= _T_1410 @[el2_ifu_mem_ctl.scala 413:60] - ic_miss_buff_data_error <= _T_1411 @[el2_ifu_mem_ctl.scala 413:27] - node bypass_index = bits(imb_ff, 4, 0) @[el2_ifu_mem_ctl.scala 416:28] - node _T_1412 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 417:42] - node _T_1413 = add(_T_1412, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 417:70] - node bypass_index_5_3_inc = tail(_T_1413, 1) @[el2_ifu_mem_ctl.scala 417:70] - node _T_1414 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 418:87] - node _T_1415 = eq(_T_1414, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 418:114] - node _T_1416 = bits(_T_1415, 0, 0) @[el2_ifu_mem_ctl.scala 418:122] - node _T_1417 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 418:87] - node _T_1418 = eq(_T_1417, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 418:114] - node _T_1419 = bits(_T_1418, 0, 0) @[el2_ifu_mem_ctl.scala 418:122] - node _T_1420 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 418:87] - node _T_1421 = eq(_T_1420, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 418:114] - node _T_1422 = bits(_T_1421, 0, 0) @[el2_ifu_mem_ctl.scala 418:122] - node _T_1423 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 418:87] - node _T_1424 = eq(_T_1423, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 418:114] - node _T_1425 = bits(_T_1424, 0, 0) @[el2_ifu_mem_ctl.scala 418:122] - node _T_1426 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 418:87] - node _T_1427 = eq(_T_1426, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 418:114] - node _T_1428 = bits(_T_1427, 0, 0) @[el2_ifu_mem_ctl.scala 418:122] - node _T_1429 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 418:87] - node _T_1430 = eq(_T_1429, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 418:114] - node _T_1431 = bits(_T_1430, 0, 0) @[el2_ifu_mem_ctl.scala 418:122] - node _T_1432 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 418:87] - node _T_1433 = eq(_T_1432, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 418:114] - node _T_1434 = bits(_T_1433, 0, 0) @[el2_ifu_mem_ctl.scala 418:122] - node _T_1435 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 418:87] - node _T_1436 = eq(_T_1435, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 418:114] - node _T_1437 = bits(_T_1436, 0, 0) @[el2_ifu_mem_ctl.scala 418:122] + reg _T_1411 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 415:60] + _T_1411 <= _T_1410 @[el2_ifu_mem_ctl.scala 415:60] + ic_miss_buff_data_error <= _T_1411 @[el2_ifu_mem_ctl.scala 415:27] + node bypass_index = bits(imb_ff, 4, 0) @[el2_ifu_mem_ctl.scala 418:28] + node _T_1412 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 419:42] + node _T_1413 = add(_T_1412, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 419:70] + node bypass_index_5_3_inc = tail(_T_1413, 1) @[el2_ifu_mem_ctl.scala 419:70] + node _T_1414 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 420:87] + node _T_1415 = eq(_T_1414, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 420:114] + node _T_1416 = bits(_T_1415, 0, 0) @[el2_ifu_mem_ctl.scala 420:122] + node _T_1417 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 420:87] + node _T_1418 = eq(_T_1417, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 420:114] + node _T_1419 = bits(_T_1418, 0, 0) @[el2_ifu_mem_ctl.scala 420:122] + node _T_1420 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 420:87] + node _T_1421 = eq(_T_1420, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 420:114] + node _T_1422 = bits(_T_1421, 0, 0) @[el2_ifu_mem_ctl.scala 420:122] + node _T_1423 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 420:87] + node _T_1424 = eq(_T_1423, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 420:114] + node _T_1425 = bits(_T_1424, 0, 0) @[el2_ifu_mem_ctl.scala 420:122] + node _T_1426 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 420:87] + node _T_1427 = eq(_T_1426, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 420:114] + node _T_1428 = bits(_T_1427, 0, 0) @[el2_ifu_mem_ctl.scala 420:122] + node _T_1429 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 420:87] + node _T_1430 = eq(_T_1429, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 420:114] + node _T_1431 = bits(_T_1430, 0, 0) @[el2_ifu_mem_ctl.scala 420:122] + node _T_1432 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 420:87] + node _T_1433 = eq(_T_1432, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 420:114] + node _T_1434 = bits(_T_1433, 0, 0) @[el2_ifu_mem_ctl.scala 420:122] + node _T_1435 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 420:87] + node _T_1436 = eq(_T_1435, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 420:114] + node _T_1437 = bits(_T_1436, 0, 0) @[el2_ifu_mem_ctl.scala 420:122] node _T_1438 = mux(_T_1416, ic_miss_buff_data_valid_in_0, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1439 = mux(_T_1419, ic_miss_buff_data_valid_in_1, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1440 = mux(_T_1422, ic_miss_buff_data_valid_in_2, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2285,44 +2924,44 @@ circuit el2_ifu_mem_ctl : node _T_1452 = or(_T_1451, _T_1445) @[Mux.scala 27:72] wire bypass_valid_value_check : UInt<1> @[Mux.scala 27:72] bypass_valid_value_check <= _T_1452 @[Mux.scala 27:72] - node _T_1453 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 419:71] - node _T_1454 = eq(_T_1453, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 419:58] - node _T_1455 = and(bypass_valid_value_check, _T_1454) @[el2_ifu_mem_ctl.scala 419:56] - node _T_1456 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 419:90] - node _T_1457 = eq(_T_1456, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 419:77] - node _T_1458 = and(_T_1455, _T_1457) @[el2_ifu_mem_ctl.scala 419:75] - node _T_1459 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 420:71] - node _T_1460 = eq(_T_1459, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 420:58] - node _T_1461 = and(bypass_valid_value_check, _T_1460) @[el2_ifu_mem_ctl.scala 420:56] - node _T_1462 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 420:89] - node _T_1463 = and(_T_1461, _T_1462) @[el2_ifu_mem_ctl.scala 420:75] - node _T_1464 = or(_T_1458, _T_1463) @[el2_ifu_mem_ctl.scala 419:95] - node _T_1465 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 421:70] - node _T_1466 = and(bypass_valid_value_check, _T_1465) @[el2_ifu_mem_ctl.scala 421:56] - node _T_1467 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 421:89] - node _T_1468 = eq(_T_1467, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 421:76] - node _T_1469 = and(_T_1466, _T_1468) @[el2_ifu_mem_ctl.scala 421:74] - node _T_1470 = or(_T_1464, _T_1469) @[el2_ifu_mem_ctl.scala 420:94] - node _T_1471 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 422:47] - node _T_1472 = and(bypass_valid_value_check, _T_1471) @[el2_ifu_mem_ctl.scala 422:33] - node _T_1473 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 422:65] - node _T_1474 = and(_T_1472, _T_1473) @[el2_ifu_mem_ctl.scala 422:51] - node _T_1475 = eq(bypass_index_5_3_inc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 422:132] - node _T_1476 = bits(_T_1475, 0, 0) @[el2_ifu_mem_ctl.scala 422:140] - node _T_1477 = eq(bypass_index_5_3_inc, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 422:132] - node _T_1478 = bits(_T_1477, 0, 0) @[el2_ifu_mem_ctl.scala 422:140] - node _T_1479 = eq(bypass_index_5_3_inc, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 422:132] - node _T_1480 = bits(_T_1479, 0, 0) @[el2_ifu_mem_ctl.scala 422:140] - node _T_1481 = eq(bypass_index_5_3_inc, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 422:132] - node _T_1482 = bits(_T_1481, 0, 0) @[el2_ifu_mem_ctl.scala 422:140] - node _T_1483 = eq(bypass_index_5_3_inc, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 422:132] - node _T_1484 = bits(_T_1483, 0, 0) @[el2_ifu_mem_ctl.scala 422:140] - node _T_1485 = eq(bypass_index_5_3_inc, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 422:132] - node _T_1486 = bits(_T_1485, 0, 0) @[el2_ifu_mem_ctl.scala 422:140] - node _T_1487 = eq(bypass_index_5_3_inc, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 422:132] - node _T_1488 = bits(_T_1487, 0, 0) @[el2_ifu_mem_ctl.scala 422:140] - node _T_1489 = eq(bypass_index_5_3_inc, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 422:132] - node _T_1490 = bits(_T_1489, 0, 0) @[el2_ifu_mem_ctl.scala 422:140] + node _T_1453 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 421:71] + node _T_1454 = eq(_T_1453, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 421:58] + node _T_1455 = and(bypass_valid_value_check, _T_1454) @[el2_ifu_mem_ctl.scala 421:56] + node _T_1456 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 421:90] + node _T_1457 = eq(_T_1456, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 421:77] + node _T_1458 = and(_T_1455, _T_1457) @[el2_ifu_mem_ctl.scala 421:75] + node _T_1459 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 422:71] + node _T_1460 = eq(_T_1459, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 422:58] + node _T_1461 = and(bypass_valid_value_check, _T_1460) @[el2_ifu_mem_ctl.scala 422:56] + node _T_1462 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 422:89] + node _T_1463 = and(_T_1461, _T_1462) @[el2_ifu_mem_ctl.scala 422:75] + node _T_1464 = or(_T_1458, _T_1463) @[el2_ifu_mem_ctl.scala 421:95] + node _T_1465 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 423:70] + node _T_1466 = and(bypass_valid_value_check, _T_1465) @[el2_ifu_mem_ctl.scala 423:56] + node _T_1467 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 423:89] + node _T_1468 = eq(_T_1467, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 423:76] + node _T_1469 = and(_T_1466, _T_1468) @[el2_ifu_mem_ctl.scala 423:74] + node _T_1470 = or(_T_1464, _T_1469) @[el2_ifu_mem_ctl.scala 422:94] + node _T_1471 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 424:47] + node _T_1472 = and(bypass_valid_value_check, _T_1471) @[el2_ifu_mem_ctl.scala 424:33] + node _T_1473 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 424:65] + node _T_1474 = and(_T_1472, _T_1473) @[el2_ifu_mem_ctl.scala 424:51] + node _T_1475 = eq(bypass_index_5_3_inc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 424:132] + node _T_1476 = bits(_T_1475, 0, 0) @[el2_ifu_mem_ctl.scala 424:140] + node _T_1477 = eq(bypass_index_5_3_inc, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 424:132] + node _T_1478 = bits(_T_1477, 0, 0) @[el2_ifu_mem_ctl.scala 424:140] + node _T_1479 = eq(bypass_index_5_3_inc, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 424:132] + node _T_1480 = bits(_T_1479, 0, 0) @[el2_ifu_mem_ctl.scala 424:140] + node _T_1481 = eq(bypass_index_5_3_inc, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 424:132] + node _T_1482 = bits(_T_1481, 0, 0) @[el2_ifu_mem_ctl.scala 424:140] + node _T_1483 = eq(bypass_index_5_3_inc, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 424:132] + node _T_1484 = bits(_T_1483, 0, 0) @[el2_ifu_mem_ctl.scala 424:140] + node _T_1485 = eq(bypass_index_5_3_inc, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 424:132] + node _T_1486 = bits(_T_1485, 0, 0) @[el2_ifu_mem_ctl.scala 424:140] + node _T_1487 = eq(bypass_index_5_3_inc, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 424:132] + node _T_1488 = bits(_T_1487, 0, 0) @[el2_ifu_mem_ctl.scala 424:140] + node _T_1489 = eq(bypass_index_5_3_inc, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 424:132] + node _T_1490 = bits(_T_1489, 0, 0) @[el2_ifu_mem_ctl.scala 424:140] node _T_1491 = mux(_T_1476, ic_miss_buff_data_valid_in_0, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1492 = mux(_T_1478, ic_miss_buff_data_valid_in_1, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1493 = mux(_T_1480, ic_miss_buff_data_valid_in_2, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2340,79 +2979,79 @@ circuit el2_ifu_mem_ctl : node _T_1505 = or(_T_1504, _T_1498) @[Mux.scala 27:72] wire _T_1506 : UInt<1> @[Mux.scala 27:72] _T_1506 <= _T_1505 @[Mux.scala 27:72] - node _T_1507 = and(_T_1474, _T_1506) @[el2_ifu_mem_ctl.scala 422:69] - node _T_1508 = or(_T_1470, _T_1507) @[el2_ifu_mem_ctl.scala 421:94] - node _T_1509 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 423:70] + node _T_1507 = and(_T_1474, _T_1506) @[el2_ifu_mem_ctl.scala 424:69] + node _T_1508 = or(_T_1470, _T_1507) @[el2_ifu_mem_ctl.scala 423:94] + node _T_1509 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 425:70] node _T_1510 = mux(UInt<1>("h01"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_1511 = eq(_T_1509, _T_1510) @[el2_ifu_mem_ctl.scala 423:95] - node _T_1512 = and(bypass_valid_value_check, _T_1511) @[el2_ifu_mem_ctl.scala 423:56] - node bypass_data_ready_in = or(_T_1508, _T_1512) @[el2_ifu_mem_ctl.scala 422:181] + node _T_1511 = eq(_T_1509, _T_1510) @[el2_ifu_mem_ctl.scala 425:95] + node _T_1512 = and(bypass_valid_value_check, _T_1511) @[el2_ifu_mem_ctl.scala 425:56] + node bypass_data_ready_in = or(_T_1508, _T_1512) @[el2_ifu_mem_ctl.scala 424:181] wire ic_crit_wd_rdy_new_ff : UInt<1> ic_crit_wd_rdy_new_ff <= UInt<1>("h00") - node _T_1513 = and(bypass_data_ready_in, crit_wd_byp_ok_ff) @[el2_ifu_mem_ctl.scala 427:53] - node _T_1514 = and(_T_1513, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 427:73] - node _T_1515 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 427:98] - node _T_1516 = and(_T_1514, _T_1515) @[el2_ifu_mem_ctl.scala 427:96] - node _T_1517 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 427:120] - node _T_1518 = and(_T_1516, _T_1517) @[el2_ifu_mem_ctl.scala 427:118] - node _T_1519 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 428:75] - node _T_1520 = and(crit_wd_byp_ok_ff, _T_1519) @[el2_ifu_mem_ctl.scala 428:73] - node _T_1521 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 428:98] - node _T_1522 = and(_T_1520, _T_1521) @[el2_ifu_mem_ctl.scala 428:96] - node _T_1523 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 428:120] - node _T_1524 = and(_T_1522, _T_1523) @[el2_ifu_mem_ctl.scala 428:118] - node _T_1525 = or(_T_1518, _T_1524) @[el2_ifu_mem_ctl.scala 427:143] - node _T_1526 = and(ic_crit_wd_rdy_new_ff, crit_wd_byp_ok_ff) @[el2_ifu_mem_ctl.scala 429:54] - node _T_1527 = eq(fetch_req_icache_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 429:76] - node _T_1528 = and(_T_1526, _T_1527) @[el2_ifu_mem_ctl.scala 429:74] - node _T_1529 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 429:98] - node _T_1530 = and(_T_1528, _T_1529) @[el2_ifu_mem_ctl.scala 429:96] - node ic_crit_wd_rdy_new_in = or(_T_1525, _T_1530) @[el2_ifu_mem_ctl.scala 428:143] - reg _T_1531 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 430:58] - _T_1531 <= ic_crit_wd_rdy_new_in @[el2_ifu_mem_ctl.scala 430:58] - ic_crit_wd_rdy_new_ff <= _T_1531 @[el2_ifu_mem_ctl.scala 430:25] - node byp_fetch_index = bits(ifu_fetch_addr_int_f, 4, 0) @[el2_ifu_mem_ctl.scala 431:45] - node _T_1532 = bits(ifu_fetch_addr_int_f, 4, 2) @[el2_ifu_mem_ctl.scala 432:51] + node _T_1513 = and(bypass_data_ready_in, crit_wd_byp_ok_ff) @[el2_ifu_mem_ctl.scala 429:53] + node _T_1514 = and(_T_1513, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 429:73] + node _T_1515 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 429:98] + node _T_1516 = and(_T_1514, _T_1515) @[el2_ifu_mem_ctl.scala 429:96] + node _T_1517 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 429:120] + node _T_1518 = and(_T_1516, _T_1517) @[el2_ifu_mem_ctl.scala 429:118] + node _T_1519 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 430:75] + node _T_1520 = and(crit_wd_byp_ok_ff, _T_1519) @[el2_ifu_mem_ctl.scala 430:73] + node _T_1521 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 430:98] + node _T_1522 = and(_T_1520, _T_1521) @[el2_ifu_mem_ctl.scala 430:96] + node _T_1523 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 430:120] + node _T_1524 = and(_T_1522, _T_1523) @[el2_ifu_mem_ctl.scala 430:118] + node _T_1525 = or(_T_1518, _T_1524) @[el2_ifu_mem_ctl.scala 429:143] + node _T_1526 = and(ic_crit_wd_rdy_new_ff, crit_wd_byp_ok_ff) @[el2_ifu_mem_ctl.scala 431:54] + node _T_1527 = eq(fetch_req_icache_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 431:76] + node _T_1528 = and(_T_1526, _T_1527) @[el2_ifu_mem_ctl.scala 431:74] + node _T_1529 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 431:98] + node _T_1530 = and(_T_1528, _T_1529) @[el2_ifu_mem_ctl.scala 431:96] + node ic_crit_wd_rdy_new_in = or(_T_1525, _T_1530) @[el2_ifu_mem_ctl.scala 430:143] + reg _T_1531 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 432:58] + _T_1531 <= ic_crit_wd_rdy_new_in @[el2_ifu_mem_ctl.scala 432:58] + ic_crit_wd_rdy_new_ff <= _T_1531 @[el2_ifu_mem_ctl.scala 432:25] + node byp_fetch_index = bits(ifu_fetch_addr_int_f, 4, 0) @[el2_ifu_mem_ctl.scala 433:45] + node _T_1532 = bits(ifu_fetch_addr_int_f, 4, 2) @[el2_ifu_mem_ctl.scala 434:51] node byp_fetch_index_0 = cat(_T_1532, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_1533 = bits(ifu_fetch_addr_int_f, 4, 2) @[el2_ifu_mem_ctl.scala 433:51] + node _T_1533 = bits(ifu_fetch_addr_int_f, 4, 2) @[el2_ifu_mem_ctl.scala 435:51] node byp_fetch_index_1 = cat(_T_1533, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_1534 = bits(ifu_fetch_addr_int_f, 4, 2) @[el2_ifu_mem_ctl.scala 434:49] - node _T_1535 = add(_T_1534, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 434:75] - node byp_fetch_index_inc = tail(_T_1535, 1) @[el2_ifu_mem_ctl.scala 434:75] + node _T_1534 = bits(ifu_fetch_addr_int_f, 4, 2) @[el2_ifu_mem_ctl.scala 436:49] + node _T_1535 = add(_T_1534, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 436:75] + node byp_fetch_index_inc = tail(_T_1535, 1) @[el2_ifu_mem_ctl.scala 436:75] node byp_fetch_index_inc_0 = cat(byp_fetch_index_inc, UInt<1>("h00")) @[Cat.scala 29:58] node byp_fetch_index_inc_1 = cat(byp_fetch_index_inc, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_1536 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 437:93] - node _T_1537 = eq(_T_1536, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 437:118] - node _T_1538 = bits(_T_1537, 0, 0) @[el2_ifu_mem_ctl.scala 437:126] - node _T_1539 = bits(ic_miss_buff_data_error, 0, 0) @[el2_ifu_mem_ctl.scala 437:157] - node _T_1540 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 437:93] - node _T_1541 = eq(_T_1540, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 437:118] - node _T_1542 = bits(_T_1541, 0, 0) @[el2_ifu_mem_ctl.scala 437:126] - node _T_1543 = bits(ic_miss_buff_data_error, 1, 1) @[el2_ifu_mem_ctl.scala 437:157] - node _T_1544 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 437:93] - node _T_1545 = eq(_T_1544, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 437:118] - node _T_1546 = bits(_T_1545, 0, 0) @[el2_ifu_mem_ctl.scala 437:126] - node _T_1547 = bits(ic_miss_buff_data_error, 2, 2) @[el2_ifu_mem_ctl.scala 437:157] - node _T_1548 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 437:93] - node _T_1549 = eq(_T_1548, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 437:118] - node _T_1550 = bits(_T_1549, 0, 0) @[el2_ifu_mem_ctl.scala 437:126] - node _T_1551 = bits(ic_miss_buff_data_error, 3, 3) @[el2_ifu_mem_ctl.scala 437:157] - node _T_1552 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 437:93] - node _T_1553 = eq(_T_1552, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 437:118] - node _T_1554 = bits(_T_1553, 0, 0) @[el2_ifu_mem_ctl.scala 437:126] - node _T_1555 = bits(ic_miss_buff_data_error, 4, 4) @[el2_ifu_mem_ctl.scala 437:157] - node _T_1556 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 437:93] - node _T_1557 = eq(_T_1556, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 437:118] - node _T_1558 = bits(_T_1557, 0, 0) @[el2_ifu_mem_ctl.scala 437:126] - node _T_1559 = bits(ic_miss_buff_data_error, 5, 5) @[el2_ifu_mem_ctl.scala 437:157] - node _T_1560 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 437:93] - node _T_1561 = eq(_T_1560, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 437:118] - node _T_1562 = bits(_T_1561, 0, 0) @[el2_ifu_mem_ctl.scala 437:126] - node _T_1563 = bits(ic_miss_buff_data_error, 6, 6) @[el2_ifu_mem_ctl.scala 437:157] - node _T_1564 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 437:93] - node _T_1565 = eq(_T_1564, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 437:118] - node _T_1566 = bits(_T_1565, 0, 0) @[el2_ifu_mem_ctl.scala 437:126] - node _T_1567 = bits(ic_miss_buff_data_error, 7, 7) @[el2_ifu_mem_ctl.scala 437:157] + node _T_1536 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 439:93] + node _T_1537 = eq(_T_1536, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 439:118] + node _T_1538 = bits(_T_1537, 0, 0) @[el2_ifu_mem_ctl.scala 439:126] + node _T_1539 = bits(ic_miss_buff_data_error, 0, 0) @[el2_ifu_mem_ctl.scala 439:157] + node _T_1540 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 439:93] + node _T_1541 = eq(_T_1540, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 439:118] + node _T_1542 = bits(_T_1541, 0, 0) @[el2_ifu_mem_ctl.scala 439:126] + node _T_1543 = bits(ic_miss_buff_data_error, 1, 1) @[el2_ifu_mem_ctl.scala 439:157] + node _T_1544 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 439:93] + node _T_1545 = eq(_T_1544, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 439:118] + node _T_1546 = bits(_T_1545, 0, 0) @[el2_ifu_mem_ctl.scala 439:126] + node _T_1547 = bits(ic_miss_buff_data_error, 2, 2) @[el2_ifu_mem_ctl.scala 439:157] + node _T_1548 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 439:93] + node _T_1549 = eq(_T_1548, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 439:118] + node _T_1550 = bits(_T_1549, 0, 0) @[el2_ifu_mem_ctl.scala 439:126] + node _T_1551 = bits(ic_miss_buff_data_error, 3, 3) @[el2_ifu_mem_ctl.scala 439:157] + node _T_1552 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 439:93] + node _T_1553 = eq(_T_1552, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 439:118] + node _T_1554 = bits(_T_1553, 0, 0) @[el2_ifu_mem_ctl.scala 439:126] + node _T_1555 = bits(ic_miss_buff_data_error, 4, 4) @[el2_ifu_mem_ctl.scala 439:157] + node _T_1556 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 439:93] + node _T_1557 = eq(_T_1556, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 439:118] + node _T_1558 = bits(_T_1557, 0, 0) @[el2_ifu_mem_ctl.scala 439:126] + node _T_1559 = bits(ic_miss_buff_data_error, 5, 5) @[el2_ifu_mem_ctl.scala 439:157] + node _T_1560 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 439:93] + node _T_1561 = eq(_T_1560, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 439:118] + node _T_1562 = bits(_T_1561, 0, 0) @[el2_ifu_mem_ctl.scala 439:126] + node _T_1563 = bits(ic_miss_buff_data_error, 6, 6) @[el2_ifu_mem_ctl.scala 439:157] + node _T_1564 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 439:93] + node _T_1565 = eq(_T_1564, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 439:118] + node _T_1566 = bits(_T_1565, 0, 0) @[el2_ifu_mem_ctl.scala 439:126] + node _T_1567 = bits(ic_miss_buff_data_error, 7, 7) @[el2_ifu_mem_ctl.scala 439:157] node _T_1568 = mux(_T_1538, _T_1539, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1569 = mux(_T_1542, _T_1543, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1570 = mux(_T_1546, _T_1547, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2430,30 +3069,30 @@ circuit el2_ifu_mem_ctl : node _T_1582 = or(_T_1581, _T_1575) @[Mux.scala 27:72] wire ic_miss_buff_data_error_bypass : UInt<1> @[Mux.scala 27:72] ic_miss_buff_data_error_bypass <= _T_1582 @[Mux.scala 27:72] - node _T_1583 = eq(byp_fetch_index_inc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 438:104] - node _T_1584 = bits(_T_1583, 0, 0) @[el2_ifu_mem_ctl.scala 438:112] - node _T_1585 = bits(ic_miss_buff_data_error, 0, 0) @[el2_ifu_mem_ctl.scala 438:143] - node _T_1586 = eq(byp_fetch_index_inc, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 438:104] - node _T_1587 = bits(_T_1586, 0, 0) @[el2_ifu_mem_ctl.scala 438:112] - node _T_1588 = bits(ic_miss_buff_data_error, 1, 1) @[el2_ifu_mem_ctl.scala 438:143] - node _T_1589 = eq(byp_fetch_index_inc, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 438:104] - node _T_1590 = bits(_T_1589, 0, 0) @[el2_ifu_mem_ctl.scala 438:112] - node _T_1591 = bits(ic_miss_buff_data_error, 2, 2) @[el2_ifu_mem_ctl.scala 438:143] - node _T_1592 = eq(byp_fetch_index_inc, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 438:104] - node _T_1593 = bits(_T_1592, 0, 0) @[el2_ifu_mem_ctl.scala 438:112] - node _T_1594 = bits(ic_miss_buff_data_error, 3, 3) @[el2_ifu_mem_ctl.scala 438:143] - node _T_1595 = eq(byp_fetch_index_inc, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 438:104] - node _T_1596 = bits(_T_1595, 0, 0) @[el2_ifu_mem_ctl.scala 438:112] - node _T_1597 = bits(ic_miss_buff_data_error, 4, 4) @[el2_ifu_mem_ctl.scala 438:143] - node _T_1598 = eq(byp_fetch_index_inc, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 438:104] - node _T_1599 = bits(_T_1598, 0, 0) @[el2_ifu_mem_ctl.scala 438:112] - node _T_1600 = bits(ic_miss_buff_data_error, 5, 5) @[el2_ifu_mem_ctl.scala 438:143] - node _T_1601 = eq(byp_fetch_index_inc, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 438:104] - node _T_1602 = bits(_T_1601, 0, 0) @[el2_ifu_mem_ctl.scala 438:112] - node _T_1603 = bits(ic_miss_buff_data_error, 6, 6) @[el2_ifu_mem_ctl.scala 438:143] - node _T_1604 = eq(byp_fetch_index_inc, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 438:104] - node _T_1605 = bits(_T_1604, 0, 0) @[el2_ifu_mem_ctl.scala 438:112] - node _T_1606 = bits(ic_miss_buff_data_error, 7, 7) @[el2_ifu_mem_ctl.scala 438:143] + node _T_1583 = eq(byp_fetch_index_inc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 440:104] + node _T_1584 = bits(_T_1583, 0, 0) @[el2_ifu_mem_ctl.scala 440:112] + node _T_1585 = bits(ic_miss_buff_data_error, 0, 0) @[el2_ifu_mem_ctl.scala 440:143] + node _T_1586 = eq(byp_fetch_index_inc, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 440:104] + node _T_1587 = bits(_T_1586, 0, 0) @[el2_ifu_mem_ctl.scala 440:112] + node _T_1588 = bits(ic_miss_buff_data_error, 1, 1) @[el2_ifu_mem_ctl.scala 440:143] + node _T_1589 = eq(byp_fetch_index_inc, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 440:104] + node _T_1590 = bits(_T_1589, 0, 0) @[el2_ifu_mem_ctl.scala 440:112] + node _T_1591 = bits(ic_miss_buff_data_error, 2, 2) @[el2_ifu_mem_ctl.scala 440:143] + node _T_1592 = eq(byp_fetch_index_inc, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 440:104] + node _T_1593 = bits(_T_1592, 0, 0) @[el2_ifu_mem_ctl.scala 440:112] + node _T_1594 = bits(ic_miss_buff_data_error, 3, 3) @[el2_ifu_mem_ctl.scala 440:143] + node _T_1595 = eq(byp_fetch_index_inc, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 440:104] + node _T_1596 = bits(_T_1595, 0, 0) @[el2_ifu_mem_ctl.scala 440:112] + node _T_1597 = bits(ic_miss_buff_data_error, 4, 4) @[el2_ifu_mem_ctl.scala 440:143] + node _T_1598 = eq(byp_fetch_index_inc, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 440:104] + node _T_1599 = bits(_T_1598, 0, 0) @[el2_ifu_mem_ctl.scala 440:112] + node _T_1600 = bits(ic_miss_buff_data_error, 5, 5) @[el2_ifu_mem_ctl.scala 440:143] + node _T_1601 = eq(byp_fetch_index_inc, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 440:104] + node _T_1602 = bits(_T_1601, 0, 0) @[el2_ifu_mem_ctl.scala 440:112] + node _T_1603 = bits(ic_miss_buff_data_error, 6, 6) @[el2_ifu_mem_ctl.scala 440:143] + node _T_1604 = eq(byp_fetch_index_inc, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 440:104] + node _T_1605 = bits(_T_1604, 0, 0) @[el2_ifu_mem_ctl.scala 440:112] + node _T_1606 = bits(ic_miss_buff_data_error, 7, 7) @[el2_ifu_mem_ctl.scala 440:143] node _T_1607 = mux(_T_1584, _T_1585, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1608 = mux(_T_1587, _T_1588, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1609 = mux(_T_1590, _T_1591, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2471,67 +3110,67 @@ circuit el2_ifu_mem_ctl : node _T_1621 = or(_T_1620, _T_1614) @[Mux.scala 27:72] wire ic_miss_buff_data_error_bypass_inc : UInt<1> @[Mux.scala 27:72] ic_miss_buff_data_error_bypass_inc <= _T_1621 @[Mux.scala 27:72] - node _T_1622 = bits(ifu_fetch_addr_int_f, 1, 1) @[el2_ifu_mem_ctl.scala 441:28] - node _T_1623 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 441:52] - node _T_1624 = and(_T_1622, _T_1623) @[el2_ifu_mem_ctl.scala 441:31] - when _T_1624 : @[el2_ifu_mem_ctl.scala 441:56] - ifu_byp_data_err_new <= ic_miss_buff_data_error_bypass @[el2_ifu_mem_ctl.scala 442:26] - skip @[el2_ifu_mem_ctl.scala 441:56] - else : @[el2_ifu_mem_ctl.scala 443:5] - node _T_1625 = or(ic_miss_buff_data_error_bypass, ic_miss_buff_data_error_bypass_inc) @[el2_ifu_mem_ctl.scala 443:70] - ifu_byp_data_err_new <= _T_1625 @[el2_ifu_mem_ctl.scala 443:36] - skip @[el2_ifu_mem_ctl.scala 443:5] - node _T_1626 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 445:59] - node _T_1627 = bits(_T_1626, 0, 0) @[el2_ifu_mem_ctl.scala 445:63] - node _T_1628 = eq(_T_1627, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 445:38] - node _T_1629 = eq(byp_fetch_index_inc_0, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1630 = bits(_T_1629, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1631 = bits(ic_miss_buff_data[0], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1632 = eq(byp_fetch_index_inc_0, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1633 = bits(_T_1632, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1634 = bits(ic_miss_buff_data[1], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1635 = eq(byp_fetch_index_inc_0, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1636 = bits(_T_1635, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1637 = bits(ic_miss_buff_data[2], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1638 = eq(byp_fetch_index_inc_0, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1639 = bits(_T_1638, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1640 = bits(ic_miss_buff_data[3], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1641 = eq(byp_fetch_index_inc_0, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1642 = bits(_T_1641, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1643 = bits(ic_miss_buff_data[4], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1644 = eq(byp_fetch_index_inc_0, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1645 = bits(_T_1644, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1646 = bits(ic_miss_buff_data[5], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1647 = eq(byp_fetch_index_inc_0, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1648 = bits(_T_1647, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1649 = bits(ic_miss_buff_data[6], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1650 = eq(byp_fetch_index_inc_0, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1651 = bits(_T_1650, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1652 = bits(ic_miss_buff_data[7], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1653 = eq(byp_fetch_index_inc_0, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1654 = bits(_T_1653, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1655 = bits(ic_miss_buff_data[8], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1656 = eq(byp_fetch_index_inc_0, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1657 = bits(_T_1656, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1658 = bits(ic_miss_buff_data[9], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1659 = eq(byp_fetch_index_inc_0, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1660 = bits(_T_1659, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1661 = bits(ic_miss_buff_data[10], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1662 = eq(byp_fetch_index_inc_0, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1663 = bits(_T_1662, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1664 = bits(ic_miss_buff_data[11], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1665 = eq(byp_fetch_index_inc_0, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1666 = bits(_T_1665, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1667 = bits(ic_miss_buff_data[12], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1668 = eq(byp_fetch_index_inc_0, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1669 = bits(_T_1668, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1670 = bits(ic_miss_buff_data[13], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1671 = eq(byp_fetch_index_inc_0, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1672 = bits(_T_1671, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1673 = bits(ic_miss_buff_data[14], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] - node _T_1674 = eq(byp_fetch_index_inc_0, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 446:73] - node _T_1675 = bits(_T_1674, 0, 0) @[el2_ifu_mem_ctl.scala 446:81] - node _T_1676 = bits(ic_miss_buff_data[15], 15, 0) @[el2_ifu_mem_ctl.scala 446:109] + node _T_1622 = bits(ifu_fetch_addr_int_f, 1, 1) @[el2_ifu_mem_ctl.scala 443:28] + node _T_1623 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 443:52] + node _T_1624 = and(_T_1622, _T_1623) @[el2_ifu_mem_ctl.scala 443:31] + when _T_1624 : @[el2_ifu_mem_ctl.scala 443:56] + ifu_byp_data_err_new <= ic_miss_buff_data_error_bypass @[el2_ifu_mem_ctl.scala 444:26] + skip @[el2_ifu_mem_ctl.scala 443:56] + else : @[el2_ifu_mem_ctl.scala 445:5] + node _T_1625 = or(ic_miss_buff_data_error_bypass, ic_miss_buff_data_error_bypass_inc) @[el2_ifu_mem_ctl.scala 445:70] + ifu_byp_data_err_new <= _T_1625 @[el2_ifu_mem_ctl.scala 445:36] + skip @[el2_ifu_mem_ctl.scala 445:5] + node _T_1626 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 447:59] + node _T_1627 = bits(_T_1626, 0, 0) @[el2_ifu_mem_ctl.scala 447:63] + node _T_1628 = eq(_T_1627, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 447:38] + node _T_1629 = eq(byp_fetch_index_inc_0, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 448:73] + node _T_1630 = bits(_T_1629, 0, 0) @[el2_ifu_mem_ctl.scala 448:81] + node _T_1631 = bits(ic_miss_buff_data[0], 15, 0) @[el2_ifu_mem_ctl.scala 448:109] + node _T_1632 = eq(byp_fetch_index_inc_0, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 448:73] + node _T_1633 = bits(_T_1632, 0, 0) @[el2_ifu_mem_ctl.scala 448:81] + node _T_1634 = bits(ic_miss_buff_data[1], 15, 0) @[el2_ifu_mem_ctl.scala 448:109] + node _T_1635 = eq(byp_fetch_index_inc_0, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 448:73] + node _T_1636 = bits(_T_1635, 0, 0) @[el2_ifu_mem_ctl.scala 448:81] + node _T_1637 = bits(ic_miss_buff_data[2], 15, 0) @[el2_ifu_mem_ctl.scala 448:109] + node _T_1638 = eq(byp_fetch_index_inc_0, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 448:73] + node _T_1639 = bits(_T_1638, 0, 0) @[el2_ifu_mem_ctl.scala 448:81] + node _T_1640 = bits(ic_miss_buff_data[3], 15, 0) @[el2_ifu_mem_ctl.scala 448:109] + node _T_1641 = eq(byp_fetch_index_inc_0, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 448:73] + node _T_1642 = bits(_T_1641, 0, 0) @[el2_ifu_mem_ctl.scala 448:81] + node _T_1643 = bits(ic_miss_buff_data[4], 15, 0) @[el2_ifu_mem_ctl.scala 448:109] + node _T_1644 = eq(byp_fetch_index_inc_0, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 448:73] + node _T_1645 = bits(_T_1644, 0, 0) @[el2_ifu_mem_ctl.scala 448:81] + node _T_1646 = bits(ic_miss_buff_data[5], 15, 0) @[el2_ifu_mem_ctl.scala 448:109] + node _T_1647 = eq(byp_fetch_index_inc_0, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 448:73] + node _T_1648 = bits(_T_1647, 0, 0) @[el2_ifu_mem_ctl.scala 448:81] + node _T_1649 = bits(ic_miss_buff_data[6], 15, 0) @[el2_ifu_mem_ctl.scala 448:109] + node _T_1650 = eq(byp_fetch_index_inc_0, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 448:73] + node _T_1651 = bits(_T_1650, 0, 0) @[el2_ifu_mem_ctl.scala 448:81] + node _T_1652 = bits(ic_miss_buff_data[7], 15, 0) @[el2_ifu_mem_ctl.scala 448:109] + node _T_1653 = eq(byp_fetch_index_inc_0, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 448:73] + node _T_1654 = bits(_T_1653, 0, 0) @[el2_ifu_mem_ctl.scala 448:81] + node _T_1655 = bits(ic_miss_buff_data[8], 15, 0) @[el2_ifu_mem_ctl.scala 448:109] + node _T_1656 = eq(byp_fetch_index_inc_0, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 448:73] + node _T_1657 = bits(_T_1656, 0, 0) @[el2_ifu_mem_ctl.scala 448:81] + node _T_1658 = bits(ic_miss_buff_data[9], 15, 0) @[el2_ifu_mem_ctl.scala 448:109] + node _T_1659 = eq(byp_fetch_index_inc_0, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 448:73] + node _T_1660 = bits(_T_1659, 0, 0) @[el2_ifu_mem_ctl.scala 448:81] + node _T_1661 = bits(ic_miss_buff_data[10], 15, 0) @[el2_ifu_mem_ctl.scala 448:109] + node _T_1662 = eq(byp_fetch_index_inc_0, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 448:73] + node _T_1663 = bits(_T_1662, 0, 0) @[el2_ifu_mem_ctl.scala 448:81] + node _T_1664 = bits(ic_miss_buff_data[11], 15, 0) @[el2_ifu_mem_ctl.scala 448:109] + node _T_1665 = eq(byp_fetch_index_inc_0, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 448:73] + node _T_1666 = bits(_T_1665, 0, 0) @[el2_ifu_mem_ctl.scala 448:81] + node _T_1667 = bits(ic_miss_buff_data[12], 15, 0) @[el2_ifu_mem_ctl.scala 448:109] + node _T_1668 = eq(byp_fetch_index_inc_0, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 448:73] + node _T_1669 = bits(_T_1668, 0, 0) @[el2_ifu_mem_ctl.scala 448:81] + node _T_1670 = bits(ic_miss_buff_data[13], 15, 0) @[el2_ifu_mem_ctl.scala 448:109] + node _T_1671 = eq(byp_fetch_index_inc_0, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 448:73] + node _T_1672 = bits(_T_1671, 0, 0) @[el2_ifu_mem_ctl.scala 448:81] + node _T_1673 = bits(ic_miss_buff_data[14], 15, 0) @[el2_ifu_mem_ctl.scala 448:109] + node _T_1674 = eq(byp_fetch_index_inc_0, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 448:73] + node _T_1675 = bits(_T_1674, 0, 0) @[el2_ifu_mem_ctl.scala 448:81] + node _T_1676 = bits(ic_miss_buff_data[15], 15, 0) @[el2_ifu_mem_ctl.scala 448:109] node _T_1677 = mux(_T_1630, _T_1631, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1678 = mux(_T_1633, _T_1634, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1679 = mux(_T_1636, _T_1637, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2565,54 +3204,54 @@ circuit el2_ifu_mem_ctl : node _T_1707 = or(_T_1706, _T_1692) @[Mux.scala 27:72] wire _T_1708 : UInt<16> @[Mux.scala 27:72] _T_1708 <= _T_1707 @[Mux.scala 27:72] - node _T_1709 = eq(byp_fetch_index_1, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 446:179] - node _T_1710 = bits(_T_1709, 0, 0) @[el2_ifu_mem_ctl.scala 446:187] - node _T_1711 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 446:215] - node _T_1712 = eq(byp_fetch_index_1, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 446:179] - node _T_1713 = bits(_T_1712, 0, 0) @[el2_ifu_mem_ctl.scala 446:187] - node _T_1714 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 446:215] - node _T_1715 = eq(byp_fetch_index_1, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 446:179] - node _T_1716 = bits(_T_1715, 0, 0) @[el2_ifu_mem_ctl.scala 446:187] - node _T_1717 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 446:215] - node _T_1718 = eq(byp_fetch_index_1, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 446:179] - node _T_1719 = bits(_T_1718, 0, 0) @[el2_ifu_mem_ctl.scala 446:187] - node _T_1720 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 446:215] - node _T_1721 = eq(byp_fetch_index_1, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 446:179] - node _T_1722 = bits(_T_1721, 0, 0) @[el2_ifu_mem_ctl.scala 446:187] - node _T_1723 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 446:215] - node _T_1724 = eq(byp_fetch_index_1, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 446:179] - node _T_1725 = bits(_T_1724, 0, 0) @[el2_ifu_mem_ctl.scala 446:187] - node _T_1726 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 446:215] - node _T_1727 = eq(byp_fetch_index_1, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 446:179] - node _T_1728 = bits(_T_1727, 0, 0) @[el2_ifu_mem_ctl.scala 446:187] - node _T_1729 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 446:215] - node _T_1730 = eq(byp_fetch_index_1, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 446:179] - node _T_1731 = bits(_T_1730, 0, 0) @[el2_ifu_mem_ctl.scala 446:187] - node _T_1732 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 446:215] - node _T_1733 = eq(byp_fetch_index_1, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 446:179] - node _T_1734 = bits(_T_1733, 0, 0) @[el2_ifu_mem_ctl.scala 446:187] - node _T_1735 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 446:215] - node _T_1736 = eq(byp_fetch_index_1, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 446:179] - node _T_1737 = bits(_T_1736, 0, 0) @[el2_ifu_mem_ctl.scala 446:187] - node _T_1738 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 446:215] - node _T_1739 = eq(byp_fetch_index_1, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 446:179] - node _T_1740 = bits(_T_1739, 0, 0) @[el2_ifu_mem_ctl.scala 446:187] - node _T_1741 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 446:215] - node _T_1742 = eq(byp_fetch_index_1, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 446:179] - node _T_1743 = bits(_T_1742, 0, 0) @[el2_ifu_mem_ctl.scala 446:187] - node _T_1744 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 446:215] - node _T_1745 = eq(byp_fetch_index_1, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 446:179] - node _T_1746 = bits(_T_1745, 0, 0) @[el2_ifu_mem_ctl.scala 446:187] - node _T_1747 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 446:215] - node _T_1748 = eq(byp_fetch_index_1, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 446:179] - node _T_1749 = bits(_T_1748, 0, 0) @[el2_ifu_mem_ctl.scala 446:187] - node _T_1750 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 446:215] - node _T_1751 = eq(byp_fetch_index_1, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 446:179] - node _T_1752 = bits(_T_1751, 0, 0) @[el2_ifu_mem_ctl.scala 446:187] - node _T_1753 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 446:215] - node _T_1754 = eq(byp_fetch_index_1, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 446:179] - node _T_1755 = bits(_T_1754, 0, 0) @[el2_ifu_mem_ctl.scala 446:187] - node _T_1756 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 446:215] + node _T_1709 = eq(byp_fetch_index_1, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 448:179] + node _T_1710 = bits(_T_1709, 0, 0) @[el2_ifu_mem_ctl.scala 448:187] + node _T_1711 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 448:215] + node _T_1712 = eq(byp_fetch_index_1, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 448:179] + node _T_1713 = bits(_T_1712, 0, 0) @[el2_ifu_mem_ctl.scala 448:187] + node _T_1714 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 448:215] + node _T_1715 = eq(byp_fetch_index_1, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 448:179] + node _T_1716 = bits(_T_1715, 0, 0) @[el2_ifu_mem_ctl.scala 448:187] + node _T_1717 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 448:215] + node _T_1718 = eq(byp_fetch_index_1, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 448:179] + node _T_1719 = bits(_T_1718, 0, 0) @[el2_ifu_mem_ctl.scala 448:187] + node _T_1720 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 448:215] + node _T_1721 = eq(byp_fetch_index_1, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 448:179] + node _T_1722 = bits(_T_1721, 0, 0) @[el2_ifu_mem_ctl.scala 448:187] + node _T_1723 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 448:215] + node _T_1724 = eq(byp_fetch_index_1, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 448:179] + node _T_1725 = bits(_T_1724, 0, 0) @[el2_ifu_mem_ctl.scala 448:187] + node _T_1726 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 448:215] + node _T_1727 = eq(byp_fetch_index_1, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 448:179] + node _T_1728 = bits(_T_1727, 0, 0) @[el2_ifu_mem_ctl.scala 448:187] + node _T_1729 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 448:215] + node _T_1730 = eq(byp_fetch_index_1, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 448:179] + node _T_1731 = bits(_T_1730, 0, 0) @[el2_ifu_mem_ctl.scala 448:187] + node _T_1732 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 448:215] + node _T_1733 = eq(byp_fetch_index_1, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 448:179] + node _T_1734 = bits(_T_1733, 0, 0) @[el2_ifu_mem_ctl.scala 448:187] + node _T_1735 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 448:215] + node _T_1736 = eq(byp_fetch_index_1, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 448:179] + node _T_1737 = bits(_T_1736, 0, 0) @[el2_ifu_mem_ctl.scala 448:187] + node _T_1738 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 448:215] + node _T_1739 = eq(byp_fetch_index_1, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 448:179] + node _T_1740 = bits(_T_1739, 0, 0) @[el2_ifu_mem_ctl.scala 448:187] + node _T_1741 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 448:215] + node _T_1742 = eq(byp_fetch_index_1, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 448:179] + node _T_1743 = bits(_T_1742, 0, 0) @[el2_ifu_mem_ctl.scala 448:187] + node _T_1744 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 448:215] + node _T_1745 = eq(byp_fetch_index_1, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 448:179] + node _T_1746 = bits(_T_1745, 0, 0) @[el2_ifu_mem_ctl.scala 448:187] + node _T_1747 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 448:215] + node _T_1748 = eq(byp_fetch_index_1, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 448:179] + node _T_1749 = bits(_T_1748, 0, 0) @[el2_ifu_mem_ctl.scala 448:187] + node _T_1750 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 448:215] + node _T_1751 = eq(byp_fetch_index_1, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 448:179] + node _T_1752 = bits(_T_1751, 0, 0) @[el2_ifu_mem_ctl.scala 448:187] + node _T_1753 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 448:215] + node _T_1754 = eq(byp_fetch_index_1, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 448:179] + node _T_1755 = bits(_T_1754, 0, 0) @[el2_ifu_mem_ctl.scala 448:187] + node _T_1756 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 448:215] node _T_1757 = mux(_T_1710, _T_1711, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1758 = mux(_T_1713, _T_1714, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1759 = mux(_T_1716, _T_1717, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2646,54 +3285,54 @@ circuit el2_ifu_mem_ctl : node _T_1787 = or(_T_1786, _T_1772) @[Mux.scala 27:72] wire _T_1788 : UInt<32> @[Mux.scala 27:72] _T_1788 <= _T_1787 @[Mux.scala 27:72] - node _T_1789 = eq(byp_fetch_index_0, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 446:285] - node _T_1790 = bits(_T_1789, 0, 0) @[el2_ifu_mem_ctl.scala 446:293] - node _T_1791 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 446:321] - node _T_1792 = eq(byp_fetch_index_0, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 446:285] - node _T_1793 = bits(_T_1792, 0, 0) @[el2_ifu_mem_ctl.scala 446:293] - node _T_1794 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 446:321] - node _T_1795 = eq(byp_fetch_index_0, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 446:285] - node _T_1796 = bits(_T_1795, 0, 0) @[el2_ifu_mem_ctl.scala 446:293] - node _T_1797 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 446:321] - node _T_1798 = eq(byp_fetch_index_0, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 446:285] - node _T_1799 = bits(_T_1798, 0, 0) @[el2_ifu_mem_ctl.scala 446:293] - node _T_1800 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 446:321] - node _T_1801 = eq(byp_fetch_index_0, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 446:285] - node _T_1802 = bits(_T_1801, 0, 0) @[el2_ifu_mem_ctl.scala 446:293] - node _T_1803 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 446:321] - node _T_1804 = eq(byp_fetch_index_0, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 446:285] - node _T_1805 = bits(_T_1804, 0, 0) @[el2_ifu_mem_ctl.scala 446:293] - node _T_1806 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 446:321] - node _T_1807 = eq(byp_fetch_index_0, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 446:285] - node _T_1808 = bits(_T_1807, 0, 0) @[el2_ifu_mem_ctl.scala 446:293] - node _T_1809 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 446:321] - node _T_1810 = eq(byp_fetch_index_0, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 446:285] - node _T_1811 = bits(_T_1810, 0, 0) @[el2_ifu_mem_ctl.scala 446:293] - node _T_1812 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 446:321] - node _T_1813 = eq(byp_fetch_index_0, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 446:285] - node _T_1814 = bits(_T_1813, 0, 0) @[el2_ifu_mem_ctl.scala 446:293] - node _T_1815 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 446:321] - node _T_1816 = eq(byp_fetch_index_0, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 446:285] - node _T_1817 = bits(_T_1816, 0, 0) @[el2_ifu_mem_ctl.scala 446:293] - node _T_1818 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 446:321] - node _T_1819 = eq(byp_fetch_index_0, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 446:285] - node _T_1820 = bits(_T_1819, 0, 0) @[el2_ifu_mem_ctl.scala 446:293] - node _T_1821 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 446:321] - node _T_1822 = eq(byp_fetch_index_0, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 446:285] - node _T_1823 = bits(_T_1822, 0, 0) @[el2_ifu_mem_ctl.scala 446:293] - node _T_1824 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 446:321] - node _T_1825 = eq(byp_fetch_index_0, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 446:285] - node _T_1826 = bits(_T_1825, 0, 0) @[el2_ifu_mem_ctl.scala 446:293] - node _T_1827 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 446:321] - node _T_1828 = eq(byp_fetch_index_0, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 446:285] - node _T_1829 = bits(_T_1828, 0, 0) @[el2_ifu_mem_ctl.scala 446:293] - node _T_1830 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 446:321] - node _T_1831 = eq(byp_fetch_index_0, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 446:285] - node _T_1832 = bits(_T_1831, 0, 0) @[el2_ifu_mem_ctl.scala 446:293] - node _T_1833 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 446:321] - node _T_1834 = eq(byp_fetch_index_0, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 446:285] - node _T_1835 = bits(_T_1834, 0, 0) @[el2_ifu_mem_ctl.scala 446:293] - node _T_1836 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 446:321] + node _T_1789 = eq(byp_fetch_index_0, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 448:285] + node _T_1790 = bits(_T_1789, 0, 0) @[el2_ifu_mem_ctl.scala 448:293] + node _T_1791 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 448:321] + node _T_1792 = eq(byp_fetch_index_0, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 448:285] + node _T_1793 = bits(_T_1792, 0, 0) @[el2_ifu_mem_ctl.scala 448:293] + node _T_1794 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 448:321] + node _T_1795 = eq(byp_fetch_index_0, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 448:285] + node _T_1796 = bits(_T_1795, 0, 0) @[el2_ifu_mem_ctl.scala 448:293] + node _T_1797 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 448:321] + node _T_1798 = eq(byp_fetch_index_0, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 448:285] + node _T_1799 = bits(_T_1798, 0, 0) @[el2_ifu_mem_ctl.scala 448:293] + node _T_1800 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 448:321] + node _T_1801 = eq(byp_fetch_index_0, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 448:285] + node _T_1802 = bits(_T_1801, 0, 0) @[el2_ifu_mem_ctl.scala 448:293] + node _T_1803 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 448:321] + node _T_1804 = eq(byp_fetch_index_0, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 448:285] + node _T_1805 = bits(_T_1804, 0, 0) @[el2_ifu_mem_ctl.scala 448:293] + node _T_1806 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 448:321] + node _T_1807 = eq(byp_fetch_index_0, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 448:285] + node _T_1808 = bits(_T_1807, 0, 0) @[el2_ifu_mem_ctl.scala 448:293] + node _T_1809 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 448:321] + node _T_1810 = eq(byp_fetch_index_0, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 448:285] + node _T_1811 = bits(_T_1810, 0, 0) @[el2_ifu_mem_ctl.scala 448:293] + node _T_1812 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 448:321] + node _T_1813 = eq(byp_fetch_index_0, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 448:285] + node _T_1814 = bits(_T_1813, 0, 0) @[el2_ifu_mem_ctl.scala 448:293] + node _T_1815 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 448:321] + node _T_1816 = eq(byp_fetch_index_0, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 448:285] + node _T_1817 = bits(_T_1816, 0, 0) @[el2_ifu_mem_ctl.scala 448:293] + node _T_1818 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 448:321] + node _T_1819 = eq(byp_fetch_index_0, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 448:285] + node _T_1820 = bits(_T_1819, 0, 0) @[el2_ifu_mem_ctl.scala 448:293] + node _T_1821 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 448:321] + node _T_1822 = eq(byp_fetch_index_0, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 448:285] + node _T_1823 = bits(_T_1822, 0, 0) @[el2_ifu_mem_ctl.scala 448:293] + node _T_1824 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 448:321] + node _T_1825 = eq(byp_fetch_index_0, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 448:285] + node _T_1826 = bits(_T_1825, 0, 0) @[el2_ifu_mem_ctl.scala 448:293] + node _T_1827 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 448:321] + node _T_1828 = eq(byp_fetch_index_0, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 448:285] + node _T_1829 = bits(_T_1828, 0, 0) @[el2_ifu_mem_ctl.scala 448:293] + node _T_1830 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 448:321] + node _T_1831 = eq(byp_fetch_index_0, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 448:285] + node _T_1832 = bits(_T_1831, 0, 0) @[el2_ifu_mem_ctl.scala 448:293] + node _T_1833 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 448:321] + node _T_1834 = eq(byp_fetch_index_0, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 448:285] + node _T_1835 = bits(_T_1834, 0, 0) @[el2_ifu_mem_ctl.scala 448:293] + node _T_1836 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 448:321] node _T_1837 = mux(_T_1790, _T_1791, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1838 = mux(_T_1793, _T_1794, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1839 = mux(_T_1796, _T_1797, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2729,54 +3368,54 @@ circuit el2_ifu_mem_ctl : _T_1868 <= _T_1867 @[Mux.scala 27:72] node _T_1869 = cat(_T_1708, _T_1788) @[Cat.scala 29:58] node _T_1870 = cat(_T_1869, _T_1868) @[Cat.scala 29:58] - node _T_1871 = eq(byp_fetch_index_inc_1, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 447:73] - node _T_1872 = bits(_T_1871, 0, 0) @[el2_ifu_mem_ctl.scala 447:81] - node _T_1873 = bits(ic_miss_buff_data[0], 15, 0) @[el2_ifu_mem_ctl.scala 447:109] - node _T_1874 = eq(byp_fetch_index_inc_1, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 447:73] - node _T_1875 = bits(_T_1874, 0, 0) @[el2_ifu_mem_ctl.scala 447:81] - node _T_1876 = bits(ic_miss_buff_data[1], 15, 0) @[el2_ifu_mem_ctl.scala 447:109] - node _T_1877 = eq(byp_fetch_index_inc_1, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 447:73] - node _T_1878 = bits(_T_1877, 0, 0) @[el2_ifu_mem_ctl.scala 447:81] - node _T_1879 = bits(ic_miss_buff_data[2], 15, 0) @[el2_ifu_mem_ctl.scala 447:109] - node _T_1880 = eq(byp_fetch_index_inc_1, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 447:73] - node _T_1881 = bits(_T_1880, 0, 0) @[el2_ifu_mem_ctl.scala 447:81] - node _T_1882 = bits(ic_miss_buff_data[3], 15, 0) @[el2_ifu_mem_ctl.scala 447:109] - node _T_1883 = eq(byp_fetch_index_inc_1, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 447:73] - node _T_1884 = bits(_T_1883, 0, 0) @[el2_ifu_mem_ctl.scala 447:81] - node _T_1885 = bits(ic_miss_buff_data[4], 15, 0) @[el2_ifu_mem_ctl.scala 447:109] - node _T_1886 = eq(byp_fetch_index_inc_1, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 447:73] - node _T_1887 = bits(_T_1886, 0, 0) @[el2_ifu_mem_ctl.scala 447:81] - node _T_1888 = bits(ic_miss_buff_data[5], 15, 0) @[el2_ifu_mem_ctl.scala 447:109] - node _T_1889 = eq(byp_fetch_index_inc_1, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 447:73] - node _T_1890 = bits(_T_1889, 0, 0) @[el2_ifu_mem_ctl.scala 447:81] - node _T_1891 = bits(ic_miss_buff_data[6], 15, 0) @[el2_ifu_mem_ctl.scala 447:109] - node _T_1892 = eq(byp_fetch_index_inc_1, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 447:73] - node _T_1893 = bits(_T_1892, 0, 0) @[el2_ifu_mem_ctl.scala 447:81] - node _T_1894 = bits(ic_miss_buff_data[7], 15, 0) @[el2_ifu_mem_ctl.scala 447:109] - node _T_1895 = eq(byp_fetch_index_inc_1, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 447:73] - node _T_1896 = bits(_T_1895, 0, 0) @[el2_ifu_mem_ctl.scala 447:81] - node _T_1897 = bits(ic_miss_buff_data[8], 15, 0) @[el2_ifu_mem_ctl.scala 447:109] - node _T_1898 = eq(byp_fetch_index_inc_1, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 447:73] - node _T_1899 = bits(_T_1898, 0, 0) @[el2_ifu_mem_ctl.scala 447:81] - node _T_1900 = bits(ic_miss_buff_data[9], 15, 0) @[el2_ifu_mem_ctl.scala 447:109] - node _T_1901 = eq(byp_fetch_index_inc_1, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 447:73] - node _T_1902 = bits(_T_1901, 0, 0) @[el2_ifu_mem_ctl.scala 447:81] - node _T_1903 = bits(ic_miss_buff_data[10], 15, 0) @[el2_ifu_mem_ctl.scala 447:109] - node _T_1904 = eq(byp_fetch_index_inc_1, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 447:73] - node _T_1905 = bits(_T_1904, 0, 0) @[el2_ifu_mem_ctl.scala 447:81] - node _T_1906 = bits(ic_miss_buff_data[11], 15, 0) @[el2_ifu_mem_ctl.scala 447:109] - node _T_1907 = eq(byp_fetch_index_inc_1, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 447:73] - node _T_1908 = bits(_T_1907, 0, 0) @[el2_ifu_mem_ctl.scala 447:81] - node _T_1909 = bits(ic_miss_buff_data[12], 15, 0) @[el2_ifu_mem_ctl.scala 447:109] - node _T_1910 = eq(byp_fetch_index_inc_1, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 447:73] - node _T_1911 = bits(_T_1910, 0, 0) @[el2_ifu_mem_ctl.scala 447:81] - node _T_1912 = bits(ic_miss_buff_data[13], 15, 0) @[el2_ifu_mem_ctl.scala 447:109] - node _T_1913 = eq(byp_fetch_index_inc_1, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 447:73] - node _T_1914 = bits(_T_1913, 0, 0) @[el2_ifu_mem_ctl.scala 447:81] - node _T_1915 = bits(ic_miss_buff_data[14], 15, 0) @[el2_ifu_mem_ctl.scala 447:109] - node _T_1916 = eq(byp_fetch_index_inc_1, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 447:73] - node _T_1917 = bits(_T_1916, 0, 0) @[el2_ifu_mem_ctl.scala 447:81] - node _T_1918 = bits(ic_miss_buff_data[15], 15, 0) @[el2_ifu_mem_ctl.scala 447:109] + node _T_1871 = eq(byp_fetch_index_inc_1, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 449:73] + node _T_1872 = bits(_T_1871, 0, 0) @[el2_ifu_mem_ctl.scala 449:81] + node _T_1873 = bits(ic_miss_buff_data[0], 15, 0) @[el2_ifu_mem_ctl.scala 449:109] + node _T_1874 = eq(byp_fetch_index_inc_1, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 449:73] + node _T_1875 = bits(_T_1874, 0, 0) @[el2_ifu_mem_ctl.scala 449:81] + node _T_1876 = bits(ic_miss_buff_data[1], 15, 0) @[el2_ifu_mem_ctl.scala 449:109] + node _T_1877 = eq(byp_fetch_index_inc_1, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 449:73] + node _T_1878 = bits(_T_1877, 0, 0) @[el2_ifu_mem_ctl.scala 449:81] + node _T_1879 = bits(ic_miss_buff_data[2], 15, 0) @[el2_ifu_mem_ctl.scala 449:109] + node _T_1880 = eq(byp_fetch_index_inc_1, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 449:73] + node _T_1881 = bits(_T_1880, 0, 0) @[el2_ifu_mem_ctl.scala 449:81] + node _T_1882 = bits(ic_miss_buff_data[3], 15, 0) @[el2_ifu_mem_ctl.scala 449:109] + node _T_1883 = eq(byp_fetch_index_inc_1, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 449:73] + node _T_1884 = bits(_T_1883, 0, 0) @[el2_ifu_mem_ctl.scala 449:81] + node _T_1885 = bits(ic_miss_buff_data[4], 15, 0) @[el2_ifu_mem_ctl.scala 449:109] + node _T_1886 = eq(byp_fetch_index_inc_1, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 449:73] + node _T_1887 = bits(_T_1886, 0, 0) @[el2_ifu_mem_ctl.scala 449:81] + node _T_1888 = bits(ic_miss_buff_data[5], 15, 0) @[el2_ifu_mem_ctl.scala 449:109] + node _T_1889 = eq(byp_fetch_index_inc_1, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 449:73] + node _T_1890 = bits(_T_1889, 0, 0) @[el2_ifu_mem_ctl.scala 449:81] + node _T_1891 = bits(ic_miss_buff_data[6], 15, 0) @[el2_ifu_mem_ctl.scala 449:109] + node _T_1892 = eq(byp_fetch_index_inc_1, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 449:73] + node _T_1893 = bits(_T_1892, 0, 0) @[el2_ifu_mem_ctl.scala 449:81] + node _T_1894 = bits(ic_miss_buff_data[7], 15, 0) @[el2_ifu_mem_ctl.scala 449:109] + node _T_1895 = eq(byp_fetch_index_inc_1, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 449:73] + node _T_1896 = bits(_T_1895, 0, 0) @[el2_ifu_mem_ctl.scala 449:81] + node _T_1897 = bits(ic_miss_buff_data[8], 15, 0) @[el2_ifu_mem_ctl.scala 449:109] + node _T_1898 = eq(byp_fetch_index_inc_1, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 449:73] + node _T_1899 = bits(_T_1898, 0, 0) @[el2_ifu_mem_ctl.scala 449:81] + node _T_1900 = bits(ic_miss_buff_data[9], 15, 0) @[el2_ifu_mem_ctl.scala 449:109] + node _T_1901 = eq(byp_fetch_index_inc_1, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 449:73] + node _T_1902 = bits(_T_1901, 0, 0) @[el2_ifu_mem_ctl.scala 449:81] + node _T_1903 = bits(ic_miss_buff_data[10], 15, 0) @[el2_ifu_mem_ctl.scala 449:109] + node _T_1904 = eq(byp_fetch_index_inc_1, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 449:73] + node _T_1905 = bits(_T_1904, 0, 0) @[el2_ifu_mem_ctl.scala 449:81] + node _T_1906 = bits(ic_miss_buff_data[11], 15, 0) @[el2_ifu_mem_ctl.scala 449:109] + node _T_1907 = eq(byp_fetch_index_inc_1, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 449:73] + node _T_1908 = bits(_T_1907, 0, 0) @[el2_ifu_mem_ctl.scala 449:81] + node _T_1909 = bits(ic_miss_buff_data[12], 15, 0) @[el2_ifu_mem_ctl.scala 449:109] + node _T_1910 = eq(byp_fetch_index_inc_1, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 449:73] + node _T_1911 = bits(_T_1910, 0, 0) @[el2_ifu_mem_ctl.scala 449:81] + node _T_1912 = bits(ic_miss_buff_data[13], 15, 0) @[el2_ifu_mem_ctl.scala 449:109] + node _T_1913 = eq(byp_fetch_index_inc_1, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 449:73] + node _T_1914 = bits(_T_1913, 0, 0) @[el2_ifu_mem_ctl.scala 449:81] + node _T_1915 = bits(ic_miss_buff_data[14], 15, 0) @[el2_ifu_mem_ctl.scala 449:109] + node _T_1916 = eq(byp_fetch_index_inc_1, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 449:73] + node _T_1917 = bits(_T_1916, 0, 0) @[el2_ifu_mem_ctl.scala 449:81] + node _T_1918 = bits(ic_miss_buff_data[15], 15, 0) @[el2_ifu_mem_ctl.scala 449:109] node _T_1919 = mux(_T_1872, _T_1873, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1920 = mux(_T_1875, _T_1876, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1921 = mux(_T_1878, _T_1879, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2810,54 +3449,54 @@ circuit el2_ifu_mem_ctl : node _T_1949 = or(_T_1948, _T_1934) @[Mux.scala 27:72] wire _T_1950 : UInt<16> @[Mux.scala 27:72] _T_1950 <= _T_1949 @[Mux.scala 27:72] - node _T_1951 = eq(byp_fetch_index_inc_0, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 447:183] - node _T_1952 = bits(_T_1951, 0, 0) @[el2_ifu_mem_ctl.scala 447:191] - node _T_1953 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 447:219] - node _T_1954 = eq(byp_fetch_index_inc_0, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 447:183] - node _T_1955 = bits(_T_1954, 0, 0) @[el2_ifu_mem_ctl.scala 447:191] - node _T_1956 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 447:219] - node _T_1957 = eq(byp_fetch_index_inc_0, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 447:183] - node _T_1958 = bits(_T_1957, 0, 0) @[el2_ifu_mem_ctl.scala 447:191] - node _T_1959 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 447:219] - node _T_1960 = eq(byp_fetch_index_inc_0, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 447:183] - node _T_1961 = bits(_T_1960, 0, 0) @[el2_ifu_mem_ctl.scala 447:191] - node _T_1962 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 447:219] - node _T_1963 = eq(byp_fetch_index_inc_0, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 447:183] - node _T_1964 = bits(_T_1963, 0, 0) @[el2_ifu_mem_ctl.scala 447:191] - node _T_1965 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 447:219] - node _T_1966 = eq(byp_fetch_index_inc_0, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 447:183] - node _T_1967 = bits(_T_1966, 0, 0) @[el2_ifu_mem_ctl.scala 447:191] - node _T_1968 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 447:219] - node _T_1969 = eq(byp_fetch_index_inc_0, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 447:183] - node _T_1970 = bits(_T_1969, 0, 0) @[el2_ifu_mem_ctl.scala 447:191] - node _T_1971 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 447:219] - node _T_1972 = eq(byp_fetch_index_inc_0, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 447:183] - node _T_1973 = bits(_T_1972, 0, 0) @[el2_ifu_mem_ctl.scala 447:191] - node _T_1974 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 447:219] - node _T_1975 = eq(byp_fetch_index_inc_0, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 447:183] - node _T_1976 = bits(_T_1975, 0, 0) @[el2_ifu_mem_ctl.scala 447:191] - node _T_1977 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 447:219] - node _T_1978 = eq(byp_fetch_index_inc_0, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 447:183] - node _T_1979 = bits(_T_1978, 0, 0) @[el2_ifu_mem_ctl.scala 447:191] - node _T_1980 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 447:219] - node _T_1981 = eq(byp_fetch_index_inc_0, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 447:183] - node _T_1982 = bits(_T_1981, 0, 0) @[el2_ifu_mem_ctl.scala 447:191] - node _T_1983 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 447:219] - node _T_1984 = eq(byp_fetch_index_inc_0, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 447:183] - node _T_1985 = bits(_T_1984, 0, 0) @[el2_ifu_mem_ctl.scala 447:191] - node _T_1986 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 447:219] - node _T_1987 = eq(byp_fetch_index_inc_0, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 447:183] - node _T_1988 = bits(_T_1987, 0, 0) @[el2_ifu_mem_ctl.scala 447:191] - node _T_1989 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 447:219] - node _T_1990 = eq(byp_fetch_index_inc_0, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 447:183] - node _T_1991 = bits(_T_1990, 0, 0) @[el2_ifu_mem_ctl.scala 447:191] - node _T_1992 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 447:219] - node _T_1993 = eq(byp_fetch_index_inc_0, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 447:183] - node _T_1994 = bits(_T_1993, 0, 0) @[el2_ifu_mem_ctl.scala 447:191] - node _T_1995 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 447:219] - node _T_1996 = eq(byp_fetch_index_inc_0, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 447:183] - node _T_1997 = bits(_T_1996, 0, 0) @[el2_ifu_mem_ctl.scala 447:191] - node _T_1998 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 447:219] + node _T_1951 = eq(byp_fetch_index_inc_0, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 449:183] + node _T_1952 = bits(_T_1951, 0, 0) @[el2_ifu_mem_ctl.scala 449:191] + node _T_1953 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 449:219] + node _T_1954 = eq(byp_fetch_index_inc_0, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 449:183] + node _T_1955 = bits(_T_1954, 0, 0) @[el2_ifu_mem_ctl.scala 449:191] + node _T_1956 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 449:219] + node _T_1957 = eq(byp_fetch_index_inc_0, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 449:183] + node _T_1958 = bits(_T_1957, 0, 0) @[el2_ifu_mem_ctl.scala 449:191] + node _T_1959 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 449:219] + node _T_1960 = eq(byp_fetch_index_inc_0, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 449:183] + node _T_1961 = bits(_T_1960, 0, 0) @[el2_ifu_mem_ctl.scala 449:191] + node _T_1962 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 449:219] + node _T_1963 = eq(byp_fetch_index_inc_0, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 449:183] + node _T_1964 = bits(_T_1963, 0, 0) @[el2_ifu_mem_ctl.scala 449:191] + node _T_1965 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 449:219] + node _T_1966 = eq(byp_fetch_index_inc_0, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 449:183] + node _T_1967 = bits(_T_1966, 0, 0) @[el2_ifu_mem_ctl.scala 449:191] + node _T_1968 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 449:219] + node _T_1969 = eq(byp_fetch_index_inc_0, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 449:183] + node _T_1970 = bits(_T_1969, 0, 0) @[el2_ifu_mem_ctl.scala 449:191] + node _T_1971 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 449:219] + node _T_1972 = eq(byp_fetch_index_inc_0, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 449:183] + node _T_1973 = bits(_T_1972, 0, 0) @[el2_ifu_mem_ctl.scala 449:191] + node _T_1974 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 449:219] + node _T_1975 = eq(byp_fetch_index_inc_0, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 449:183] + node _T_1976 = bits(_T_1975, 0, 0) @[el2_ifu_mem_ctl.scala 449:191] + node _T_1977 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 449:219] + node _T_1978 = eq(byp_fetch_index_inc_0, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 449:183] + node _T_1979 = bits(_T_1978, 0, 0) @[el2_ifu_mem_ctl.scala 449:191] + node _T_1980 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 449:219] + node _T_1981 = eq(byp_fetch_index_inc_0, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 449:183] + node _T_1982 = bits(_T_1981, 0, 0) @[el2_ifu_mem_ctl.scala 449:191] + node _T_1983 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 449:219] + node _T_1984 = eq(byp_fetch_index_inc_0, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 449:183] + node _T_1985 = bits(_T_1984, 0, 0) @[el2_ifu_mem_ctl.scala 449:191] + node _T_1986 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 449:219] + node _T_1987 = eq(byp_fetch_index_inc_0, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 449:183] + node _T_1988 = bits(_T_1987, 0, 0) @[el2_ifu_mem_ctl.scala 449:191] + node _T_1989 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 449:219] + node _T_1990 = eq(byp_fetch_index_inc_0, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 449:183] + node _T_1991 = bits(_T_1990, 0, 0) @[el2_ifu_mem_ctl.scala 449:191] + node _T_1992 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 449:219] + node _T_1993 = eq(byp_fetch_index_inc_0, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 449:183] + node _T_1994 = bits(_T_1993, 0, 0) @[el2_ifu_mem_ctl.scala 449:191] + node _T_1995 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 449:219] + node _T_1996 = eq(byp_fetch_index_inc_0, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 449:183] + node _T_1997 = bits(_T_1996, 0, 0) @[el2_ifu_mem_ctl.scala 449:191] + node _T_1998 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 449:219] node _T_1999 = mux(_T_1952, _T_1953, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2000 = mux(_T_1955, _T_1956, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2001 = mux(_T_1958, _T_1959, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2891,54 +3530,54 @@ circuit el2_ifu_mem_ctl : node _T_2029 = or(_T_2028, _T_2014) @[Mux.scala 27:72] wire _T_2030 : UInt<32> @[Mux.scala 27:72] _T_2030 <= _T_2029 @[Mux.scala 27:72] - node _T_2031 = eq(byp_fetch_index_1, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 447:289] - node _T_2032 = bits(_T_2031, 0, 0) @[el2_ifu_mem_ctl.scala 447:297] - node _T_2033 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 447:325] - node _T_2034 = eq(byp_fetch_index_1, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 447:289] - node _T_2035 = bits(_T_2034, 0, 0) @[el2_ifu_mem_ctl.scala 447:297] - node _T_2036 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 447:325] - node _T_2037 = eq(byp_fetch_index_1, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 447:289] - node _T_2038 = bits(_T_2037, 0, 0) @[el2_ifu_mem_ctl.scala 447:297] - node _T_2039 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 447:325] - node _T_2040 = eq(byp_fetch_index_1, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 447:289] - node _T_2041 = bits(_T_2040, 0, 0) @[el2_ifu_mem_ctl.scala 447:297] - node _T_2042 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 447:325] - node _T_2043 = eq(byp_fetch_index_1, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 447:289] - node _T_2044 = bits(_T_2043, 0, 0) @[el2_ifu_mem_ctl.scala 447:297] - node _T_2045 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 447:325] - node _T_2046 = eq(byp_fetch_index_1, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 447:289] - node _T_2047 = bits(_T_2046, 0, 0) @[el2_ifu_mem_ctl.scala 447:297] - node _T_2048 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 447:325] - node _T_2049 = eq(byp_fetch_index_1, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 447:289] - node _T_2050 = bits(_T_2049, 0, 0) @[el2_ifu_mem_ctl.scala 447:297] - node _T_2051 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 447:325] - node _T_2052 = eq(byp_fetch_index_1, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 447:289] - node _T_2053 = bits(_T_2052, 0, 0) @[el2_ifu_mem_ctl.scala 447:297] - node _T_2054 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 447:325] - node _T_2055 = eq(byp_fetch_index_1, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 447:289] - node _T_2056 = bits(_T_2055, 0, 0) @[el2_ifu_mem_ctl.scala 447:297] - node _T_2057 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 447:325] - node _T_2058 = eq(byp_fetch_index_1, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 447:289] - node _T_2059 = bits(_T_2058, 0, 0) @[el2_ifu_mem_ctl.scala 447:297] - node _T_2060 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 447:325] - node _T_2061 = eq(byp_fetch_index_1, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 447:289] - node _T_2062 = bits(_T_2061, 0, 0) @[el2_ifu_mem_ctl.scala 447:297] - node _T_2063 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 447:325] - node _T_2064 = eq(byp_fetch_index_1, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 447:289] - node _T_2065 = bits(_T_2064, 0, 0) @[el2_ifu_mem_ctl.scala 447:297] - node _T_2066 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 447:325] - node _T_2067 = eq(byp_fetch_index_1, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 447:289] - node _T_2068 = bits(_T_2067, 0, 0) @[el2_ifu_mem_ctl.scala 447:297] - node _T_2069 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 447:325] - node _T_2070 = eq(byp_fetch_index_1, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 447:289] - node _T_2071 = bits(_T_2070, 0, 0) @[el2_ifu_mem_ctl.scala 447:297] - node _T_2072 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 447:325] - node _T_2073 = eq(byp_fetch_index_1, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 447:289] - node _T_2074 = bits(_T_2073, 0, 0) @[el2_ifu_mem_ctl.scala 447:297] - node _T_2075 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 447:325] - node _T_2076 = eq(byp_fetch_index_1, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 447:289] - node _T_2077 = bits(_T_2076, 0, 0) @[el2_ifu_mem_ctl.scala 447:297] - node _T_2078 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 447:325] + node _T_2031 = eq(byp_fetch_index_1, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 449:289] + node _T_2032 = bits(_T_2031, 0, 0) @[el2_ifu_mem_ctl.scala 449:297] + node _T_2033 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 449:325] + node _T_2034 = eq(byp_fetch_index_1, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 449:289] + node _T_2035 = bits(_T_2034, 0, 0) @[el2_ifu_mem_ctl.scala 449:297] + node _T_2036 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 449:325] + node _T_2037 = eq(byp_fetch_index_1, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 449:289] + node _T_2038 = bits(_T_2037, 0, 0) @[el2_ifu_mem_ctl.scala 449:297] + node _T_2039 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 449:325] + node _T_2040 = eq(byp_fetch_index_1, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 449:289] + node _T_2041 = bits(_T_2040, 0, 0) @[el2_ifu_mem_ctl.scala 449:297] + node _T_2042 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 449:325] + node _T_2043 = eq(byp_fetch_index_1, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 449:289] + node _T_2044 = bits(_T_2043, 0, 0) @[el2_ifu_mem_ctl.scala 449:297] + node _T_2045 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 449:325] + node _T_2046 = eq(byp_fetch_index_1, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 449:289] + node _T_2047 = bits(_T_2046, 0, 0) @[el2_ifu_mem_ctl.scala 449:297] + node _T_2048 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 449:325] + node _T_2049 = eq(byp_fetch_index_1, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 449:289] + node _T_2050 = bits(_T_2049, 0, 0) @[el2_ifu_mem_ctl.scala 449:297] + node _T_2051 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 449:325] + node _T_2052 = eq(byp_fetch_index_1, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 449:289] + node _T_2053 = bits(_T_2052, 0, 0) @[el2_ifu_mem_ctl.scala 449:297] + node _T_2054 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 449:325] + node _T_2055 = eq(byp_fetch_index_1, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 449:289] + node _T_2056 = bits(_T_2055, 0, 0) @[el2_ifu_mem_ctl.scala 449:297] + node _T_2057 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 449:325] + node _T_2058 = eq(byp_fetch_index_1, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 449:289] + node _T_2059 = bits(_T_2058, 0, 0) @[el2_ifu_mem_ctl.scala 449:297] + node _T_2060 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 449:325] + node _T_2061 = eq(byp_fetch_index_1, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 449:289] + node _T_2062 = bits(_T_2061, 0, 0) @[el2_ifu_mem_ctl.scala 449:297] + node _T_2063 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 449:325] + node _T_2064 = eq(byp_fetch_index_1, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 449:289] + node _T_2065 = bits(_T_2064, 0, 0) @[el2_ifu_mem_ctl.scala 449:297] + node _T_2066 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 449:325] + node _T_2067 = eq(byp_fetch_index_1, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 449:289] + node _T_2068 = bits(_T_2067, 0, 0) @[el2_ifu_mem_ctl.scala 449:297] + node _T_2069 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 449:325] + node _T_2070 = eq(byp_fetch_index_1, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 449:289] + node _T_2071 = bits(_T_2070, 0, 0) @[el2_ifu_mem_ctl.scala 449:297] + node _T_2072 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 449:325] + node _T_2073 = eq(byp_fetch_index_1, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 449:289] + node _T_2074 = bits(_T_2073, 0, 0) @[el2_ifu_mem_ctl.scala 449:297] + node _T_2075 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 449:325] + node _T_2076 = eq(byp_fetch_index_1, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 449:289] + node _T_2077 = bits(_T_2076, 0, 0) @[el2_ifu_mem_ctl.scala 449:297] + node _T_2078 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 449:325] node _T_2079 = mux(_T_2032, _T_2033, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2080 = mux(_T_2035, _T_2036, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2081 = mux(_T_2038, _T_2039, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2974,49 +3613,49 @@ circuit el2_ifu_mem_ctl : _T_2110 <= _T_2109 @[Mux.scala 27:72] node _T_2111 = cat(_T_1950, _T_2030) @[Cat.scala 29:58] node _T_2112 = cat(_T_2111, _T_2110) @[Cat.scala 29:58] - node ic_byp_data_only_pre_new = mux(_T_1628, _T_1870, _T_2112) @[el2_ifu_mem_ctl.scala 445:37] - node _T_2113 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 449:52] - node _T_2114 = bits(_T_2113, 0, 0) @[el2_ifu_mem_ctl.scala 449:62] - node _T_2115 = eq(_T_2114, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 449:31] - node _T_2116 = bits(ic_byp_data_only_pre_new, 79, 16) @[el2_ifu_mem_ctl.scala 449:128] + node ic_byp_data_only_pre_new = mux(_T_1628, _T_1870, _T_2112) @[el2_ifu_mem_ctl.scala 447:37] + node _T_2113 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 451:52] + node _T_2114 = bits(_T_2113, 0, 0) @[el2_ifu_mem_ctl.scala 451:62] + node _T_2115 = eq(_T_2114, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 451:31] + node _T_2116 = bits(ic_byp_data_only_pre_new, 79, 16) @[el2_ifu_mem_ctl.scala 451:128] node _T_2117 = cat(UInt<16>("h00"), _T_2116) @[Cat.scala 29:58] - node _T_2118 = mux(_T_2115, ic_byp_data_only_pre_new, _T_2117) @[el2_ifu_mem_ctl.scala 449:30] - ic_byp_data_only_new <= _T_2118 @[el2_ifu_mem_ctl.scala 449:24] - node _T_2119 = bits(imb_ff, 5, 5) @[el2_ifu_mem_ctl.scala 451:27] - node _T_2120 = bits(ifu_fetch_addr_int_f, 6, 6) @[el2_ifu_mem_ctl.scala 451:75] - node miss_wrap_f = neq(_T_2119, _T_2120) @[el2_ifu_mem_ctl.scala 451:51] - node _T_2121 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 452:102] - node _T_2122 = eq(_T_2121, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 452:127] - node _T_2123 = bits(_T_2122, 0, 0) @[el2_ifu_mem_ctl.scala 452:135] - node _T_2124 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 452:166] - node _T_2125 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 452:102] - node _T_2126 = eq(_T_2125, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 452:127] - node _T_2127 = bits(_T_2126, 0, 0) @[el2_ifu_mem_ctl.scala 452:135] - node _T_2128 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 452:166] - node _T_2129 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 452:102] - node _T_2130 = eq(_T_2129, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 452:127] - node _T_2131 = bits(_T_2130, 0, 0) @[el2_ifu_mem_ctl.scala 452:135] - node _T_2132 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 452:166] - node _T_2133 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 452:102] - node _T_2134 = eq(_T_2133, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 452:127] - node _T_2135 = bits(_T_2134, 0, 0) @[el2_ifu_mem_ctl.scala 452:135] - node _T_2136 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 452:166] - node _T_2137 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 452:102] - node _T_2138 = eq(_T_2137, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 452:127] - node _T_2139 = bits(_T_2138, 0, 0) @[el2_ifu_mem_ctl.scala 452:135] - node _T_2140 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 452:166] - node _T_2141 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 452:102] - node _T_2142 = eq(_T_2141, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 452:127] - node _T_2143 = bits(_T_2142, 0, 0) @[el2_ifu_mem_ctl.scala 452:135] - node _T_2144 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 452:166] - node _T_2145 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 452:102] - node _T_2146 = eq(_T_2145, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 452:127] - node _T_2147 = bits(_T_2146, 0, 0) @[el2_ifu_mem_ctl.scala 452:135] - node _T_2148 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 452:166] - node _T_2149 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 452:102] - node _T_2150 = eq(_T_2149, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 452:127] - node _T_2151 = bits(_T_2150, 0, 0) @[el2_ifu_mem_ctl.scala 452:135] - node _T_2152 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 452:166] + node _T_2118 = mux(_T_2115, ic_byp_data_only_pre_new, _T_2117) @[el2_ifu_mem_ctl.scala 451:30] + ic_byp_data_only_new <= _T_2118 @[el2_ifu_mem_ctl.scala 451:24] + node _T_2119 = bits(imb_ff, 5, 5) @[el2_ifu_mem_ctl.scala 453:27] + node _T_2120 = bits(ifu_fetch_addr_int_f, 6, 6) @[el2_ifu_mem_ctl.scala 453:75] + node miss_wrap_f = neq(_T_2119, _T_2120) @[el2_ifu_mem_ctl.scala 453:51] + node _T_2121 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 454:102] + node _T_2122 = eq(_T_2121, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 454:127] + node _T_2123 = bits(_T_2122, 0, 0) @[el2_ifu_mem_ctl.scala 454:135] + node _T_2124 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 454:166] + node _T_2125 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 454:102] + node _T_2126 = eq(_T_2125, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 454:127] + node _T_2127 = bits(_T_2126, 0, 0) @[el2_ifu_mem_ctl.scala 454:135] + node _T_2128 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 454:166] + node _T_2129 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 454:102] + node _T_2130 = eq(_T_2129, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 454:127] + node _T_2131 = bits(_T_2130, 0, 0) @[el2_ifu_mem_ctl.scala 454:135] + node _T_2132 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 454:166] + node _T_2133 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 454:102] + node _T_2134 = eq(_T_2133, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 454:127] + node _T_2135 = bits(_T_2134, 0, 0) @[el2_ifu_mem_ctl.scala 454:135] + node _T_2136 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 454:166] + node _T_2137 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 454:102] + node _T_2138 = eq(_T_2137, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 454:127] + node _T_2139 = bits(_T_2138, 0, 0) @[el2_ifu_mem_ctl.scala 454:135] + node _T_2140 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 454:166] + node _T_2141 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 454:102] + node _T_2142 = eq(_T_2141, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 454:127] + node _T_2143 = bits(_T_2142, 0, 0) @[el2_ifu_mem_ctl.scala 454:135] + node _T_2144 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 454:166] + node _T_2145 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 454:102] + node _T_2146 = eq(_T_2145, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 454:127] + node _T_2147 = bits(_T_2146, 0, 0) @[el2_ifu_mem_ctl.scala 454:135] + node _T_2148 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 454:166] + node _T_2149 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 454:102] + node _T_2150 = eq(_T_2149, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 454:127] + node _T_2151 = bits(_T_2150, 0, 0) @[el2_ifu_mem_ctl.scala 454:135] + node _T_2152 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 454:166] node _T_2153 = mux(_T_2123, _T_2124, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2154 = mux(_T_2127, _T_2128, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2155 = mux(_T_2131, _T_2132, UInt<1>("h00")) @[Mux.scala 27:72] @@ -3034,30 +3673,30 @@ circuit el2_ifu_mem_ctl : node _T_2167 = or(_T_2166, _T_2160) @[Mux.scala 27:72] wire ic_miss_buff_data_valid_bypass_index : UInt<1> @[Mux.scala 27:72] ic_miss_buff_data_valid_bypass_index <= _T_2167 @[Mux.scala 27:72] - node _T_2168 = eq(byp_fetch_index_inc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 453:110] - node _T_2169 = bits(_T_2168, 0, 0) @[el2_ifu_mem_ctl.scala 453:118] - node _T_2170 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 453:149] - node _T_2171 = eq(byp_fetch_index_inc, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 453:110] - node _T_2172 = bits(_T_2171, 0, 0) @[el2_ifu_mem_ctl.scala 453:118] - node _T_2173 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 453:149] - node _T_2174 = eq(byp_fetch_index_inc, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 453:110] - node _T_2175 = bits(_T_2174, 0, 0) @[el2_ifu_mem_ctl.scala 453:118] - node _T_2176 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 453:149] - node _T_2177 = eq(byp_fetch_index_inc, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 453:110] - node _T_2178 = bits(_T_2177, 0, 0) @[el2_ifu_mem_ctl.scala 453:118] - node _T_2179 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 453:149] - node _T_2180 = eq(byp_fetch_index_inc, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 453:110] - node _T_2181 = bits(_T_2180, 0, 0) @[el2_ifu_mem_ctl.scala 453:118] - node _T_2182 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 453:149] - node _T_2183 = eq(byp_fetch_index_inc, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 453:110] - node _T_2184 = bits(_T_2183, 0, 0) @[el2_ifu_mem_ctl.scala 453:118] - node _T_2185 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 453:149] - node _T_2186 = eq(byp_fetch_index_inc, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 453:110] - node _T_2187 = bits(_T_2186, 0, 0) @[el2_ifu_mem_ctl.scala 453:118] - node _T_2188 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 453:149] - node _T_2189 = eq(byp_fetch_index_inc, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 453:110] - node _T_2190 = bits(_T_2189, 0, 0) @[el2_ifu_mem_ctl.scala 453:118] - node _T_2191 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 453:149] + node _T_2168 = eq(byp_fetch_index_inc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 455:110] + node _T_2169 = bits(_T_2168, 0, 0) @[el2_ifu_mem_ctl.scala 455:118] + node _T_2170 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 455:149] + node _T_2171 = eq(byp_fetch_index_inc, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 455:110] + node _T_2172 = bits(_T_2171, 0, 0) @[el2_ifu_mem_ctl.scala 455:118] + node _T_2173 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 455:149] + node _T_2174 = eq(byp_fetch_index_inc, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 455:110] + node _T_2175 = bits(_T_2174, 0, 0) @[el2_ifu_mem_ctl.scala 455:118] + node _T_2176 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 455:149] + node _T_2177 = eq(byp_fetch_index_inc, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 455:110] + node _T_2178 = bits(_T_2177, 0, 0) @[el2_ifu_mem_ctl.scala 455:118] + node _T_2179 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 455:149] + node _T_2180 = eq(byp_fetch_index_inc, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 455:110] + node _T_2181 = bits(_T_2180, 0, 0) @[el2_ifu_mem_ctl.scala 455:118] + node _T_2182 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 455:149] + node _T_2183 = eq(byp_fetch_index_inc, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 455:110] + node _T_2184 = bits(_T_2183, 0, 0) @[el2_ifu_mem_ctl.scala 455:118] + node _T_2185 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 455:149] + node _T_2186 = eq(byp_fetch_index_inc, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 455:110] + node _T_2187 = bits(_T_2186, 0, 0) @[el2_ifu_mem_ctl.scala 455:118] + node _T_2188 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 455:149] + node _T_2189 = eq(byp_fetch_index_inc, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 455:110] + node _T_2190 = bits(_T_2189, 0, 0) @[el2_ifu_mem_ctl.scala 455:118] + node _T_2191 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 455:149] node _T_2192 = mux(_T_2169, _T_2170, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2193 = mux(_T_2172, _T_2173, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2194 = mux(_T_2175, _T_2176, UInt<1>("h00")) @[Mux.scala 27:72] @@ -3075,86 +3714,86 @@ circuit el2_ifu_mem_ctl : node _T_2206 = or(_T_2205, _T_2199) @[Mux.scala 27:72] wire ic_miss_buff_data_valid_inc_bypass_index : UInt<1> @[Mux.scala 27:72] ic_miss_buff_data_valid_inc_bypass_index <= _T_2206 @[Mux.scala 27:72] - node _T_2207 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 454:85] - node _T_2208 = eq(_T_2207, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 454:69] - node _T_2209 = and(ic_miss_buff_data_valid_bypass_index, _T_2208) @[el2_ifu_mem_ctl.scala 454:67] - node _T_2210 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 454:107] - node _T_2211 = eq(_T_2210, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 454:91] - node _T_2212 = and(_T_2209, _T_2211) @[el2_ifu_mem_ctl.scala 454:89] - node _T_2213 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 455:61] - node _T_2214 = eq(_T_2213, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 455:45] - node _T_2215 = and(ic_miss_buff_data_valid_bypass_index, _T_2214) @[el2_ifu_mem_ctl.scala 455:43] - node _T_2216 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 455:83] - node _T_2217 = and(_T_2215, _T_2216) @[el2_ifu_mem_ctl.scala 455:65] - node _T_2218 = or(_T_2212, _T_2217) @[el2_ifu_mem_ctl.scala 454:112] - node _T_2219 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 456:61] - node _T_2220 = and(ic_miss_buff_data_valid_bypass_index, _T_2219) @[el2_ifu_mem_ctl.scala 456:43] - node _T_2221 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 456:83] - node _T_2222 = eq(_T_2221, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 456:67] - node _T_2223 = and(_T_2220, _T_2222) @[el2_ifu_mem_ctl.scala 456:65] - node _T_2224 = or(_T_2218, _T_2223) @[el2_ifu_mem_ctl.scala 455:88] - node _T_2225 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 457:61] - node _T_2226 = and(ic_miss_buff_data_valid_bypass_index, _T_2225) @[el2_ifu_mem_ctl.scala 457:43] - node _T_2227 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 457:83] - node _T_2228 = and(_T_2226, _T_2227) @[el2_ifu_mem_ctl.scala 457:65] - node _T_2229 = and(_T_2228, ic_miss_buff_data_valid_inc_bypass_index) @[el2_ifu_mem_ctl.scala 457:87] - node _T_2230 = or(_T_2224, _T_2229) @[el2_ifu_mem_ctl.scala 456:88] - node _T_2231 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 458:61] + node _T_2207 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 456:85] + node _T_2208 = eq(_T_2207, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 456:69] + node _T_2209 = and(ic_miss_buff_data_valid_bypass_index, _T_2208) @[el2_ifu_mem_ctl.scala 456:67] + node _T_2210 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 456:107] + node _T_2211 = eq(_T_2210, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 456:91] + node _T_2212 = and(_T_2209, _T_2211) @[el2_ifu_mem_ctl.scala 456:89] + node _T_2213 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 457:61] + node _T_2214 = eq(_T_2213, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 457:45] + node _T_2215 = and(ic_miss_buff_data_valid_bypass_index, _T_2214) @[el2_ifu_mem_ctl.scala 457:43] + node _T_2216 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 457:83] + node _T_2217 = and(_T_2215, _T_2216) @[el2_ifu_mem_ctl.scala 457:65] + node _T_2218 = or(_T_2212, _T_2217) @[el2_ifu_mem_ctl.scala 456:112] + node _T_2219 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 458:61] + node _T_2220 = and(ic_miss_buff_data_valid_bypass_index, _T_2219) @[el2_ifu_mem_ctl.scala 458:43] + node _T_2221 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 458:83] + node _T_2222 = eq(_T_2221, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 458:67] + node _T_2223 = and(_T_2220, _T_2222) @[el2_ifu_mem_ctl.scala 458:65] + node _T_2224 = or(_T_2218, _T_2223) @[el2_ifu_mem_ctl.scala 457:88] + node _T_2225 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 459:61] + node _T_2226 = and(ic_miss_buff_data_valid_bypass_index, _T_2225) @[el2_ifu_mem_ctl.scala 459:43] + node _T_2227 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 459:83] + node _T_2228 = and(_T_2226, _T_2227) @[el2_ifu_mem_ctl.scala 459:65] + node _T_2229 = and(_T_2228, ic_miss_buff_data_valid_inc_bypass_index) @[el2_ifu_mem_ctl.scala 459:87] + node _T_2230 = or(_T_2224, _T_2229) @[el2_ifu_mem_ctl.scala 458:88] + node _T_2231 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 460:61] node _T_2232 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_2233 = eq(_T_2231, _T_2232) @[el2_ifu_mem_ctl.scala 458:87] - node _T_2234 = and(ic_miss_buff_data_valid_bypass_index, _T_2233) @[el2_ifu_mem_ctl.scala 458:43] - node miss_buff_hit_unq_f = or(_T_2230, _T_2234) @[el2_ifu_mem_ctl.scala 457:131] - node _T_2235 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 460:30] - node _T_2236 = eq(miss_wrap_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 460:68] - node _T_2237 = and(miss_buff_hit_unq_f, _T_2236) @[el2_ifu_mem_ctl.scala 460:66] - node _T_2238 = and(_T_2235, _T_2237) @[el2_ifu_mem_ctl.scala 460:43] - stream_hit_f <= _T_2238 @[el2_ifu_mem_ctl.scala 460:16] - node _T_2239 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 461:31] - node _T_2240 = eq(miss_wrap_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 461:70] - node _T_2241 = and(miss_buff_hit_unq_f, _T_2240) @[el2_ifu_mem_ctl.scala 461:68] - node _T_2242 = eq(_T_2241, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 461:46] - node _T_2243 = and(_T_2239, _T_2242) @[el2_ifu_mem_ctl.scala 461:44] - node _T_2244 = and(_T_2243, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 461:84] - stream_miss_f <= _T_2244 @[el2_ifu_mem_ctl.scala 461:17] - node _T_2245 = bits(byp_fetch_index, 4, 1) @[el2_ifu_mem_ctl.scala 462:35] + node _T_2233 = eq(_T_2231, _T_2232) @[el2_ifu_mem_ctl.scala 460:87] + node _T_2234 = and(ic_miss_buff_data_valid_bypass_index, _T_2233) @[el2_ifu_mem_ctl.scala 460:43] + node miss_buff_hit_unq_f = or(_T_2230, _T_2234) @[el2_ifu_mem_ctl.scala 459:131] + node _T_2235 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 462:30] + node _T_2236 = eq(miss_wrap_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 462:68] + node _T_2237 = and(miss_buff_hit_unq_f, _T_2236) @[el2_ifu_mem_ctl.scala 462:66] + node _T_2238 = and(_T_2235, _T_2237) @[el2_ifu_mem_ctl.scala 462:43] + stream_hit_f <= _T_2238 @[el2_ifu_mem_ctl.scala 462:16] + node _T_2239 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 463:31] + node _T_2240 = eq(miss_wrap_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 463:70] + node _T_2241 = and(miss_buff_hit_unq_f, _T_2240) @[el2_ifu_mem_ctl.scala 463:68] + node _T_2242 = eq(_T_2241, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 463:46] + node _T_2243 = and(_T_2239, _T_2242) @[el2_ifu_mem_ctl.scala 463:44] + node _T_2244 = and(_T_2243, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 463:84] + stream_miss_f <= _T_2244 @[el2_ifu_mem_ctl.scala 463:17] + node _T_2245 = bits(byp_fetch_index, 4, 1) @[el2_ifu_mem_ctl.scala 464:35] node _T_2246 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_2247 = eq(_T_2245, _T_2246) @[el2_ifu_mem_ctl.scala 462:60] - node _T_2248 = and(_T_2247, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 462:92] - node _T_2249 = and(_T_2248, stream_hit_f) @[el2_ifu_mem_ctl.scala 462:110] - stream_eol_f <= _T_2249 @[el2_ifu_mem_ctl.scala 462:16] - node _T_2250 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 463:55] - node _T_2251 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 463:87] - node _T_2252 = or(_T_2250, _T_2251) @[el2_ifu_mem_ctl.scala 463:74] - node _T_2253 = and(miss_buff_hit_unq_f, _T_2252) @[el2_ifu_mem_ctl.scala 463:41] - crit_byp_hit_f <= _T_2253 @[el2_ifu_mem_ctl.scala 463:18] - node _T_2254 = bits(ifu_bus_rid_ff, 2, 1) @[el2_ifu_mem_ctl.scala 466:37] - node _T_2255 = bits(ifu_bus_rid_ff, 0, 0) @[el2_ifu_mem_ctl.scala 466:70] - node _T_2256 = eq(_T_2255, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 466:55] + node _T_2247 = eq(_T_2245, _T_2246) @[el2_ifu_mem_ctl.scala 464:60] + node _T_2248 = and(_T_2247, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 464:92] + node _T_2249 = and(_T_2248, stream_hit_f) @[el2_ifu_mem_ctl.scala 464:110] + stream_eol_f <= _T_2249 @[el2_ifu_mem_ctl.scala 464:16] + node _T_2250 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 465:55] + node _T_2251 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 465:87] + node _T_2252 = or(_T_2250, _T_2251) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2253 = and(miss_buff_hit_unq_f, _T_2252) @[el2_ifu_mem_ctl.scala 465:41] + crit_byp_hit_f <= _T_2253 @[el2_ifu_mem_ctl.scala 465:18] + node _T_2254 = bits(ifu_bus_rid_ff, 2, 1) @[el2_ifu_mem_ctl.scala 468:37] + node _T_2255 = bits(ifu_bus_rid_ff, 0, 0) @[el2_ifu_mem_ctl.scala 468:70] + node _T_2256 = eq(_T_2255, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 468:55] node other_tag = cat(_T_2254, _T_2256) @[Cat.scala 29:58] - node _T_2257 = eq(other_tag, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 467:81] - node _T_2258 = bits(_T_2257, 0, 0) @[el2_ifu_mem_ctl.scala 467:89] - node _T_2259 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 467:120] - node _T_2260 = eq(other_tag, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 467:81] - node _T_2261 = bits(_T_2260, 0, 0) @[el2_ifu_mem_ctl.scala 467:89] - node _T_2262 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 467:120] - node _T_2263 = eq(other_tag, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 467:81] - node _T_2264 = bits(_T_2263, 0, 0) @[el2_ifu_mem_ctl.scala 467:89] - node _T_2265 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 467:120] - node _T_2266 = eq(other_tag, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 467:81] - node _T_2267 = bits(_T_2266, 0, 0) @[el2_ifu_mem_ctl.scala 467:89] - node _T_2268 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 467:120] - node _T_2269 = eq(other_tag, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 467:81] - node _T_2270 = bits(_T_2269, 0, 0) @[el2_ifu_mem_ctl.scala 467:89] - node _T_2271 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 467:120] - node _T_2272 = eq(other_tag, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 467:81] - node _T_2273 = bits(_T_2272, 0, 0) @[el2_ifu_mem_ctl.scala 467:89] - node _T_2274 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 467:120] - node _T_2275 = eq(other_tag, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 467:81] - node _T_2276 = bits(_T_2275, 0, 0) @[el2_ifu_mem_ctl.scala 467:89] - node _T_2277 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 467:120] - node _T_2278 = eq(other_tag, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 467:81] - node _T_2279 = bits(_T_2278, 0, 0) @[el2_ifu_mem_ctl.scala 467:89] - node _T_2280 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 467:120] + node _T_2257 = eq(other_tag, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 469:81] + node _T_2258 = bits(_T_2257, 0, 0) @[el2_ifu_mem_ctl.scala 469:89] + node _T_2259 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 469:120] + node _T_2260 = eq(other_tag, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 469:81] + node _T_2261 = bits(_T_2260, 0, 0) @[el2_ifu_mem_ctl.scala 469:89] + node _T_2262 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 469:120] + node _T_2263 = eq(other_tag, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 469:81] + node _T_2264 = bits(_T_2263, 0, 0) @[el2_ifu_mem_ctl.scala 469:89] + node _T_2265 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 469:120] + node _T_2266 = eq(other_tag, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 469:81] + node _T_2267 = bits(_T_2266, 0, 0) @[el2_ifu_mem_ctl.scala 469:89] + node _T_2268 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 469:120] + node _T_2269 = eq(other_tag, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 469:81] + node _T_2270 = bits(_T_2269, 0, 0) @[el2_ifu_mem_ctl.scala 469:89] + node _T_2271 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 469:120] + node _T_2272 = eq(other_tag, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 469:81] + node _T_2273 = bits(_T_2272, 0, 0) @[el2_ifu_mem_ctl.scala 469:89] + node _T_2274 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 469:120] + node _T_2275 = eq(other_tag, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 469:81] + node _T_2276 = bits(_T_2275, 0, 0) @[el2_ifu_mem_ctl.scala 469:89] + node _T_2277 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 469:120] + node _T_2278 = eq(other_tag, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 469:81] + node _T_2279 = bits(_T_2278, 0, 0) @[el2_ifu_mem_ctl.scala 469:89] + node _T_2280 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 469:120] node _T_2281 = mux(_T_2258, _T_2259, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2282 = mux(_T_2261, _T_2262, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2283 = mux(_T_2264, _T_2265, UInt<1>("h00")) @[Mux.scala 27:72] @@ -3172,56 +3811,56 @@ circuit el2_ifu_mem_ctl : node _T_2295 = or(_T_2294, _T_2288) @[Mux.scala 27:72] wire second_half_available : UInt<1> @[Mux.scala 27:72] second_half_available <= _T_2295 @[Mux.scala 27:72] - node _T_2296 = and(second_half_available, bus_ifu_wr_en_ff) @[el2_ifu_mem_ctl.scala 468:46] - write_ic_16_bytes <= _T_2296 @[el2_ifu_mem_ctl.scala 468:21] + node _T_2296 = and(second_half_available, bus_ifu_wr_en_ff) @[el2_ifu_mem_ctl.scala 470:46] + write_ic_16_bytes <= _T_2296 @[el2_ifu_mem_ctl.scala 470:21] node _T_2297 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2298 = eq(_T_2297, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 469:89] - node _T_2299 = bits(_T_2298, 0, 0) @[el2_ifu_mem_ctl.scala 469:97] + node _T_2298 = eq(_T_2297, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 471:89] + node _T_2299 = bits(_T_2298, 0, 0) @[el2_ifu_mem_ctl.scala 471:97] node _T_2300 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2301 = eq(_T_2300, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 469:89] - node _T_2302 = bits(_T_2301, 0, 0) @[el2_ifu_mem_ctl.scala 469:97] + node _T_2301 = eq(_T_2300, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 471:89] + node _T_2302 = bits(_T_2301, 0, 0) @[el2_ifu_mem_ctl.scala 471:97] node _T_2303 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2304 = eq(_T_2303, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 469:89] - node _T_2305 = bits(_T_2304, 0, 0) @[el2_ifu_mem_ctl.scala 469:97] + node _T_2304 = eq(_T_2303, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 471:89] + node _T_2305 = bits(_T_2304, 0, 0) @[el2_ifu_mem_ctl.scala 471:97] node _T_2306 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2307 = eq(_T_2306, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 469:89] - node _T_2308 = bits(_T_2307, 0, 0) @[el2_ifu_mem_ctl.scala 469:97] + node _T_2307 = eq(_T_2306, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 471:89] + node _T_2308 = bits(_T_2307, 0, 0) @[el2_ifu_mem_ctl.scala 471:97] node _T_2309 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2310 = eq(_T_2309, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 469:89] - node _T_2311 = bits(_T_2310, 0, 0) @[el2_ifu_mem_ctl.scala 469:97] + node _T_2310 = eq(_T_2309, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 471:89] + node _T_2311 = bits(_T_2310, 0, 0) @[el2_ifu_mem_ctl.scala 471:97] node _T_2312 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2313 = eq(_T_2312, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 469:89] - node _T_2314 = bits(_T_2313, 0, 0) @[el2_ifu_mem_ctl.scala 469:97] + node _T_2313 = eq(_T_2312, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 471:89] + node _T_2314 = bits(_T_2313, 0, 0) @[el2_ifu_mem_ctl.scala 471:97] node _T_2315 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2316 = eq(_T_2315, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 469:89] - node _T_2317 = bits(_T_2316, 0, 0) @[el2_ifu_mem_ctl.scala 469:97] + node _T_2316 = eq(_T_2315, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 471:89] + node _T_2317 = bits(_T_2316, 0, 0) @[el2_ifu_mem_ctl.scala 471:97] node _T_2318 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2319 = eq(_T_2318, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 469:89] - node _T_2320 = bits(_T_2319, 0, 0) @[el2_ifu_mem_ctl.scala 469:97] + node _T_2319 = eq(_T_2318, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 471:89] + node _T_2320 = bits(_T_2319, 0, 0) @[el2_ifu_mem_ctl.scala 471:97] node _T_2321 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2322 = eq(_T_2321, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 469:89] - node _T_2323 = bits(_T_2322, 0, 0) @[el2_ifu_mem_ctl.scala 469:97] + node _T_2322 = eq(_T_2321, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 471:89] + node _T_2323 = bits(_T_2322, 0, 0) @[el2_ifu_mem_ctl.scala 471:97] node _T_2324 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2325 = eq(_T_2324, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 469:89] - node _T_2326 = bits(_T_2325, 0, 0) @[el2_ifu_mem_ctl.scala 469:97] + node _T_2325 = eq(_T_2324, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 471:89] + node _T_2326 = bits(_T_2325, 0, 0) @[el2_ifu_mem_ctl.scala 471:97] node _T_2327 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2328 = eq(_T_2327, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 469:89] - node _T_2329 = bits(_T_2328, 0, 0) @[el2_ifu_mem_ctl.scala 469:97] + node _T_2328 = eq(_T_2327, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 471:89] + node _T_2329 = bits(_T_2328, 0, 0) @[el2_ifu_mem_ctl.scala 471:97] node _T_2330 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2331 = eq(_T_2330, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 469:89] - node _T_2332 = bits(_T_2331, 0, 0) @[el2_ifu_mem_ctl.scala 469:97] + node _T_2331 = eq(_T_2330, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 471:89] + node _T_2332 = bits(_T_2331, 0, 0) @[el2_ifu_mem_ctl.scala 471:97] node _T_2333 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2334 = eq(_T_2333, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 469:89] - node _T_2335 = bits(_T_2334, 0, 0) @[el2_ifu_mem_ctl.scala 469:97] + node _T_2334 = eq(_T_2333, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 471:89] + node _T_2335 = bits(_T_2334, 0, 0) @[el2_ifu_mem_ctl.scala 471:97] node _T_2336 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2337 = eq(_T_2336, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 469:89] - node _T_2338 = bits(_T_2337, 0, 0) @[el2_ifu_mem_ctl.scala 469:97] + node _T_2337 = eq(_T_2336, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 471:89] + node _T_2338 = bits(_T_2337, 0, 0) @[el2_ifu_mem_ctl.scala 471:97] node _T_2339 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2340 = eq(_T_2339, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 469:89] - node _T_2341 = bits(_T_2340, 0, 0) @[el2_ifu_mem_ctl.scala 469:97] + node _T_2340 = eq(_T_2339, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 471:89] + node _T_2341 = bits(_T_2340, 0, 0) @[el2_ifu_mem_ctl.scala 471:97] node _T_2342 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2343 = eq(_T_2342, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 469:89] - node _T_2344 = bits(_T_2343, 0, 0) @[el2_ifu_mem_ctl.scala 469:97] + node _T_2343 = eq(_T_2342, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 471:89] + node _T_2344 = bits(_T_2343, 0, 0) @[el2_ifu_mem_ctl.scala 471:97] node _T_2345 = mux(_T_2299, ic_miss_buff_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_2346 = mux(_T_2302, ic_miss_buff_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_2347 = mux(_T_2305, ic_miss_buff_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -3256,29 +3895,29 @@ circuit el2_ifu_mem_ctl : wire _T_2376 : UInt<32> @[Mux.scala 27:72] _T_2376 <= _T_2375 @[Mux.scala 27:72] node _T_2377 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2378 = eq(_T_2377, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 470:64] - node _T_2379 = bits(_T_2378, 0, 0) @[el2_ifu_mem_ctl.scala 470:72] + node _T_2378 = eq(_T_2377, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 472:64] + node _T_2379 = bits(_T_2378, 0, 0) @[el2_ifu_mem_ctl.scala 472:72] node _T_2380 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2381 = eq(_T_2380, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 470:64] - node _T_2382 = bits(_T_2381, 0, 0) @[el2_ifu_mem_ctl.scala 470:72] + node _T_2381 = eq(_T_2380, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 472:64] + node _T_2382 = bits(_T_2381, 0, 0) @[el2_ifu_mem_ctl.scala 472:72] node _T_2383 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2384 = eq(_T_2383, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 470:64] - node _T_2385 = bits(_T_2384, 0, 0) @[el2_ifu_mem_ctl.scala 470:72] + node _T_2384 = eq(_T_2383, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 472:64] + node _T_2385 = bits(_T_2384, 0, 0) @[el2_ifu_mem_ctl.scala 472:72] node _T_2386 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2387 = eq(_T_2386, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 470:64] - node _T_2388 = bits(_T_2387, 0, 0) @[el2_ifu_mem_ctl.scala 470:72] + node _T_2387 = eq(_T_2386, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 472:64] + node _T_2388 = bits(_T_2387, 0, 0) @[el2_ifu_mem_ctl.scala 472:72] node _T_2389 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2390 = eq(_T_2389, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 470:64] - node _T_2391 = bits(_T_2390, 0, 0) @[el2_ifu_mem_ctl.scala 470:72] + node _T_2390 = eq(_T_2389, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 472:64] + node _T_2391 = bits(_T_2390, 0, 0) @[el2_ifu_mem_ctl.scala 472:72] node _T_2392 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2393 = eq(_T_2392, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 470:64] - node _T_2394 = bits(_T_2393, 0, 0) @[el2_ifu_mem_ctl.scala 470:72] + node _T_2393 = eq(_T_2392, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 472:64] + node _T_2394 = bits(_T_2393, 0, 0) @[el2_ifu_mem_ctl.scala 472:72] node _T_2395 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2396 = eq(_T_2395, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 470:64] - node _T_2397 = bits(_T_2396, 0, 0) @[el2_ifu_mem_ctl.scala 470:72] + node _T_2396 = eq(_T_2395, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 472:64] + node _T_2397 = bits(_T_2396, 0, 0) @[el2_ifu_mem_ctl.scala 472:72] node _T_2398 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2399 = eq(_T_2398, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 470:64] - node _T_2400 = bits(_T_2399, 0, 0) @[el2_ifu_mem_ctl.scala 470:72] + node _T_2399 = eq(_T_2398, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 472:64] + node _T_2400 = bits(_T_2399, 0, 0) @[el2_ifu_mem_ctl.scala 472:72] node _T_2401 = mux(_T_2379, ic_miss_buff_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_2402 = mux(_T_2382, ic_miss_buff_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_2403 = mux(_T_2385, ic_miss_buff_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -3297,12 +3936,12 @@ circuit el2_ifu_mem_ctl : wire _T_2416 : UInt<32> @[Mux.scala 27:72] _T_2416 <= _T_2415 @[Mux.scala 27:72] node _T_2417 = cat(_T_2376, _T_2416) @[Cat.scala 29:58] - ic_miss_buff_half <= _T_2417 @[el2_ifu_mem_ctl.scala 469:21] - node _T_2418 = and(io.ic_tag_perr, sel_ic_data) @[el2_ifu_mem_ctl.scala 472:44] - node _T_2419 = or(ifc_region_acc_fault_final_f, ifc_bus_acc_fault_f) @[el2_ifu_mem_ctl.scala 472:91] - node _T_2420 = eq(_T_2419, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 472:60] - node _T_2421 = and(_T_2418, _T_2420) @[el2_ifu_mem_ctl.scala 472:58] - ic_rd_parity_final_err <= _T_2421 @[el2_ifu_mem_ctl.scala 472:26] + ic_miss_buff_half <= _T_2417 @[el2_ifu_mem_ctl.scala 471:21] + node _T_2418 = and(io.ic_tag_perr, sel_ic_data) @[el2_ifu_mem_ctl.scala 474:44] + node _T_2419 = or(ifc_region_acc_fault_final_f, ifc_bus_acc_fault_f) @[el2_ifu_mem_ctl.scala 474:91] + node _T_2420 = eq(_T_2419, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 474:60] + node _T_2421 = and(_T_2418, _T_2420) @[el2_ifu_mem_ctl.scala 474:58] + ic_rd_parity_final_err <= _T_2421 @[el2_ifu_mem_ctl.scala 474:26] wire ifu_ic_rw_int_addr_ff : UInt<6> ifu_ic_rw_int_addr_ff <= UInt<1>("h00") wire perr_sb_write_status : UInt<1> @@ -3315,16 +3954,16 @@ circuit el2_ifu_mem_ctl : perr_sel_invalidate <= UInt<1>("h00") node _T_2422 = bits(perr_sel_invalidate, 0, 0) @[Bitwise.scala 72:15] node perr_err_inv_way = mux(_T_2422, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_2423 = eq(perr_state, UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 479:34] - iccm_correct_ecc <= _T_2423 @[el2_ifu_mem_ctl.scala 479:20] - node dma_sb_err_state = eq(perr_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 480:37] - wire dma_sb_err_state_ff : UInt<1> @[el2_ifu_mem_ctl.scala 481:33] - node _T_2424 = eq(dma_sb_err_state_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 482:49] - node _T_2425 = and(iccm_correct_ecc, _T_2424) @[el2_ifu_mem_ctl.scala 482:47] - io.iccm_buf_correct_ecc <= _T_2425 @[el2_ifu_mem_ctl.scala 482:27] - reg _T_2426 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 483:58] - _T_2426 <= dma_sb_err_state @[el2_ifu_mem_ctl.scala 483:58] - dma_sb_err_state_ff <= _T_2426 @[el2_ifu_mem_ctl.scala 483:23] + node _T_2423 = eq(perr_state, UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 481:34] + iccm_correct_ecc <= _T_2423 @[el2_ifu_mem_ctl.scala 481:20] + node dma_sb_err_state = eq(perr_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 482:37] + wire dma_sb_err_state_ff : UInt<1> @[el2_ifu_mem_ctl.scala 483:33] + node _T_2424 = eq(dma_sb_err_state_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 484:49] + node _T_2425 = and(iccm_correct_ecc, _T_2424) @[el2_ifu_mem_ctl.scala 484:47] + io.iccm_buf_correct_ecc <= _T_2425 @[el2_ifu_mem_ctl.scala 484:27] + reg _T_2426 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 485:58] + _T_2426 <= dma_sb_err_state @[el2_ifu_mem_ctl.scala 485:58] + dma_sb_err_state_ff <= _T_2426 @[el2_ifu_mem_ctl.scala 485:23] wire perr_nxtstate : UInt<3> perr_nxtstate <= UInt<1>("h00") wire perr_state_en : UInt<1> @@ -3333,165 +3972,165 @@ circuit el2_ifu_mem_ctl : iccm_error_start <= UInt<1>("h00") node _T_2427 = eq(UInt<3>("h00"), perr_state) @[Conditional.scala 37:30] when _T_2427 : @[Conditional.scala 40:58] - node _T_2428 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 491:89] - node _T_2429 = and(io.ic_error_start, _T_2428) @[el2_ifu_mem_ctl.scala 491:87] - node _T_2430 = bits(_T_2429, 0, 0) @[el2_ifu_mem_ctl.scala 491:110] - node _T_2431 = mux(_T_2430, UInt<3>("h01"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 491:67] - node _T_2432 = mux(io.iccm_dma_sb_error, UInt<3>("h04"), _T_2431) @[el2_ifu_mem_ctl.scala 491:27] - perr_nxtstate <= _T_2432 @[el2_ifu_mem_ctl.scala 491:21] - node _T_2433 = or(iccm_error_start, io.ic_error_start) @[el2_ifu_mem_ctl.scala 492:44] - node _T_2434 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 492:67] - node _T_2435 = and(_T_2433, _T_2434) @[el2_ifu_mem_ctl.scala 492:65] - node _T_2436 = or(_T_2435, io.iccm_dma_sb_error) @[el2_ifu_mem_ctl.scala 492:88] - node _T_2437 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 492:114] - node _T_2438 = and(_T_2436, _T_2437) @[el2_ifu_mem_ctl.scala 492:112] - perr_state_en <= _T_2438 @[el2_ifu_mem_ctl.scala 492:21] - perr_sb_write_status <= perr_state_en @[el2_ifu_mem_ctl.scala 493:28] + node _T_2428 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 493:89] + node _T_2429 = and(io.ic_error_start, _T_2428) @[el2_ifu_mem_ctl.scala 493:87] + node _T_2430 = bits(_T_2429, 0, 0) @[el2_ifu_mem_ctl.scala 493:110] + node _T_2431 = mux(_T_2430, UInt<3>("h01"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 493:67] + node _T_2432 = mux(io.iccm_dma_sb_error, UInt<3>("h04"), _T_2431) @[el2_ifu_mem_ctl.scala 493:27] + perr_nxtstate <= _T_2432 @[el2_ifu_mem_ctl.scala 493:21] + node _T_2433 = or(iccm_error_start, io.ic_error_start) @[el2_ifu_mem_ctl.scala 494:44] + node _T_2434 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 494:67] + node _T_2435 = and(_T_2433, _T_2434) @[el2_ifu_mem_ctl.scala 494:65] + node _T_2436 = or(_T_2435, io.iccm_dma_sb_error) @[el2_ifu_mem_ctl.scala 494:88] + node _T_2437 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 494:114] + node _T_2438 = and(_T_2436, _T_2437) @[el2_ifu_mem_ctl.scala 494:112] + perr_state_en <= _T_2438 @[el2_ifu_mem_ctl.scala 494:21] + perr_sb_write_status <= perr_state_en @[el2_ifu_mem_ctl.scala 495:28] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_2439 = eq(UInt<3>("h01"), perr_state) @[Conditional.scala 37:30] when _T_2439 : @[Conditional.scala 39:67] - perr_nxtstate <= UInt<3>("h00") @[el2_ifu_mem_ctl.scala 496:21] - node _T_2440 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 497:50] - perr_state_en <= _T_2440 @[el2_ifu_mem_ctl.scala 497:21] - node _T_2441 = and(io.dec_tlu_flush_lower_wb, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 498:56] - perr_sel_invalidate <= _T_2441 @[el2_ifu_mem_ctl.scala 498:27] + perr_nxtstate <= UInt<3>("h00") @[el2_ifu_mem_ctl.scala 498:21] + node _T_2440 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 499:50] + perr_state_en <= _T_2440 @[el2_ifu_mem_ctl.scala 499:21] + node _T_2441 = and(io.dec_tlu_flush_lower_wb, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 500:56] + perr_sel_invalidate <= _T_2441 @[el2_ifu_mem_ctl.scala 500:27] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_2442 = eq(UInt<3>("h02"), perr_state) @[Conditional.scala 37:30] when _T_2442 : @[Conditional.scala 39:67] - node _T_2443 = and(io.dec_tlu_flush_err_wb, io.dec_tlu_flush_lower_wb) @[el2_ifu_mem_ctl.scala 501:54] - node _T_2444 = or(_T_2443, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 501:84] - node _T_2445 = bits(_T_2444, 0, 0) @[el2_ifu_mem_ctl.scala 501:115] - node _T_2446 = mux(_T_2445, UInt<3>("h00"), UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 501:27] - perr_nxtstate <= _T_2446 @[el2_ifu_mem_ctl.scala 501:21] - node _T_2447 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 502:50] - perr_state_en <= _T_2447 @[el2_ifu_mem_ctl.scala 502:21] + node _T_2443 = and(io.dec_tlu_flush_err_wb, io.dec_tlu_flush_lower_wb) @[el2_ifu_mem_ctl.scala 503:54] + node _T_2444 = or(_T_2443, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 503:84] + node _T_2445 = bits(_T_2444, 0, 0) @[el2_ifu_mem_ctl.scala 503:115] + node _T_2446 = mux(_T_2445, UInt<3>("h00"), UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 503:27] + perr_nxtstate <= _T_2446 @[el2_ifu_mem_ctl.scala 503:21] + node _T_2447 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 504:50] + perr_state_en <= _T_2447 @[el2_ifu_mem_ctl.scala 504:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_2448 = eq(UInt<3>("h04"), perr_state) @[Conditional.scala 37:30] when _T_2448 : @[Conditional.scala 39:67] - node _T_2449 = mux(io.dec_tlu_force_halt, UInt<3>("h00"), UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 505:27] - perr_nxtstate <= _T_2449 @[el2_ifu_mem_ctl.scala 505:21] - perr_state_en <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 506:21] + node _T_2449 = mux(io.dec_tlu_force_halt, UInt<3>("h00"), UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 507:27] + perr_nxtstate <= _T_2449 @[el2_ifu_mem_ctl.scala 507:21] + perr_state_en <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 508:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_2450 = eq(UInt<3>("h03"), perr_state) @[Conditional.scala 37:30] when _T_2450 : @[Conditional.scala 39:67] - perr_nxtstate <= UInt<3>("h00") @[el2_ifu_mem_ctl.scala 509:21] - perr_state_en <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 510:21] + perr_nxtstate <= UInt<3>("h00") @[el2_ifu_mem_ctl.scala 511:21] + perr_state_en <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 512:21] skip @[Conditional.scala 39:67] reg _T_2451 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when perr_state_en : @[Reg.scala 28:19] _T_2451 <= perr_nxtstate @[Reg.scala 28:23] skip @[Reg.scala 28:19] - perr_state <= _T_2451 @[el2_ifu_mem_ctl.scala 513:14] + perr_state <= _T_2451 @[el2_ifu_mem_ctl.scala 515:14] wire err_stop_nxtstate : UInt<2> err_stop_nxtstate <= UInt<1>("h00") wire err_stop_state_en : UInt<1> err_stop_state_en <= UInt<1>("h00") - io.iccm_correction_state <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 517:28] + io.iccm_correction_state <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 519:28] node _T_2452 = eq(UInt<2>("h00"), err_stop_state) @[Conditional.scala 37:30] when _T_2452 : @[Conditional.scala 40:58] - err_stop_nxtstate <= UInt<2>("h01") @[el2_ifu_mem_ctl.scala 521:25] - node _T_2453 = eq(perr_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 522:66] - node _T_2454 = and(io.dec_tlu_flush_err_wb, _T_2453) @[el2_ifu_mem_ctl.scala 522:52] - node _T_2455 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 522:83] - node _T_2456 = and(_T_2454, _T_2455) @[el2_ifu_mem_ctl.scala 522:81] - err_stop_state_en <= _T_2456 @[el2_ifu_mem_ctl.scala 522:25] + err_stop_nxtstate <= UInt<2>("h01") @[el2_ifu_mem_ctl.scala 523:25] + node _T_2453 = eq(perr_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 524:66] + node _T_2454 = and(io.dec_tlu_flush_err_wb, _T_2453) @[el2_ifu_mem_ctl.scala 524:52] + node _T_2455 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 524:83] + node _T_2456 = and(_T_2454, _T_2455) @[el2_ifu_mem_ctl.scala 524:81] + err_stop_state_en <= _T_2456 @[el2_ifu_mem_ctl.scala 524:25] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_2457 = eq(UInt<2>("h01"), err_stop_state) @[Conditional.scala 37:30] when _T_2457 : @[Conditional.scala 39:67] - node _T_2458 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 525:59] - node _T_2459 = or(_T_2458, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 525:86] - node _T_2460 = bits(_T_2459, 0, 0) @[el2_ifu_mem_ctl.scala 525:117] - node _T_2461 = eq(io.ifu_fetch_val, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 526:31] - node _T_2462 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 526:56] - node _T_2463 = and(_T_2462, two_byte_instr) @[el2_ifu_mem_ctl.scala 526:59] - node _T_2464 = or(_T_2461, _T_2463) @[el2_ifu_mem_ctl.scala 526:38] - node _T_2465 = bits(_T_2464, 0, 0) @[el2_ifu_mem_ctl.scala 526:83] - node _T_2466 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 527:31] - node _T_2467 = bits(_T_2466, 0, 0) @[el2_ifu_mem_ctl.scala 527:41] - node _T_2468 = mux(_T_2467, UInt<2>("h02"), UInt<2>("h01")) @[el2_ifu_mem_ctl.scala 527:14] - node _T_2469 = mux(_T_2465, UInt<2>("h03"), _T_2468) @[el2_ifu_mem_ctl.scala 526:12] - node _T_2470 = mux(_T_2460, UInt<2>("h00"), _T_2469) @[el2_ifu_mem_ctl.scala 525:31] - err_stop_nxtstate <= _T_2470 @[el2_ifu_mem_ctl.scala 525:25] - node _T_2471 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 528:54] - node _T_2472 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 528:99] - node _T_2473 = or(_T_2471, _T_2472) @[el2_ifu_mem_ctl.scala 528:81] - node _T_2474 = or(_T_2473, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 528:103] - node _T_2475 = or(_T_2474, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 528:126] - err_stop_state_en <= _T_2475 @[el2_ifu_mem_ctl.scala 528:25] - node _T_2476 = bits(io.ifu_fetch_val, 1, 0) @[el2_ifu_mem_ctl.scala 529:43] - node _T_2477 = eq(_T_2476, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 529:48] - node _T_2478 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 529:75] - node _T_2479 = and(_T_2478, two_byte_instr) @[el2_ifu_mem_ctl.scala 529:79] - node _T_2480 = or(_T_2477, _T_2479) @[el2_ifu_mem_ctl.scala 529:56] - node _T_2481 = or(io.exu_flush_final, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 529:122] - node _T_2482 = eq(_T_2481, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 529:101] - node _T_2483 = and(_T_2480, _T_2482) @[el2_ifu_mem_ctl.scala 529:99] - err_stop_fetch <= _T_2483 @[el2_ifu_mem_ctl.scala 529:22] - io.iccm_correction_state <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 530:32] + node _T_2458 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 527:59] + node _T_2459 = or(_T_2458, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 527:86] + node _T_2460 = bits(_T_2459, 0, 0) @[el2_ifu_mem_ctl.scala 527:117] + node _T_2461 = eq(io.ifu_fetch_val, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 528:31] + node _T_2462 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 528:56] + node _T_2463 = and(_T_2462, two_byte_instr) @[el2_ifu_mem_ctl.scala 528:59] + node _T_2464 = or(_T_2461, _T_2463) @[el2_ifu_mem_ctl.scala 528:38] + node _T_2465 = bits(_T_2464, 0, 0) @[el2_ifu_mem_ctl.scala 528:83] + node _T_2466 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 529:31] + node _T_2467 = bits(_T_2466, 0, 0) @[el2_ifu_mem_ctl.scala 529:41] + node _T_2468 = mux(_T_2467, UInt<2>("h02"), UInt<2>("h01")) @[el2_ifu_mem_ctl.scala 529:14] + node _T_2469 = mux(_T_2465, UInt<2>("h03"), _T_2468) @[el2_ifu_mem_ctl.scala 528:12] + node _T_2470 = mux(_T_2460, UInt<2>("h00"), _T_2469) @[el2_ifu_mem_ctl.scala 527:31] + err_stop_nxtstate <= _T_2470 @[el2_ifu_mem_ctl.scala 527:25] + node _T_2471 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 530:54] + node _T_2472 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 530:99] + node _T_2473 = or(_T_2471, _T_2472) @[el2_ifu_mem_ctl.scala 530:81] + node _T_2474 = or(_T_2473, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 530:103] + node _T_2475 = or(_T_2474, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 530:126] + err_stop_state_en <= _T_2475 @[el2_ifu_mem_ctl.scala 530:25] + node _T_2476 = bits(io.ifu_fetch_val, 1, 0) @[el2_ifu_mem_ctl.scala 531:43] + node _T_2477 = eq(_T_2476, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 531:48] + node _T_2478 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 531:75] + node _T_2479 = and(_T_2478, two_byte_instr) @[el2_ifu_mem_ctl.scala 531:79] + node _T_2480 = or(_T_2477, _T_2479) @[el2_ifu_mem_ctl.scala 531:56] + node _T_2481 = or(io.exu_flush_final, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 531:122] + node _T_2482 = eq(_T_2481, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 531:101] + node _T_2483 = and(_T_2480, _T_2482) @[el2_ifu_mem_ctl.scala 531:99] + err_stop_fetch <= _T_2483 @[el2_ifu_mem_ctl.scala 531:22] + io.iccm_correction_state <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 532:32] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_2484 = eq(UInt<2>("h02"), err_stop_state) @[Conditional.scala 37:30] when _T_2484 : @[Conditional.scala 39:67] - node _T_2485 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 533:59] - node _T_2486 = or(_T_2485, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 533:86] - node _T_2487 = bits(_T_2486, 0, 0) @[el2_ifu_mem_ctl.scala 533:111] - node _T_2488 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 534:46] - node _T_2489 = bits(_T_2488, 0, 0) @[el2_ifu_mem_ctl.scala 534:50] - node _T_2490 = mux(_T_2489, UInt<2>("h03"), UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 534:29] - node _T_2491 = mux(_T_2487, UInt<2>("h00"), _T_2490) @[el2_ifu_mem_ctl.scala 533:31] - err_stop_nxtstate <= _T_2491 @[el2_ifu_mem_ctl.scala 533:25] - node _T_2492 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 535:54] - node _T_2493 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 535:99] - node _T_2494 = or(_T_2492, _T_2493) @[el2_ifu_mem_ctl.scala 535:81] - node _T_2495 = or(_T_2494, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 535:103] - err_stop_state_en <= _T_2495 @[el2_ifu_mem_ctl.scala 535:25] - node _T_2496 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 536:41] - node _T_2497 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 536:47] - node _T_2498 = and(_T_2496, _T_2497) @[el2_ifu_mem_ctl.scala 536:45] - node _T_2499 = eq(io.dec_tlu_i0_commit_cmt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 536:69] - node _T_2500 = and(_T_2498, _T_2499) @[el2_ifu_mem_ctl.scala 536:67] - err_stop_fetch <= _T_2500 @[el2_ifu_mem_ctl.scala 536:22] - io.iccm_correction_state <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 537:32] + node _T_2485 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 535:59] + node _T_2486 = or(_T_2485, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 535:86] + node _T_2487 = bits(_T_2486, 0, 0) @[el2_ifu_mem_ctl.scala 535:111] + node _T_2488 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 536:46] + node _T_2489 = bits(_T_2488, 0, 0) @[el2_ifu_mem_ctl.scala 536:50] + node _T_2490 = mux(_T_2489, UInt<2>("h03"), UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 536:29] + node _T_2491 = mux(_T_2487, UInt<2>("h00"), _T_2490) @[el2_ifu_mem_ctl.scala 535:31] + err_stop_nxtstate <= _T_2491 @[el2_ifu_mem_ctl.scala 535:25] + node _T_2492 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 537:54] + node _T_2493 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 537:99] + node _T_2494 = or(_T_2492, _T_2493) @[el2_ifu_mem_ctl.scala 537:81] + node _T_2495 = or(_T_2494, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 537:103] + err_stop_state_en <= _T_2495 @[el2_ifu_mem_ctl.scala 537:25] + node _T_2496 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 538:41] + node _T_2497 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 538:47] + node _T_2498 = and(_T_2496, _T_2497) @[el2_ifu_mem_ctl.scala 538:45] + node _T_2499 = eq(io.dec_tlu_i0_commit_cmt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 538:69] + node _T_2500 = and(_T_2498, _T_2499) @[el2_ifu_mem_ctl.scala 538:67] + err_stop_fetch <= _T_2500 @[el2_ifu_mem_ctl.scala 538:22] + io.iccm_correction_state <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 539:32] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_2501 = eq(UInt<2>("h03"), err_stop_state) @[Conditional.scala 37:30] when _T_2501 : @[Conditional.scala 39:67] - node _T_2502 = eq(io.dec_tlu_flush_err_wb, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 540:62] - node _T_2503 = and(io.dec_tlu_flush_lower_wb, _T_2502) @[el2_ifu_mem_ctl.scala 540:60] - node _T_2504 = or(_T_2503, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 540:88] - node _T_2505 = or(_T_2504, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 540:115] - node _T_2506 = bits(_T_2505, 0, 0) @[el2_ifu_mem_ctl.scala 540:140] - node _T_2507 = bits(io.dec_tlu_flush_err_wb, 0, 0) @[el2_ifu_mem_ctl.scala 541:60] - node _T_2508 = mux(_T_2507, UInt<2>("h01"), UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 541:29] - node _T_2509 = mux(_T_2506, UInt<2>("h00"), _T_2508) @[el2_ifu_mem_ctl.scala 540:31] - err_stop_nxtstate <= _T_2509 @[el2_ifu_mem_ctl.scala 540:25] - node _T_2510 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 542:54] - node _T_2511 = or(_T_2510, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 542:81] - err_stop_state_en <= _T_2511 @[el2_ifu_mem_ctl.scala 542:25] - err_stop_fetch <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 543:22] - io.iccm_correction_state <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 544:32] + node _T_2502 = eq(io.dec_tlu_flush_err_wb, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 542:62] + node _T_2503 = and(io.dec_tlu_flush_lower_wb, _T_2502) @[el2_ifu_mem_ctl.scala 542:60] + node _T_2504 = or(_T_2503, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 542:88] + node _T_2505 = or(_T_2504, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 542:115] + node _T_2506 = bits(_T_2505, 0, 0) @[el2_ifu_mem_ctl.scala 542:140] + node _T_2507 = bits(io.dec_tlu_flush_err_wb, 0, 0) @[el2_ifu_mem_ctl.scala 543:60] + node _T_2508 = mux(_T_2507, UInt<2>("h01"), UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 543:29] + node _T_2509 = mux(_T_2506, UInt<2>("h00"), _T_2508) @[el2_ifu_mem_ctl.scala 542:31] + err_stop_nxtstate <= _T_2509 @[el2_ifu_mem_ctl.scala 542:25] + node _T_2510 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 544:54] + node _T_2511 = or(_T_2510, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 544:81] + err_stop_state_en <= _T_2511 @[el2_ifu_mem_ctl.scala 544:25] + err_stop_fetch <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 545:22] + io.iccm_correction_state <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 546:32] skip @[Conditional.scala 39:67] reg _T_2512 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when err_stop_state_en : @[Reg.scala 28:19] _T_2512 <= err_stop_nxtstate @[Reg.scala 28:23] skip @[Reg.scala 28:19] - err_stop_state <= _T_2512 @[el2_ifu_mem_ctl.scala 547:18] - bus_ifu_bus_clk_en <= io.ifu_bus_clk_en @[el2_ifu_mem_ctl.scala 548:22] - reg bus_ifu_bus_clk_en_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 549:61] - bus_ifu_bus_clk_en_ff <= bus_ifu_bus_clk_en @[el2_ifu_mem_ctl.scala 549:61] - reg _T_2513 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 550:52] - _T_2513 <= scnd_miss_req_in @[el2_ifu_mem_ctl.scala 550:52] - scnd_miss_req_q <= _T_2513 @[el2_ifu_mem_ctl.scala 550:19] - reg scnd_miss_req_ff2 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 551:57] - scnd_miss_req_ff2 <= scnd_miss_req @[el2_ifu_mem_ctl.scala 551:57] - node _T_2514 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 552:39] - node _T_2515 = and(scnd_miss_req_q, _T_2514) @[el2_ifu_mem_ctl.scala 552:36] - scnd_miss_req <= _T_2515 @[el2_ifu_mem_ctl.scala 552:17] + err_stop_state <= _T_2512 @[el2_ifu_mem_ctl.scala 549:18] + bus_ifu_bus_clk_en <= io.ifu_bus_clk_en @[el2_ifu_mem_ctl.scala 550:22] + reg bus_ifu_bus_clk_en_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 551:61] + bus_ifu_bus_clk_en_ff <= bus_ifu_bus_clk_en @[el2_ifu_mem_ctl.scala 551:61] + reg _T_2513 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 552:52] + _T_2513 <= scnd_miss_req_in @[el2_ifu_mem_ctl.scala 552:52] + scnd_miss_req_q <= _T_2513 @[el2_ifu_mem_ctl.scala 552:19] + reg scnd_miss_req_ff2 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 553:57] + scnd_miss_req_ff2 <= scnd_miss_req @[el2_ifu_mem_ctl.scala 553:57] + node _T_2514 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 554:39] + node _T_2515 = and(scnd_miss_req_q, _T_2514) @[el2_ifu_mem_ctl.scala 554:36] + scnd_miss_req <= _T_2515 @[el2_ifu_mem_ctl.scala 554:17] wire bus_cmd_req_hold : UInt<1> bus_cmd_req_hold <= UInt<1>("h00") wire ifu_bus_cmd_valid : UInt<1> @@ -3500,49 +4139,49 @@ circuit el2_ifu_mem_ctl : bus_cmd_beat_count <= UInt<1>("h00") wire ifu_bus_cmd_ready : UInt<1> ifu_bus_cmd_ready <= UInt<1>("h00") - node _T_2516 = or(ic_act_miss_f, bus_cmd_req_hold) @[el2_ifu_mem_ctl.scala 557:45] - node _T_2517 = or(_T_2516, ifu_bus_cmd_valid) @[el2_ifu_mem_ctl.scala 557:64] - node _T_2518 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 557:87] - node _T_2519 = and(_T_2517, _T_2518) @[el2_ifu_mem_ctl.scala 557:85] + node _T_2516 = or(ic_act_miss_f, bus_cmd_req_hold) @[el2_ifu_mem_ctl.scala 559:45] + node _T_2517 = or(_T_2516, ifu_bus_cmd_valid) @[el2_ifu_mem_ctl.scala 559:64] + node _T_2518 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 559:87] + node _T_2519 = and(_T_2517, _T_2518) @[el2_ifu_mem_ctl.scala 559:85] node _T_2520 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_2521 = eq(bus_cmd_beat_count, _T_2520) @[el2_ifu_mem_ctl.scala 557:133] - node _T_2522 = and(_T_2521, ifu_bus_cmd_valid) @[el2_ifu_mem_ctl.scala 557:164] - node _T_2523 = and(_T_2522, ifu_bus_cmd_ready) @[el2_ifu_mem_ctl.scala 557:184] - node _T_2524 = and(_T_2523, miss_pending) @[el2_ifu_mem_ctl.scala 557:204] - node _T_2525 = eq(_T_2524, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 557:112] - node ifc_bus_ic_req_ff_in = and(_T_2519, _T_2525) @[el2_ifu_mem_ctl.scala 557:110] - node _T_2526 = or(bus_ifu_bus_clk_en, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 558:80] + node _T_2521 = eq(bus_cmd_beat_count, _T_2520) @[el2_ifu_mem_ctl.scala 559:133] + node _T_2522 = and(_T_2521, ifu_bus_cmd_valid) @[el2_ifu_mem_ctl.scala 559:164] + node _T_2523 = and(_T_2522, ifu_bus_cmd_ready) @[el2_ifu_mem_ctl.scala 559:184] + node _T_2524 = and(_T_2523, miss_pending) @[el2_ifu_mem_ctl.scala 559:204] + node _T_2525 = eq(_T_2524, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 559:112] + node ifc_bus_ic_req_ff_in = and(_T_2519, _T_2525) @[el2_ifu_mem_ctl.scala 559:110] + node _T_2526 = or(bus_ifu_bus_clk_en, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 560:80] reg _T_2527 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_2526 : @[Reg.scala 28:19] _T_2527 <= ifc_bus_ic_req_ff_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ifu_bus_cmd_valid <= _T_2527 @[el2_ifu_mem_ctl.scala 558:21] + ifu_bus_cmd_valid <= _T_2527 @[el2_ifu_mem_ctl.scala 560:21] wire bus_cmd_sent : UInt<1> bus_cmd_sent <= UInt<1>("h00") - node _T_2528 = or(ic_act_miss_f, bus_cmd_req_hold) @[el2_ifu_mem_ctl.scala 560:39] - node _T_2529 = eq(bus_cmd_sent, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 560:61] - node _T_2530 = and(_T_2528, _T_2529) @[el2_ifu_mem_ctl.scala 560:59] - node _T_2531 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 560:77] - node bus_cmd_req_in = and(_T_2530, _T_2531) @[el2_ifu_mem_ctl.scala 560:75] - reg _T_2532 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 561:49] - _T_2532 <= bus_cmd_req_in @[el2_ifu_mem_ctl.scala 561:49] - bus_cmd_sent <= _T_2532 @[el2_ifu_mem_ctl.scala 561:16] - io.ifu_axi_arvalid <= ifu_bus_cmd_valid @[el2_ifu_mem_ctl.scala 563:22] + node _T_2528 = or(ic_act_miss_f, bus_cmd_req_hold) @[el2_ifu_mem_ctl.scala 562:39] + node _T_2529 = eq(bus_cmd_sent, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 562:61] + node _T_2530 = and(_T_2528, _T_2529) @[el2_ifu_mem_ctl.scala 562:59] + node _T_2531 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 562:77] + node bus_cmd_req_in = and(_T_2530, _T_2531) @[el2_ifu_mem_ctl.scala 562:75] + reg _T_2532 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 563:49] + _T_2532 <= bus_cmd_req_in @[el2_ifu_mem_ctl.scala 563:49] + bus_cmd_sent <= _T_2532 @[el2_ifu_mem_ctl.scala 563:16] + io.ifu_axi_arvalid <= ifu_bus_cmd_valid @[el2_ifu_mem_ctl.scala 565:22] node _T_2533 = bits(ifu_bus_cmd_valid, 0, 0) @[Bitwise.scala 72:15] node _T_2534 = mux(_T_2533, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_2535 = and(bus_rd_addr_count, _T_2534) @[el2_ifu_mem_ctl.scala 564:40] - io.ifu_axi_arid <= _T_2535 @[el2_ifu_mem_ctl.scala 564:19] + node _T_2535 = and(bus_rd_addr_count, _T_2534) @[el2_ifu_mem_ctl.scala 566:40] + io.ifu_axi_arid <= _T_2535 @[el2_ifu_mem_ctl.scala 566:19] node _T_2536 = cat(ifu_ic_req_addr_f, UInt<3>("h00")) @[Cat.scala 29:58] node _T_2537 = bits(ifu_bus_cmd_valid, 0, 0) @[Bitwise.scala 72:15] node _T_2538 = mux(_T_2537, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_2539 = and(_T_2536, _T_2538) @[el2_ifu_mem_ctl.scala 565:57] - io.ifu_axi_araddr <= _T_2539 @[el2_ifu_mem_ctl.scala 565:21] - io.ifu_axi_arsize <= UInt<3>("h03") @[el2_ifu_mem_ctl.scala 566:21] - io.ifu_axi_arcache <= UInt<4>("h0f") @[el2_ifu_mem_ctl.scala 567:22] - node _T_2540 = bits(ifu_ic_req_addr_f, 28, 25) @[el2_ifu_mem_ctl.scala 568:43] - io.ifu_axi_arregion <= _T_2540 @[el2_ifu_mem_ctl.scala 568:23] - io.ifu_axi_arburst <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 569:22] - io.ifu_axi_rready <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 570:21] + node _T_2539 = and(_T_2536, _T_2538) @[el2_ifu_mem_ctl.scala 567:57] + io.ifu_axi_araddr <= _T_2539 @[el2_ifu_mem_ctl.scala 567:21] + io.ifu_axi_arsize <= UInt<3>("h03") @[el2_ifu_mem_ctl.scala 568:21] + io.ifu_axi_arcache <= UInt<4>("h0f") @[el2_ifu_mem_ctl.scala 569:22] + node _T_2540 = bits(ifu_ic_req_addr_f, 28, 25) @[el2_ifu_mem_ctl.scala 570:43] + io.ifu_axi_arregion <= _T_2540 @[el2_ifu_mem_ctl.scala 570:23] + io.ifu_axi_arburst <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 571:22] + io.ifu_axi_rready <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 572:21] reg ifu_bus_arready_unq_ff : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when bus_ifu_bus_clk_en : @[Reg.scala 28:19] ifu_bus_arready_unq_ff <= io.ifu_axi_arready @[Reg.scala 28:23] @@ -3563,42 +4202,42 @@ circuit el2_ifu_mem_ctl : when bus_ifu_bus_clk_en : @[Reg.scala 28:19] _T_2541 <= io.ifu_axi_rdata @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ifu_bus_rdata_ff <= _T_2541 @[el2_ifu_mem_ctl.scala 580:20] + ifu_bus_rdata_ff <= _T_2541 @[el2_ifu_mem_ctl.scala 582:20] reg _T_2542 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when bus_ifu_bus_clk_en : @[Reg.scala 28:19] _T_2542 <= io.ifu_axi_rid @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ifu_bus_rid_ff <= _T_2542 @[el2_ifu_mem_ctl.scala 581:18] - ifu_bus_cmd_ready <= io.ifu_axi_arready @[el2_ifu_mem_ctl.scala 582:21] - ifu_bus_rsp_valid <= io.ifu_axi_rvalid @[el2_ifu_mem_ctl.scala 583:21] - ifu_bus_rsp_ready <= io.ifu_axi_rready @[el2_ifu_mem_ctl.scala 584:21] - ifu_bus_rsp_tag <= io.ifu_axi_rid @[el2_ifu_mem_ctl.scala 585:19] - ic_miss_buff_data_in <= io.ifu_axi_rdata @[el2_ifu_mem_ctl.scala 586:21] - node ifu_bus_rvalid = and(ifu_bus_rsp_valid, bus_ifu_bus_clk_en) @[el2_ifu_mem_ctl.scala 588:42] - node ifu_bus_arready = and(io.ifu_axi_arready, bus_ifu_bus_clk_en) @[el2_ifu_mem_ctl.scala 589:45] - node ifu_bus_arready_ff = and(ifu_bus_arready_unq_ff, bus_ifu_bus_clk_en_ff) @[el2_ifu_mem_ctl.scala 590:51] - node ifu_bus_rvalid_ff = and(ifu_bus_rvalid_unq_ff, bus_ifu_bus_clk_en_ff) @[el2_ifu_mem_ctl.scala 591:49] - node _T_2543 = and(io.ifu_axi_arvalid, ifu_bus_arready) @[el2_ifu_mem_ctl.scala 592:35] - node _T_2544 = and(_T_2543, miss_pending) @[el2_ifu_mem_ctl.scala 592:53] - node _T_2545 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 592:70] - node _T_2546 = and(_T_2544, _T_2545) @[el2_ifu_mem_ctl.scala 592:68] - bus_cmd_sent <= _T_2546 @[el2_ifu_mem_ctl.scala 592:16] + ifu_bus_rid_ff <= _T_2542 @[el2_ifu_mem_ctl.scala 583:18] + ifu_bus_cmd_ready <= io.ifu_axi_arready @[el2_ifu_mem_ctl.scala 584:21] + ifu_bus_rsp_valid <= io.ifu_axi_rvalid @[el2_ifu_mem_ctl.scala 585:21] + ifu_bus_rsp_ready <= io.ifu_axi_rready @[el2_ifu_mem_ctl.scala 586:21] + ifu_bus_rsp_tag <= io.ifu_axi_rid @[el2_ifu_mem_ctl.scala 587:19] + ic_miss_buff_data_in <= io.ifu_axi_rdata @[el2_ifu_mem_ctl.scala 588:21] + node ifu_bus_rvalid = and(ifu_bus_rsp_valid, bus_ifu_bus_clk_en) @[el2_ifu_mem_ctl.scala 590:42] + node ifu_bus_arready = and(io.ifu_axi_arready, bus_ifu_bus_clk_en) @[el2_ifu_mem_ctl.scala 591:45] + node ifu_bus_arready_ff = and(ifu_bus_arready_unq_ff, bus_ifu_bus_clk_en_ff) @[el2_ifu_mem_ctl.scala 592:51] + node ifu_bus_rvalid_ff = and(ifu_bus_rvalid_unq_ff, bus_ifu_bus_clk_en_ff) @[el2_ifu_mem_ctl.scala 593:49] + node _T_2543 = and(io.ifu_axi_arvalid, ifu_bus_arready) @[el2_ifu_mem_ctl.scala 594:35] + node _T_2544 = and(_T_2543, miss_pending) @[el2_ifu_mem_ctl.scala 594:53] + node _T_2545 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 594:70] + node _T_2546 = and(_T_2544, _T_2545) @[el2_ifu_mem_ctl.scala 594:68] + bus_cmd_sent <= _T_2546 @[el2_ifu_mem_ctl.scala 594:16] wire bus_last_data_beat : UInt<1> bus_last_data_beat <= UInt<1>("h00") - node _T_2547 = eq(bus_last_data_beat, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 594:50] - node _T_2548 = and(bus_ifu_wr_en_ff, _T_2547) @[el2_ifu_mem_ctl.scala 594:48] - node _T_2549 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 594:72] - node bus_inc_data_beat_cnt = and(_T_2548, _T_2549) @[el2_ifu_mem_ctl.scala 594:70] - node _T_2550 = and(bus_ifu_wr_en_ff, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 595:68] - node _T_2551 = or(ic_act_miss_f, _T_2550) @[el2_ifu_mem_ctl.scala 595:48] - node bus_reset_data_beat_cnt = or(_T_2551, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 595:91] - node _T_2552 = eq(bus_inc_data_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 596:32] - node _T_2553 = eq(bus_reset_data_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 596:57] - node bus_hold_data_beat_cnt = and(_T_2552, _T_2553) @[el2_ifu_mem_ctl.scala 596:55] + node _T_2547 = eq(bus_last_data_beat, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 596:50] + node _T_2548 = and(bus_ifu_wr_en_ff, _T_2547) @[el2_ifu_mem_ctl.scala 596:48] + node _T_2549 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 596:72] + node bus_inc_data_beat_cnt = and(_T_2548, _T_2549) @[el2_ifu_mem_ctl.scala 596:70] + node _T_2550 = and(bus_ifu_wr_en_ff, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 597:68] + node _T_2551 = or(ic_act_miss_f, _T_2550) @[el2_ifu_mem_ctl.scala 597:48] + node bus_reset_data_beat_cnt = or(_T_2551, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 597:91] + node _T_2552 = eq(bus_inc_data_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 598:32] + node _T_2553 = eq(bus_reset_data_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 598:57] + node bus_hold_data_beat_cnt = and(_T_2552, _T_2553) @[el2_ifu_mem_ctl.scala 598:55] wire bus_data_beat_count : UInt<3> bus_data_beat_count <= UInt<1>("h00") - node _T_2554 = add(bus_data_beat_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 598:115] - node _T_2555 = tail(_T_2554, 1) @[el2_ifu_mem_ctl.scala 598:115] + node _T_2554 = add(bus_data_beat_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 600:115] + node _T_2555 = tail(_T_2554, 1) @[el2_ifu_mem_ctl.scala 600:115] node _T_2556 = mux(bus_reset_data_beat_cnt, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_2557 = mux(bus_inc_data_beat_cnt, _T_2555, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2558 = mux(bus_hold_data_beat_cnt, bus_data_beat_count, UInt<1>("h00")) @[Mux.scala 27:72] @@ -3606,52 +4245,52 @@ circuit el2_ifu_mem_ctl : node _T_2560 = or(_T_2559, _T_2558) @[Mux.scala 27:72] wire _T_2561 : UInt<3> @[Mux.scala 27:72] _T_2561 <= _T_2560 @[Mux.scala 27:72] - bus_new_data_beat_count <= _T_2561 @[el2_ifu_mem_ctl.scala 598:27] - reg _T_2562 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 599:56] - _T_2562 <= bus_new_data_beat_count @[el2_ifu_mem_ctl.scala 599:56] - bus_data_beat_count <= _T_2562 @[el2_ifu_mem_ctl.scala 599:23] - node _T_2563 = and(bus_ifu_wr_en_ff, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 600:49] - node _T_2564 = eq(scnd_miss_req, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 600:73] - node _T_2565 = and(_T_2563, _T_2564) @[el2_ifu_mem_ctl.scala 600:71] - node _T_2566 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 600:116] - node _T_2567 = and(last_data_recieved_ff, _T_2566) @[el2_ifu_mem_ctl.scala 600:114] - node last_data_recieved_in = or(_T_2565, _T_2567) @[el2_ifu_mem_ctl.scala 600:89] - reg _T_2568 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 601:58] - _T_2568 <= last_data_recieved_in @[el2_ifu_mem_ctl.scala 601:58] - last_data_recieved_ff <= _T_2568 @[el2_ifu_mem_ctl.scala 601:25] - node _T_2569 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 603:35] - node _T_2570 = bits(imb_ff, 4, 2) @[el2_ifu_mem_ctl.scala 603:56] - node _T_2571 = bits(imb_scnd_ff, 4, 2) @[el2_ifu_mem_ctl.scala 604:39] - node _T_2572 = add(bus_rd_addr_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 605:45] - node _T_2573 = tail(_T_2572, 1) @[el2_ifu_mem_ctl.scala 605:45] - node _T_2574 = mux(bus_cmd_sent, _T_2573, bus_rd_addr_count) @[el2_ifu_mem_ctl.scala 605:12] - node _T_2575 = mux(scnd_miss_req_q, _T_2571, _T_2574) @[el2_ifu_mem_ctl.scala 604:10] - node bus_new_rd_addr_count = mux(_T_2569, _T_2570, _T_2575) @[el2_ifu_mem_ctl.scala 603:34] - node _T_2576 = or(bus_ifu_bus_clk_en, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 606:81] - node _T_2577 = or(_T_2576, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 606:97] + bus_new_data_beat_count <= _T_2561 @[el2_ifu_mem_ctl.scala 600:27] + reg _T_2562 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 601:56] + _T_2562 <= bus_new_data_beat_count @[el2_ifu_mem_ctl.scala 601:56] + bus_data_beat_count <= _T_2562 @[el2_ifu_mem_ctl.scala 601:23] + node _T_2563 = and(bus_ifu_wr_en_ff, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 602:49] + node _T_2564 = eq(scnd_miss_req, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 602:73] + node _T_2565 = and(_T_2563, _T_2564) @[el2_ifu_mem_ctl.scala 602:71] + node _T_2566 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 602:116] + node _T_2567 = and(last_data_recieved_ff, _T_2566) @[el2_ifu_mem_ctl.scala 602:114] + node last_data_recieved_in = or(_T_2565, _T_2567) @[el2_ifu_mem_ctl.scala 602:89] + reg _T_2568 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 603:58] + _T_2568 <= last_data_recieved_in @[el2_ifu_mem_ctl.scala 603:58] + last_data_recieved_ff <= _T_2568 @[el2_ifu_mem_ctl.scala 603:25] + node _T_2569 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 605:35] + node _T_2570 = bits(imb_ff, 4, 2) @[el2_ifu_mem_ctl.scala 605:56] + node _T_2571 = bits(imb_scnd_ff, 4, 2) @[el2_ifu_mem_ctl.scala 606:39] + node _T_2572 = add(bus_rd_addr_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 607:45] + node _T_2573 = tail(_T_2572, 1) @[el2_ifu_mem_ctl.scala 607:45] + node _T_2574 = mux(bus_cmd_sent, _T_2573, bus_rd_addr_count) @[el2_ifu_mem_ctl.scala 607:12] + node _T_2575 = mux(scnd_miss_req_q, _T_2571, _T_2574) @[el2_ifu_mem_ctl.scala 606:10] + node bus_new_rd_addr_count = mux(_T_2569, _T_2570, _T_2575) @[el2_ifu_mem_ctl.scala 605:34] + node _T_2576 = or(bus_ifu_bus_clk_en, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 608:81] + node _T_2577 = or(_T_2576, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 608:97] reg _T_2578 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_2577 : @[Reg.scala 28:19] _T_2578 <= bus_new_rd_addr_count @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bus_rd_addr_count <= _T_2578 @[el2_ifu_mem_ctl.scala 606:21] - node _T_2579 = and(ifu_bus_cmd_valid, ifu_bus_cmd_ready) @[el2_ifu_mem_ctl.scala 608:48] - node _T_2580 = and(_T_2579, miss_pending) @[el2_ifu_mem_ctl.scala 608:68] - node _T_2581 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 608:85] - node bus_inc_cmd_beat_cnt = and(_T_2580, _T_2581) @[el2_ifu_mem_ctl.scala 608:83] - node _T_2582 = eq(uncacheable_miss_in, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 609:51] - node _T_2583 = and(ic_act_miss_f, _T_2582) @[el2_ifu_mem_ctl.scala 609:49] - node bus_reset_cmd_beat_cnt_0 = or(_T_2583, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 609:73] - node bus_reset_cmd_beat_cnt_secondlast = and(ic_act_miss_f, uncacheable_miss_in) @[el2_ifu_mem_ctl.scala 610:57] - node _T_2584 = eq(bus_inc_cmd_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 611:31] - node _T_2585 = or(ic_act_miss_f, scnd_miss_req) @[el2_ifu_mem_ctl.scala 611:71] - node _T_2586 = or(_T_2585, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 611:87] - node _T_2587 = eq(_T_2586, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 611:55] - node bus_hold_cmd_beat_cnt = and(_T_2584, _T_2587) @[el2_ifu_mem_ctl.scala 611:53] - node _T_2588 = or(bus_inc_cmd_beat_cnt, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 612:46] - node bus_cmd_beat_en = or(_T_2588, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 612:62] - node _T_2589 = bits(bus_reset_cmd_beat_cnt_secondlast, 0, 0) @[el2_ifu_mem_ctl.scala 613:107] - node _T_2590 = add(bus_cmd_beat_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 614:46] - node _T_2591 = tail(_T_2590, 1) @[el2_ifu_mem_ctl.scala 614:46] + bus_rd_addr_count <= _T_2578 @[el2_ifu_mem_ctl.scala 608:21] + node _T_2579 = and(ifu_bus_cmd_valid, ifu_bus_cmd_ready) @[el2_ifu_mem_ctl.scala 610:48] + node _T_2580 = and(_T_2579, miss_pending) @[el2_ifu_mem_ctl.scala 610:68] + node _T_2581 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 610:85] + node bus_inc_cmd_beat_cnt = and(_T_2580, _T_2581) @[el2_ifu_mem_ctl.scala 610:83] + node _T_2582 = eq(uncacheable_miss_in, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 611:51] + node _T_2583 = and(ic_act_miss_f, _T_2582) @[el2_ifu_mem_ctl.scala 611:49] + node bus_reset_cmd_beat_cnt_0 = or(_T_2583, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 611:73] + node bus_reset_cmd_beat_cnt_secondlast = and(ic_act_miss_f, uncacheable_miss_in) @[el2_ifu_mem_ctl.scala 612:57] + node _T_2584 = eq(bus_inc_cmd_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 613:31] + node _T_2585 = or(ic_act_miss_f, scnd_miss_req) @[el2_ifu_mem_ctl.scala 613:71] + node _T_2586 = or(_T_2585, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 613:87] + node _T_2587 = eq(_T_2586, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 613:55] + node bus_hold_cmd_beat_cnt = and(_T_2584, _T_2587) @[el2_ifu_mem_ctl.scala 613:53] + node _T_2588 = or(bus_inc_cmd_beat_cnt, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 614:46] + node bus_cmd_beat_en = or(_T_2588, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 614:62] + node _T_2589 = bits(bus_reset_cmd_beat_cnt_secondlast, 0, 0) @[el2_ifu_mem_ctl.scala 615:107] + node _T_2590 = add(bus_cmd_beat_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 616:46] + node _T_2591 = tail(_T_2590, 1) @[el2_ifu_mem_ctl.scala 616:46] node _T_2592 = mux(bus_reset_cmd_beat_cnt_0, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_2593 = mux(_T_2589, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_2594 = mux(bus_inc_cmd_beat_cnt, _T_2591, UInt<1>("h00")) @[Mux.scala 27:72] @@ -3661,91 +4300,91 @@ circuit el2_ifu_mem_ctl : node _T_2598 = or(_T_2597, _T_2595) @[Mux.scala 27:72] wire bus_new_cmd_beat_count : UInt<3> @[Mux.scala 27:72] bus_new_cmd_beat_count <= _T_2598 @[Mux.scala 27:72] - node _T_2599 = or(bus_ifu_bus_clk_en, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 615:84] - node _T_2600 = or(_T_2599, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 615:100] - node _T_2601 = and(_T_2600, bus_cmd_beat_en) @[el2_ifu_mem_ctl.scala 615:125] + node _T_2599 = or(bus_ifu_bus_clk_en, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 617:84] + node _T_2600 = or(_T_2599, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 617:100] + node _T_2601 = and(_T_2600, bus_cmd_beat_en) @[el2_ifu_mem_ctl.scala 617:125] reg _T_2602 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_2601 : @[Reg.scala 28:19] _T_2602 <= bus_new_cmd_beat_count @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bus_cmd_beat_count <= _T_2602 @[el2_ifu_mem_ctl.scala 615:22] - node _T_2603 = eq(bus_data_beat_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 616:69] - node _T_2604 = andr(bus_data_beat_count) @[el2_ifu_mem_ctl.scala 616:101] - node _T_2605 = mux(uncacheable_miss_ff, _T_2603, _T_2604) @[el2_ifu_mem_ctl.scala 616:28] - bus_last_data_beat <= _T_2605 @[el2_ifu_mem_ctl.scala 616:22] - node _T_2606 = and(ifu_bus_rvalid, miss_pending) @[el2_ifu_mem_ctl.scala 617:35] - bus_ifu_wr_en <= _T_2606 @[el2_ifu_mem_ctl.scala 617:17] - node _T_2607 = and(ifu_bus_rvalid_ff, miss_pending) @[el2_ifu_mem_ctl.scala 618:41] - bus_ifu_wr_en_ff <= _T_2607 @[el2_ifu_mem_ctl.scala 618:20] - node _T_2608 = and(ifu_bus_rvalid_ff, miss_pending) @[el2_ifu_mem_ctl.scala 619:44] - node _T_2609 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 619:61] - node _T_2610 = and(_T_2608, _T_2609) @[el2_ifu_mem_ctl.scala 619:59] - node _T_2611 = orr(ifu_bus_rresp_ff) @[el2_ifu_mem_ctl.scala 619:103] - node _T_2612 = eq(_T_2611, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 619:84] - node _T_2613 = and(_T_2610, _T_2612) @[el2_ifu_mem_ctl.scala 619:82] - node _T_2614 = and(_T_2613, write_ic_16_bytes) @[el2_ifu_mem_ctl.scala 619:108] - bus_ifu_wr_en_ff_q <= _T_2614 @[el2_ifu_mem_ctl.scala 619:22] - node _T_2615 = and(ifu_bus_rvalid_ff, miss_pending) @[el2_ifu_mem_ctl.scala 620:51] - node _T_2616 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 620:68] - node bus_ifu_wr_en_ff_wo_err = and(_T_2615, _T_2616) @[el2_ifu_mem_ctl.scala 620:66] - reg ic_act_miss_f_delayed : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 621:61] - ic_act_miss_f_delayed <= ic_act_miss_f @[el2_ifu_mem_ctl.scala 621:61] - node _T_2617 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 622:66] - node _T_2618 = and(ic_act_miss_f_delayed, _T_2617) @[el2_ifu_mem_ctl.scala 622:53] - node _T_2619 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 622:86] - node _T_2620 = and(_T_2618, _T_2619) @[el2_ifu_mem_ctl.scala 622:84] - reset_tag_valid_for_miss <= _T_2620 @[el2_ifu_mem_ctl.scala 622:28] - node _T_2621 = orr(io.ifu_axi_rresp) @[el2_ifu_mem_ctl.scala 623:47] - node _T_2622 = and(_T_2621, ifu_bus_rvalid) @[el2_ifu_mem_ctl.scala 623:50] - node _T_2623 = and(_T_2622, miss_pending) @[el2_ifu_mem_ctl.scala 623:68] - bus_ifu_wr_data_error <= _T_2623 @[el2_ifu_mem_ctl.scala 623:25] - node _T_2624 = orr(ifu_bus_rresp_ff) @[el2_ifu_mem_ctl.scala 624:48] - node _T_2625 = and(_T_2624, ifu_bus_rvalid_ff) @[el2_ifu_mem_ctl.scala 624:52] - node _T_2626 = and(_T_2625, miss_pending) @[el2_ifu_mem_ctl.scala 624:73] - bus_ifu_wr_data_error_ff <= _T_2626 @[el2_ifu_mem_ctl.scala 624:28] + bus_cmd_beat_count <= _T_2602 @[el2_ifu_mem_ctl.scala 617:22] + node _T_2603 = eq(bus_data_beat_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 618:69] + node _T_2604 = andr(bus_data_beat_count) @[el2_ifu_mem_ctl.scala 618:101] + node _T_2605 = mux(uncacheable_miss_ff, _T_2603, _T_2604) @[el2_ifu_mem_ctl.scala 618:28] + bus_last_data_beat <= _T_2605 @[el2_ifu_mem_ctl.scala 618:22] + node _T_2606 = and(ifu_bus_rvalid, miss_pending) @[el2_ifu_mem_ctl.scala 619:35] + bus_ifu_wr_en <= _T_2606 @[el2_ifu_mem_ctl.scala 619:17] + node _T_2607 = and(ifu_bus_rvalid_ff, miss_pending) @[el2_ifu_mem_ctl.scala 620:41] + bus_ifu_wr_en_ff <= _T_2607 @[el2_ifu_mem_ctl.scala 620:20] + node _T_2608 = and(ifu_bus_rvalid_ff, miss_pending) @[el2_ifu_mem_ctl.scala 621:44] + node _T_2609 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 621:61] + node _T_2610 = and(_T_2608, _T_2609) @[el2_ifu_mem_ctl.scala 621:59] + node _T_2611 = orr(ifu_bus_rresp_ff) @[el2_ifu_mem_ctl.scala 621:103] + node _T_2612 = eq(_T_2611, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 621:84] + node _T_2613 = and(_T_2610, _T_2612) @[el2_ifu_mem_ctl.scala 621:82] + node _T_2614 = and(_T_2613, write_ic_16_bytes) @[el2_ifu_mem_ctl.scala 621:108] + bus_ifu_wr_en_ff_q <= _T_2614 @[el2_ifu_mem_ctl.scala 621:22] + node _T_2615 = and(ifu_bus_rvalid_ff, miss_pending) @[el2_ifu_mem_ctl.scala 622:51] + node _T_2616 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 622:68] + node bus_ifu_wr_en_ff_wo_err = and(_T_2615, _T_2616) @[el2_ifu_mem_ctl.scala 622:66] + reg ic_act_miss_f_delayed : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 623:61] + ic_act_miss_f_delayed <= ic_act_miss_f @[el2_ifu_mem_ctl.scala 623:61] + node _T_2617 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 624:66] + node _T_2618 = and(ic_act_miss_f_delayed, _T_2617) @[el2_ifu_mem_ctl.scala 624:53] + node _T_2619 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 624:86] + node _T_2620 = and(_T_2618, _T_2619) @[el2_ifu_mem_ctl.scala 624:84] + reset_tag_valid_for_miss <= _T_2620 @[el2_ifu_mem_ctl.scala 624:28] + node _T_2621 = orr(io.ifu_axi_rresp) @[el2_ifu_mem_ctl.scala 625:47] + node _T_2622 = and(_T_2621, ifu_bus_rvalid) @[el2_ifu_mem_ctl.scala 625:50] + node _T_2623 = and(_T_2622, miss_pending) @[el2_ifu_mem_ctl.scala 625:68] + bus_ifu_wr_data_error <= _T_2623 @[el2_ifu_mem_ctl.scala 625:25] + node _T_2624 = orr(ifu_bus_rresp_ff) @[el2_ifu_mem_ctl.scala 626:48] + node _T_2625 = and(_T_2624, ifu_bus_rvalid_ff) @[el2_ifu_mem_ctl.scala 626:52] + node _T_2626 = and(_T_2625, miss_pending) @[el2_ifu_mem_ctl.scala 626:73] + bus_ifu_wr_data_error_ff <= _T_2626 @[el2_ifu_mem_ctl.scala 626:28] wire ifc_dma_access_ok_d : UInt<1> ifc_dma_access_ok_d <= UInt<1>("h00") - reg ifc_dma_access_ok_prev : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 626:62] - ifc_dma_access_ok_prev <= ifc_dma_access_ok_d @[el2_ifu_mem_ctl.scala 626:62] - node _T_2627 = or(ic_crit_wd_rdy_new_in, ic_crit_wd_rdy_new_ff) @[el2_ifu_mem_ctl.scala 627:43] - ic_crit_wd_rdy <= _T_2627 @[el2_ifu_mem_ctl.scala 627:18] - node _T_2628 = and(bus_last_data_beat, bus_ifu_wr_en_ff) @[el2_ifu_mem_ctl.scala 628:35] - last_beat <= _T_2628 @[el2_ifu_mem_ctl.scala 628:13] - reset_beat_cnt <= bus_reset_data_beat_cnt @[el2_ifu_mem_ctl.scala 629:18] - node _T_2629 = eq(iccm_correct_ecc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 631:50] - node _T_2630 = and(io.ifc_dma_access_ok, _T_2629) @[el2_ifu_mem_ctl.scala 631:47] - node _T_2631 = eq(io.iccm_dma_sb_error, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 631:70] - node _T_2632 = and(_T_2630, _T_2631) @[el2_ifu_mem_ctl.scala 631:68] - ifc_dma_access_ok_d <= _T_2632 @[el2_ifu_mem_ctl.scala 631:23] - node _T_2633 = eq(iccm_correct_ecc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 632:54] - node _T_2634 = and(io.ifc_dma_access_ok, _T_2633) @[el2_ifu_mem_ctl.scala 632:51] - node _T_2635 = and(_T_2634, ifc_dma_access_ok_prev) @[el2_ifu_mem_ctl.scala 632:72] - node _T_2636 = eq(perr_state, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 632:111] - node _T_2637 = and(_T_2635, _T_2636) @[el2_ifu_mem_ctl.scala 632:97] - node _T_2638 = eq(io.iccm_dma_sb_error, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 632:129] - node ifc_dma_access_q_ok = and(_T_2637, _T_2638) @[el2_ifu_mem_ctl.scala 632:127] - io.iccm_ready <= ifc_dma_access_q_ok @[el2_ifu_mem_ctl.scala 633:17] - reg _T_2639 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 634:51] - _T_2639 <= io.dma_iccm_req @[el2_ifu_mem_ctl.scala 634:51] - dma_iccm_req_f <= _T_2639 @[el2_ifu_mem_ctl.scala 634:18] - node _T_2640 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 635:40] - node _T_2641 = and(_T_2640, io.dma_mem_write) @[el2_ifu_mem_ctl.scala 635:58] - node _T_2642 = or(_T_2641, iccm_correct_ecc) @[el2_ifu_mem_ctl.scala 635:79] - io.iccm_wren <= _T_2642 @[el2_ifu_mem_ctl.scala 635:16] - node _T_2643 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 636:40] - node _T_2644 = eq(io.dma_mem_write, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 636:60] - node _T_2645 = and(_T_2643, _T_2644) @[el2_ifu_mem_ctl.scala 636:58] - node _T_2646 = and(io.ifc_iccm_access_bf, io.ifc_fetch_req_bf) @[el2_ifu_mem_ctl.scala 636:104] - node _T_2647 = or(_T_2645, _T_2646) @[el2_ifu_mem_ctl.scala 636:79] - io.iccm_rden <= _T_2647 @[el2_ifu_mem_ctl.scala 636:16] - node _T_2648 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 637:43] - node _T_2649 = eq(io.dma_mem_write, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 637:63] - node iccm_dma_rden = and(_T_2648, _T_2649) @[el2_ifu_mem_ctl.scala 637:61] + reg ifc_dma_access_ok_prev : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 628:62] + ifc_dma_access_ok_prev <= ifc_dma_access_ok_d @[el2_ifu_mem_ctl.scala 628:62] + node _T_2627 = or(ic_crit_wd_rdy_new_in, ic_crit_wd_rdy_new_ff) @[el2_ifu_mem_ctl.scala 629:43] + ic_crit_wd_rdy <= _T_2627 @[el2_ifu_mem_ctl.scala 629:18] + node _T_2628 = and(bus_last_data_beat, bus_ifu_wr_en_ff) @[el2_ifu_mem_ctl.scala 630:35] + last_beat <= _T_2628 @[el2_ifu_mem_ctl.scala 630:13] + reset_beat_cnt <= bus_reset_data_beat_cnt @[el2_ifu_mem_ctl.scala 631:18] + node _T_2629 = eq(iccm_correct_ecc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 633:50] + node _T_2630 = and(io.ifc_dma_access_ok, _T_2629) @[el2_ifu_mem_ctl.scala 633:47] + node _T_2631 = eq(io.iccm_dma_sb_error, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 633:70] + node _T_2632 = and(_T_2630, _T_2631) @[el2_ifu_mem_ctl.scala 633:68] + ifc_dma_access_ok_d <= _T_2632 @[el2_ifu_mem_ctl.scala 633:23] + node _T_2633 = eq(iccm_correct_ecc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 634:54] + node _T_2634 = and(io.ifc_dma_access_ok, _T_2633) @[el2_ifu_mem_ctl.scala 634:51] + node _T_2635 = and(_T_2634, ifc_dma_access_ok_prev) @[el2_ifu_mem_ctl.scala 634:72] + node _T_2636 = eq(perr_state, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 634:111] + node _T_2637 = and(_T_2635, _T_2636) @[el2_ifu_mem_ctl.scala 634:97] + node _T_2638 = eq(io.iccm_dma_sb_error, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 634:129] + node ifc_dma_access_q_ok = and(_T_2637, _T_2638) @[el2_ifu_mem_ctl.scala 634:127] + io.iccm_ready <= ifc_dma_access_q_ok @[el2_ifu_mem_ctl.scala 635:17] + reg _T_2639 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 636:51] + _T_2639 <= io.dma_iccm_req @[el2_ifu_mem_ctl.scala 636:51] + dma_iccm_req_f <= _T_2639 @[el2_ifu_mem_ctl.scala 636:18] + node _T_2640 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 637:40] + node _T_2641 = and(_T_2640, io.dma_mem_write) @[el2_ifu_mem_ctl.scala 637:58] + node _T_2642 = or(_T_2641, iccm_correct_ecc) @[el2_ifu_mem_ctl.scala 637:79] + io.iccm_wren <= _T_2642 @[el2_ifu_mem_ctl.scala 637:16] + node _T_2643 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 638:40] + node _T_2644 = eq(io.dma_mem_write, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 638:60] + node _T_2645 = and(_T_2643, _T_2644) @[el2_ifu_mem_ctl.scala 638:58] + node _T_2646 = and(io.ifc_iccm_access_bf, io.ifc_fetch_req_bf) @[el2_ifu_mem_ctl.scala 638:104] + node _T_2647 = or(_T_2645, _T_2646) @[el2_ifu_mem_ctl.scala 638:79] + io.iccm_rden <= _T_2647 @[el2_ifu_mem_ctl.scala 638:16] + node _T_2648 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 639:43] + node _T_2649 = eq(io.dma_mem_write, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 639:63] + node iccm_dma_rden = and(_T_2648, _T_2649) @[el2_ifu_mem_ctl.scala 639:61] node _T_2650 = bits(io.dma_iccm_req, 0, 0) @[Bitwise.scala 72:15] node _T_2651 = mux(_T_2650, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_2652 = and(_T_2651, io.dma_mem_sz) @[el2_ifu_mem_ctl.scala 638:47] - io.iccm_wr_size <= _T_2652 @[el2_ifu_mem_ctl.scala 638:19] - node _T_2653 = bits(io.dma_mem_wdata, 63, 32) @[el2_ifu_mem_ctl.scala 639:54] + node _T_2652 = and(_T_2651, io.dma_mem_sz) @[el2_ifu_mem_ctl.scala 640:47] + io.iccm_wr_size <= _T_2652 @[el2_ifu_mem_ctl.scala 640:19] + node _T_2653 = bits(io.dma_mem_wdata, 63, 32) @[el2_ifu_mem_ctl.scala 641:54] wire _T_2654 : UInt<1>[18] @[el2_lib.scala 250:18] wire _T_2655 : UInt<1>[18] @[el2_lib.scala 251:18] wire _T_2656 : UInt<1>[18] @[el2_lib.scala 252:18] @@ -4031,7 +4670,7 @@ circuit el2_ifu_mem_ctl : node _T_2846 = xorr(_T_2844) @[el2_lib.scala 269:23] node _T_2847 = xor(_T_2845, _T_2846) @[el2_lib.scala 269:18] node _T_2848 = cat(_T_2847, _T_2844) @[Cat.scala 29:58] - node _T_2849 = bits(io.dma_mem_wdata, 31, 0) @[el2_ifu_mem_ctl.scala 639:93] + node _T_2849 = bits(io.dma_mem_wdata, 31, 0) @[el2_ifu_mem_ctl.scala 641:93] wire _T_2850 : UInt<1>[18] @[el2_lib.scala 250:18] wire _T_2851 : UInt<1>[18] @[el2_lib.scala 251:18] wire _T_2852 : UInt<1>[18] @[el2_lib.scala 252:18] @@ -4320,87 +4959,87 @@ circuit el2_ifu_mem_ctl : node dma_mem_ecc = cat(_T_2848, _T_3044) @[Cat.scala 29:58] wire iccm_ecc_corr_data_ff : UInt<39> iccm_ecc_corr_data_ff <= UInt<1>("h00") - node _T_3045 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 641:67] - node _T_3046 = eq(_T_3045, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 641:45] - node _T_3047 = and(iccm_correct_ecc, _T_3046) @[el2_ifu_mem_ctl.scala 641:43] + node _T_3045 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 643:67] + node _T_3046 = eq(_T_3045, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 643:45] + node _T_3047 = and(iccm_correct_ecc, _T_3046) @[el2_ifu_mem_ctl.scala 643:43] node _T_3048 = cat(iccm_ecc_corr_data_ff, iccm_ecc_corr_data_ff) @[Cat.scala 29:58] - node _T_3049 = bits(dma_mem_ecc, 13, 7) @[el2_ifu_mem_ctl.scala 642:20] - node _T_3050 = bits(io.dma_mem_wdata, 63, 32) @[el2_ifu_mem_ctl.scala 642:43] - node _T_3051 = bits(dma_mem_ecc, 6, 0) @[el2_ifu_mem_ctl.scala 642:63] - node _T_3052 = bits(io.dma_mem_wdata, 31, 0) @[el2_ifu_mem_ctl.scala 642:86] + node _T_3049 = bits(dma_mem_ecc, 13, 7) @[el2_ifu_mem_ctl.scala 644:20] + node _T_3050 = bits(io.dma_mem_wdata, 63, 32) @[el2_ifu_mem_ctl.scala 644:43] + node _T_3051 = bits(dma_mem_ecc, 6, 0) @[el2_ifu_mem_ctl.scala 644:63] + node _T_3052 = bits(io.dma_mem_wdata, 31, 0) @[el2_ifu_mem_ctl.scala 644:86] node _T_3053 = cat(_T_3051, _T_3052) @[Cat.scala 29:58] node _T_3054 = cat(_T_3049, _T_3050) @[Cat.scala 29:58] node _T_3055 = cat(_T_3054, _T_3053) @[Cat.scala 29:58] - node _T_3056 = mux(_T_3047, _T_3048, _T_3055) @[el2_ifu_mem_ctl.scala 641:25] - io.iccm_wr_data <= _T_3056 @[el2_ifu_mem_ctl.scala 641:19] - wire iccm_corrected_data : UInt<32>[2] @[el2_ifu_mem_ctl.scala 643:33] - iccm_corrected_data[0] <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 644:26] - iccm_corrected_data[1] <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 645:26] + node _T_3056 = mux(_T_3047, _T_3048, _T_3055) @[el2_ifu_mem_ctl.scala 643:25] + io.iccm_wr_data <= _T_3056 @[el2_ifu_mem_ctl.scala 643:19] + wire iccm_corrected_data : UInt<32>[2] @[el2_ifu_mem_ctl.scala 645:33] + iccm_corrected_data[0] <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 646:26] + iccm_corrected_data[1] <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 647:26] wire dma_mem_addr_ff : UInt<2> dma_mem_addr_ff <= UInt<1>("h00") - node _T_3057 = bits(dma_mem_addr_ff, 0, 0) @[el2_ifu_mem_ctl.scala 647:51] - node _T_3058 = bits(_T_3057, 0, 0) @[el2_ifu_mem_ctl.scala 647:55] - node iccm_dma_rdata_1_muxed = mux(_T_3058, iccm_corrected_data[0], iccm_corrected_data[1]) @[el2_ifu_mem_ctl.scala 647:35] + node _T_3057 = bits(dma_mem_addr_ff, 0, 0) @[el2_ifu_mem_ctl.scala 649:51] + node _T_3058 = bits(_T_3057, 0, 0) @[el2_ifu_mem_ctl.scala 649:55] + node iccm_dma_rdata_1_muxed = mux(_T_3058, iccm_corrected_data[0], iccm_corrected_data[1]) @[el2_ifu_mem_ctl.scala 649:35] wire iccm_double_ecc_error : UInt<2> iccm_double_ecc_error <= UInt<1>("h00") - node iccm_dma_ecc_error_in = orr(iccm_double_ecc_error) @[el2_ifu_mem_ctl.scala 649:53] + node iccm_dma_ecc_error_in = orr(iccm_double_ecc_error) @[el2_ifu_mem_ctl.scala 651:53] node _T_3059 = cat(io.dma_mem_addr, io.dma_mem_addr) @[Cat.scala 29:58] node _T_3060 = cat(iccm_dma_rdata_1_muxed, iccm_corrected_data[0]) @[Cat.scala 29:58] - node iccm_dma_rdata_in = mux(iccm_dma_ecc_error_in, _T_3059, _T_3060) @[el2_ifu_mem_ctl.scala 650:30] - reg dma_mem_tag_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 651:54] - dma_mem_tag_ff <= io.dma_mem_tag @[el2_ifu_mem_ctl.scala 651:54] - reg iccm_dma_rtag : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 652:69] - iccm_dma_rtag <= dma_mem_tag_ff @[el2_ifu_mem_ctl.scala 652:69] - io.iccm_dma_rtag <= iccm_dma_rtag @[el2_ifu_mem_ctl.scala 653:20] - node _T_3061 = bits(io.dma_mem_addr, 3, 2) @[el2_ifu_mem_ctl.scala 655:69] - reg _T_3062 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 655:53] - _T_3062 <= _T_3061 @[el2_ifu_mem_ctl.scala 655:53] - dma_mem_addr_ff <= _T_3062 @[el2_ifu_mem_ctl.scala 655:19] - reg iccm_dma_rvalid_in : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 656:59] - iccm_dma_rvalid_in <= iccm_dma_rden @[el2_ifu_mem_ctl.scala 656:59] - reg iccm_dma_rvalid : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 657:71] - iccm_dma_rvalid <= iccm_dma_rvalid_in @[el2_ifu_mem_ctl.scala 657:71] - io.iccm_dma_rvalid <= iccm_dma_rvalid @[el2_ifu_mem_ctl.scala 658:22] - reg iccm_dma_ecc_error : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 659:74] - iccm_dma_ecc_error <= iccm_dma_ecc_error_in @[el2_ifu_mem_ctl.scala 659:74] - io.iccm_dma_ecc_error <= iccm_dma_ecc_error_in @[el2_ifu_mem_ctl.scala 660:25] - reg iccm_dma_rdata : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 661:70] - iccm_dma_rdata <= iccm_dma_rdata_in @[el2_ifu_mem_ctl.scala 661:70] - io.iccm_dma_rdata <= iccm_dma_rdata @[el2_ifu_mem_ctl.scala 662:21] + node iccm_dma_rdata_in = mux(iccm_dma_ecc_error_in, _T_3059, _T_3060) @[el2_ifu_mem_ctl.scala 652:30] + reg dma_mem_tag_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 653:54] + dma_mem_tag_ff <= io.dma_mem_tag @[el2_ifu_mem_ctl.scala 653:54] + reg iccm_dma_rtag : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 654:69] + iccm_dma_rtag <= dma_mem_tag_ff @[el2_ifu_mem_ctl.scala 654:69] + io.iccm_dma_rtag <= iccm_dma_rtag @[el2_ifu_mem_ctl.scala 655:20] + node _T_3061 = bits(io.dma_mem_addr, 3, 2) @[el2_ifu_mem_ctl.scala 657:69] + reg _T_3062 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 657:53] + _T_3062 <= _T_3061 @[el2_ifu_mem_ctl.scala 657:53] + dma_mem_addr_ff <= _T_3062 @[el2_ifu_mem_ctl.scala 657:19] + reg iccm_dma_rvalid_in : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 658:59] + iccm_dma_rvalid_in <= iccm_dma_rden @[el2_ifu_mem_ctl.scala 658:59] + reg iccm_dma_rvalid : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 659:71] + iccm_dma_rvalid <= iccm_dma_rvalid_in @[el2_ifu_mem_ctl.scala 659:71] + io.iccm_dma_rvalid <= iccm_dma_rvalid @[el2_ifu_mem_ctl.scala 660:22] + reg iccm_dma_ecc_error : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 661:74] + iccm_dma_ecc_error <= iccm_dma_ecc_error_in @[el2_ifu_mem_ctl.scala 661:74] + io.iccm_dma_ecc_error <= iccm_dma_ecc_error_in @[el2_ifu_mem_ctl.scala 662:25] + reg iccm_dma_rdata : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 663:70] + iccm_dma_rdata <= iccm_dma_rdata_in @[el2_ifu_mem_ctl.scala 663:70] + io.iccm_dma_rdata <= iccm_dma_rdata @[el2_ifu_mem_ctl.scala 664:21] wire iccm_ecc_corr_index_ff : UInt<14> iccm_ecc_corr_index_ff <= UInt<1>("h00") - node _T_3063 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 664:46] - node _T_3064 = eq(iccm_correct_ecc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 664:67] - node _T_3065 = and(_T_3063, _T_3064) @[el2_ifu_mem_ctl.scala 664:65] - node _T_3066 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 665:31] - node _T_3067 = eq(_T_3066, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 665:9] - node _T_3068 = and(_T_3067, iccm_correct_ecc) @[el2_ifu_mem_ctl.scala 665:50] + node _T_3063 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 666:46] + node _T_3064 = eq(iccm_correct_ecc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 666:67] + node _T_3065 = and(_T_3063, _T_3064) @[el2_ifu_mem_ctl.scala 666:65] + node _T_3066 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 667:31] + node _T_3067 = eq(_T_3066, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 667:9] + node _T_3068 = and(_T_3067, iccm_correct_ecc) @[el2_ifu_mem_ctl.scala 667:50] node _T_3069 = cat(iccm_ecc_corr_index_ff, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_3070 = bits(io.ifc_fetch_addr_bf, 15, 0) @[el2_ifu_mem_ctl.scala 665:124] - node _T_3071 = mux(_T_3068, _T_3069, _T_3070) @[el2_ifu_mem_ctl.scala 665:8] - node _T_3072 = mux(_T_3065, io.dma_mem_addr, _T_3071) @[el2_ifu_mem_ctl.scala 664:25] - io.iccm_rw_addr <= _T_3072 @[el2_ifu_mem_ctl.scala 664:19] + node _T_3070 = bits(io.ifc_fetch_addr_bf, 15, 0) @[el2_ifu_mem_ctl.scala 667:124] + node _T_3071 = mux(_T_3068, _T_3069, _T_3070) @[el2_ifu_mem_ctl.scala 667:8] + node _T_3072 = mux(_T_3065, io.dma_mem_addr, _T_3071) @[el2_ifu_mem_ctl.scala 666:25] + io.iccm_rw_addr <= _T_3072 @[el2_ifu_mem_ctl.scala 666:19] node ic_fetch_val_int_f = cat(UInt<2>("h00"), io.ic_fetch_val_f) @[Cat.scala 29:58] - node _T_3073 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 667:76] - node ic_fetch_val_shift_right = dshl(ic_fetch_val_int_f, _T_3073) @[el2_ifu_mem_ctl.scala 667:53] - node _T_3074 = bits(ic_fetch_val_shift_right, 1, 0) @[el2_ifu_mem_ctl.scala 670:75] - node _T_3075 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 670:93] - node _T_3076 = and(_T_3074, _T_3075) @[el2_ifu_mem_ctl.scala 670:91] - node _T_3077 = and(_T_3076, fetch_req_iccm_f) @[el2_ifu_mem_ctl.scala 670:113] - node _T_3078 = or(_T_3077, iccm_dma_rvalid_in) @[el2_ifu_mem_ctl.scala 670:130] - node _T_3079 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 670:154] - node _T_3080 = and(_T_3078, _T_3079) @[el2_ifu_mem_ctl.scala 670:152] - node _T_3081 = bits(ic_fetch_val_shift_right, 3, 2) @[el2_ifu_mem_ctl.scala 670:75] - node _T_3082 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 670:93] - node _T_3083 = and(_T_3081, _T_3082) @[el2_ifu_mem_ctl.scala 670:91] - node _T_3084 = and(_T_3083, fetch_req_iccm_f) @[el2_ifu_mem_ctl.scala 670:113] - node _T_3085 = or(_T_3084, iccm_dma_rvalid_in) @[el2_ifu_mem_ctl.scala 670:130] - node _T_3086 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 670:154] - node _T_3087 = and(_T_3085, _T_3086) @[el2_ifu_mem_ctl.scala 670:152] + node _T_3073 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 669:76] + node ic_fetch_val_shift_right = dshl(ic_fetch_val_int_f, _T_3073) @[el2_ifu_mem_ctl.scala 669:53] + node _T_3074 = bits(ic_fetch_val_shift_right, 1, 0) @[el2_ifu_mem_ctl.scala 672:75] + node _T_3075 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 672:93] + node _T_3076 = and(_T_3074, _T_3075) @[el2_ifu_mem_ctl.scala 672:91] + node _T_3077 = and(_T_3076, fetch_req_iccm_f) @[el2_ifu_mem_ctl.scala 672:113] + node _T_3078 = or(_T_3077, iccm_dma_rvalid_in) @[el2_ifu_mem_ctl.scala 672:130] + node _T_3079 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 672:154] + node _T_3080 = and(_T_3078, _T_3079) @[el2_ifu_mem_ctl.scala 672:152] + node _T_3081 = bits(ic_fetch_val_shift_right, 3, 2) @[el2_ifu_mem_ctl.scala 672:75] + node _T_3082 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 672:93] + node _T_3083 = and(_T_3081, _T_3082) @[el2_ifu_mem_ctl.scala 672:91] + node _T_3084 = and(_T_3083, fetch_req_iccm_f) @[el2_ifu_mem_ctl.scala 672:113] + node _T_3085 = or(_T_3084, iccm_dma_rvalid_in) @[el2_ifu_mem_ctl.scala 672:130] + node _T_3086 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 672:154] + node _T_3087 = and(_T_3085, _T_3086) @[el2_ifu_mem_ctl.scala 672:152] node iccm_ecc_word_enable = cat(_T_3087, _T_3080) @[Cat.scala 29:58] - node _T_3088 = bits(iccm_ecc_word_enable, 0, 0) @[el2_ifu_mem_ctl.scala 671:73] - node _T_3089 = bits(io.iccm_rd_data_ecc, 31, 0) @[el2_ifu_mem_ctl.scala 671:93] - node _T_3090 = bits(io.iccm_rd_data_ecc, 38, 32) @[el2_ifu_mem_ctl.scala 671:128] + node _T_3088 = bits(iccm_ecc_word_enable, 0, 0) @[el2_ifu_mem_ctl.scala 673:73] + node _T_3089 = bits(io.iccm_rd_data_ecc, 31, 0) @[el2_ifu_mem_ctl.scala 673:93] + node _T_3090 = bits(io.iccm_rd_data_ecc, 38, 32) @[el2_ifu_mem_ctl.scala 673:128] wire _T_3091 : UInt<1>[18] @[el2_lib.scala 281:18] wire _T_3092 : UInt<1>[18] @[el2_lib.scala 282:18] wire _T_3093 : UInt<1>[18] @[el2_lib.scala 283:18] @@ -4912,9 +5551,9 @@ circuit el2_ifu_mem_ctl : node _T_3470 = cat(_T_3462, _T_3463) @[Cat.scala 29:58] node _T_3471 = cat(_T_3470, _T_3464) @[Cat.scala 29:58] node _T_3472 = cat(_T_3471, _T_3469) @[Cat.scala 29:58] - node _T_3473 = bits(iccm_ecc_word_enable, 1, 1) @[el2_ifu_mem_ctl.scala 671:73] - node _T_3474 = bits(io.iccm_rd_data_ecc, 70, 39) @[el2_ifu_mem_ctl.scala 671:93] - node _T_3475 = bits(io.iccm_rd_data_ecc, 77, 71) @[el2_ifu_mem_ctl.scala 671:128] + node _T_3473 = bits(iccm_ecc_word_enable, 1, 1) @[el2_ifu_mem_ctl.scala 673:73] + node _T_3474 = bits(io.iccm_rd_data_ecc, 70, 39) @[el2_ifu_mem_ctl.scala 673:93] + node _T_3475 = bits(io.iccm_rd_data_ecc, 77, 71) @[el2_ifu_mem_ctl.scala 673:128] wire _T_3476 : UInt<1>[18] @[el2_lib.scala 281:18] wire _T_3477 : UInt<1>[18] @[el2_lib.scala 282:18] wire _T_3478 : UInt<1>[18] @[el2_lib.scala 283:18] @@ -5426,1730 +6065,1730 @@ circuit el2_ifu_mem_ctl : node _T_3855 = cat(_T_3847, _T_3848) @[Cat.scala 29:58] node _T_3856 = cat(_T_3855, _T_3849) @[Cat.scala 29:58] node _T_3857 = cat(_T_3856, _T_3854) @[Cat.scala 29:58] - wire iccm_corrected_ecc : UInt<7>[2] @[el2_ifu_mem_ctl.scala 672:32] - wire _T_3858 : UInt<7>[2] @[el2_ifu_mem_ctl.scala 673:32] - _T_3858[0] <= _T_3472 @[el2_ifu_mem_ctl.scala 673:32] - _T_3858[1] <= _T_3857 @[el2_ifu_mem_ctl.scala 673:32] - iccm_corrected_ecc[0] <= _T_3858[0] @[el2_ifu_mem_ctl.scala 673:22] - iccm_corrected_ecc[1] <= _T_3858[1] @[el2_ifu_mem_ctl.scala 673:22] - wire _T_3859 : UInt<32>[2] @[el2_ifu_mem_ctl.scala 674:33] - _T_3859[0] <= _T_3458 @[el2_ifu_mem_ctl.scala 674:33] - _T_3859[1] <= _T_3843 @[el2_ifu_mem_ctl.scala 674:33] - iccm_corrected_data[0] <= _T_3859[0] @[el2_ifu_mem_ctl.scala 674:23] - iccm_corrected_data[1] <= _T_3859[1] @[el2_ifu_mem_ctl.scala 674:23] + wire iccm_corrected_ecc : UInt<7>[2] @[el2_ifu_mem_ctl.scala 674:32] + wire _T_3858 : UInt<7>[2] @[el2_ifu_mem_ctl.scala 675:32] + _T_3858[0] <= _T_3472 @[el2_ifu_mem_ctl.scala 675:32] + _T_3858[1] <= _T_3857 @[el2_ifu_mem_ctl.scala 675:32] + iccm_corrected_ecc[0] <= _T_3858[0] @[el2_ifu_mem_ctl.scala 675:22] + iccm_corrected_ecc[1] <= _T_3858[1] @[el2_ifu_mem_ctl.scala 675:22] + wire _T_3859 : UInt<32>[2] @[el2_ifu_mem_ctl.scala 676:33] + _T_3859[0] <= _T_3458 @[el2_ifu_mem_ctl.scala 676:33] + _T_3859[1] <= _T_3843 @[el2_ifu_mem_ctl.scala 676:33] + iccm_corrected_data[0] <= _T_3859[0] @[el2_ifu_mem_ctl.scala 676:23] + iccm_corrected_data[1] <= _T_3859[1] @[el2_ifu_mem_ctl.scala 676:23] node _T_3860 = cat(_T_3303, _T_3688) @[Cat.scala 29:58] - iccm_single_ecc_error <= _T_3860 @[el2_ifu_mem_ctl.scala 675:25] + iccm_single_ecc_error <= _T_3860 @[el2_ifu_mem_ctl.scala 677:25] node _T_3861 = cat(_T_3308, _T_3693) @[Cat.scala 29:58] - iccm_double_ecc_error <= _T_3861 @[el2_ifu_mem_ctl.scala 676:25] - node _T_3862 = orr(iccm_single_ecc_error) @[el2_ifu_mem_ctl.scala 677:54] - node _T_3863 = and(_T_3862, ifc_iccm_access_f) @[el2_ifu_mem_ctl.scala 677:58] - node _T_3864 = and(_T_3863, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 677:78] - io.iccm_rd_ecc_single_err <= _T_3864 @[el2_ifu_mem_ctl.scala 677:29] - node _T_3865 = orr(iccm_double_ecc_error) @[el2_ifu_mem_ctl.scala 678:54] - node _T_3866 = and(_T_3865, ifc_iccm_access_f) @[el2_ifu_mem_ctl.scala 678:58] - io.iccm_rd_ecc_double_err <= _T_3866 @[el2_ifu_mem_ctl.scala 678:29] - node _T_3867 = bits(iccm_single_ecc_error, 0, 0) @[el2_ifu_mem_ctl.scala 679:60] - node _T_3868 = bits(_T_3867, 0, 0) @[el2_ifu_mem_ctl.scala 679:64] - node iccm_corrected_data_f_mux = mux(_T_3868, iccm_corrected_data[0], iccm_corrected_data[1]) @[el2_ifu_mem_ctl.scala 679:38] - node _T_3869 = bits(iccm_single_ecc_error, 0, 0) @[el2_ifu_mem_ctl.scala 680:59] - node _T_3870 = bits(_T_3869, 0, 0) @[el2_ifu_mem_ctl.scala 680:63] - node iccm_corrected_ecc_f_mux = mux(_T_3870, iccm_corrected_ecc[0], iccm_corrected_ecc[1]) @[el2_ifu_mem_ctl.scala 680:37] + iccm_double_ecc_error <= _T_3861 @[el2_ifu_mem_ctl.scala 678:25] + node _T_3862 = orr(iccm_single_ecc_error) @[el2_ifu_mem_ctl.scala 679:54] + node _T_3863 = and(_T_3862, ifc_iccm_access_f) @[el2_ifu_mem_ctl.scala 679:58] + node _T_3864 = and(_T_3863, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 679:78] + io.iccm_rd_ecc_single_err <= _T_3864 @[el2_ifu_mem_ctl.scala 679:29] + node _T_3865 = orr(iccm_double_ecc_error) @[el2_ifu_mem_ctl.scala 680:54] + node _T_3866 = and(_T_3865, ifc_iccm_access_f) @[el2_ifu_mem_ctl.scala 680:58] + io.iccm_rd_ecc_double_err <= _T_3866 @[el2_ifu_mem_ctl.scala 680:29] + node _T_3867 = bits(iccm_single_ecc_error, 0, 0) @[el2_ifu_mem_ctl.scala 681:60] + node _T_3868 = bits(_T_3867, 0, 0) @[el2_ifu_mem_ctl.scala 681:64] + node iccm_corrected_data_f_mux = mux(_T_3868, iccm_corrected_data[0], iccm_corrected_data[1]) @[el2_ifu_mem_ctl.scala 681:38] + node _T_3869 = bits(iccm_single_ecc_error, 0, 0) @[el2_ifu_mem_ctl.scala 682:59] + node _T_3870 = bits(_T_3869, 0, 0) @[el2_ifu_mem_ctl.scala 682:63] + node iccm_corrected_ecc_f_mux = mux(_T_3870, iccm_corrected_ecc[0], iccm_corrected_ecc[1]) @[el2_ifu_mem_ctl.scala 682:37] wire iccm_rd_ecc_single_err_ff : UInt<1> iccm_rd_ecc_single_err_ff <= UInt<1>("h00") - node _T_3871 = eq(iccm_rd_ecc_single_err_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 682:76] - node _T_3872 = and(io.iccm_rd_ecc_single_err, _T_3871) @[el2_ifu_mem_ctl.scala 682:74] - node _T_3873 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 682:106] - node _T_3874 = and(_T_3872, _T_3873) @[el2_ifu_mem_ctl.scala 682:104] - node iccm_ecc_write_status = or(_T_3874, io.iccm_dma_sb_error) @[el2_ifu_mem_ctl.scala 682:127] - node _T_3875 = or(io.iccm_rd_ecc_single_err, iccm_rd_ecc_single_err_ff) @[el2_ifu_mem_ctl.scala 683:67] - node _T_3876 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 683:98] - node iccm_rd_ecc_single_err_hold_in = and(_T_3875, _T_3876) @[el2_ifu_mem_ctl.scala 683:96] - iccm_error_start <= io.iccm_rd_ecc_single_err @[el2_ifu_mem_ctl.scala 684:20] + node _T_3871 = eq(iccm_rd_ecc_single_err_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 684:76] + node _T_3872 = and(io.iccm_rd_ecc_single_err, _T_3871) @[el2_ifu_mem_ctl.scala 684:74] + node _T_3873 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 684:106] + node _T_3874 = and(_T_3872, _T_3873) @[el2_ifu_mem_ctl.scala 684:104] + node iccm_ecc_write_status = or(_T_3874, io.iccm_dma_sb_error) @[el2_ifu_mem_ctl.scala 684:127] + node _T_3875 = or(io.iccm_rd_ecc_single_err, iccm_rd_ecc_single_err_ff) @[el2_ifu_mem_ctl.scala 685:67] + node _T_3876 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 685:98] + node iccm_rd_ecc_single_err_hold_in = and(_T_3875, _T_3876) @[el2_ifu_mem_ctl.scala 685:96] + iccm_error_start <= io.iccm_rd_ecc_single_err @[el2_ifu_mem_ctl.scala 686:20] wire iccm_rw_addr_f : UInt<14> iccm_rw_addr_f <= UInt<1>("h00") - node _T_3877 = bits(iccm_single_ecc_error, 0, 0) @[el2_ifu_mem_ctl.scala 686:57] - node _T_3878 = bits(_T_3877, 0, 0) @[el2_ifu_mem_ctl.scala 686:67] - node _T_3879 = add(iccm_rw_addr_f, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 686:102] - node _T_3880 = tail(_T_3879, 1) @[el2_ifu_mem_ctl.scala 686:102] - node iccm_ecc_corr_index_in = mux(_T_3878, iccm_rw_addr_f, _T_3880) @[el2_ifu_mem_ctl.scala 686:35] - node _T_3881 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_mem_ctl.scala 687:67] - reg _T_3882 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 687:51] - _T_3882 <= _T_3881 @[el2_ifu_mem_ctl.scala 687:51] - iccm_rw_addr_f <= _T_3882 @[el2_ifu_mem_ctl.scala 687:18] - reg _T_3883 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 688:62] - _T_3883 <= iccm_rd_ecc_single_err_hold_in @[el2_ifu_mem_ctl.scala 688:62] - iccm_rd_ecc_single_err_ff <= _T_3883 @[el2_ifu_mem_ctl.scala 688:29] + node _T_3877 = bits(iccm_single_ecc_error, 0, 0) @[el2_ifu_mem_ctl.scala 688:57] + node _T_3878 = bits(_T_3877, 0, 0) @[el2_ifu_mem_ctl.scala 688:67] + node _T_3879 = add(iccm_rw_addr_f, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 688:102] + node _T_3880 = tail(_T_3879, 1) @[el2_ifu_mem_ctl.scala 688:102] + node iccm_ecc_corr_index_in = mux(_T_3878, iccm_rw_addr_f, _T_3880) @[el2_ifu_mem_ctl.scala 688:35] + node _T_3881 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_mem_ctl.scala 689:67] + reg _T_3882 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 689:51] + _T_3882 <= _T_3881 @[el2_ifu_mem_ctl.scala 689:51] + iccm_rw_addr_f <= _T_3882 @[el2_ifu_mem_ctl.scala 689:18] + reg _T_3883 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 690:62] + _T_3883 <= iccm_rd_ecc_single_err_hold_in @[el2_ifu_mem_ctl.scala 690:62] + iccm_rd_ecc_single_err_ff <= _T_3883 @[el2_ifu_mem_ctl.scala 690:29] node _T_3884 = cat(iccm_corrected_ecc_f_mux, iccm_corrected_data_f_mux) @[Cat.scala 29:58] - node _T_3885 = bits(iccm_ecc_write_status, 0, 0) @[el2_ifu_mem_ctl.scala 689:152] + node _T_3885 = bits(iccm_ecc_write_status, 0, 0) @[el2_ifu_mem_ctl.scala 691:152] reg _T_3886 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3885 : @[Reg.scala 28:19] _T_3886 <= _T_3884 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - iccm_ecc_corr_data_ff <= _T_3886 @[el2_ifu_mem_ctl.scala 689:25] - node _T_3887 = bits(iccm_ecc_write_status, 0, 0) @[el2_ifu_mem_ctl.scala 690:119] + iccm_ecc_corr_data_ff <= _T_3886 @[el2_ifu_mem_ctl.scala 691:25] + node _T_3887 = bits(iccm_ecc_write_status, 0, 0) @[el2_ifu_mem_ctl.scala 692:119] reg _T_3888 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3887 : @[Reg.scala 28:19] _T_3888 <= iccm_ecc_corr_index_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - iccm_ecc_corr_index_ff <= _T_3888 @[el2_ifu_mem_ctl.scala 690:26] - node _T_3889 = eq(io.ifc_fetch_uncacheable_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 691:41] - node _T_3890 = and(io.ifc_fetch_req_bf, _T_3889) @[el2_ifu_mem_ctl.scala 691:39] - node _T_3891 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 691:72] - node _T_3892 = and(_T_3890, _T_3891) @[el2_ifu_mem_ctl.scala 691:70] - node _T_3893 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 692:19] - node _T_3894 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 692:34] - node _T_3895 = and(_T_3893, _T_3894) @[el2_ifu_mem_ctl.scala 692:32] - node _T_3896 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 693:19] - node _T_3897 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 693:39] - node _T_3898 = and(_T_3896, _T_3897) @[el2_ifu_mem_ctl.scala 693:37] - node _T_3899 = or(_T_3895, _T_3898) @[el2_ifu_mem_ctl.scala 692:88] - node _T_3900 = eq(miss_state, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 694:19] - node _T_3901 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 694:43] - node _T_3902 = and(_T_3900, _T_3901) @[el2_ifu_mem_ctl.scala 694:41] - node _T_3903 = or(_T_3899, _T_3902) @[el2_ifu_mem_ctl.scala 693:88] - node _T_3904 = eq(miss_state, UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 695:19] - node _T_3905 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 695:37] - node _T_3906 = and(_T_3904, _T_3905) @[el2_ifu_mem_ctl.scala 695:35] - node _T_3907 = or(_T_3903, _T_3906) @[el2_ifu_mem_ctl.scala 694:88] - node _T_3908 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 696:19] - node _T_3909 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 696:40] - node _T_3910 = and(_T_3908, _T_3909) @[el2_ifu_mem_ctl.scala 696:38] - node _T_3911 = or(_T_3907, _T_3910) @[el2_ifu_mem_ctl.scala 695:88] - node _T_3912 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 697:19] - node _T_3913 = and(_T_3912, miss_state_en) @[el2_ifu_mem_ctl.scala 697:37] - node _T_3914 = eq(miss_nxtstate, UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 697:71] - node _T_3915 = and(_T_3913, _T_3914) @[el2_ifu_mem_ctl.scala 697:54] - node _T_3916 = or(_T_3911, _T_3915) @[el2_ifu_mem_ctl.scala 696:57] - node _T_3917 = eq(_T_3916, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 692:5] - node _T_3918 = and(_T_3892, _T_3917) @[el2_ifu_mem_ctl.scala 691:96] - node _T_3919 = and(io.ifc_fetch_req_bf, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 698:28] - node _T_3920 = eq(io.ifc_fetch_uncacheable_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 698:52] - node _T_3921 = and(_T_3919, _T_3920) @[el2_ifu_mem_ctl.scala 698:50] - node _T_3922 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 698:83] - node _T_3923 = and(_T_3921, _T_3922) @[el2_ifu_mem_ctl.scala 698:81] - node _T_3924 = or(_T_3918, _T_3923) @[el2_ifu_mem_ctl.scala 697:93] - io.ic_rd_en <= _T_3924 @[el2_ifu_mem_ctl.scala 691:15] + iccm_ecc_corr_index_ff <= _T_3888 @[el2_ifu_mem_ctl.scala 692:26] + node _T_3889 = eq(io.ifc_fetch_uncacheable_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 693:41] + node _T_3890 = and(io.ifc_fetch_req_bf, _T_3889) @[el2_ifu_mem_ctl.scala 693:39] + node _T_3891 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 693:72] + node _T_3892 = and(_T_3890, _T_3891) @[el2_ifu_mem_ctl.scala 693:70] + node _T_3893 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 694:19] + node _T_3894 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 694:34] + node _T_3895 = and(_T_3893, _T_3894) @[el2_ifu_mem_ctl.scala 694:32] + node _T_3896 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 695:19] + node _T_3897 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 695:39] + node _T_3898 = and(_T_3896, _T_3897) @[el2_ifu_mem_ctl.scala 695:37] + node _T_3899 = or(_T_3895, _T_3898) @[el2_ifu_mem_ctl.scala 694:88] + node _T_3900 = eq(miss_state, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 696:19] + node _T_3901 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 696:43] + node _T_3902 = and(_T_3900, _T_3901) @[el2_ifu_mem_ctl.scala 696:41] + node _T_3903 = or(_T_3899, _T_3902) @[el2_ifu_mem_ctl.scala 695:88] + node _T_3904 = eq(miss_state, UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 697:19] + node _T_3905 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 697:37] + node _T_3906 = and(_T_3904, _T_3905) @[el2_ifu_mem_ctl.scala 697:35] + node _T_3907 = or(_T_3903, _T_3906) @[el2_ifu_mem_ctl.scala 696:88] + node _T_3908 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 698:19] + node _T_3909 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 698:40] + node _T_3910 = and(_T_3908, _T_3909) @[el2_ifu_mem_ctl.scala 698:38] + node _T_3911 = or(_T_3907, _T_3910) @[el2_ifu_mem_ctl.scala 697:88] + node _T_3912 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 699:19] + node _T_3913 = and(_T_3912, miss_state_en) @[el2_ifu_mem_ctl.scala 699:37] + node _T_3914 = eq(miss_nxtstate, UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 699:71] + node _T_3915 = and(_T_3913, _T_3914) @[el2_ifu_mem_ctl.scala 699:54] + node _T_3916 = or(_T_3911, _T_3915) @[el2_ifu_mem_ctl.scala 698:57] + node _T_3917 = eq(_T_3916, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 694:5] + node _T_3918 = and(_T_3892, _T_3917) @[el2_ifu_mem_ctl.scala 693:96] + node _T_3919 = and(io.ifc_fetch_req_bf, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 700:28] + node _T_3920 = eq(io.ifc_fetch_uncacheable_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 700:52] + node _T_3921 = and(_T_3919, _T_3920) @[el2_ifu_mem_ctl.scala 700:50] + node _T_3922 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 700:83] + node _T_3923 = and(_T_3921, _T_3922) @[el2_ifu_mem_ctl.scala 700:81] + node _T_3924 = or(_T_3918, _T_3923) @[el2_ifu_mem_ctl.scala 699:93] + io.ic_rd_en <= _T_3924 @[el2_ifu_mem_ctl.scala 693:15] wire bus_ic_wr_en : UInt<1> bus_ic_wr_en <= UInt<1>("h00") node _T_3925 = bits(write_ic_16_bytes, 0, 0) @[Bitwise.scala 72:15] node _T_3926 = mux(_T_3925, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_3927 = and(bus_ic_wr_en, _T_3926) @[el2_ifu_mem_ctl.scala 700:31] - io.ic_wr_en <= _T_3927 @[el2_ifu_mem_ctl.scala 700:15] - node _T_3928 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 701:59] - node _T_3929 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 701:91] - node _T_3930 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 701:127] - node _T_3931 = or(_T_3930, stream_eol_f) @[el2_ifu_mem_ctl.scala 701:151] - node _T_3932 = eq(_T_3931, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 701:106] - node _T_3933 = and(_T_3929, _T_3932) @[el2_ifu_mem_ctl.scala 701:104] - node _T_3934 = or(_T_3928, _T_3933) @[el2_ifu_mem_ctl.scala 701:77] - node _T_3935 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 701:191] - node _T_3936 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 701:205] - node _T_3937 = and(_T_3935, _T_3936) @[el2_ifu_mem_ctl.scala 701:203] - node _T_3938 = eq(_T_3937, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 701:172] - node _T_3939 = and(_T_3934, _T_3938) @[el2_ifu_mem_ctl.scala 701:170] - node _T_3940 = eq(_T_3939, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 701:44] - node _T_3941 = and(write_ic_16_bytes, _T_3940) @[el2_ifu_mem_ctl.scala 701:42] - io.ic_write_stall <= _T_3941 @[el2_ifu_mem_ctl.scala 701:21] - reg _T_3942 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 702:53] - _T_3942 <= io.dec_tlu_fence_i_wb @[el2_ifu_mem_ctl.scala 702:53] - reset_all_tags <= _T_3942 @[el2_ifu_mem_ctl.scala 702:18] - node _T_3943 = eq(ifu_wr_cumulative_err_data, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 704:20] - node _T_3944 = or(reset_ic_in, reset_ic_ff) @[el2_ifu_mem_ctl.scala 704:64] - node _T_3945 = eq(_T_3944, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 704:50] - node _T_3946 = and(_T_3943, _T_3945) @[el2_ifu_mem_ctl.scala 704:48] - node _T_3947 = eq(reset_tag_valid_for_miss, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 704:81] - node ic_valid = and(_T_3946, _T_3947) @[el2_ifu_mem_ctl.scala 704:79] - node _T_3948 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 705:61] - node _T_3949 = and(_T_3948, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 705:82] - node _T_3950 = bits(io.ic_debug_addr, 9, 3) @[el2_ifu_mem_ctl.scala 705:123] - node _T_3951 = bits(ifu_status_wr_addr, 11, 5) @[el2_ifu_mem_ctl.scala 706:25] - node ifu_status_wr_addr_w_debug = mux(_T_3949, _T_3950, _T_3951) @[el2_ifu_mem_ctl.scala 705:41] - reg ifu_status_wr_addr_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 708:14] - ifu_status_wr_addr_ff <= ifu_status_wr_addr_w_debug @[el2_ifu_mem_ctl.scala 708:14] + node _T_3927 = and(bus_ic_wr_en, _T_3926) @[el2_ifu_mem_ctl.scala 702:31] + io.ic_wr_en <= _T_3927 @[el2_ifu_mem_ctl.scala 702:15] + node _T_3928 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 703:59] + node _T_3929 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 703:91] + node _T_3930 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 703:127] + node _T_3931 = or(_T_3930, stream_eol_f) @[el2_ifu_mem_ctl.scala 703:151] + node _T_3932 = eq(_T_3931, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 703:106] + node _T_3933 = and(_T_3929, _T_3932) @[el2_ifu_mem_ctl.scala 703:104] + node _T_3934 = or(_T_3928, _T_3933) @[el2_ifu_mem_ctl.scala 703:77] + node _T_3935 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 703:191] + node _T_3936 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 703:205] + node _T_3937 = and(_T_3935, _T_3936) @[el2_ifu_mem_ctl.scala 703:203] + node _T_3938 = eq(_T_3937, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 703:172] + node _T_3939 = and(_T_3934, _T_3938) @[el2_ifu_mem_ctl.scala 703:170] + node _T_3940 = eq(_T_3939, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 703:44] + node _T_3941 = and(write_ic_16_bytes, _T_3940) @[el2_ifu_mem_ctl.scala 703:42] + io.ic_write_stall <= _T_3941 @[el2_ifu_mem_ctl.scala 703:21] + reg _T_3942 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 704:53] + _T_3942 <= io.dec_tlu_fence_i_wb @[el2_ifu_mem_ctl.scala 704:53] + reset_all_tags <= _T_3942 @[el2_ifu_mem_ctl.scala 704:18] + node _T_3943 = eq(ifu_wr_cumulative_err_data, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 706:20] + node _T_3944 = or(reset_ic_in, reset_ic_ff) @[el2_ifu_mem_ctl.scala 706:64] + node _T_3945 = eq(_T_3944, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 706:50] + node _T_3946 = and(_T_3943, _T_3945) @[el2_ifu_mem_ctl.scala 706:48] + node _T_3947 = eq(reset_tag_valid_for_miss, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 706:81] + node ic_valid = and(_T_3946, _T_3947) @[el2_ifu_mem_ctl.scala 706:79] + node _T_3948 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 707:61] + node _T_3949 = and(_T_3948, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 707:82] + node _T_3950 = bits(io.ic_debug_addr, 9, 3) @[el2_ifu_mem_ctl.scala 707:123] + node _T_3951 = bits(ifu_status_wr_addr, 11, 5) @[el2_ifu_mem_ctl.scala 708:25] + node ifu_status_wr_addr_w_debug = mux(_T_3949, _T_3950, _T_3951) @[el2_ifu_mem_ctl.scala 707:41] + reg ifu_status_wr_addr_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 710:14] + ifu_status_wr_addr_ff <= ifu_status_wr_addr_w_debug @[el2_ifu_mem_ctl.scala 710:14] wire way_status_wr_en : UInt<1> way_status_wr_en <= UInt<1>("h00") - node _T_3952 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 711:74] - node way_status_wr_en_w_debug = or(way_status_wr_en, _T_3952) @[el2_ifu_mem_ctl.scala 711:53] - reg way_status_wr_en_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 713:14] - way_status_wr_en_ff <= way_status_wr_en_w_debug @[el2_ifu_mem_ctl.scala 713:14] + node _T_3952 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 713:74] + node way_status_wr_en_w_debug = or(way_status_wr_en, _T_3952) @[el2_ifu_mem_ctl.scala 713:53] + reg way_status_wr_en_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 715:14] + way_status_wr_en_ff <= way_status_wr_en_w_debug @[el2_ifu_mem_ctl.scala 715:14] wire way_status_new : UInt<1> way_status_new <= UInt<1>("h00") - node _T_3953 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 716:56] - node _T_3954 = bits(io.ic_debug_wr_data, 4, 4) @[el2_ifu_mem_ctl.scala 717:59] - node _T_3955 = bits(io.ic_debug_wr_data, 6, 4) @[el2_ifu_mem_ctl.scala 717:83] - node _T_3956 = mux(UInt<1>("h01"), _T_3954, _T_3955) @[el2_ifu_mem_ctl.scala 717:10] - node way_status_new_w_debug = mux(_T_3953, _T_3956, way_status_new) @[el2_ifu_mem_ctl.scala 716:37] - reg way_status_new_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 719:14] - way_status_new_ff <= way_status_new_w_debug @[el2_ifu_mem_ctl.scala 719:14] - node _T_3957 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 721:89] - node way_status_clken_0 = eq(_T_3957, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 721:132] - node _T_3958 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 721:89] - node way_status_clken_1 = eq(_T_3958, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 721:132] - node _T_3959 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 721:89] - node way_status_clken_2 = eq(_T_3959, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 721:132] - node _T_3960 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 721:89] - node way_status_clken_3 = eq(_T_3960, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 721:132] - node _T_3961 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 721:89] - node way_status_clken_4 = eq(_T_3961, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 721:132] - node _T_3962 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 721:89] - node way_status_clken_5 = eq(_T_3962, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 721:132] - node _T_3963 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 721:89] - node way_status_clken_6 = eq(_T_3963, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 721:132] - node _T_3964 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 721:89] - node way_status_clken_7 = eq(_T_3964, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 721:132] - node _T_3965 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 721:89] - node way_status_clken_8 = eq(_T_3965, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 721:132] - node _T_3966 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 721:89] - node way_status_clken_9 = eq(_T_3966, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 721:132] - node _T_3967 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 721:89] - node way_status_clken_10 = eq(_T_3967, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 721:132] - node _T_3968 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 721:89] - node way_status_clken_11 = eq(_T_3968, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 721:132] - node _T_3969 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 721:89] - node way_status_clken_12 = eq(_T_3969, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 721:132] - node _T_3970 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 721:89] - node way_status_clken_13 = eq(_T_3970, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 721:132] - node _T_3971 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 721:89] - node way_status_clken_14 = eq(_T_3971, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 721:132] - node _T_3972 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 721:89] - node way_status_clken_15 = eq(_T_3972, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 721:132] - wire way_status_out : UInt<1>[128] @[el2_ifu_mem_ctl.scala 723:30] - node _T_3973 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_3974 = and(_T_3973, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_3975 = and(_T_3974, way_status_clken_0) @[el2_ifu_mem_ctl.scala 725:124] + node _T_3953 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 718:56] + node _T_3954 = bits(io.ic_debug_wr_data, 4, 4) @[el2_ifu_mem_ctl.scala 719:59] + node _T_3955 = bits(io.ic_debug_wr_data, 6, 4) @[el2_ifu_mem_ctl.scala 719:83] + node _T_3956 = mux(UInt<1>("h01"), _T_3954, _T_3955) @[el2_ifu_mem_ctl.scala 719:10] + node way_status_new_w_debug = mux(_T_3953, _T_3956, way_status_new) @[el2_ifu_mem_ctl.scala 718:37] + reg way_status_new_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 721:14] + way_status_new_ff <= way_status_new_w_debug @[el2_ifu_mem_ctl.scala 721:14] + node _T_3957 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 723:89] + node way_status_clken_0 = eq(_T_3957, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:132] + node _T_3958 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 723:89] + node way_status_clken_1 = eq(_T_3958, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:132] + node _T_3959 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 723:89] + node way_status_clken_2 = eq(_T_3959, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:132] + node _T_3960 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 723:89] + node way_status_clken_3 = eq(_T_3960, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:132] + node _T_3961 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 723:89] + node way_status_clken_4 = eq(_T_3961, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:132] + node _T_3962 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 723:89] + node way_status_clken_5 = eq(_T_3962, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:132] + node _T_3963 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 723:89] + node way_status_clken_6 = eq(_T_3963, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:132] + node _T_3964 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 723:89] + node way_status_clken_7 = eq(_T_3964, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:132] + node _T_3965 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 723:89] + node way_status_clken_8 = eq(_T_3965, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 723:132] + node _T_3966 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 723:89] + node way_status_clken_9 = eq(_T_3966, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 723:132] + node _T_3967 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 723:89] + node way_status_clken_10 = eq(_T_3967, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 723:132] + node _T_3968 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 723:89] + node way_status_clken_11 = eq(_T_3968, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 723:132] + node _T_3969 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 723:89] + node way_status_clken_12 = eq(_T_3969, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 723:132] + node _T_3970 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 723:89] + node way_status_clken_13 = eq(_T_3970, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 723:132] + node _T_3971 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 723:89] + node way_status_clken_14 = eq(_T_3971, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 723:132] + node _T_3972 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 723:89] + node way_status_clken_15 = eq(_T_3972, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 723:132] + wire way_status_out : UInt<1>[128] @[el2_ifu_mem_ctl.scala 725:30] + node _T_3973 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_3974 = and(_T_3973, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_3975 = and(_T_3974, way_status_clken_0) @[el2_ifu_mem_ctl.scala 727:124] reg _T_3976 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3975 : @[Reg.scala 28:19] _T_3976 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[0] <= _T_3976 @[el2_ifu_mem_ctl.scala 725:33] - node _T_3977 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_3978 = and(_T_3977, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_3979 = and(_T_3978, way_status_clken_0) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[0] <= _T_3976 @[el2_ifu_mem_ctl.scala 727:33] + node _T_3977 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_3978 = and(_T_3977, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_3979 = and(_T_3978, way_status_clken_0) @[el2_ifu_mem_ctl.scala 727:124] reg _T_3980 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3979 : @[Reg.scala 28:19] _T_3980 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[1] <= _T_3980 @[el2_ifu_mem_ctl.scala 725:33] - node _T_3981 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_3982 = and(_T_3981, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_3983 = and(_T_3982, way_status_clken_0) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[1] <= _T_3980 @[el2_ifu_mem_ctl.scala 727:33] + node _T_3981 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_3982 = and(_T_3981, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_3983 = and(_T_3982, way_status_clken_0) @[el2_ifu_mem_ctl.scala 727:124] reg _T_3984 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3983 : @[Reg.scala 28:19] _T_3984 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[2] <= _T_3984 @[el2_ifu_mem_ctl.scala 725:33] - node _T_3985 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_3986 = and(_T_3985, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_3987 = and(_T_3986, way_status_clken_0) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[2] <= _T_3984 @[el2_ifu_mem_ctl.scala 727:33] + node _T_3985 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_3986 = and(_T_3985, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_3987 = and(_T_3986, way_status_clken_0) @[el2_ifu_mem_ctl.scala 727:124] reg _T_3988 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3987 : @[Reg.scala 28:19] _T_3988 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[3] <= _T_3988 @[el2_ifu_mem_ctl.scala 725:33] - node _T_3989 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_3990 = and(_T_3989, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_3991 = and(_T_3990, way_status_clken_0) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[3] <= _T_3988 @[el2_ifu_mem_ctl.scala 727:33] + node _T_3989 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_3990 = and(_T_3989, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_3991 = and(_T_3990, way_status_clken_0) @[el2_ifu_mem_ctl.scala 727:124] reg _T_3992 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3991 : @[Reg.scala 28:19] _T_3992 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[4] <= _T_3992 @[el2_ifu_mem_ctl.scala 725:33] - node _T_3993 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_3994 = and(_T_3993, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_3995 = and(_T_3994, way_status_clken_0) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[4] <= _T_3992 @[el2_ifu_mem_ctl.scala 727:33] + node _T_3993 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_3994 = and(_T_3993, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_3995 = and(_T_3994, way_status_clken_0) @[el2_ifu_mem_ctl.scala 727:124] reg _T_3996 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3995 : @[Reg.scala 28:19] _T_3996 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[5] <= _T_3996 @[el2_ifu_mem_ctl.scala 725:33] - node _T_3997 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_3998 = and(_T_3997, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_3999 = and(_T_3998, way_status_clken_0) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[5] <= _T_3996 @[el2_ifu_mem_ctl.scala 727:33] + node _T_3997 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_3998 = and(_T_3997, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_3999 = and(_T_3998, way_status_clken_0) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4000 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3999 : @[Reg.scala 28:19] _T_4000 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[6] <= _T_4000 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4001 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4002 = and(_T_4001, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4003 = and(_T_4002, way_status_clken_0) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[6] <= _T_4000 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4001 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4002 = and(_T_4001, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4003 = and(_T_4002, way_status_clken_0) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4004 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4003 : @[Reg.scala 28:19] _T_4004 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[7] <= _T_4004 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4005 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4006 = and(_T_4005, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4007 = and(_T_4006, way_status_clken_1) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[7] <= _T_4004 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4005 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4006 = and(_T_4005, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4007 = and(_T_4006, way_status_clken_1) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4008 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4007 : @[Reg.scala 28:19] _T_4008 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[8] <= _T_4008 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4009 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4010 = and(_T_4009, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4011 = and(_T_4010, way_status_clken_1) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[8] <= _T_4008 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4009 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4010 = and(_T_4009, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4011 = and(_T_4010, way_status_clken_1) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4012 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4011 : @[Reg.scala 28:19] _T_4012 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[9] <= _T_4012 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4013 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4014 = and(_T_4013, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4015 = and(_T_4014, way_status_clken_1) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[9] <= _T_4012 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4013 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4014 = and(_T_4013, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4015 = and(_T_4014, way_status_clken_1) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4016 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4015 : @[Reg.scala 28:19] _T_4016 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[10] <= _T_4016 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4017 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4018 = and(_T_4017, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4019 = and(_T_4018, way_status_clken_1) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[10] <= _T_4016 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4017 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4018 = and(_T_4017, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4019 = and(_T_4018, way_status_clken_1) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4020 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4019 : @[Reg.scala 28:19] _T_4020 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[11] <= _T_4020 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4021 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4022 = and(_T_4021, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4023 = and(_T_4022, way_status_clken_1) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[11] <= _T_4020 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4021 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4022 = and(_T_4021, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4023 = and(_T_4022, way_status_clken_1) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4024 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4023 : @[Reg.scala 28:19] _T_4024 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[12] <= _T_4024 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4025 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4026 = and(_T_4025, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4027 = and(_T_4026, way_status_clken_1) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[12] <= _T_4024 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4025 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4026 = and(_T_4025, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4027 = and(_T_4026, way_status_clken_1) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4028 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4027 : @[Reg.scala 28:19] _T_4028 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[13] <= _T_4028 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4029 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4030 = and(_T_4029, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4031 = and(_T_4030, way_status_clken_1) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[13] <= _T_4028 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4029 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4030 = and(_T_4029, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4031 = and(_T_4030, way_status_clken_1) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4032 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4031 : @[Reg.scala 28:19] _T_4032 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[14] <= _T_4032 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4033 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4034 = and(_T_4033, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4035 = and(_T_4034, way_status_clken_1) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[14] <= _T_4032 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4033 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4034 = and(_T_4033, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4035 = and(_T_4034, way_status_clken_1) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4036 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4035 : @[Reg.scala 28:19] _T_4036 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[15] <= _T_4036 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4037 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4038 = and(_T_4037, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4039 = and(_T_4038, way_status_clken_2) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[15] <= _T_4036 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4037 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4038 = and(_T_4037, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4039 = and(_T_4038, way_status_clken_2) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4040 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4039 : @[Reg.scala 28:19] _T_4040 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[16] <= _T_4040 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4041 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4042 = and(_T_4041, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4043 = and(_T_4042, way_status_clken_2) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[16] <= _T_4040 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4041 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4042 = and(_T_4041, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4043 = and(_T_4042, way_status_clken_2) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4044 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4043 : @[Reg.scala 28:19] _T_4044 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[17] <= _T_4044 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4045 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4046 = and(_T_4045, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4047 = and(_T_4046, way_status_clken_2) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[17] <= _T_4044 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4045 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4046 = and(_T_4045, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4047 = and(_T_4046, way_status_clken_2) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4048 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4047 : @[Reg.scala 28:19] _T_4048 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[18] <= _T_4048 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4049 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4050 = and(_T_4049, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4051 = and(_T_4050, way_status_clken_2) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[18] <= _T_4048 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4049 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4050 = and(_T_4049, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4051 = and(_T_4050, way_status_clken_2) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4052 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4051 : @[Reg.scala 28:19] _T_4052 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[19] <= _T_4052 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4053 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4054 = and(_T_4053, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4055 = and(_T_4054, way_status_clken_2) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[19] <= _T_4052 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4053 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4054 = and(_T_4053, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4055 = and(_T_4054, way_status_clken_2) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4056 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4055 : @[Reg.scala 28:19] _T_4056 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[20] <= _T_4056 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4057 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4058 = and(_T_4057, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4059 = and(_T_4058, way_status_clken_2) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[20] <= _T_4056 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4057 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4058 = and(_T_4057, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4059 = and(_T_4058, way_status_clken_2) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4060 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4059 : @[Reg.scala 28:19] _T_4060 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[21] <= _T_4060 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4061 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4062 = and(_T_4061, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4063 = and(_T_4062, way_status_clken_2) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[21] <= _T_4060 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4061 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4062 = and(_T_4061, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4063 = and(_T_4062, way_status_clken_2) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4064 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4063 : @[Reg.scala 28:19] _T_4064 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[22] <= _T_4064 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4065 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4066 = and(_T_4065, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4067 = and(_T_4066, way_status_clken_2) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[22] <= _T_4064 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4065 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4066 = and(_T_4065, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4067 = and(_T_4066, way_status_clken_2) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4068 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4067 : @[Reg.scala 28:19] _T_4068 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[23] <= _T_4068 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4069 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4070 = and(_T_4069, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4071 = and(_T_4070, way_status_clken_3) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[23] <= _T_4068 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4069 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4070 = and(_T_4069, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4071 = and(_T_4070, way_status_clken_3) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4072 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4071 : @[Reg.scala 28:19] _T_4072 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[24] <= _T_4072 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4073 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4074 = and(_T_4073, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4075 = and(_T_4074, way_status_clken_3) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[24] <= _T_4072 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4073 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4074 = and(_T_4073, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4075 = and(_T_4074, way_status_clken_3) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4076 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4075 : @[Reg.scala 28:19] _T_4076 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[25] <= _T_4076 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4077 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4078 = and(_T_4077, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4079 = and(_T_4078, way_status_clken_3) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[25] <= _T_4076 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4077 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4078 = and(_T_4077, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4079 = and(_T_4078, way_status_clken_3) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4080 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4079 : @[Reg.scala 28:19] _T_4080 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[26] <= _T_4080 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4081 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4082 = and(_T_4081, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4083 = and(_T_4082, way_status_clken_3) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[26] <= _T_4080 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4081 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4082 = and(_T_4081, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4083 = and(_T_4082, way_status_clken_3) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4084 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4083 : @[Reg.scala 28:19] _T_4084 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[27] <= _T_4084 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4085 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4086 = and(_T_4085, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4087 = and(_T_4086, way_status_clken_3) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[27] <= _T_4084 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4085 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4086 = and(_T_4085, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4087 = and(_T_4086, way_status_clken_3) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4088 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4087 : @[Reg.scala 28:19] _T_4088 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[28] <= _T_4088 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4089 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4090 = and(_T_4089, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4091 = and(_T_4090, way_status_clken_3) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[28] <= _T_4088 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4089 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4090 = and(_T_4089, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4091 = and(_T_4090, way_status_clken_3) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4092 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4091 : @[Reg.scala 28:19] _T_4092 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[29] <= _T_4092 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4093 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4094 = and(_T_4093, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4095 = and(_T_4094, way_status_clken_3) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[29] <= _T_4092 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4093 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4094 = and(_T_4093, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4095 = and(_T_4094, way_status_clken_3) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4096 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4095 : @[Reg.scala 28:19] _T_4096 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[30] <= _T_4096 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4097 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4098 = and(_T_4097, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4099 = and(_T_4098, way_status_clken_3) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[30] <= _T_4096 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4097 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4098 = and(_T_4097, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4099 = and(_T_4098, way_status_clken_3) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4100 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4099 : @[Reg.scala 28:19] _T_4100 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[31] <= _T_4100 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4101 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4102 = and(_T_4101, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4103 = and(_T_4102, way_status_clken_4) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[31] <= _T_4100 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4101 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4102 = and(_T_4101, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4103 = and(_T_4102, way_status_clken_4) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4104 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4103 : @[Reg.scala 28:19] _T_4104 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[32] <= _T_4104 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4105 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4106 = and(_T_4105, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4107 = and(_T_4106, way_status_clken_4) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[32] <= _T_4104 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4105 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4106 = and(_T_4105, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4107 = and(_T_4106, way_status_clken_4) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4108 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4107 : @[Reg.scala 28:19] _T_4108 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[33] <= _T_4108 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4109 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4110 = and(_T_4109, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4111 = and(_T_4110, way_status_clken_4) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[33] <= _T_4108 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4109 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4110 = and(_T_4109, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4111 = and(_T_4110, way_status_clken_4) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4112 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4111 : @[Reg.scala 28:19] _T_4112 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[34] <= _T_4112 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4113 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4114 = and(_T_4113, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4115 = and(_T_4114, way_status_clken_4) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[34] <= _T_4112 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4113 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4114 = and(_T_4113, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4115 = and(_T_4114, way_status_clken_4) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4116 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4115 : @[Reg.scala 28:19] _T_4116 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[35] <= _T_4116 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4117 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4118 = and(_T_4117, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4119 = and(_T_4118, way_status_clken_4) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[35] <= _T_4116 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4117 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4118 = and(_T_4117, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4119 = and(_T_4118, way_status_clken_4) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4120 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4119 : @[Reg.scala 28:19] _T_4120 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[36] <= _T_4120 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4121 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4122 = and(_T_4121, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4123 = and(_T_4122, way_status_clken_4) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[36] <= _T_4120 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4121 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4122 = and(_T_4121, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4123 = and(_T_4122, way_status_clken_4) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4124 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4123 : @[Reg.scala 28:19] _T_4124 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[37] <= _T_4124 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4125 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4126 = and(_T_4125, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4127 = and(_T_4126, way_status_clken_4) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[37] <= _T_4124 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4125 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4126 = and(_T_4125, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4127 = and(_T_4126, way_status_clken_4) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4128 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4127 : @[Reg.scala 28:19] _T_4128 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[38] <= _T_4128 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4129 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4130 = and(_T_4129, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4131 = and(_T_4130, way_status_clken_4) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[38] <= _T_4128 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4129 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4130 = and(_T_4129, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4131 = and(_T_4130, way_status_clken_4) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4132 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4131 : @[Reg.scala 28:19] _T_4132 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[39] <= _T_4132 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4133 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4134 = and(_T_4133, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4135 = and(_T_4134, way_status_clken_5) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[39] <= _T_4132 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4133 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4134 = and(_T_4133, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4135 = and(_T_4134, way_status_clken_5) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4136 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4135 : @[Reg.scala 28:19] _T_4136 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[40] <= _T_4136 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4137 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4138 = and(_T_4137, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4139 = and(_T_4138, way_status_clken_5) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[40] <= _T_4136 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4137 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4138 = and(_T_4137, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4139 = and(_T_4138, way_status_clken_5) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4140 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4139 : @[Reg.scala 28:19] _T_4140 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[41] <= _T_4140 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4141 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4142 = and(_T_4141, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4143 = and(_T_4142, way_status_clken_5) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[41] <= _T_4140 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4141 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4142 = and(_T_4141, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4143 = and(_T_4142, way_status_clken_5) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4144 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4143 : @[Reg.scala 28:19] _T_4144 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[42] <= _T_4144 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4145 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4146 = and(_T_4145, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4147 = and(_T_4146, way_status_clken_5) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[42] <= _T_4144 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4145 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4146 = and(_T_4145, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4147 = and(_T_4146, way_status_clken_5) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4148 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4147 : @[Reg.scala 28:19] _T_4148 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[43] <= _T_4148 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4149 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4150 = and(_T_4149, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4151 = and(_T_4150, way_status_clken_5) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[43] <= _T_4148 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4149 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4150 = and(_T_4149, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4151 = and(_T_4150, way_status_clken_5) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4152 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4151 : @[Reg.scala 28:19] _T_4152 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[44] <= _T_4152 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4153 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4154 = and(_T_4153, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4155 = and(_T_4154, way_status_clken_5) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[44] <= _T_4152 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4153 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4154 = and(_T_4153, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4155 = and(_T_4154, way_status_clken_5) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4156 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4155 : @[Reg.scala 28:19] _T_4156 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[45] <= _T_4156 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4157 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4158 = and(_T_4157, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4159 = and(_T_4158, way_status_clken_5) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[45] <= _T_4156 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4157 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4158 = and(_T_4157, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4159 = and(_T_4158, way_status_clken_5) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4160 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4159 : @[Reg.scala 28:19] _T_4160 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[46] <= _T_4160 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4161 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4162 = and(_T_4161, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4163 = and(_T_4162, way_status_clken_5) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[46] <= _T_4160 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4161 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4162 = and(_T_4161, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4163 = and(_T_4162, way_status_clken_5) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4164 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4163 : @[Reg.scala 28:19] _T_4164 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[47] <= _T_4164 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4165 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4166 = and(_T_4165, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4167 = and(_T_4166, way_status_clken_6) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[47] <= _T_4164 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4165 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4166 = and(_T_4165, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4167 = and(_T_4166, way_status_clken_6) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4168 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4167 : @[Reg.scala 28:19] _T_4168 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[48] <= _T_4168 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4169 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4170 = and(_T_4169, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4171 = and(_T_4170, way_status_clken_6) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[48] <= _T_4168 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4169 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4170 = and(_T_4169, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4171 = and(_T_4170, way_status_clken_6) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4172 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4171 : @[Reg.scala 28:19] _T_4172 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[49] <= _T_4172 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4173 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4174 = and(_T_4173, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4175 = and(_T_4174, way_status_clken_6) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[49] <= _T_4172 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4173 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4174 = and(_T_4173, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4175 = and(_T_4174, way_status_clken_6) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4176 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4175 : @[Reg.scala 28:19] _T_4176 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[50] <= _T_4176 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4177 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4178 = and(_T_4177, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4179 = and(_T_4178, way_status_clken_6) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[50] <= _T_4176 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4177 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4178 = and(_T_4177, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4179 = and(_T_4178, way_status_clken_6) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4180 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4179 : @[Reg.scala 28:19] _T_4180 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[51] <= _T_4180 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4181 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4182 = and(_T_4181, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4183 = and(_T_4182, way_status_clken_6) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[51] <= _T_4180 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4181 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4182 = and(_T_4181, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4183 = and(_T_4182, way_status_clken_6) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4184 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4183 : @[Reg.scala 28:19] _T_4184 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[52] <= _T_4184 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4185 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4186 = and(_T_4185, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4187 = and(_T_4186, way_status_clken_6) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[52] <= _T_4184 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4185 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4186 = and(_T_4185, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4187 = and(_T_4186, way_status_clken_6) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4188 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4187 : @[Reg.scala 28:19] _T_4188 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[53] <= _T_4188 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4189 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4190 = and(_T_4189, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4191 = and(_T_4190, way_status_clken_6) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[53] <= _T_4188 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4189 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4190 = and(_T_4189, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4191 = and(_T_4190, way_status_clken_6) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4192 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4191 : @[Reg.scala 28:19] _T_4192 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[54] <= _T_4192 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4193 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4194 = and(_T_4193, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4195 = and(_T_4194, way_status_clken_6) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[54] <= _T_4192 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4193 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4194 = and(_T_4193, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4195 = and(_T_4194, way_status_clken_6) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4196 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4195 : @[Reg.scala 28:19] _T_4196 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[55] <= _T_4196 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4197 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4198 = and(_T_4197, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4199 = and(_T_4198, way_status_clken_7) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[55] <= _T_4196 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4197 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4198 = and(_T_4197, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4199 = and(_T_4198, way_status_clken_7) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4200 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4199 : @[Reg.scala 28:19] _T_4200 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[56] <= _T_4200 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4201 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4202 = and(_T_4201, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4203 = and(_T_4202, way_status_clken_7) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[56] <= _T_4200 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4201 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4202 = and(_T_4201, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4203 = and(_T_4202, way_status_clken_7) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4204 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4203 : @[Reg.scala 28:19] _T_4204 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[57] <= _T_4204 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4205 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4206 = and(_T_4205, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4207 = and(_T_4206, way_status_clken_7) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[57] <= _T_4204 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4205 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4206 = and(_T_4205, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4207 = and(_T_4206, way_status_clken_7) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4208 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4207 : @[Reg.scala 28:19] _T_4208 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[58] <= _T_4208 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4209 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4210 = and(_T_4209, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4211 = and(_T_4210, way_status_clken_7) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[58] <= _T_4208 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4209 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4210 = and(_T_4209, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4211 = and(_T_4210, way_status_clken_7) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4212 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4211 : @[Reg.scala 28:19] _T_4212 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[59] <= _T_4212 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4213 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4214 = and(_T_4213, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4215 = and(_T_4214, way_status_clken_7) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[59] <= _T_4212 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4213 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4214 = and(_T_4213, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4215 = and(_T_4214, way_status_clken_7) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4216 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4215 : @[Reg.scala 28:19] _T_4216 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[60] <= _T_4216 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4217 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4218 = and(_T_4217, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4219 = and(_T_4218, way_status_clken_7) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[60] <= _T_4216 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4217 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4218 = and(_T_4217, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4219 = and(_T_4218, way_status_clken_7) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4220 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4219 : @[Reg.scala 28:19] _T_4220 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[61] <= _T_4220 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4221 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4222 = and(_T_4221, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4223 = and(_T_4222, way_status_clken_7) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[61] <= _T_4220 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4221 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4222 = and(_T_4221, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4223 = and(_T_4222, way_status_clken_7) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4224 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4223 : @[Reg.scala 28:19] _T_4224 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[62] <= _T_4224 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4225 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4226 = and(_T_4225, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4227 = and(_T_4226, way_status_clken_7) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[62] <= _T_4224 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4225 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4226 = and(_T_4225, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4227 = and(_T_4226, way_status_clken_7) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4228 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4227 : @[Reg.scala 28:19] _T_4228 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[63] <= _T_4228 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4229 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4230 = and(_T_4229, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4231 = and(_T_4230, way_status_clken_8) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[63] <= _T_4228 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4229 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4230 = and(_T_4229, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4231 = and(_T_4230, way_status_clken_8) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4232 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4231 : @[Reg.scala 28:19] _T_4232 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[64] <= _T_4232 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4233 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4234 = and(_T_4233, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4235 = and(_T_4234, way_status_clken_8) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[64] <= _T_4232 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4233 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4234 = and(_T_4233, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4235 = and(_T_4234, way_status_clken_8) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4236 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4235 : @[Reg.scala 28:19] _T_4236 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[65] <= _T_4236 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4237 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4238 = and(_T_4237, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4239 = and(_T_4238, way_status_clken_8) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[65] <= _T_4236 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4237 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4238 = and(_T_4237, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4239 = and(_T_4238, way_status_clken_8) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4240 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4239 : @[Reg.scala 28:19] _T_4240 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[66] <= _T_4240 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4241 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4242 = and(_T_4241, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4243 = and(_T_4242, way_status_clken_8) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[66] <= _T_4240 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4241 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4242 = and(_T_4241, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4243 = and(_T_4242, way_status_clken_8) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4244 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4243 : @[Reg.scala 28:19] _T_4244 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[67] <= _T_4244 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4245 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4246 = and(_T_4245, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4247 = and(_T_4246, way_status_clken_8) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[67] <= _T_4244 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4245 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4246 = and(_T_4245, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4247 = and(_T_4246, way_status_clken_8) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4248 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4247 : @[Reg.scala 28:19] _T_4248 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[68] <= _T_4248 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4249 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4250 = and(_T_4249, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4251 = and(_T_4250, way_status_clken_8) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[68] <= _T_4248 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4249 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4250 = and(_T_4249, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4251 = and(_T_4250, way_status_clken_8) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4252 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4251 : @[Reg.scala 28:19] _T_4252 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[69] <= _T_4252 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4253 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4254 = and(_T_4253, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4255 = and(_T_4254, way_status_clken_8) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[69] <= _T_4252 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4253 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4254 = and(_T_4253, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4255 = and(_T_4254, way_status_clken_8) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4256 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4255 : @[Reg.scala 28:19] _T_4256 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[70] <= _T_4256 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4257 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4258 = and(_T_4257, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4259 = and(_T_4258, way_status_clken_8) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[70] <= _T_4256 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4257 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4258 = and(_T_4257, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4259 = and(_T_4258, way_status_clken_8) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4260 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4259 : @[Reg.scala 28:19] _T_4260 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[71] <= _T_4260 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4261 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4262 = and(_T_4261, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4263 = and(_T_4262, way_status_clken_9) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[71] <= _T_4260 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4261 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4262 = and(_T_4261, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4263 = and(_T_4262, way_status_clken_9) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4264 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4263 : @[Reg.scala 28:19] _T_4264 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[72] <= _T_4264 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4265 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4266 = and(_T_4265, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4267 = and(_T_4266, way_status_clken_9) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[72] <= _T_4264 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4265 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4266 = and(_T_4265, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4267 = and(_T_4266, way_status_clken_9) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4268 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4267 : @[Reg.scala 28:19] _T_4268 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[73] <= _T_4268 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4269 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4270 = and(_T_4269, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4271 = and(_T_4270, way_status_clken_9) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[73] <= _T_4268 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4269 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4270 = and(_T_4269, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4271 = and(_T_4270, way_status_clken_9) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4272 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4271 : @[Reg.scala 28:19] _T_4272 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[74] <= _T_4272 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4273 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4274 = and(_T_4273, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4275 = and(_T_4274, way_status_clken_9) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[74] <= _T_4272 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4273 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4274 = and(_T_4273, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4275 = and(_T_4274, way_status_clken_9) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4276 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4275 : @[Reg.scala 28:19] _T_4276 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[75] <= _T_4276 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4277 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4278 = and(_T_4277, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4279 = and(_T_4278, way_status_clken_9) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[75] <= _T_4276 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4277 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4278 = and(_T_4277, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4279 = and(_T_4278, way_status_clken_9) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4280 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4279 : @[Reg.scala 28:19] _T_4280 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[76] <= _T_4280 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4281 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4282 = and(_T_4281, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4283 = and(_T_4282, way_status_clken_9) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[76] <= _T_4280 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4281 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4282 = and(_T_4281, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4283 = and(_T_4282, way_status_clken_9) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4284 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4283 : @[Reg.scala 28:19] _T_4284 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[77] <= _T_4284 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4285 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4286 = and(_T_4285, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4287 = and(_T_4286, way_status_clken_9) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[77] <= _T_4284 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4285 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4286 = and(_T_4285, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4287 = and(_T_4286, way_status_clken_9) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4288 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4287 : @[Reg.scala 28:19] _T_4288 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[78] <= _T_4288 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4289 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4290 = and(_T_4289, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4291 = and(_T_4290, way_status_clken_9) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[78] <= _T_4288 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4289 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4290 = and(_T_4289, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4291 = and(_T_4290, way_status_clken_9) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4292 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4291 : @[Reg.scala 28:19] _T_4292 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[79] <= _T_4292 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4293 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4294 = and(_T_4293, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4295 = and(_T_4294, way_status_clken_10) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[79] <= _T_4292 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4293 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4294 = and(_T_4293, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4295 = and(_T_4294, way_status_clken_10) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4296 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4295 : @[Reg.scala 28:19] _T_4296 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[80] <= _T_4296 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4297 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4298 = and(_T_4297, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4299 = and(_T_4298, way_status_clken_10) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[80] <= _T_4296 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4297 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4298 = and(_T_4297, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4299 = and(_T_4298, way_status_clken_10) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4300 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4299 : @[Reg.scala 28:19] _T_4300 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[81] <= _T_4300 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4301 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4302 = and(_T_4301, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4303 = and(_T_4302, way_status_clken_10) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[81] <= _T_4300 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4301 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4302 = and(_T_4301, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4303 = and(_T_4302, way_status_clken_10) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4304 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4303 : @[Reg.scala 28:19] _T_4304 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[82] <= _T_4304 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4305 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4306 = and(_T_4305, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4307 = and(_T_4306, way_status_clken_10) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[82] <= _T_4304 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4305 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4306 = and(_T_4305, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4307 = and(_T_4306, way_status_clken_10) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4308 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4307 : @[Reg.scala 28:19] _T_4308 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[83] <= _T_4308 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4309 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4310 = and(_T_4309, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4311 = and(_T_4310, way_status_clken_10) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[83] <= _T_4308 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4309 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4310 = and(_T_4309, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4311 = and(_T_4310, way_status_clken_10) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4312 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4311 : @[Reg.scala 28:19] _T_4312 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[84] <= _T_4312 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4313 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4314 = and(_T_4313, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4315 = and(_T_4314, way_status_clken_10) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[84] <= _T_4312 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4313 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4314 = and(_T_4313, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4315 = and(_T_4314, way_status_clken_10) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4316 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4315 : @[Reg.scala 28:19] _T_4316 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[85] <= _T_4316 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4317 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4318 = and(_T_4317, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4319 = and(_T_4318, way_status_clken_10) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[85] <= _T_4316 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4317 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4318 = and(_T_4317, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4319 = and(_T_4318, way_status_clken_10) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4320 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4319 : @[Reg.scala 28:19] _T_4320 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[86] <= _T_4320 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4321 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4322 = and(_T_4321, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4323 = and(_T_4322, way_status_clken_10) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[86] <= _T_4320 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4321 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4322 = and(_T_4321, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4323 = and(_T_4322, way_status_clken_10) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4324 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4323 : @[Reg.scala 28:19] _T_4324 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[87] <= _T_4324 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4325 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4326 = and(_T_4325, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4327 = and(_T_4326, way_status_clken_11) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[87] <= _T_4324 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4325 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4326 = and(_T_4325, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4327 = and(_T_4326, way_status_clken_11) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4328 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4327 : @[Reg.scala 28:19] _T_4328 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[88] <= _T_4328 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4329 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4330 = and(_T_4329, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4331 = and(_T_4330, way_status_clken_11) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[88] <= _T_4328 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4329 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4330 = and(_T_4329, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4331 = and(_T_4330, way_status_clken_11) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4332 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4331 : @[Reg.scala 28:19] _T_4332 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[89] <= _T_4332 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4333 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4334 = and(_T_4333, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4335 = and(_T_4334, way_status_clken_11) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[89] <= _T_4332 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4333 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4334 = and(_T_4333, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4335 = and(_T_4334, way_status_clken_11) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4336 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4335 : @[Reg.scala 28:19] _T_4336 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[90] <= _T_4336 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4337 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4338 = and(_T_4337, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4339 = and(_T_4338, way_status_clken_11) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[90] <= _T_4336 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4337 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4338 = and(_T_4337, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4339 = and(_T_4338, way_status_clken_11) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4340 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4339 : @[Reg.scala 28:19] _T_4340 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[91] <= _T_4340 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4341 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4342 = and(_T_4341, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4343 = and(_T_4342, way_status_clken_11) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[91] <= _T_4340 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4341 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4342 = and(_T_4341, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4343 = and(_T_4342, way_status_clken_11) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4344 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4343 : @[Reg.scala 28:19] _T_4344 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[92] <= _T_4344 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4345 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4346 = and(_T_4345, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4347 = and(_T_4346, way_status_clken_11) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[92] <= _T_4344 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4345 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4346 = and(_T_4345, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4347 = and(_T_4346, way_status_clken_11) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4348 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4347 : @[Reg.scala 28:19] _T_4348 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[93] <= _T_4348 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4349 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4350 = and(_T_4349, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4351 = and(_T_4350, way_status_clken_11) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[93] <= _T_4348 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4349 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4350 = and(_T_4349, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4351 = and(_T_4350, way_status_clken_11) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4352 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4351 : @[Reg.scala 28:19] _T_4352 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[94] <= _T_4352 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4353 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4354 = and(_T_4353, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4355 = and(_T_4354, way_status_clken_11) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[94] <= _T_4352 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4353 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4354 = and(_T_4353, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4355 = and(_T_4354, way_status_clken_11) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4356 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4355 : @[Reg.scala 28:19] _T_4356 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[95] <= _T_4356 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4357 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4358 = and(_T_4357, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4359 = and(_T_4358, way_status_clken_12) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[95] <= _T_4356 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4357 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4358 = and(_T_4357, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4359 = and(_T_4358, way_status_clken_12) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4360 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4359 : @[Reg.scala 28:19] _T_4360 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[96] <= _T_4360 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4361 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4362 = and(_T_4361, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4363 = and(_T_4362, way_status_clken_12) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[96] <= _T_4360 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4361 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4362 = and(_T_4361, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4363 = and(_T_4362, way_status_clken_12) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4364 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4363 : @[Reg.scala 28:19] _T_4364 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[97] <= _T_4364 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4365 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4366 = and(_T_4365, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4367 = and(_T_4366, way_status_clken_12) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[97] <= _T_4364 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4365 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4366 = and(_T_4365, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4367 = and(_T_4366, way_status_clken_12) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4368 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4367 : @[Reg.scala 28:19] _T_4368 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[98] <= _T_4368 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4369 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4370 = and(_T_4369, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4371 = and(_T_4370, way_status_clken_12) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[98] <= _T_4368 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4369 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4370 = and(_T_4369, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4371 = and(_T_4370, way_status_clken_12) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4372 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4371 : @[Reg.scala 28:19] _T_4372 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[99] <= _T_4372 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4373 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4374 = and(_T_4373, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4375 = and(_T_4374, way_status_clken_12) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[99] <= _T_4372 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4373 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4374 = and(_T_4373, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4375 = and(_T_4374, way_status_clken_12) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4376 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4375 : @[Reg.scala 28:19] _T_4376 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[100] <= _T_4376 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4377 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4378 = and(_T_4377, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4379 = and(_T_4378, way_status_clken_12) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[100] <= _T_4376 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4377 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4378 = and(_T_4377, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4379 = and(_T_4378, way_status_clken_12) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4380 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4379 : @[Reg.scala 28:19] _T_4380 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[101] <= _T_4380 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4381 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4382 = and(_T_4381, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4383 = and(_T_4382, way_status_clken_12) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[101] <= _T_4380 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4381 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4382 = and(_T_4381, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4383 = and(_T_4382, way_status_clken_12) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4384 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4383 : @[Reg.scala 28:19] _T_4384 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[102] <= _T_4384 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4385 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4386 = and(_T_4385, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4387 = and(_T_4386, way_status_clken_12) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[102] <= _T_4384 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4385 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4386 = and(_T_4385, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4387 = and(_T_4386, way_status_clken_12) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4388 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4387 : @[Reg.scala 28:19] _T_4388 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[103] <= _T_4388 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4389 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4390 = and(_T_4389, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4391 = and(_T_4390, way_status_clken_13) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[103] <= _T_4388 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4389 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4390 = and(_T_4389, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4391 = and(_T_4390, way_status_clken_13) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4392 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4391 : @[Reg.scala 28:19] _T_4392 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[104] <= _T_4392 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4393 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4394 = and(_T_4393, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4395 = and(_T_4394, way_status_clken_13) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[104] <= _T_4392 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4393 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4394 = and(_T_4393, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4395 = and(_T_4394, way_status_clken_13) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4396 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4395 : @[Reg.scala 28:19] _T_4396 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[105] <= _T_4396 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4397 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4398 = and(_T_4397, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4399 = and(_T_4398, way_status_clken_13) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[105] <= _T_4396 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4397 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4398 = and(_T_4397, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4399 = and(_T_4398, way_status_clken_13) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4400 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4399 : @[Reg.scala 28:19] _T_4400 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[106] <= _T_4400 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4401 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4402 = and(_T_4401, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4403 = and(_T_4402, way_status_clken_13) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[106] <= _T_4400 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4401 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4402 = and(_T_4401, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4403 = and(_T_4402, way_status_clken_13) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4404 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4403 : @[Reg.scala 28:19] _T_4404 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[107] <= _T_4404 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4405 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4406 = and(_T_4405, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4407 = and(_T_4406, way_status_clken_13) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[107] <= _T_4404 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4405 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4406 = and(_T_4405, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4407 = and(_T_4406, way_status_clken_13) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4408 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4407 : @[Reg.scala 28:19] _T_4408 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[108] <= _T_4408 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4409 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4410 = and(_T_4409, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4411 = and(_T_4410, way_status_clken_13) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[108] <= _T_4408 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4409 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4410 = and(_T_4409, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4411 = and(_T_4410, way_status_clken_13) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4412 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4411 : @[Reg.scala 28:19] _T_4412 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[109] <= _T_4412 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4413 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4414 = and(_T_4413, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4415 = and(_T_4414, way_status_clken_13) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[109] <= _T_4412 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4413 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4414 = and(_T_4413, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4415 = and(_T_4414, way_status_clken_13) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4416 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4415 : @[Reg.scala 28:19] _T_4416 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[110] <= _T_4416 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4417 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4418 = and(_T_4417, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4419 = and(_T_4418, way_status_clken_13) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[110] <= _T_4416 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4417 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4418 = and(_T_4417, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4419 = and(_T_4418, way_status_clken_13) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4420 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4419 : @[Reg.scala 28:19] _T_4420 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[111] <= _T_4420 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4421 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4422 = and(_T_4421, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4423 = and(_T_4422, way_status_clken_14) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[111] <= _T_4420 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4421 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4422 = and(_T_4421, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4423 = and(_T_4422, way_status_clken_14) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4424 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4423 : @[Reg.scala 28:19] _T_4424 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[112] <= _T_4424 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4425 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4426 = and(_T_4425, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4427 = and(_T_4426, way_status_clken_14) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[112] <= _T_4424 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4425 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4426 = and(_T_4425, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4427 = and(_T_4426, way_status_clken_14) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4428 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4427 : @[Reg.scala 28:19] _T_4428 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[113] <= _T_4428 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4429 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4430 = and(_T_4429, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4431 = and(_T_4430, way_status_clken_14) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[113] <= _T_4428 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4429 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4430 = and(_T_4429, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4431 = and(_T_4430, way_status_clken_14) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4432 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4431 : @[Reg.scala 28:19] _T_4432 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[114] <= _T_4432 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4433 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4434 = and(_T_4433, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4435 = and(_T_4434, way_status_clken_14) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[114] <= _T_4432 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4433 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4434 = and(_T_4433, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4435 = and(_T_4434, way_status_clken_14) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4436 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4435 : @[Reg.scala 28:19] _T_4436 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[115] <= _T_4436 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4437 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4438 = and(_T_4437, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4439 = and(_T_4438, way_status_clken_14) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[115] <= _T_4436 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4437 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4438 = and(_T_4437, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4439 = and(_T_4438, way_status_clken_14) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4440 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4439 : @[Reg.scala 28:19] _T_4440 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[116] <= _T_4440 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4441 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4442 = and(_T_4441, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4443 = and(_T_4442, way_status_clken_14) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[116] <= _T_4440 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4441 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4442 = and(_T_4441, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4443 = and(_T_4442, way_status_clken_14) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4444 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4443 : @[Reg.scala 28:19] _T_4444 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[117] <= _T_4444 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4445 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4446 = and(_T_4445, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4447 = and(_T_4446, way_status_clken_14) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[117] <= _T_4444 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4445 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4446 = and(_T_4445, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4447 = and(_T_4446, way_status_clken_14) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4448 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4447 : @[Reg.scala 28:19] _T_4448 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[118] <= _T_4448 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4449 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4450 = and(_T_4449, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4451 = and(_T_4450, way_status_clken_14) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[118] <= _T_4448 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4449 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4450 = and(_T_4449, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4451 = and(_T_4450, way_status_clken_14) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4452 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4451 : @[Reg.scala 28:19] _T_4452 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[119] <= _T_4452 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4453 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4454 = and(_T_4453, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4455 = and(_T_4454, way_status_clken_15) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[119] <= _T_4452 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4453 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4454 = and(_T_4453, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4455 = and(_T_4454, way_status_clken_15) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4456 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4455 : @[Reg.scala 28:19] _T_4456 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[120] <= _T_4456 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4457 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4458 = and(_T_4457, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4459 = and(_T_4458, way_status_clken_15) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[120] <= _T_4456 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4457 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4458 = and(_T_4457, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4459 = and(_T_4458, way_status_clken_15) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4460 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4459 : @[Reg.scala 28:19] _T_4460 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[121] <= _T_4460 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4461 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4462 = and(_T_4461, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4463 = and(_T_4462, way_status_clken_15) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[121] <= _T_4460 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4461 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4462 = and(_T_4461, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4463 = and(_T_4462, way_status_clken_15) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4464 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4463 : @[Reg.scala 28:19] _T_4464 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[122] <= _T_4464 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4465 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4466 = and(_T_4465, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4467 = and(_T_4466, way_status_clken_15) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[122] <= _T_4464 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4465 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4466 = and(_T_4465, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4467 = and(_T_4466, way_status_clken_15) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4468 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4467 : @[Reg.scala 28:19] _T_4468 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[123] <= _T_4468 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4469 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4470 = and(_T_4469, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4471 = and(_T_4470, way_status_clken_15) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[123] <= _T_4468 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4469 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4470 = and(_T_4469, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4471 = and(_T_4470, way_status_clken_15) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4472 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4471 : @[Reg.scala 28:19] _T_4472 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[124] <= _T_4472 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4473 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4474 = and(_T_4473, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4475 = and(_T_4474, way_status_clken_15) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[124] <= _T_4472 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4473 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4474 = and(_T_4473, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4475 = and(_T_4474, way_status_clken_15) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4476 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4475 : @[Reg.scala 28:19] _T_4476 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[125] <= _T_4476 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4477 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4478 = and(_T_4477, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4479 = and(_T_4478, way_status_clken_15) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[125] <= _T_4476 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4477 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4478 = and(_T_4477, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4479 = and(_T_4478, way_status_clken_15) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4480 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4479 : @[Reg.scala 28:19] _T_4480 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[126] <= _T_4480 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4481 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 725:93] - node _T_4482 = and(_T_4481, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 725:102] - node _T_4483 = and(_T_4482, way_status_clken_15) @[el2_ifu_mem_ctl.scala 725:124] + way_status_out[126] <= _T_4480 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4481 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 727:93] + node _T_4482 = and(_T_4481, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 727:102] + node _T_4483 = and(_T_4482, way_status_clken_15) @[el2_ifu_mem_ctl.scala 727:124] reg _T_4484 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4483 : @[Reg.scala 28:19] _T_4484 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[127] <= _T_4484 @[el2_ifu_mem_ctl.scala 725:33] - node _T_4485 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 726:121] + way_status_out[127] <= _T_4484 @[el2_ifu_mem_ctl.scala 727:33] + node _T_4485 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4486 = bits(_T_4485, 0, 0) @[Bitwise.scala 72:15] node _T_4487 = mux(_T_4486, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4488 = and(_T_4487, way_status_out[0]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4489 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4488 = and(_T_4487, way_status_out[0]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4489 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4490 = bits(_T_4489, 0, 0) @[Bitwise.scala 72:15] node _T_4491 = mux(_T_4490, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4492 = and(_T_4491, way_status_out[1]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4493 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4492 = and(_T_4491, way_status_out[1]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4493 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4494 = bits(_T_4493, 0, 0) @[Bitwise.scala 72:15] node _T_4495 = mux(_T_4494, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4496 = and(_T_4495, way_status_out[2]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4497 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4496 = and(_T_4495, way_status_out[2]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4497 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4498 = bits(_T_4497, 0, 0) @[Bitwise.scala 72:15] node _T_4499 = mux(_T_4498, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4500 = and(_T_4499, way_status_out[3]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4501 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4500 = and(_T_4499, way_status_out[3]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4501 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4502 = bits(_T_4501, 0, 0) @[Bitwise.scala 72:15] node _T_4503 = mux(_T_4502, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4504 = and(_T_4503, way_status_out[4]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4505 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4504 = and(_T_4503, way_status_out[4]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4505 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4506 = bits(_T_4505, 0, 0) @[Bitwise.scala 72:15] node _T_4507 = mux(_T_4506, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4508 = and(_T_4507, way_status_out[5]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4509 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4508 = and(_T_4507, way_status_out[5]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4509 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4510 = bits(_T_4509, 0, 0) @[Bitwise.scala 72:15] node _T_4511 = mux(_T_4510, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4512 = and(_T_4511, way_status_out[6]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4513 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4512 = and(_T_4511, way_status_out[6]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4513 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4514 = bits(_T_4513, 0, 0) @[Bitwise.scala 72:15] node _T_4515 = mux(_T_4514, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4516 = and(_T_4515, way_status_out[7]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4517 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4516 = and(_T_4515, way_status_out[7]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4517 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4518 = bits(_T_4517, 0, 0) @[Bitwise.scala 72:15] node _T_4519 = mux(_T_4518, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4520 = and(_T_4519, way_status_out[8]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4521 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4520 = and(_T_4519, way_status_out[8]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4521 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4522 = bits(_T_4521, 0, 0) @[Bitwise.scala 72:15] node _T_4523 = mux(_T_4522, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4524 = and(_T_4523, way_status_out[9]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4525 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4524 = and(_T_4523, way_status_out[9]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4525 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4526 = bits(_T_4525, 0, 0) @[Bitwise.scala 72:15] node _T_4527 = mux(_T_4526, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4528 = and(_T_4527, way_status_out[10]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4529 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4528 = and(_T_4527, way_status_out[10]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4529 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4530 = bits(_T_4529, 0, 0) @[Bitwise.scala 72:15] node _T_4531 = mux(_T_4530, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4532 = and(_T_4531, way_status_out[11]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4533 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4532 = and(_T_4531, way_status_out[11]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4533 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4534 = bits(_T_4533, 0, 0) @[Bitwise.scala 72:15] node _T_4535 = mux(_T_4534, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4536 = and(_T_4535, way_status_out[12]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4537 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4536 = and(_T_4535, way_status_out[12]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4537 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4538 = bits(_T_4537, 0, 0) @[Bitwise.scala 72:15] node _T_4539 = mux(_T_4538, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4540 = and(_T_4539, way_status_out[13]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4541 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4540 = and(_T_4539, way_status_out[13]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4541 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4542 = bits(_T_4541, 0, 0) @[Bitwise.scala 72:15] node _T_4543 = mux(_T_4542, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4544 = and(_T_4543, way_status_out[14]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4545 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4544 = and(_T_4543, way_status_out[14]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4545 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4546 = bits(_T_4545, 0, 0) @[Bitwise.scala 72:15] node _T_4547 = mux(_T_4546, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4548 = and(_T_4547, way_status_out[15]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4549 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4548 = and(_T_4547, way_status_out[15]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4549 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4550 = bits(_T_4549, 0, 0) @[Bitwise.scala 72:15] node _T_4551 = mux(_T_4550, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4552 = and(_T_4551, way_status_out[16]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4553 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4552 = and(_T_4551, way_status_out[16]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4553 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4554 = bits(_T_4553, 0, 0) @[Bitwise.scala 72:15] node _T_4555 = mux(_T_4554, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4556 = and(_T_4555, way_status_out[17]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4557 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4556 = and(_T_4555, way_status_out[17]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4557 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4558 = bits(_T_4557, 0, 0) @[Bitwise.scala 72:15] node _T_4559 = mux(_T_4558, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4560 = and(_T_4559, way_status_out[18]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4561 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4560 = and(_T_4559, way_status_out[18]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4561 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4562 = bits(_T_4561, 0, 0) @[Bitwise.scala 72:15] node _T_4563 = mux(_T_4562, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4564 = and(_T_4563, way_status_out[19]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4565 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4564 = and(_T_4563, way_status_out[19]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4565 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4566 = bits(_T_4565, 0, 0) @[Bitwise.scala 72:15] node _T_4567 = mux(_T_4566, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4568 = and(_T_4567, way_status_out[20]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4569 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4568 = and(_T_4567, way_status_out[20]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4569 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4570 = bits(_T_4569, 0, 0) @[Bitwise.scala 72:15] node _T_4571 = mux(_T_4570, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4572 = and(_T_4571, way_status_out[21]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4573 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4572 = and(_T_4571, way_status_out[21]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4573 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4574 = bits(_T_4573, 0, 0) @[Bitwise.scala 72:15] node _T_4575 = mux(_T_4574, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4576 = and(_T_4575, way_status_out[22]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4577 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4576 = and(_T_4575, way_status_out[22]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4577 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4578 = bits(_T_4577, 0, 0) @[Bitwise.scala 72:15] node _T_4579 = mux(_T_4578, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4580 = and(_T_4579, way_status_out[23]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4581 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4580 = and(_T_4579, way_status_out[23]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4581 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4582 = bits(_T_4581, 0, 0) @[Bitwise.scala 72:15] node _T_4583 = mux(_T_4582, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4584 = and(_T_4583, way_status_out[24]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4585 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4584 = and(_T_4583, way_status_out[24]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4585 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4586 = bits(_T_4585, 0, 0) @[Bitwise.scala 72:15] node _T_4587 = mux(_T_4586, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4588 = and(_T_4587, way_status_out[25]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4589 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4588 = and(_T_4587, way_status_out[25]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4589 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4590 = bits(_T_4589, 0, 0) @[Bitwise.scala 72:15] node _T_4591 = mux(_T_4590, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4592 = and(_T_4591, way_status_out[26]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4593 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4592 = and(_T_4591, way_status_out[26]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4593 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4594 = bits(_T_4593, 0, 0) @[Bitwise.scala 72:15] node _T_4595 = mux(_T_4594, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4596 = and(_T_4595, way_status_out[27]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4597 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4596 = and(_T_4595, way_status_out[27]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4597 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4598 = bits(_T_4597, 0, 0) @[Bitwise.scala 72:15] node _T_4599 = mux(_T_4598, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4600 = and(_T_4599, way_status_out[28]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4601 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4600 = and(_T_4599, way_status_out[28]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4601 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4602 = bits(_T_4601, 0, 0) @[Bitwise.scala 72:15] node _T_4603 = mux(_T_4602, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4604 = and(_T_4603, way_status_out[29]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4605 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4604 = and(_T_4603, way_status_out[29]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4605 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4606 = bits(_T_4605, 0, 0) @[Bitwise.scala 72:15] node _T_4607 = mux(_T_4606, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4608 = and(_T_4607, way_status_out[30]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4609 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4608 = and(_T_4607, way_status_out[30]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4609 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4610 = bits(_T_4609, 0, 0) @[Bitwise.scala 72:15] node _T_4611 = mux(_T_4610, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4612 = and(_T_4611, way_status_out[31]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4613 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4612 = and(_T_4611, way_status_out[31]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4613 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4614 = bits(_T_4613, 0, 0) @[Bitwise.scala 72:15] node _T_4615 = mux(_T_4614, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4616 = and(_T_4615, way_status_out[32]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4617 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4616 = and(_T_4615, way_status_out[32]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4617 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4618 = bits(_T_4617, 0, 0) @[Bitwise.scala 72:15] node _T_4619 = mux(_T_4618, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4620 = and(_T_4619, way_status_out[33]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4621 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4620 = and(_T_4619, way_status_out[33]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4621 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4622 = bits(_T_4621, 0, 0) @[Bitwise.scala 72:15] node _T_4623 = mux(_T_4622, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4624 = and(_T_4623, way_status_out[34]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4625 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4624 = and(_T_4623, way_status_out[34]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4625 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4626 = bits(_T_4625, 0, 0) @[Bitwise.scala 72:15] node _T_4627 = mux(_T_4626, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4628 = and(_T_4627, way_status_out[35]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4629 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4628 = and(_T_4627, way_status_out[35]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4629 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4630 = bits(_T_4629, 0, 0) @[Bitwise.scala 72:15] node _T_4631 = mux(_T_4630, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4632 = and(_T_4631, way_status_out[36]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4633 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4632 = and(_T_4631, way_status_out[36]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4633 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4634 = bits(_T_4633, 0, 0) @[Bitwise.scala 72:15] node _T_4635 = mux(_T_4634, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4636 = and(_T_4635, way_status_out[37]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4637 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4636 = and(_T_4635, way_status_out[37]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4637 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4638 = bits(_T_4637, 0, 0) @[Bitwise.scala 72:15] node _T_4639 = mux(_T_4638, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4640 = and(_T_4639, way_status_out[38]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4641 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4640 = and(_T_4639, way_status_out[38]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4641 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4642 = bits(_T_4641, 0, 0) @[Bitwise.scala 72:15] node _T_4643 = mux(_T_4642, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4644 = and(_T_4643, way_status_out[39]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4645 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4644 = and(_T_4643, way_status_out[39]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4645 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4646 = bits(_T_4645, 0, 0) @[Bitwise.scala 72:15] node _T_4647 = mux(_T_4646, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4648 = and(_T_4647, way_status_out[40]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4649 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4648 = and(_T_4647, way_status_out[40]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4649 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4650 = bits(_T_4649, 0, 0) @[Bitwise.scala 72:15] node _T_4651 = mux(_T_4650, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4652 = and(_T_4651, way_status_out[41]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4653 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4652 = and(_T_4651, way_status_out[41]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4653 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4654 = bits(_T_4653, 0, 0) @[Bitwise.scala 72:15] node _T_4655 = mux(_T_4654, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4656 = and(_T_4655, way_status_out[42]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4657 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4656 = and(_T_4655, way_status_out[42]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4657 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4658 = bits(_T_4657, 0, 0) @[Bitwise.scala 72:15] node _T_4659 = mux(_T_4658, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4660 = and(_T_4659, way_status_out[43]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4661 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4660 = and(_T_4659, way_status_out[43]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4661 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4662 = bits(_T_4661, 0, 0) @[Bitwise.scala 72:15] node _T_4663 = mux(_T_4662, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4664 = and(_T_4663, way_status_out[44]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4665 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4664 = and(_T_4663, way_status_out[44]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4665 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4666 = bits(_T_4665, 0, 0) @[Bitwise.scala 72:15] node _T_4667 = mux(_T_4666, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4668 = and(_T_4667, way_status_out[45]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4669 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4668 = and(_T_4667, way_status_out[45]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4669 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4670 = bits(_T_4669, 0, 0) @[Bitwise.scala 72:15] node _T_4671 = mux(_T_4670, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4672 = and(_T_4671, way_status_out[46]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4673 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4672 = and(_T_4671, way_status_out[46]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4673 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4674 = bits(_T_4673, 0, 0) @[Bitwise.scala 72:15] node _T_4675 = mux(_T_4674, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4676 = and(_T_4675, way_status_out[47]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4677 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4676 = and(_T_4675, way_status_out[47]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4677 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4678 = bits(_T_4677, 0, 0) @[Bitwise.scala 72:15] node _T_4679 = mux(_T_4678, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4680 = and(_T_4679, way_status_out[48]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4681 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4680 = and(_T_4679, way_status_out[48]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4681 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4682 = bits(_T_4681, 0, 0) @[Bitwise.scala 72:15] node _T_4683 = mux(_T_4682, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4684 = and(_T_4683, way_status_out[49]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4685 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4684 = and(_T_4683, way_status_out[49]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4685 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4686 = bits(_T_4685, 0, 0) @[Bitwise.scala 72:15] node _T_4687 = mux(_T_4686, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4688 = and(_T_4687, way_status_out[50]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4689 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4688 = and(_T_4687, way_status_out[50]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4689 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4690 = bits(_T_4689, 0, 0) @[Bitwise.scala 72:15] node _T_4691 = mux(_T_4690, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4692 = and(_T_4691, way_status_out[51]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4693 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4692 = and(_T_4691, way_status_out[51]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4693 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4694 = bits(_T_4693, 0, 0) @[Bitwise.scala 72:15] node _T_4695 = mux(_T_4694, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4696 = and(_T_4695, way_status_out[52]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4697 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4696 = and(_T_4695, way_status_out[52]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4697 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4698 = bits(_T_4697, 0, 0) @[Bitwise.scala 72:15] node _T_4699 = mux(_T_4698, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4700 = and(_T_4699, way_status_out[53]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4701 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4700 = and(_T_4699, way_status_out[53]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4701 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4702 = bits(_T_4701, 0, 0) @[Bitwise.scala 72:15] node _T_4703 = mux(_T_4702, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4704 = and(_T_4703, way_status_out[54]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4705 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4704 = and(_T_4703, way_status_out[54]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4705 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4706 = bits(_T_4705, 0, 0) @[Bitwise.scala 72:15] node _T_4707 = mux(_T_4706, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4708 = and(_T_4707, way_status_out[55]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4709 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4708 = and(_T_4707, way_status_out[55]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4709 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4710 = bits(_T_4709, 0, 0) @[Bitwise.scala 72:15] node _T_4711 = mux(_T_4710, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4712 = and(_T_4711, way_status_out[56]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4713 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4712 = and(_T_4711, way_status_out[56]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4713 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4714 = bits(_T_4713, 0, 0) @[Bitwise.scala 72:15] node _T_4715 = mux(_T_4714, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4716 = and(_T_4715, way_status_out[57]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4717 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4716 = and(_T_4715, way_status_out[57]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4717 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4718 = bits(_T_4717, 0, 0) @[Bitwise.scala 72:15] node _T_4719 = mux(_T_4718, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4720 = and(_T_4719, way_status_out[58]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4721 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4720 = and(_T_4719, way_status_out[58]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4721 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4722 = bits(_T_4721, 0, 0) @[Bitwise.scala 72:15] node _T_4723 = mux(_T_4722, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4724 = and(_T_4723, way_status_out[59]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4725 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4724 = and(_T_4723, way_status_out[59]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4725 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4726 = bits(_T_4725, 0, 0) @[Bitwise.scala 72:15] node _T_4727 = mux(_T_4726, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4728 = and(_T_4727, way_status_out[60]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4729 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4728 = and(_T_4727, way_status_out[60]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4729 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4730 = bits(_T_4729, 0, 0) @[Bitwise.scala 72:15] node _T_4731 = mux(_T_4730, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4732 = and(_T_4731, way_status_out[61]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4733 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4732 = and(_T_4731, way_status_out[61]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4733 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4734 = bits(_T_4733, 0, 0) @[Bitwise.scala 72:15] node _T_4735 = mux(_T_4734, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4736 = and(_T_4735, way_status_out[62]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4737 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4736 = and(_T_4735, way_status_out[62]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4737 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4738 = bits(_T_4737, 0, 0) @[Bitwise.scala 72:15] node _T_4739 = mux(_T_4738, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4740 = and(_T_4739, way_status_out[63]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4741 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4740 = and(_T_4739, way_status_out[63]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4741 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4742 = bits(_T_4741, 0, 0) @[Bitwise.scala 72:15] node _T_4743 = mux(_T_4742, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4744 = and(_T_4743, way_status_out[64]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4745 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4744 = and(_T_4743, way_status_out[64]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4745 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4746 = bits(_T_4745, 0, 0) @[Bitwise.scala 72:15] node _T_4747 = mux(_T_4746, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4748 = and(_T_4747, way_status_out[65]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4749 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4748 = and(_T_4747, way_status_out[65]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4749 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4750 = bits(_T_4749, 0, 0) @[Bitwise.scala 72:15] node _T_4751 = mux(_T_4750, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4752 = and(_T_4751, way_status_out[66]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4753 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4752 = and(_T_4751, way_status_out[66]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4753 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4754 = bits(_T_4753, 0, 0) @[Bitwise.scala 72:15] node _T_4755 = mux(_T_4754, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4756 = and(_T_4755, way_status_out[67]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4757 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4756 = and(_T_4755, way_status_out[67]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4757 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4758 = bits(_T_4757, 0, 0) @[Bitwise.scala 72:15] node _T_4759 = mux(_T_4758, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4760 = and(_T_4759, way_status_out[68]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4761 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4760 = and(_T_4759, way_status_out[68]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4761 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4762 = bits(_T_4761, 0, 0) @[Bitwise.scala 72:15] node _T_4763 = mux(_T_4762, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4764 = and(_T_4763, way_status_out[69]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4765 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4764 = and(_T_4763, way_status_out[69]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4765 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4766 = bits(_T_4765, 0, 0) @[Bitwise.scala 72:15] node _T_4767 = mux(_T_4766, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4768 = and(_T_4767, way_status_out[70]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4769 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4768 = and(_T_4767, way_status_out[70]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4769 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4770 = bits(_T_4769, 0, 0) @[Bitwise.scala 72:15] node _T_4771 = mux(_T_4770, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4772 = and(_T_4771, way_status_out[71]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4773 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4772 = and(_T_4771, way_status_out[71]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4773 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4774 = bits(_T_4773, 0, 0) @[Bitwise.scala 72:15] node _T_4775 = mux(_T_4774, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4776 = and(_T_4775, way_status_out[72]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4777 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4776 = and(_T_4775, way_status_out[72]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4777 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4778 = bits(_T_4777, 0, 0) @[Bitwise.scala 72:15] node _T_4779 = mux(_T_4778, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4780 = and(_T_4779, way_status_out[73]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4781 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4780 = and(_T_4779, way_status_out[73]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4781 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4782 = bits(_T_4781, 0, 0) @[Bitwise.scala 72:15] node _T_4783 = mux(_T_4782, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4784 = and(_T_4783, way_status_out[74]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4785 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4784 = and(_T_4783, way_status_out[74]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4785 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4786 = bits(_T_4785, 0, 0) @[Bitwise.scala 72:15] node _T_4787 = mux(_T_4786, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4788 = and(_T_4787, way_status_out[75]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4789 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4788 = and(_T_4787, way_status_out[75]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4789 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4790 = bits(_T_4789, 0, 0) @[Bitwise.scala 72:15] node _T_4791 = mux(_T_4790, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4792 = and(_T_4791, way_status_out[76]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4793 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4792 = and(_T_4791, way_status_out[76]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4793 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4794 = bits(_T_4793, 0, 0) @[Bitwise.scala 72:15] node _T_4795 = mux(_T_4794, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4796 = and(_T_4795, way_status_out[77]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4797 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4796 = and(_T_4795, way_status_out[77]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4797 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4798 = bits(_T_4797, 0, 0) @[Bitwise.scala 72:15] node _T_4799 = mux(_T_4798, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4800 = and(_T_4799, way_status_out[78]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4801 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4800 = and(_T_4799, way_status_out[78]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4801 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4802 = bits(_T_4801, 0, 0) @[Bitwise.scala 72:15] node _T_4803 = mux(_T_4802, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4804 = and(_T_4803, way_status_out[79]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4805 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4804 = and(_T_4803, way_status_out[79]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4805 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4806 = bits(_T_4805, 0, 0) @[Bitwise.scala 72:15] node _T_4807 = mux(_T_4806, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4808 = and(_T_4807, way_status_out[80]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4809 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4808 = and(_T_4807, way_status_out[80]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4809 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4810 = bits(_T_4809, 0, 0) @[Bitwise.scala 72:15] node _T_4811 = mux(_T_4810, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4812 = and(_T_4811, way_status_out[81]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4813 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4812 = and(_T_4811, way_status_out[81]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4813 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4814 = bits(_T_4813, 0, 0) @[Bitwise.scala 72:15] node _T_4815 = mux(_T_4814, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4816 = and(_T_4815, way_status_out[82]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4817 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4816 = and(_T_4815, way_status_out[82]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4817 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4818 = bits(_T_4817, 0, 0) @[Bitwise.scala 72:15] node _T_4819 = mux(_T_4818, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4820 = and(_T_4819, way_status_out[83]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4821 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4820 = and(_T_4819, way_status_out[83]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4821 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4822 = bits(_T_4821, 0, 0) @[Bitwise.scala 72:15] node _T_4823 = mux(_T_4822, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4824 = and(_T_4823, way_status_out[84]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4825 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4824 = and(_T_4823, way_status_out[84]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4825 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4826 = bits(_T_4825, 0, 0) @[Bitwise.scala 72:15] node _T_4827 = mux(_T_4826, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4828 = and(_T_4827, way_status_out[85]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4829 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4828 = and(_T_4827, way_status_out[85]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4829 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4830 = bits(_T_4829, 0, 0) @[Bitwise.scala 72:15] node _T_4831 = mux(_T_4830, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4832 = and(_T_4831, way_status_out[86]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4833 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4832 = and(_T_4831, way_status_out[86]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4833 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4834 = bits(_T_4833, 0, 0) @[Bitwise.scala 72:15] node _T_4835 = mux(_T_4834, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4836 = and(_T_4835, way_status_out[87]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4837 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4836 = and(_T_4835, way_status_out[87]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4837 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4838 = bits(_T_4837, 0, 0) @[Bitwise.scala 72:15] node _T_4839 = mux(_T_4838, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4840 = and(_T_4839, way_status_out[88]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4841 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4840 = and(_T_4839, way_status_out[88]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4841 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4842 = bits(_T_4841, 0, 0) @[Bitwise.scala 72:15] node _T_4843 = mux(_T_4842, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4844 = and(_T_4843, way_status_out[89]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4845 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4844 = and(_T_4843, way_status_out[89]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4845 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4846 = bits(_T_4845, 0, 0) @[Bitwise.scala 72:15] node _T_4847 = mux(_T_4846, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4848 = and(_T_4847, way_status_out[90]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4849 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4848 = and(_T_4847, way_status_out[90]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4849 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4850 = bits(_T_4849, 0, 0) @[Bitwise.scala 72:15] node _T_4851 = mux(_T_4850, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4852 = and(_T_4851, way_status_out[91]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4853 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4852 = and(_T_4851, way_status_out[91]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4853 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4854 = bits(_T_4853, 0, 0) @[Bitwise.scala 72:15] node _T_4855 = mux(_T_4854, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4856 = and(_T_4855, way_status_out[92]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4857 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4856 = and(_T_4855, way_status_out[92]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4857 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4858 = bits(_T_4857, 0, 0) @[Bitwise.scala 72:15] node _T_4859 = mux(_T_4858, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4860 = and(_T_4859, way_status_out[93]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4861 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4860 = and(_T_4859, way_status_out[93]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4861 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4862 = bits(_T_4861, 0, 0) @[Bitwise.scala 72:15] node _T_4863 = mux(_T_4862, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4864 = and(_T_4863, way_status_out[94]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4865 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4864 = and(_T_4863, way_status_out[94]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4865 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4866 = bits(_T_4865, 0, 0) @[Bitwise.scala 72:15] node _T_4867 = mux(_T_4866, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4868 = and(_T_4867, way_status_out[95]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4869 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4868 = and(_T_4867, way_status_out[95]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4869 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4870 = bits(_T_4869, 0, 0) @[Bitwise.scala 72:15] node _T_4871 = mux(_T_4870, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4872 = and(_T_4871, way_status_out[96]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4873 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4872 = and(_T_4871, way_status_out[96]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4873 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4874 = bits(_T_4873, 0, 0) @[Bitwise.scala 72:15] node _T_4875 = mux(_T_4874, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4876 = and(_T_4875, way_status_out[97]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4877 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4876 = and(_T_4875, way_status_out[97]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4877 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4878 = bits(_T_4877, 0, 0) @[Bitwise.scala 72:15] node _T_4879 = mux(_T_4878, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4880 = and(_T_4879, way_status_out[98]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4881 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4880 = and(_T_4879, way_status_out[98]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4881 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4882 = bits(_T_4881, 0, 0) @[Bitwise.scala 72:15] node _T_4883 = mux(_T_4882, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4884 = and(_T_4883, way_status_out[99]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4885 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4884 = and(_T_4883, way_status_out[99]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4885 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4886 = bits(_T_4885, 0, 0) @[Bitwise.scala 72:15] node _T_4887 = mux(_T_4886, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4888 = and(_T_4887, way_status_out[100]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4889 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4888 = and(_T_4887, way_status_out[100]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4889 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4890 = bits(_T_4889, 0, 0) @[Bitwise.scala 72:15] node _T_4891 = mux(_T_4890, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4892 = and(_T_4891, way_status_out[101]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4893 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4892 = and(_T_4891, way_status_out[101]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4893 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4894 = bits(_T_4893, 0, 0) @[Bitwise.scala 72:15] node _T_4895 = mux(_T_4894, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4896 = and(_T_4895, way_status_out[102]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4897 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4896 = and(_T_4895, way_status_out[102]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4897 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4898 = bits(_T_4897, 0, 0) @[Bitwise.scala 72:15] node _T_4899 = mux(_T_4898, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4900 = and(_T_4899, way_status_out[103]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4901 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4900 = and(_T_4899, way_status_out[103]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4901 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4902 = bits(_T_4901, 0, 0) @[Bitwise.scala 72:15] node _T_4903 = mux(_T_4902, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4904 = and(_T_4903, way_status_out[104]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4905 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4904 = and(_T_4903, way_status_out[104]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4905 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4906 = bits(_T_4905, 0, 0) @[Bitwise.scala 72:15] node _T_4907 = mux(_T_4906, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4908 = and(_T_4907, way_status_out[105]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4909 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4908 = and(_T_4907, way_status_out[105]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4909 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4910 = bits(_T_4909, 0, 0) @[Bitwise.scala 72:15] node _T_4911 = mux(_T_4910, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4912 = and(_T_4911, way_status_out[106]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4913 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4912 = and(_T_4911, way_status_out[106]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4913 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4914 = bits(_T_4913, 0, 0) @[Bitwise.scala 72:15] node _T_4915 = mux(_T_4914, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4916 = and(_T_4915, way_status_out[107]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4917 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4916 = and(_T_4915, way_status_out[107]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4917 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4918 = bits(_T_4917, 0, 0) @[Bitwise.scala 72:15] node _T_4919 = mux(_T_4918, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4920 = and(_T_4919, way_status_out[108]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4921 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4920 = and(_T_4919, way_status_out[108]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4921 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4922 = bits(_T_4921, 0, 0) @[Bitwise.scala 72:15] node _T_4923 = mux(_T_4922, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4924 = and(_T_4923, way_status_out[109]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4925 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4924 = and(_T_4923, way_status_out[109]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4925 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4926 = bits(_T_4925, 0, 0) @[Bitwise.scala 72:15] node _T_4927 = mux(_T_4926, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4928 = and(_T_4927, way_status_out[110]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4929 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4928 = and(_T_4927, way_status_out[110]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4929 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4930 = bits(_T_4929, 0, 0) @[Bitwise.scala 72:15] node _T_4931 = mux(_T_4930, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4932 = and(_T_4931, way_status_out[111]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4933 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4932 = and(_T_4931, way_status_out[111]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4933 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4934 = bits(_T_4933, 0, 0) @[Bitwise.scala 72:15] node _T_4935 = mux(_T_4934, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4936 = and(_T_4935, way_status_out[112]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4937 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4936 = and(_T_4935, way_status_out[112]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4937 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4938 = bits(_T_4937, 0, 0) @[Bitwise.scala 72:15] node _T_4939 = mux(_T_4938, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4940 = and(_T_4939, way_status_out[113]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4941 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4940 = and(_T_4939, way_status_out[113]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4941 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4942 = bits(_T_4941, 0, 0) @[Bitwise.scala 72:15] node _T_4943 = mux(_T_4942, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4944 = and(_T_4943, way_status_out[114]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4945 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4944 = and(_T_4943, way_status_out[114]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4945 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4946 = bits(_T_4945, 0, 0) @[Bitwise.scala 72:15] node _T_4947 = mux(_T_4946, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4948 = and(_T_4947, way_status_out[115]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4949 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4948 = and(_T_4947, way_status_out[115]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4949 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4950 = bits(_T_4949, 0, 0) @[Bitwise.scala 72:15] node _T_4951 = mux(_T_4950, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4952 = and(_T_4951, way_status_out[116]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4953 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4952 = and(_T_4951, way_status_out[116]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4953 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4954 = bits(_T_4953, 0, 0) @[Bitwise.scala 72:15] node _T_4955 = mux(_T_4954, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4956 = and(_T_4955, way_status_out[117]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4957 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4956 = and(_T_4955, way_status_out[117]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4957 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4958 = bits(_T_4957, 0, 0) @[Bitwise.scala 72:15] node _T_4959 = mux(_T_4958, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4960 = and(_T_4959, way_status_out[118]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4961 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4960 = and(_T_4959, way_status_out[118]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4961 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4962 = bits(_T_4961, 0, 0) @[Bitwise.scala 72:15] node _T_4963 = mux(_T_4962, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4964 = and(_T_4963, way_status_out[119]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4965 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4964 = and(_T_4963, way_status_out[119]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4965 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4966 = bits(_T_4965, 0, 0) @[Bitwise.scala 72:15] node _T_4967 = mux(_T_4966, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4968 = and(_T_4967, way_status_out[120]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4969 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4968 = and(_T_4967, way_status_out[120]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4969 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4970 = bits(_T_4969, 0, 0) @[Bitwise.scala 72:15] node _T_4971 = mux(_T_4970, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4972 = and(_T_4971, way_status_out[121]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4973 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4972 = and(_T_4971, way_status_out[121]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4973 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4974 = bits(_T_4973, 0, 0) @[Bitwise.scala 72:15] node _T_4975 = mux(_T_4974, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4976 = and(_T_4975, way_status_out[122]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4977 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4976 = and(_T_4975, way_status_out[122]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4977 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4978 = bits(_T_4977, 0, 0) @[Bitwise.scala 72:15] node _T_4979 = mux(_T_4978, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4980 = and(_T_4979, way_status_out[123]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4981 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4980 = and(_T_4979, way_status_out[123]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4981 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4982 = bits(_T_4981, 0, 0) @[Bitwise.scala 72:15] node _T_4983 = mux(_T_4982, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4984 = and(_T_4983, way_status_out[124]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4985 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4984 = and(_T_4983, way_status_out[124]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4985 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4986 = bits(_T_4985, 0, 0) @[Bitwise.scala 72:15] node _T_4987 = mux(_T_4986, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4988 = and(_T_4987, way_status_out[125]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4989 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4988 = and(_T_4987, way_status_out[125]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4989 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4990 = bits(_T_4989, 0, 0) @[Bitwise.scala 72:15] node _T_4991 = mux(_T_4990, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4992 = and(_T_4991, way_status_out[126]) @[el2_ifu_mem_ctl.scala 726:130] - node _T_4993 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 726:121] + node _T_4992 = and(_T_4991, way_status_out[126]) @[el2_ifu_mem_ctl.scala 728:130] + node _T_4993 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 728:121] node _T_4994 = bits(_T_4993, 0, 0) @[Bitwise.scala 72:15] node _T_4995 = mux(_T_4994, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4996 = and(_T_4995, way_status_out[127]) @[el2_ifu_mem_ctl.scala 726:130] + node _T_4996 = and(_T_4995, way_status_out[127]) @[el2_ifu_mem_ctl.scala 728:130] node _T_4997 = cat(_T_4996, _T_4992) @[Cat.scala 29:58] node _T_4998 = cat(_T_4997, _T_4988) @[Cat.scala 29:58] node _T_4999 = cat(_T_4998, _T_4984) @[Cat.scala 29:58] @@ -7277,6124 +7916,6124 @@ circuit el2_ifu_mem_ctl : node _T_5121 = cat(_T_5120, _T_4496) @[Cat.scala 29:58] node _T_5122 = cat(_T_5121, _T_4492) @[Cat.scala 29:58] node _T_5123 = cat(_T_5122, _T_4488) @[Cat.scala 29:58] - way_status <= _T_5123 @[el2_ifu_mem_ctl.scala 726:16] - node _T_5124 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 727:61] - node _T_5125 = and(_T_5124, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 727:82] - node _T_5126 = bits(io.ic_debug_addr, 9, 3) @[el2_ifu_mem_ctl.scala 728:23] - node _T_5127 = bits(ifu_ic_rw_int_addr, 11, 5) @[el2_ifu_mem_ctl.scala 728:89] - node ifu_ic_rw_int_addr_w_debug = mux(_T_5125, _T_5126, _T_5127) @[el2_ifu_mem_ctl.scala 727:41] - reg _T_5128 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 730:14] - _T_5128 <= ifu_ic_rw_int_addr_w_debug @[el2_ifu_mem_ctl.scala 730:14] - ifu_ic_rw_int_addr_ff <= _T_5128 @[el2_ifu_mem_ctl.scala 729:27] + way_status <= _T_5123 @[el2_ifu_mem_ctl.scala 728:16] + node _T_5124 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 729:61] + node _T_5125 = and(_T_5124, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 729:82] + node _T_5126 = bits(io.ic_debug_addr, 9, 3) @[el2_ifu_mem_ctl.scala 730:23] + node _T_5127 = bits(ifu_ic_rw_int_addr, 11, 5) @[el2_ifu_mem_ctl.scala 730:89] + node ifu_ic_rw_int_addr_w_debug = mux(_T_5125, _T_5126, _T_5127) @[el2_ifu_mem_ctl.scala 729:41] + reg _T_5128 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 732:14] + _T_5128 <= ifu_ic_rw_int_addr_w_debug @[el2_ifu_mem_ctl.scala 732:14] + ifu_ic_rw_int_addr_ff <= _T_5128 @[el2_ifu_mem_ctl.scala 731:27] wire ifu_tag_wren : UInt<2> ifu_tag_wren <= UInt<1>("h00") wire ic_debug_tag_wr_en : UInt<2> ic_debug_tag_wr_en <= UInt<1>("h00") - node ifu_tag_wren_w_debug = or(ifu_tag_wren, ic_debug_tag_wr_en) @[el2_ifu_mem_ctl.scala 734:45] - reg ifu_tag_wren_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 736:14] - ifu_tag_wren_ff <= ifu_tag_wren_w_debug @[el2_ifu_mem_ctl.scala 736:14] - node _T_5129 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 738:50] - node _T_5130 = bits(io.ic_debug_wr_data, 0, 0) @[el2_ifu_mem_ctl.scala 738:94] - node ic_valid_w_debug = mux(_T_5129, _T_5130, ic_valid) @[el2_ifu_mem_ctl.scala 738:31] - reg ic_valid_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 740:14] - ic_valid_ff <= ic_valid_w_debug @[el2_ifu_mem_ctl.scala 740:14] - node _T_5131 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 744:35] - node _T_5132 = eq(_T_5131, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 744:82] - node _T_5133 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 744:108] - node _T_5134 = and(_T_5132, _T_5133) @[el2_ifu_mem_ctl.scala 744:91] - node _T_5135 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 745:27] - node _T_5136 = eq(_T_5135, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 745:74] - node _T_5137 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 745:101] - node _T_5138 = and(_T_5136, _T_5137) @[el2_ifu_mem_ctl.scala 745:83] - node _T_5139 = or(_T_5134, _T_5138) @[el2_ifu_mem_ctl.scala 744:113] - node _T_5140 = or(_T_5139, reset_all_tags) @[el2_ifu_mem_ctl.scala 745:106] - node _T_5141 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 744:35] - node _T_5142 = eq(_T_5141, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 744:82] - node _T_5143 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 744:108] - node _T_5144 = and(_T_5142, _T_5143) @[el2_ifu_mem_ctl.scala 744:91] - node _T_5145 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 745:27] - node _T_5146 = eq(_T_5145, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 745:74] - node _T_5147 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 745:101] - node _T_5148 = and(_T_5146, _T_5147) @[el2_ifu_mem_ctl.scala 745:83] - node _T_5149 = or(_T_5144, _T_5148) @[el2_ifu_mem_ctl.scala 744:113] - node _T_5150 = or(_T_5149, reset_all_tags) @[el2_ifu_mem_ctl.scala 745:106] + node ifu_tag_wren_w_debug = or(ifu_tag_wren, ic_debug_tag_wr_en) @[el2_ifu_mem_ctl.scala 736:45] + reg ifu_tag_wren_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 738:14] + ifu_tag_wren_ff <= ifu_tag_wren_w_debug @[el2_ifu_mem_ctl.scala 738:14] + node _T_5129 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 740:50] + node _T_5130 = bits(io.ic_debug_wr_data, 0, 0) @[el2_ifu_mem_ctl.scala 740:94] + node ic_valid_w_debug = mux(_T_5129, _T_5130, ic_valid) @[el2_ifu_mem_ctl.scala 740:31] + reg ic_valid_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 742:14] + ic_valid_ff <= ic_valid_w_debug @[el2_ifu_mem_ctl.scala 742:14] + node _T_5131 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 746:35] + node _T_5132 = eq(_T_5131, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 746:82] + node _T_5133 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 746:108] + node _T_5134 = and(_T_5132, _T_5133) @[el2_ifu_mem_ctl.scala 746:91] + node _T_5135 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 747:27] + node _T_5136 = eq(_T_5135, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:74] + node _T_5137 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 747:101] + node _T_5138 = and(_T_5136, _T_5137) @[el2_ifu_mem_ctl.scala 747:83] + node _T_5139 = or(_T_5134, _T_5138) @[el2_ifu_mem_ctl.scala 746:113] + node _T_5140 = or(_T_5139, reset_all_tags) @[el2_ifu_mem_ctl.scala 747:106] + node _T_5141 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 746:35] + node _T_5142 = eq(_T_5141, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 746:82] + node _T_5143 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 746:108] + node _T_5144 = and(_T_5142, _T_5143) @[el2_ifu_mem_ctl.scala 746:91] + node _T_5145 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 747:27] + node _T_5146 = eq(_T_5145, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:74] + node _T_5147 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 747:101] + node _T_5148 = and(_T_5146, _T_5147) @[el2_ifu_mem_ctl.scala 747:83] + node _T_5149 = or(_T_5144, _T_5148) @[el2_ifu_mem_ctl.scala 746:113] + node _T_5150 = or(_T_5149, reset_all_tags) @[el2_ifu_mem_ctl.scala 747:106] node tag_valid_clken_0 = cat(_T_5140, _T_5150) @[Cat.scala 29:58] - node _T_5151 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 744:35] - node _T_5152 = eq(_T_5151, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 744:82] - node _T_5153 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 744:108] - node _T_5154 = and(_T_5152, _T_5153) @[el2_ifu_mem_ctl.scala 744:91] - node _T_5155 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 745:27] - node _T_5156 = eq(_T_5155, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 745:74] - node _T_5157 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 745:101] - node _T_5158 = and(_T_5156, _T_5157) @[el2_ifu_mem_ctl.scala 745:83] - node _T_5159 = or(_T_5154, _T_5158) @[el2_ifu_mem_ctl.scala 744:113] - node _T_5160 = or(_T_5159, reset_all_tags) @[el2_ifu_mem_ctl.scala 745:106] - node _T_5161 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 744:35] - node _T_5162 = eq(_T_5161, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 744:82] - node _T_5163 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 744:108] - node _T_5164 = and(_T_5162, _T_5163) @[el2_ifu_mem_ctl.scala 744:91] - node _T_5165 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 745:27] - node _T_5166 = eq(_T_5165, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 745:74] - node _T_5167 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 745:101] - node _T_5168 = and(_T_5166, _T_5167) @[el2_ifu_mem_ctl.scala 745:83] - node _T_5169 = or(_T_5164, _T_5168) @[el2_ifu_mem_ctl.scala 744:113] - node _T_5170 = or(_T_5169, reset_all_tags) @[el2_ifu_mem_ctl.scala 745:106] + node _T_5151 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 746:35] + node _T_5152 = eq(_T_5151, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 746:82] + node _T_5153 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 746:108] + node _T_5154 = and(_T_5152, _T_5153) @[el2_ifu_mem_ctl.scala 746:91] + node _T_5155 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 747:27] + node _T_5156 = eq(_T_5155, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 747:74] + node _T_5157 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 747:101] + node _T_5158 = and(_T_5156, _T_5157) @[el2_ifu_mem_ctl.scala 747:83] + node _T_5159 = or(_T_5154, _T_5158) @[el2_ifu_mem_ctl.scala 746:113] + node _T_5160 = or(_T_5159, reset_all_tags) @[el2_ifu_mem_ctl.scala 747:106] + node _T_5161 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 746:35] + node _T_5162 = eq(_T_5161, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 746:82] + node _T_5163 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 746:108] + node _T_5164 = and(_T_5162, _T_5163) @[el2_ifu_mem_ctl.scala 746:91] + node _T_5165 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 747:27] + node _T_5166 = eq(_T_5165, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 747:74] + node _T_5167 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 747:101] + node _T_5168 = and(_T_5166, _T_5167) @[el2_ifu_mem_ctl.scala 747:83] + node _T_5169 = or(_T_5164, _T_5168) @[el2_ifu_mem_ctl.scala 746:113] + node _T_5170 = or(_T_5169, reset_all_tags) @[el2_ifu_mem_ctl.scala 747:106] node tag_valid_clken_1 = cat(_T_5160, _T_5170) @[Cat.scala 29:58] - node _T_5171 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 744:35] - node _T_5172 = eq(_T_5171, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 744:82] - node _T_5173 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 744:108] - node _T_5174 = and(_T_5172, _T_5173) @[el2_ifu_mem_ctl.scala 744:91] - node _T_5175 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 745:27] - node _T_5176 = eq(_T_5175, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 745:74] - node _T_5177 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 745:101] - node _T_5178 = and(_T_5176, _T_5177) @[el2_ifu_mem_ctl.scala 745:83] - node _T_5179 = or(_T_5174, _T_5178) @[el2_ifu_mem_ctl.scala 744:113] - node _T_5180 = or(_T_5179, reset_all_tags) @[el2_ifu_mem_ctl.scala 745:106] - node _T_5181 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 744:35] - node _T_5182 = eq(_T_5181, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 744:82] - node _T_5183 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 744:108] - node _T_5184 = and(_T_5182, _T_5183) @[el2_ifu_mem_ctl.scala 744:91] - node _T_5185 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 745:27] - node _T_5186 = eq(_T_5185, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 745:74] - node _T_5187 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 745:101] - node _T_5188 = and(_T_5186, _T_5187) @[el2_ifu_mem_ctl.scala 745:83] - node _T_5189 = or(_T_5184, _T_5188) @[el2_ifu_mem_ctl.scala 744:113] - node _T_5190 = or(_T_5189, reset_all_tags) @[el2_ifu_mem_ctl.scala 745:106] + node _T_5171 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 746:35] + node _T_5172 = eq(_T_5171, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 746:82] + node _T_5173 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 746:108] + node _T_5174 = and(_T_5172, _T_5173) @[el2_ifu_mem_ctl.scala 746:91] + node _T_5175 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 747:27] + node _T_5176 = eq(_T_5175, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 747:74] + node _T_5177 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 747:101] + node _T_5178 = and(_T_5176, _T_5177) @[el2_ifu_mem_ctl.scala 747:83] + node _T_5179 = or(_T_5174, _T_5178) @[el2_ifu_mem_ctl.scala 746:113] + node _T_5180 = or(_T_5179, reset_all_tags) @[el2_ifu_mem_ctl.scala 747:106] + node _T_5181 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 746:35] + node _T_5182 = eq(_T_5181, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 746:82] + node _T_5183 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 746:108] + node _T_5184 = and(_T_5182, _T_5183) @[el2_ifu_mem_ctl.scala 746:91] + node _T_5185 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 747:27] + node _T_5186 = eq(_T_5185, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 747:74] + node _T_5187 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 747:101] + node _T_5188 = and(_T_5186, _T_5187) @[el2_ifu_mem_ctl.scala 747:83] + node _T_5189 = or(_T_5184, _T_5188) @[el2_ifu_mem_ctl.scala 746:113] + node _T_5190 = or(_T_5189, reset_all_tags) @[el2_ifu_mem_ctl.scala 747:106] node tag_valid_clken_2 = cat(_T_5180, _T_5190) @[Cat.scala 29:58] - node _T_5191 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 744:35] - node _T_5192 = eq(_T_5191, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 744:82] - node _T_5193 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 744:108] - node _T_5194 = and(_T_5192, _T_5193) @[el2_ifu_mem_ctl.scala 744:91] - node _T_5195 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 745:27] - node _T_5196 = eq(_T_5195, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 745:74] - node _T_5197 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 745:101] - node _T_5198 = and(_T_5196, _T_5197) @[el2_ifu_mem_ctl.scala 745:83] - node _T_5199 = or(_T_5194, _T_5198) @[el2_ifu_mem_ctl.scala 744:113] - node _T_5200 = or(_T_5199, reset_all_tags) @[el2_ifu_mem_ctl.scala 745:106] - node _T_5201 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 744:35] - node _T_5202 = eq(_T_5201, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 744:82] - node _T_5203 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 744:108] - node _T_5204 = and(_T_5202, _T_5203) @[el2_ifu_mem_ctl.scala 744:91] - node _T_5205 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 745:27] - node _T_5206 = eq(_T_5205, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 745:74] - node _T_5207 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 745:101] - node _T_5208 = and(_T_5206, _T_5207) @[el2_ifu_mem_ctl.scala 745:83] - node _T_5209 = or(_T_5204, _T_5208) @[el2_ifu_mem_ctl.scala 744:113] - node _T_5210 = or(_T_5209, reset_all_tags) @[el2_ifu_mem_ctl.scala 745:106] + node _T_5191 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 746:35] + node _T_5192 = eq(_T_5191, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 746:82] + node _T_5193 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 746:108] + node _T_5194 = and(_T_5192, _T_5193) @[el2_ifu_mem_ctl.scala 746:91] + node _T_5195 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 747:27] + node _T_5196 = eq(_T_5195, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 747:74] + node _T_5197 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 747:101] + node _T_5198 = and(_T_5196, _T_5197) @[el2_ifu_mem_ctl.scala 747:83] + node _T_5199 = or(_T_5194, _T_5198) @[el2_ifu_mem_ctl.scala 746:113] + node _T_5200 = or(_T_5199, reset_all_tags) @[el2_ifu_mem_ctl.scala 747:106] + node _T_5201 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 746:35] + node _T_5202 = eq(_T_5201, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 746:82] + node _T_5203 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 746:108] + node _T_5204 = and(_T_5202, _T_5203) @[el2_ifu_mem_ctl.scala 746:91] + node _T_5205 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 747:27] + node _T_5206 = eq(_T_5205, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 747:74] + node _T_5207 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 747:101] + node _T_5208 = and(_T_5206, _T_5207) @[el2_ifu_mem_ctl.scala 747:83] + node _T_5209 = or(_T_5204, _T_5208) @[el2_ifu_mem_ctl.scala 746:113] + node _T_5210 = or(_T_5209, reset_all_tags) @[el2_ifu_mem_ctl.scala 747:106] node tag_valid_clken_3 = cat(_T_5200, _T_5210) @[Cat.scala 29:58] - wire ic_tag_valid_out : UInt<1>[128][2] @[el2_ifu_mem_ctl.scala 748:32] - node _T_5211 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5212 = eq(_T_5211, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5213 = and(ic_valid_ff, _T_5212) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5214 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5215 = and(_T_5213, _T_5214) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5216 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5217 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5218 = and(_T_5216, _T_5217) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5219 = eq(perr_ic_index_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5220 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5221 = and(_T_5219, _T_5220) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5222 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5223 = and(_T_5221, _T_5222) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5224 = or(_T_5218, _T_5223) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5225 = bits(_T_5224, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + wire ic_tag_valid_out : UInt<1>[128][2] @[el2_ifu_mem_ctl.scala 750:32] + node _T_5211 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5212 = eq(_T_5211, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5213 = and(ic_valid_ff, _T_5212) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5214 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5215 = and(_T_5213, _T_5214) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5216 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5217 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5218 = and(_T_5216, _T_5217) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5219 = eq(perr_ic_index_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5220 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5221 = and(_T_5219, _T_5220) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5222 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5223 = and(_T_5221, _T_5222) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5224 = or(_T_5218, _T_5223) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5225 = bits(_T_5224, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5226 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5225 : @[Reg.scala 28:19] _T_5226 <= _T_5215 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][0] <= _T_5226 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5227 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5228 = eq(_T_5227, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5229 = and(ic_valid_ff, _T_5228) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5230 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5231 = and(_T_5229, _T_5230) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5232 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5233 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5234 = and(_T_5232, _T_5233) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5235 = eq(perr_ic_index_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5236 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5237 = and(_T_5235, _T_5236) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5238 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5239 = and(_T_5237, _T_5238) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5240 = or(_T_5234, _T_5239) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5241 = bits(_T_5240, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][0] <= _T_5226 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5227 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5228 = eq(_T_5227, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5229 = and(ic_valid_ff, _T_5228) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5230 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5231 = and(_T_5229, _T_5230) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5232 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5233 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5234 = and(_T_5232, _T_5233) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5235 = eq(perr_ic_index_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5236 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5237 = and(_T_5235, _T_5236) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5238 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5239 = and(_T_5237, _T_5238) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5240 = or(_T_5234, _T_5239) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5241 = bits(_T_5240, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5242 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5241 : @[Reg.scala 28:19] _T_5242 <= _T_5231 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][1] <= _T_5242 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5243 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5244 = eq(_T_5243, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5245 = and(ic_valid_ff, _T_5244) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5246 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5247 = and(_T_5245, _T_5246) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5248 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5249 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5250 = and(_T_5248, _T_5249) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5251 = eq(perr_ic_index_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5252 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5253 = and(_T_5251, _T_5252) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5254 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5255 = and(_T_5253, _T_5254) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5256 = or(_T_5250, _T_5255) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5257 = bits(_T_5256, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][1] <= _T_5242 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5243 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5244 = eq(_T_5243, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5245 = and(ic_valid_ff, _T_5244) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5246 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5247 = and(_T_5245, _T_5246) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5248 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5249 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5250 = and(_T_5248, _T_5249) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5251 = eq(perr_ic_index_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5252 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5253 = and(_T_5251, _T_5252) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5254 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5255 = and(_T_5253, _T_5254) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5256 = or(_T_5250, _T_5255) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5257 = bits(_T_5256, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5258 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5257 : @[Reg.scala 28:19] _T_5258 <= _T_5247 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][2] <= _T_5258 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5259 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5260 = eq(_T_5259, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5261 = and(ic_valid_ff, _T_5260) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5262 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5263 = and(_T_5261, _T_5262) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5264 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5265 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5266 = and(_T_5264, _T_5265) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5267 = eq(perr_ic_index_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5268 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5269 = and(_T_5267, _T_5268) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5270 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5271 = and(_T_5269, _T_5270) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5272 = or(_T_5266, _T_5271) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5273 = bits(_T_5272, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][2] <= _T_5258 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5259 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5260 = eq(_T_5259, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5261 = and(ic_valid_ff, _T_5260) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5262 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5263 = and(_T_5261, _T_5262) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5264 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5265 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5266 = and(_T_5264, _T_5265) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5267 = eq(perr_ic_index_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5268 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5269 = and(_T_5267, _T_5268) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5270 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5271 = and(_T_5269, _T_5270) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5272 = or(_T_5266, _T_5271) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5273 = bits(_T_5272, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5274 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5273 : @[Reg.scala 28:19] _T_5274 <= _T_5263 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][3] <= _T_5274 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5275 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5276 = eq(_T_5275, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5277 = and(ic_valid_ff, _T_5276) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5278 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5279 = and(_T_5277, _T_5278) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5280 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5281 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5282 = and(_T_5280, _T_5281) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5283 = eq(perr_ic_index_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5284 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5285 = and(_T_5283, _T_5284) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5286 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5287 = and(_T_5285, _T_5286) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5288 = or(_T_5282, _T_5287) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5289 = bits(_T_5288, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][3] <= _T_5274 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5275 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5276 = eq(_T_5275, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5277 = and(ic_valid_ff, _T_5276) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5278 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5279 = and(_T_5277, _T_5278) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5280 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5281 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5282 = and(_T_5280, _T_5281) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5283 = eq(perr_ic_index_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5284 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5285 = and(_T_5283, _T_5284) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5286 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5287 = and(_T_5285, _T_5286) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5288 = or(_T_5282, _T_5287) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5289 = bits(_T_5288, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5290 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5289 : @[Reg.scala 28:19] _T_5290 <= _T_5279 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][4] <= _T_5290 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5291 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5292 = eq(_T_5291, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5293 = and(ic_valid_ff, _T_5292) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5294 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5295 = and(_T_5293, _T_5294) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5296 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5297 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5298 = and(_T_5296, _T_5297) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5299 = eq(perr_ic_index_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5300 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5301 = and(_T_5299, _T_5300) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5302 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5303 = and(_T_5301, _T_5302) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5304 = or(_T_5298, _T_5303) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5305 = bits(_T_5304, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][4] <= _T_5290 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5291 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5292 = eq(_T_5291, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5293 = and(ic_valid_ff, _T_5292) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5294 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5295 = and(_T_5293, _T_5294) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5296 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5297 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5298 = and(_T_5296, _T_5297) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5299 = eq(perr_ic_index_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5300 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5301 = and(_T_5299, _T_5300) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5302 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5303 = and(_T_5301, _T_5302) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5304 = or(_T_5298, _T_5303) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5305 = bits(_T_5304, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5306 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5305 : @[Reg.scala 28:19] _T_5306 <= _T_5295 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][5] <= _T_5306 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5307 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5308 = eq(_T_5307, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5309 = and(ic_valid_ff, _T_5308) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5310 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5311 = and(_T_5309, _T_5310) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5312 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5313 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5314 = and(_T_5312, _T_5313) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5315 = eq(perr_ic_index_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5316 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5317 = and(_T_5315, _T_5316) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5318 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5319 = and(_T_5317, _T_5318) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5320 = or(_T_5314, _T_5319) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5321 = bits(_T_5320, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][5] <= _T_5306 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5307 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5308 = eq(_T_5307, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5309 = and(ic_valid_ff, _T_5308) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5310 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5311 = and(_T_5309, _T_5310) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5312 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5313 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5314 = and(_T_5312, _T_5313) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5315 = eq(perr_ic_index_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5316 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5317 = and(_T_5315, _T_5316) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5318 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5319 = and(_T_5317, _T_5318) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5320 = or(_T_5314, _T_5319) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5321 = bits(_T_5320, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5322 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5321 : @[Reg.scala 28:19] _T_5322 <= _T_5311 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][6] <= _T_5322 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5323 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5324 = eq(_T_5323, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5325 = and(ic_valid_ff, _T_5324) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5326 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5327 = and(_T_5325, _T_5326) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5328 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5329 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5330 = and(_T_5328, _T_5329) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5331 = eq(perr_ic_index_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5332 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5333 = and(_T_5331, _T_5332) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5334 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5335 = and(_T_5333, _T_5334) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5336 = or(_T_5330, _T_5335) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5337 = bits(_T_5336, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][6] <= _T_5322 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5323 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5324 = eq(_T_5323, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5325 = and(ic_valid_ff, _T_5324) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5326 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5327 = and(_T_5325, _T_5326) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5328 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5329 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5330 = and(_T_5328, _T_5329) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5331 = eq(perr_ic_index_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5332 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5333 = and(_T_5331, _T_5332) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5334 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5335 = and(_T_5333, _T_5334) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5336 = or(_T_5330, _T_5335) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5337 = bits(_T_5336, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5338 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5337 : @[Reg.scala 28:19] _T_5338 <= _T_5327 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][7] <= _T_5338 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5339 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5340 = eq(_T_5339, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5341 = and(ic_valid_ff, _T_5340) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5342 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5343 = and(_T_5341, _T_5342) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5344 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5345 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5346 = and(_T_5344, _T_5345) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5347 = eq(perr_ic_index_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5348 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5349 = and(_T_5347, _T_5348) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5350 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5351 = and(_T_5349, _T_5350) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5352 = or(_T_5346, _T_5351) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5353 = bits(_T_5352, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][7] <= _T_5338 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5339 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5340 = eq(_T_5339, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5341 = and(ic_valid_ff, _T_5340) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5342 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5343 = and(_T_5341, _T_5342) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5344 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5345 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5346 = and(_T_5344, _T_5345) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5347 = eq(perr_ic_index_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5348 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5349 = and(_T_5347, _T_5348) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5350 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5351 = and(_T_5349, _T_5350) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5352 = or(_T_5346, _T_5351) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5353 = bits(_T_5352, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5354 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5353 : @[Reg.scala 28:19] _T_5354 <= _T_5343 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][8] <= _T_5354 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5355 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5356 = eq(_T_5355, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5357 = and(ic_valid_ff, _T_5356) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5358 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5359 = and(_T_5357, _T_5358) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5360 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5361 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5362 = and(_T_5360, _T_5361) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5363 = eq(perr_ic_index_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5364 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5365 = and(_T_5363, _T_5364) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5366 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5367 = and(_T_5365, _T_5366) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5368 = or(_T_5362, _T_5367) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5369 = bits(_T_5368, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][8] <= _T_5354 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5355 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5356 = eq(_T_5355, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5357 = and(ic_valid_ff, _T_5356) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5358 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5359 = and(_T_5357, _T_5358) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5360 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5361 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5362 = and(_T_5360, _T_5361) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5363 = eq(perr_ic_index_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5364 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5365 = and(_T_5363, _T_5364) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5366 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5367 = and(_T_5365, _T_5366) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5368 = or(_T_5362, _T_5367) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5369 = bits(_T_5368, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5370 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5369 : @[Reg.scala 28:19] _T_5370 <= _T_5359 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][9] <= _T_5370 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5371 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5372 = eq(_T_5371, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5373 = and(ic_valid_ff, _T_5372) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5374 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5375 = and(_T_5373, _T_5374) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5376 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5377 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5378 = and(_T_5376, _T_5377) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5379 = eq(perr_ic_index_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5380 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5381 = and(_T_5379, _T_5380) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5382 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5383 = and(_T_5381, _T_5382) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5384 = or(_T_5378, _T_5383) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5385 = bits(_T_5384, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][9] <= _T_5370 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5371 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5372 = eq(_T_5371, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5373 = and(ic_valid_ff, _T_5372) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5374 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5375 = and(_T_5373, _T_5374) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5376 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5377 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5378 = and(_T_5376, _T_5377) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5379 = eq(perr_ic_index_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5380 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5381 = and(_T_5379, _T_5380) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5382 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5383 = and(_T_5381, _T_5382) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5384 = or(_T_5378, _T_5383) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5385 = bits(_T_5384, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5386 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5385 : @[Reg.scala 28:19] _T_5386 <= _T_5375 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][10] <= _T_5386 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5387 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5388 = eq(_T_5387, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5389 = and(ic_valid_ff, _T_5388) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5390 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5391 = and(_T_5389, _T_5390) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5392 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5393 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5394 = and(_T_5392, _T_5393) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5395 = eq(perr_ic_index_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5396 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5397 = and(_T_5395, _T_5396) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5398 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5399 = and(_T_5397, _T_5398) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5400 = or(_T_5394, _T_5399) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5401 = bits(_T_5400, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][10] <= _T_5386 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5387 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5388 = eq(_T_5387, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5389 = and(ic_valid_ff, _T_5388) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5390 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5391 = and(_T_5389, _T_5390) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5392 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5393 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5394 = and(_T_5392, _T_5393) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5395 = eq(perr_ic_index_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5396 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5397 = and(_T_5395, _T_5396) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5398 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5399 = and(_T_5397, _T_5398) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5400 = or(_T_5394, _T_5399) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5401 = bits(_T_5400, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5402 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5401 : @[Reg.scala 28:19] _T_5402 <= _T_5391 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][11] <= _T_5402 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5403 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5404 = eq(_T_5403, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5405 = and(ic_valid_ff, _T_5404) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5406 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5407 = and(_T_5405, _T_5406) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5408 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5409 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5410 = and(_T_5408, _T_5409) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5411 = eq(perr_ic_index_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5412 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5413 = and(_T_5411, _T_5412) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5414 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5415 = and(_T_5413, _T_5414) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5416 = or(_T_5410, _T_5415) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5417 = bits(_T_5416, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][11] <= _T_5402 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5403 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5404 = eq(_T_5403, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5405 = and(ic_valid_ff, _T_5404) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5406 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5407 = and(_T_5405, _T_5406) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5408 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5409 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5410 = and(_T_5408, _T_5409) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5411 = eq(perr_ic_index_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5412 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5413 = and(_T_5411, _T_5412) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5414 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5415 = and(_T_5413, _T_5414) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5416 = or(_T_5410, _T_5415) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5417 = bits(_T_5416, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5418 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5417 : @[Reg.scala 28:19] _T_5418 <= _T_5407 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][12] <= _T_5418 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5419 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5420 = eq(_T_5419, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5421 = and(ic_valid_ff, _T_5420) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5422 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5423 = and(_T_5421, _T_5422) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5424 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5425 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5426 = and(_T_5424, _T_5425) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5427 = eq(perr_ic_index_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5428 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5429 = and(_T_5427, _T_5428) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5430 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5431 = and(_T_5429, _T_5430) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5432 = or(_T_5426, _T_5431) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5433 = bits(_T_5432, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][12] <= _T_5418 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5419 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5420 = eq(_T_5419, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5421 = and(ic_valid_ff, _T_5420) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5422 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5423 = and(_T_5421, _T_5422) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5424 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5425 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5426 = and(_T_5424, _T_5425) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5427 = eq(perr_ic_index_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5428 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5429 = and(_T_5427, _T_5428) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5430 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5431 = and(_T_5429, _T_5430) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5432 = or(_T_5426, _T_5431) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5433 = bits(_T_5432, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5434 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5433 : @[Reg.scala 28:19] _T_5434 <= _T_5423 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][13] <= _T_5434 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5435 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5436 = eq(_T_5435, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5437 = and(ic_valid_ff, _T_5436) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5438 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5439 = and(_T_5437, _T_5438) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5440 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5441 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5442 = and(_T_5440, _T_5441) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5443 = eq(perr_ic_index_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5444 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5445 = and(_T_5443, _T_5444) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5446 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5447 = and(_T_5445, _T_5446) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5448 = or(_T_5442, _T_5447) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5449 = bits(_T_5448, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][13] <= _T_5434 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5435 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5436 = eq(_T_5435, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5437 = and(ic_valid_ff, _T_5436) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5438 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5439 = and(_T_5437, _T_5438) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5440 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5441 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5442 = and(_T_5440, _T_5441) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5443 = eq(perr_ic_index_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5444 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5445 = and(_T_5443, _T_5444) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5446 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5447 = and(_T_5445, _T_5446) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5448 = or(_T_5442, _T_5447) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5449 = bits(_T_5448, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5450 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5449 : @[Reg.scala 28:19] _T_5450 <= _T_5439 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][14] <= _T_5450 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5451 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5452 = eq(_T_5451, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5453 = and(ic_valid_ff, _T_5452) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5454 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5455 = and(_T_5453, _T_5454) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5456 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5457 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5458 = and(_T_5456, _T_5457) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5459 = eq(perr_ic_index_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5460 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5461 = and(_T_5459, _T_5460) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5462 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5463 = and(_T_5461, _T_5462) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5464 = or(_T_5458, _T_5463) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5465 = bits(_T_5464, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][14] <= _T_5450 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5451 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5452 = eq(_T_5451, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5453 = and(ic_valid_ff, _T_5452) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5454 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5455 = and(_T_5453, _T_5454) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5456 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5457 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5458 = and(_T_5456, _T_5457) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5459 = eq(perr_ic_index_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5460 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5461 = and(_T_5459, _T_5460) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5462 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5463 = and(_T_5461, _T_5462) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5464 = or(_T_5458, _T_5463) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5465 = bits(_T_5464, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5466 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5465 : @[Reg.scala 28:19] _T_5466 <= _T_5455 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][15] <= _T_5466 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5467 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5468 = eq(_T_5467, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5469 = and(ic_valid_ff, _T_5468) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5470 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5471 = and(_T_5469, _T_5470) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5472 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5473 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5474 = and(_T_5472, _T_5473) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5475 = eq(perr_ic_index_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5476 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5477 = and(_T_5475, _T_5476) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5478 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5479 = and(_T_5477, _T_5478) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5480 = or(_T_5474, _T_5479) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5481 = bits(_T_5480, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][15] <= _T_5466 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5467 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5468 = eq(_T_5467, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5469 = and(ic_valid_ff, _T_5468) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5470 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5471 = and(_T_5469, _T_5470) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5472 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5473 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5474 = and(_T_5472, _T_5473) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5475 = eq(perr_ic_index_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5476 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5477 = and(_T_5475, _T_5476) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5478 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5479 = and(_T_5477, _T_5478) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5480 = or(_T_5474, _T_5479) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5481 = bits(_T_5480, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5482 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5481 : @[Reg.scala 28:19] _T_5482 <= _T_5471 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][16] <= _T_5482 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5483 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5484 = eq(_T_5483, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5485 = and(ic_valid_ff, _T_5484) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5486 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5487 = and(_T_5485, _T_5486) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5488 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5489 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5490 = and(_T_5488, _T_5489) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5491 = eq(perr_ic_index_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5492 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5493 = and(_T_5491, _T_5492) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5494 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5495 = and(_T_5493, _T_5494) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5496 = or(_T_5490, _T_5495) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5497 = bits(_T_5496, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][16] <= _T_5482 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5483 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5484 = eq(_T_5483, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5485 = and(ic_valid_ff, _T_5484) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5486 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5487 = and(_T_5485, _T_5486) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5488 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5489 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5490 = and(_T_5488, _T_5489) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5491 = eq(perr_ic_index_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5492 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5493 = and(_T_5491, _T_5492) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5494 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5495 = and(_T_5493, _T_5494) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5496 = or(_T_5490, _T_5495) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5497 = bits(_T_5496, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5498 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5497 : @[Reg.scala 28:19] _T_5498 <= _T_5487 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][17] <= _T_5498 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5499 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5500 = eq(_T_5499, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5501 = and(ic_valid_ff, _T_5500) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5502 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5503 = and(_T_5501, _T_5502) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5504 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5505 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5506 = and(_T_5504, _T_5505) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5507 = eq(perr_ic_index_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5508 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5509 = and(_T_5507, _T_5508) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5510 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5511 = and(_T_5509, _T_5510) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5512 = or(_T_5506, _T_5511) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5513 = bits(_T_5512, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][17] <= _T_5498 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5499 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5500 = eq(_T_5499, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5501 = and(ic_valid_ff, _T_5500) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5502 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5503 = and(_T_5501, _T_5502) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5504 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5505 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5506 = and(_T_5504, _T_5505) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5507 = eq(perr_ic_index_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5508 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5509 = and(_T_5507, _T_5508) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5510 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5511 = and(_T_5509, _T_5510) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5512 = or(_T_5506, _T_5511) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5513 = bits(_T_5512, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5514 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5513 : @[Reg.scala 28:19] _T_5514 <= _T_5503 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][18] <= _T_5514 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5515 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5516 = eq(_T_5515, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5517 = and(ic_valid_ff, _T_5516) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5518 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5519 = and(_T_5517, _T_5518) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5520 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5521 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5522 = and(_T_5520, _T_5521) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5523 = eq(perr_ic_index_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5524 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5525 = and(_T_5523, _T_5524) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5526 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5527 = and(_T_5525, _T_5526) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5528 = or(_T_5522, _T_5527) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5529 = bits(_T_5528, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][18] <= _T_5514 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5515 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5516 = eq(_T_5515, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5517 = and(ic_valid_ff, _T_5516) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5518 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5519 = and(_T_5517, _T_5518) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5520 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5521 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5522 = and(_T_5520, _T_5521) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5523 = eq(perr_ic_index_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5524 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5525 = and(_T_5523, _T_5524) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5526 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5527 = and(_T_5525, _T_5526) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5528 = or(_T_5522, _T_5527) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5529 = bits(_T_5528, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5530 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5529 : @[Reg.scala 28:19] _T_5530 <= _T_5519 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][19] <= _T_5530 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5531 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5532 = eq(_T_5531, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5533 = and(ic_valid_ff, _T_5532) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5534 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5535 = and(_T_5533, _T_5534) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5536 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5537 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5538 = and(_T_5536, _T_5537) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5539 = eq(perr_ic_index_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5540 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5541 = and(_T_5539, _T_5540) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5542 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5543 = and(_T_5541, _T_5542) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5544 = or(_T_5538, _T_5543) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5545 = bits(_T_5544, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][19] <= _T_5530 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5531 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5532 = eq(_T_5531, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5533 = and(ic_valid_ff, _T_5532) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5534 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5535 = and(_T_5533, _T_5534) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5536 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5537 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5538 = and(_T_5536, _T_5537) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5539 = eq(perr_ic_index_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5540 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5541 = and(_T_5539, _T_5540) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5542 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5543 = and(_T_5541, _T_5542) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5544 = or(_T_5538, _T_5543) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5545 = bits(_T_5544, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5546 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5545 : @[Reg.scala 28:19] _T_5546 <= _T_5535 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][20] <= _T_5546 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5547 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5548 = eq(_T_5547, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5549 = and(ic_valid_ff, _T_5548) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5550 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5551 = and(_T_5549, _T_5550) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5552 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5553 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5554 = and(_T_5552, _T_5553) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5555 = eq(perr_ic_index_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5556 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5557 = and(_T_5555, _T_5556) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5558 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5559 = and(_T_5557, _T_5558) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5560 = or(_T_5554, _T_5559) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5561 = bits(_T_5560, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][20] <= _T_5546 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5547 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5548 = eq(_T_5547, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5549 = and(ic_valid_ff, _T_5548) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5550 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5551 = and(_T_5549, _T_5550) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5552 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5553 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5554 = and(_T_5552, _T_5553) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5555 = eq(perr_ic_index_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5556 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5557 = and(_T_5555, _T_5556) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5558 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5559 = and(_T_5557, _T_5558) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5560 = or(_T_5554, _T_5559) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5561 = bits(_T_5560, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5562 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5561 : @[Reg.scala 28:19] _T_5562 <= _T_5551 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][21] <= _T_5562 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5563 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5564 = eq(_T_5563, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5565 = and(ic_valid_ff, _T_5564) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5566 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5567 = and(_T_5565, _T_5566) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5568 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5569 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5570 = and(_T_5568, _T_5569) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5571 = eq(perr_ic_index_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5572 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5573 = and(_T_5571, _T_5572) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5574 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5575 = and(_T_5573, _T_5574) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5576 = or(_T_5570, _T_5575) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5577 = bits(_T_5576, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][21] <= _T_5562 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5563 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5564 = eq(_T_5563, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5565 = and(ic_valid_ff, _T_5564) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5566 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5567 = and(_T_5565, _T_5566) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5568 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5569 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5570 = and(_T_5568, _T_5569) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5571 = eq(perr_ic_index_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5572 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5573 = and(_T_5571, _T_5572) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5574 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5575 = and(_T_5573, _T_5574) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5576 = or(_T_5570, _T_5575) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5577 = bits(_T_5576, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5578 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5577 : @[Reg.scala 28:19] _T_5578 <= _T_5567 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][22] <= _T_5578 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5579 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5580 = eq(_T_5579, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5581 = and(ic_valid_ff, _T_5580) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5582 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5583 = and(_T_5581, _T_5582) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5584 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5585 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5586 = and(_T_5584, _T_5585) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5587 = eq(perr_ic_index_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5588 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5589 = and(_T_5587, _T_5588) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5590 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5591 = and(_T_5589, _T_5590) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5592 = or(_T_5586, _T_5591) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5593 = bits(_T_5592, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][22] <= _T_5578 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5579 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5580 = eq(_T_5579, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5581 = and(ic_valid_ff, _T_5580) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5582 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5583 = and(_T_5581, _T_5582) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5584 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5585 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5586 = and(_T_5584, _T_5585) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5587 = eq(perr_ic_index_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5588 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5589 = and(_T_5587, _T_5588) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5590 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5591 = and(_T_5589, _T_5590) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5592 = or(_T_5586, _T_5591) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5593 = bits(_T_5592, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5594 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5593 : @[Reg.scala 28:19] _T_5594 <= _T_5583 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][23] <= _T_5594 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5595 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5596 = eq(_T_5595, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5597 = and(ic_valid_ff, _T_5596) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5598 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5599 = and(_T_5597, _T_5598) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5600 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5601 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5602 = and(_T_5600, _T_5601) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5603 = eq(perr_ic_index_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5604 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5605 = and(_T_5603, _T_5604) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5606 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5607 = and(_T_5605, _T_5606) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5608 = or(_T_5602, _T_5607) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5609 = bits(_T_5608, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][23] <= _T_5594 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5595 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5596 = eq(_T_5595, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5597 = and(ic_valid_ff, _T_5596) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5598 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5599 = and(_T_5597, _T_5598) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5600 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5601 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5602 = and(_T_5600, _T_5601) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5603 = eq(perr_ic_index_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5604 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5605 = and(_T_5603, _T_5604) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5606 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5607 = and(_T_5605, _T_5606) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5608 = or(_T_5602, _T_5607) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5609 = bits(_T_5608, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5610 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5609 : @[Reg.scala 28:19] _T_5610 <= _T_5599 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][24] <= _T_5610 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5611 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5612 = eq(_T_5611, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5613 = and(ic_valid_ff, _T_5612) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5614 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5615 = and(_T_5613, _T_5614) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5616 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5617 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5618 = and(_T_5616, _T_5617) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5619 = eq(perr_ic_index_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5620 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5621 = and(_T_5619, _T_5620) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5622 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5623 = and(_T_5621, _T_5622) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5624 = or(_T_5618, _T_5623) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5625 = bits(_T_5624, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][24] <= _T_5610 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5611 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5612 = eq(_T_5611, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5613 = and(ic_valid_ff, _T_5612) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5614 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5615 = and(_T_5613, _T_5614) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5616 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5617 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5618 = and(_T_5616, _T_5617) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5619 = eq(perr_ic_index_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5620 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5621 = and(_T_5619, _T_5620) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5622 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5623 = and(_T_5621, _T_5622) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5624 = or(_T_5618, _T_5623) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5625 = bits(_T_5624, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5626 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5625 : @[Reg.scala 28:19] _T_5626 <= _T_5615 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][25] <= _T_5626 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5627 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5628 = eq(_T_5627, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5629 = and(ic_valid_ff, _T_5628) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5630 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5631 = and(_T_5629, _T_5630) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5632 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5633 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5634 = and(_T_5632, _T_5633) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5635 = eq(perr_ic_index_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5636 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5637 = and(_T_5635, _T_5636) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5638 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5639 = and(_T_5637, _T_5638) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5640 = or(_T_5634, _T_5639) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5641 = bits(_T_5640, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][25] <= _T_5626 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5627 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5628 = eq(_T_5627, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5629 = and(ic_valid_ff, _T_5628) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5630 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5631 = and(_T_5629, _T_5630) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5632 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5633 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5634 = and(_T_5632, _T_5633) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5635 = eq(perr_ic_index_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5636 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5637 = and(_T_5635, _T_5636) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5638 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5639 = and(_T_5637, _T_5638) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5640 = or(_T_5634, _T_5639) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5641 = bits(_T_5640, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5642 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5641 : @[Reg.scala 28:19] _T_5642 <= _T_5631 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][26] <= _T_5642 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5643 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5644 = eq(_T_5643, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5645 = and(ic_valid_ff, _T_5644) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5646 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5647 = and(_T_5645, _T_5646) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5648 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5649 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5650 = and(_T_5648, _T_5649) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5651 = eq(perr_ic_index_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5652 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5653 = and(_T_5651, _T_5652) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5654 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5655 = and(_T_5653, _T_5654) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5656 = or(_T_5650, _T_5655) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5657 = bits(_T_5656, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][26] <= _T_5642 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5643 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5644 = eq(_T_5643, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5645 = and(ic_valid_ff, _T_5644) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5646 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5647 = and(_T_5645, _T_5646) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5648 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5649 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5650 = and(_T_5648, _T_5649) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5651 = eq(perr_ic_index_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5652 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5653 = and(_T_5651, _T_5652) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5654 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5655 = and(_T_5653, _T_5654) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5656 = or(_T_5650, _T_5655) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5657 = bits(_T_5656, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5658 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5657 : @[Reg.scala 28:19] _T_5658 <= _T_5647 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][27] <= _T_5658 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5659 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5660 = eq(_T_5659, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5661 = and(ic_valid_ff, _T_5660) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5662 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5663 = and(_T_5661, _T_5662) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5664 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5665 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5666 = and(_T_5664, _T_5665) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5667 = eq(perr_ic_index_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5668 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5669 = and(_T_5667, _T_5668) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5670 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5671 = and(_T_5669, _T_5670) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5672 = or(_T_5666, _T_5671) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5673 = bits(_T_5672, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][27] <= _T_5658 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5659 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5660 = eq(_T_5659, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5661 = and(ic_valid_ff, _T_5660) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5662 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5663 = and(_T_5661, _T_5662) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5664 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5665 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5666 = and(_T_5664, _T_5665) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5667 = eq(perr_ic_index_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5668 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5669 = and(_T_5667, _T_5668) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5670 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5671 = and(_T_5669, _T_5670) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5672 = or(_T_5666, _T_5671) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5673 = bits(_T_5672, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5674 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5673 : @[Reg.scala 28:19] _T_5674 <= _T_5663 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][28] <= _T_5674 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5675 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5676 = eq(_T_5675, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5677 = and(ic_valid_ff, _T_5676) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5678 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5679 = and(_T_5677, _T_5678) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5680 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5681 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5682 = and(_T_5680, _T_5681) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5683 = eq(perr_ic_index_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5684 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5685 = and(_T_5683, _T_5684) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5686 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5687 = and(_T_5685, _T_5686) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5688 = or(_T_5682, _T_5687) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5689 = bits(_T_5688, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][28] <= _T_5674 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5675 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5676 = eq(_T_5675, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5677 = and(ic_valid_ff, _T_5676) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5678 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5679 = and(_T_5677, _T_5678) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5680 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5681 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5682 = and(_T_5680, _T_5681) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5683 = eq(perr_ic_index_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5684 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5685 = and(_T_5683, _T_5684) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5686 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5687 = and(_T_5685, _T_5686) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5688 = or(_T_5682, _T_5687) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5689 = bits(_T_5688, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5690 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5689 : @[Reg.scala 28:19] _T_5690 <= _T_5679 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][29] <= _T_5690 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5691 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5692 = eq(_T_5691, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5693 = and(ic_valid_ff, _T_5692) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5694 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5695 = and(_T_5693, _T_5694) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5696 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5697 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5698 = and(_T_5696, _T_5697) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5699 = eq(perr_ic_index_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5700 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5701 = and(_T_5699, _T_5700) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5702 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5703 = and(_T_5701, _T_5702) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5704 = or(_T_5698, _T_5703) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5705 = bits(_T_5704, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][29] <= _T_5690 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5691 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5692 = eq(_T_5691, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5693 = and(ic_valid_ff, _T_5692) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5694 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5695 = and(_T_5693, _T_5694) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5696 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5697 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5698 = and(_T_5696, _T_5697) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5699 = eq(perr_ic_index_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5700 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5701 = and(_T_5699, _T_5700) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5702 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5703 = and(_T_5701, _T_5702) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5704 = or(_T_5698, _T_5703) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5705 = bits(_T_5704, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5706 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5705 : @[Reg.scala 28:19] _T_5706 <= _T_5695 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][30] <= _T_5706 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5707 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5708 = eq(_T_5707, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5709 = and(ic_valid_ff, _T_5708) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5710 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5711 = and(_T_5709, _T_5710) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5712 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5713 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5714 = and(_T_5712, _T_5713) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5715 = eq(perr_ic_index_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5716 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5717 = and(_T_5715, _T_5716) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5718 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5719 = and(_T_5717, _T_5718) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5720 = or(_T_5714, _T_5719) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5721 = bits(_T_5720, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][30] <= _T_5706 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5707 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5708 = eq(_T_5707, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5709 = and(ic_valid_ff, _T_5708) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5710 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5711 = and(_T_5709, _T_5710) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5712 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5713 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5714 = and(_T_5712, _T_5713) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5715 = eq(perr_ic_index_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5716 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5717 = and(_T_5715, _T_5716) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5718 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5719 = and(_T_5717, _T_5718) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5720 = or(_T_5714, _T_5719) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5721 = bits(_T_5720, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5722 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5721 : @[Reg.scala 28:19] _T_5722 <= _T_5711 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][31] <= _T_5722 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5723 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5724 = eq(_T_5723, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5725 = and(ic_valid_ff, _T_5724) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5726 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5727 = and(_T_5725, _T_5726) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5728 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5729 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5730 = and(_T_5728, _T_5729) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5731 = eq(perr_ic_index_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5732 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5733 = and(_T_5731, _T_5732) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5734 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5735 = and(_T_5733, _T_5734) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5736 = or(_T_5730, _T_5735) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5737 = bits(_T_5736, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][31] <= _T_5722 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5723 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5724 = eq(_T_5723, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5725 = and(ic_valid_ff, _T_5724) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5726 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5727 = and(_T_5725, _T_5726) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5728 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5729 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5730 = and(_T_5728, _T_5729) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5731 = eq(perr_ic_index_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5732 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5733 = and(_T_5731, _T_5732) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5734 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5735 = and(_T_5733, _T_5734) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5736 = or(_T_5730, _T_5735) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5737 = bits(_T_5736, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5738 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5737 : @[Reg.scala 28:19] _T_5738 <= _T_5727 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][0] <= _T_5738 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5739 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5740 = eq(_T_5739, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5741 = and(ic_valid_ff, _T_5740) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5742 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5743 = and(_T_5741, _T_5742) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5744 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5745 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5746 = and(_T_5744, _T_5745) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5747 = eq(perr_ic_index_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5748 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5749 = and(_T_5747, _T_5748) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5750 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5751 = and(_T_5749, _T_5750) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5752 = or(_T_5746, _T_5751) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5753 = bits(_T_5752, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][0] <= _T_5738 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5739 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5740 = eq(_T_5739, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5741 = and(ic_valid_ff, _T_5740) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5742 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5743 = and(_T_5741, _T_5742) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5744 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5745 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5746 = and(_T_5744, _T_5745) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5747 = eq(perr_ic_index_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5748 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5749 = and(_T_5747, _T_5748) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5750 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5751 = and(_T_5749, _T_5750) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5752 = or(_T_5746, _T_5751) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5753 = bits(_T_5752, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5754 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5753 : @[Reg.scala 28:19] _T_5754 <= _T_5743 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][1] <= _T_5754 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5755 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5756 = eq(_T_5755, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5757 = and(ic_valid_ff, _T_5756) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5758 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5759 = and(_T_5757, _T_5758) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5760 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5761 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5762 = and(_T_5760, _T_5761) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5763 = eq(perr_ic_index_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5764 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5765 = and(_T_5763, _T_5764) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5766 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5767 = and(_T_5765, _T_5766) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5768 = or(_T_5762, _T_5767) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5769 = bits(_T_5768, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][1] <= _T_5754 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5755 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5756 = eq(_T_5755, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5757 = and(ic_valid_ff, _T_5756) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5758 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5759 = and(_T_5757, _T_5758) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5760 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5761 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5762 = and(_T_5760, _T_5761) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5763 = eq(perr_ic_index_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5764 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5765 = and(_T_5763, _T_5764) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5766 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5767 = and(_T_5765, _T_5766) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5768 = or(_T_5762, _T_5767) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5769 = bits(_T_5768, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5770 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5769 : @[Reg.scala 28:19] _T_5770 <= _T_5759 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][2] <= _T_5770 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5771 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5772 = eq(_T_5771, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5773 = and(ic_valid_ff, _T_5772) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5774 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5775 = and(_T_5773, _T_5774) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5776 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5777 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5778 = and(_T_5776, _T_5777) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5779 = eq(perr_ic_index_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5780 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5781 = and(_T_5779, _T_5780) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5782 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5783 = and(_T_5781, _T_5782) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5784 = or(_T_5778, _T_5783) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5785 = bits(_T_5784, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][2] <= _T_5770 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5771 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5772 = eq(_T_5771, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5773 = and(ic_valid_ff, _T_5772) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5774 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5775 = and(_T_5773, _T_5774) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5776 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5777 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5778 = and(_T_5776, _T_5777) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5779 = eq(perr_ic_index_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5780 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5781 = and(_T_5779, _T_5780) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5782 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5783 = and(_T_5781, _T_5782) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5784 = or(_T_5778, _T_5783) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5785 = bits(_T_5784, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5786 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5785 : @[Reg.scala 28:19] _T_5786 <= _T_5775 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][3] <= _T_5786 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5787 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5788 = eq(_T_5787, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5789 = and(ic_valid_ff, _T_5788) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5790 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5791 = and(_T_5789, _T_5790) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5792 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5793 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5794 = and(_T_5792, _T_5793) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5795 = eq(perr_ic_index_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5796 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5797 = and(_T_5795, _T_5796) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5798 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5799 = and(_T_5797, _T_5798) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5800 = or(_T_5794, _T_5799) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5801 = bits(_T_5800, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][3] <= _T_5786 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5787 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5788 = eq(_T_5787, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5789 = and(ic_valid_ff, _T_5788) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5790 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5791 = and(_T_5789, _T_5790) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5792 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5793 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5794 = and(_T_5792, _T_5793) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5795 = eq(perr_ic_index_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5796 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5797 = and(_T_5795, _T_5796) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5798 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5799 = and(_T_5797, _T_5798) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5800 = or(_T_5794, _T_5799) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5801 = bits(_T_5800, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5802 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5801 : @[Reg.scala 28:19] _T_5802 <= _T_5791 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][4] <= _T_5802 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5803 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5804 = eq(_T_5803, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5805 = and(ic_valid_ff, _T_5804) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5806 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5807 = and(_T_5805, _T_5806) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5808 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5809 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5810 = and(_T_5808, _T_5809) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5811 = eq(perr_ic_index_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5812 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5813 = and(_T_5811, _T_5812) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5814 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5815 = and(_T_5813, _T_5814) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5816 = or(_T_5810, _T_5815) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5817 = bits(_T_5816, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][4] <= _T_5802 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5803 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5804 = eq(_T_5803, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5805 = and(ic_valid_ff, _T_5804) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5806 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5807 = and(_T_5805, _T_5806) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5808 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5809 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5810 = and(_T_5808, _T_5809) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5811 = eq(perr_ic_index_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5812 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5813 = and(_T_5811, _T_5812) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5814 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5815 = and(_T_5813, _T_5814) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5816 = or(_T_5810, _T_5815) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5817 = bits(_T_5816, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5818 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5817 : @[Reg.scala 28:19] _T_5818 <= _T_5807 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][5] <= _T_5818 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5819 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5820 = eq(_T_5819, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5821 = and(ic_valid_ff, _T_5820) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5822 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5823 = and(_T_5821, _T_5822) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5824 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5825 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5826 = and(_T_5824, _T_5825) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5827 = eq(perr_ic_index_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5828 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5829 = and(_T_5827, _T_5828) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5830 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5831 = and(_T_5829, _T_5830) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5832 = or(_T_5826, _T_5831) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5833 = bits(_T_5832, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][5] <= _T_5818 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5819 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5820 = eq(_T_5819, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5821 = and(ic_valid_ff, _T_5820) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5822 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5823 = and(_T_5821, _T_5822) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5824 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5825 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5826 = and(_T_5824, _T_5825) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5827 = eq(perr_ic_index_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5828 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5829 = and(_T_5827, _T_5828) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5830 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5831 = and(_T_5829, _T_5830) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5832 = or(_T_5826, _T_5831) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5833 = bits(_T_5832, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5834 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5833 : @[Reg.scala 28:19] _T_5834 <= _T_5823 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][6] <= _T_5834 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5835 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5836 = eq(_T_5835, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5837 = and(ic_valid_ff, _T_5836) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5838 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5839 = and(_T_5837, _T_5838) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5840 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5841 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5842 = and(_T_5840, _T_5841) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5843 = eq(perr_ic_index_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5844 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5845 = and(_T_5843, _T_5844) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5846 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5847 = and(_T_5845, _T_5846) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5848 = or(_T_5842, _T_5847) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5849 = bits(_T_5848, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][6] <= _T_5834 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5835 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5836 = eq(_T_5835, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5837 = and(ic_valid_ff, _T_5836) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5838 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5839 = and(_T_5837, _T_5838) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5840 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5841 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5842 = and(_T_5840, _T_5841) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5843 = eq(perr_ic_index_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5844 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5845 = and(_T_5843, _T_5844) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5846 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5847 = and(_T_5845, _T_5846) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5848 = or(_T_5842, _T_5847) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5849 = bits(_T_5848, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5850 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5849 : @[Reg.scala 28:19] _T_5850 <= _T_5839 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][7] <= _T_5850 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5851 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5852 = eq(_T_5851, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5853 = and(ic_valid_ff, _T_5852) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5854 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5855 = and(_T_5853, _T_5854) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5856 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5857 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5858 = and(_T_5856, _T_5857) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5859 = eq(perr_ic_index_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5860 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5861 = and(_T_5859, _T_5860) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5862 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5863 = and(_T_5861, _T_5862) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5864 = or(_T_5858, _T_5863) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5865 = bits(_T_5864, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][7] <= _T_5850 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5851 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5852 = eq(_T_5851, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5853 = and(ic_valid_ff, _T_5852) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5854 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5855 = and(_T_5853, _T_5854) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5856 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5857 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5858 = and(_T_5856, _T_5857) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5859 = eq(perr_ic_index_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5860 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5861 = and(_T_5859, _T_5860) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5862 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5863 = and(_T_5861, _T_5862) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5864 = or(_T_5858, _T_5863) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5865 = bits(_T_5864, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5866 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5865 : @[Reg.scala 28:19] _T_5866 <= _T_5855 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][8] <= _T_5866 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5867 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5868 = eq(_T_5867, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5869 = and(ic_valid_ff, _T_5868) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5870 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5871 = and(_T_5869, _T_5870) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5872 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5873 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5874 = and(_T_5872, _T_5873) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5875 = eq(perr_ic_index_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5876 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5877 = and(_T_5875, _T_5876) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5878 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5879 = and(_T_5877, _T_5878) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5880 = or(_T_5874, _T_5879) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5881 = bits(_T_5880, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][8] <= _T_5866 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5867 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5868 = eq(_T_5867, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5869 = and(ic_valid_ff, _T_5868) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5870 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5871 = and(_T_5869, _T_5870) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5872 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5873 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5874 = and(_T_5872, _T_5873) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5875 = eq(perr_ic_index_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5876 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5877 = and(_T_5875, _T_5876) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5878 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5879 = and(_T_5877, _T_5878) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5880 = or(_T_5874, _T_5879) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5881 = bits(_T_5880, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5882 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5881 : @[Reg.scala 28:19] _T_5882 <= _T_5871 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][9] <= _T_5882 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5883 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5884 = eq(_T_5883, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5885 = and(ic_valid_ff, _T_5884) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5886 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5887 = and(_T_5885, _T_5886) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5888 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5889 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5890 = and(_T_5888, _T_5889) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5891 = eq(perr_ic_index_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5892 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5893 = and(_T_5891, _T_5892) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5894 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5895 = and(_T_5893, _T_5894) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5896 = or(_T_5890, _T_5895) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5897 = bits(_T_5896, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][9] <= _T_5882 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5883 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5884 = eq(_T_5883, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5885 = and(ic_valid_ff, _T_5884) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5886 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5887 = and(_T_5885, _T_5886) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5888 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5889 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5890 = and(_T_5888, _T_5889) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5891 = eq(perr_ic_index_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5892 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5893 = and(_T_5891, _T_5892) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5894 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5895 = and(_T_5893, _T_5894) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5896 = or(_T_5890, _T_5895) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5897 = bits(_T_5896, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5898 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5897 : @[Reg.scala 28:19] _T_5898 <= _T_5887 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][10] <= _T_5898 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5899 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5900 = eq(_T_5899, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5901 = and(ic_valid_ff, _T_5900) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5902 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5903 = and(_T_5901, _T_5902) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5904 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5905 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5906 = and(_T_5904, _T_5905) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5907 = eq(perr_ic_index_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5908 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5909 = and(_T_5907, _T_5908) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5910 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5911 = and(_T_5909, _T_5910) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5912 = or(_T_5906, _T_5911) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5913 = bits(_T_5912, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][10] <= _T_5898 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5899 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5900 = eq(_T_5899, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5901 = and(ic_valid_ff, _T_5900) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5902 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5903 = and(_T_5901, _T_5902) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5904 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5905 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5906 = and(_T_5904, _T_5905) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5907 = eq(perr_ic_index_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5908 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5909 = and(_T_5907, _T_5908) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5910 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5911 = and(_T_5909, _T_5910) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5912 = or(_T_5906, _T_5911) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5913 = bits(_T_5912, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5914 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5913 : @[Reg.scala 28:19] _T_5914 <= _T_5903 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][11] <= _T_5914 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5915 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5916 = eq(_T_5915, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5917 = and(ic_valid_ff, _T_5916) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5918 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5919 = and(_T_5917, _T_5918) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5920 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5921 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5922 = and(_T_5920, _T_5921) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5923 = eq(perr_ic_index_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5924 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5925 = and(_T_5923, _T_5924) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5926 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5927 = and(_T_5925, _T_5926) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5928 = or(_T_5922, _T_5927) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5929 = bits(_T_5928, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][11] <= _T_5914 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5915 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5916 = eq(_T_5915, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5917 = and(ic_valid_ff, _T_5916) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5918 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5919 = and(_T_5917, _T_5918) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5920 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5921 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5922 = and(_T_5920, _T_5921) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5923 = eq(perr_ic_index_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5924 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5925 = and(_T_5923, _T_5924) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5926 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5927 = and(_T_5925, _T_5926) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5928 = or(_T_5922, _T_5927) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5929 = bits(_T_5928, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5930 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5929 : @[Reg.scala 28:19] _T_5930 <= _T_5919 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][12] <= _T_5930 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5931 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5932 = eq(_T_5931, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5933 = and(ic_valid_ff, _T_5932) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5934 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5935 = and(_T_5933, _T_5934) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5936 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5937 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5938 = and(_T_5936, _T_5937) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5939 = eq(perr_ic_index_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5940 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5941 = and(_T_5939, _T_5940) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5942 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5943 = and(_T_5941, _T_5942) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5944 = or(_T_5938, _T_5943) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5945 = bits(_T_5944, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][12] <= _T_5930 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5931 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5932 = eq(_T_5931, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5933 = and(ic_valid_ff, _T_5932) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5934 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5935 = and(_T_5933, _T_5934) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5936 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5937 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5938 = and(_T_5936, _T_5937) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5939 = eq(perr_ic_index_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5940 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5941 = and(_T_5939, _T_5940) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5942 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5943 = and(_T_5941, _T_5942) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5944 = or(_T_5938, _T_5943) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5945 = bits(_T_5944, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5946 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5945 : @[Reg.scala 28:19] _T_5946 <= _T_5935 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][13] <= _T_5946 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5947 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5948 = eq(_T_5947, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5949 = and(ic_valid_ff, _T_5948) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5950 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5951 = and(_T_5949, _T_5950) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5952 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5953 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5954 = and(_T_5952, _T_5953) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5955 = eq(perr_ic_index_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5956 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5957 = and(_T_5955, _T_5956) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5958 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5959 = and(_T_5957, _T_5958) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5960 = or(_T_5954, _T_5959) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5961 = bits(_T_5960, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][13] <= _T_5946 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5947 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5948 = eq(_T_5947, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5949 = and(ic_valid_ff, _T_5948) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5950 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5951 = and(_T_5949, _T_5950) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5952 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5953 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5954 = and(_T_5952, _T_5953) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5955 = eq(perr_ic_index_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5956 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5957 = and(_T_5955, _T_5956) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5958 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5959 = and(_T_5957, _T_5958) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5960 = or(_T_5954, _T_5959) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5961 = bits(_T_5960, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5962 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5961 : @[Reg.scala 28:19] _T_5962 <= _T_5951 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][14] <= _T_5962 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5963 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5964 = eq(_T_5963, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5965 = and(ic_valid_ff, _T_5964) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5966 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5967 = and(_T_5965, _T_5966) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5968 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5969 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5970 = and(_T_5968, _T_5969) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5971 = eq(perr_ic_index_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5972 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5973 = and(_T_5971, _T_5972) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5974 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5975 = and(_T_5973, _T_5974) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5976 = or(_T_5970, _T_5975) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5977 = bits(_T_5976, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][14] <= _T_5962 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5963 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5964 = eq(_T_5963, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5965 = and(ic_valid_ff, _T_5964) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5966 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5967 = and(_T_5965, _T_5966) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5968 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5969 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5970 = and(_T_5968, _T_5969) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5971 = eq(perr_ic_index_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5972 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5973 = and(_T_5971, _T_5972) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5974 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5975 = and(_T_5973, _T_5974) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5976 = or(_T_5970, _T_5975) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5977 = bits(_T_5976, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5978 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5977 : @[Reg.scala 28:19] _T_5978 <= _T_5967 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][15] <= _T_5978 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5979 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5980 = eq(_T_5979, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5981 = and(ic_valid_ff, _T_5980) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5982 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5983 = and(_T_5981, _T_5982) @[el2_ifu_mem_ctl.scala 750:89] - node _T_5984 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_5985 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_5986 = and(_T_5984, _T_5985) @[el2_ifu_mem_ctl.scala 751:58] - node _T_5987 = eq(perr_ic_index_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_5988 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_5989 = and(_T_5987, _T_5988) @[el2_ifu_mem_ctl.scala 751:123] - node _T_5990 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_5991 = and(_T_5989, _T_5990) @[el2_ifu_mem_ctl.scala 751:144] - node _T_5992 = or(_T_5986, _T_5991) @[el2_ifu_mem_ctl.scala 751:80] - node _T_5993 = bits(_T_5992, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][15] <= _T_5978 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5979 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5980 = eq(_T_5979, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5981 = and(ic_valid_ff, _T_5980) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5982 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5983 = and(_T_5981, _T_5982) @[el2_ifu_mem_ctl.scala 752:89] + node _T_5984 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_5985 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_5986 = and(_T_5984, _T_5985) @[el2_ifu_mem_ctl.scala 753:58] + node _T_5987 = eq(perr_ic_index_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_5988 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_5989 = and(_T_5987, _T_5988) @[el2_ifu_mem_ctl.scala 753:123] + node _T_5990 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_5991 = and(_T_5989, _T_5990) @[el2_ifu_mem_ctl.scala 753:144] + node _T_5992 = or(_T_5986, _T_5991) @[el2_ifu_mem_ctl.scala 753:80] + node _T_5993 = bits(_T_5992, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_5994 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5993 : @[Reg.scala 28:19] _T_5994 <= _T_5983 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][16] <= _T_5994 @[el2_ifu_mem_ctl.scala 750:39] - node _T_5995 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_5996 = eq(_T_5995, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_5997 = and(ic_valid_ff, _T_5996) @[el2_ifu_mem_ctl.scala 750:64] - node _T_5998 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_5999 = and(_T_5997, _T_5998) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6000 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6001 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6002 = and(_T_6000, _T_6001) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6003 = eq(perr_ic_index_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6004 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6005 = and(_T_6003, _T_6004) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6006 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6007 = and(_T_6005, _T_6006) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6008 = or(_T_6002, _T_6007) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6009 = bits(_T_6008, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][16] <= _T_5994 @[el2_ifu_mem_ctl.scala 752:39] + node _T_5995 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_5996 = eq(_T_5995, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_5997 = and(ic_valid_ff, _T_5996) @[el2_ifu_mem_ctl.scala 752:64] + node _T_5998 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_5999 = and(_T_5997, _T_5998) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6000 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6001 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6002 = and(_T_6000, _T_6001) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6003 = eq(perr_ic_index_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6004 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6005 = and(_T_6003, _T_6004) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6006 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6007 = and(_T_6005, _T_6006) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6008 = or(_T_6002, _T_6007) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6009 = bits(_T_6008, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6010 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6009 : @[Reg.scala 28:19] _T_6010 <= _T_5999 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][17] <= _T_6010 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6011 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6012 = eq(_T_6011, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6013 = and(ic_valid_ff, _T_6012) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6014 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6015 = and(_T_6013, _T_6014) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6016 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6017 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6018 = and(_T_6016, _T_6017) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6019 = eq(perr_ic_index_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6020 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6021 = and(_T_6019, _T_6020) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6022 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6023 = and(_T_6021, _T_6022) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6024 = or(_T_6018, _T_6023) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6025 = bits(_T_6024, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][17] <= _T_6010 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6011 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6012 = eq(_T_6011, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6013 = and(ic_valid_ff, _T_6012) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6014 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6015 = and(_T_6013, _T_6014) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6016 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6017 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6018 = and(_T_6016, _T_6017) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6019 = eq(perr_ic_index_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6020 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6021 = and(_T_6019, _T_6020) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6022 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6023 = and(_T_6021, _T_6022) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6024 = or(_T_6018, _T_6023) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6025 = bits(_T_6024, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6026 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6025 : @[Reg.scala 28:19] _T_6026 <= _T_6015 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][18] <= _T_6026 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6027 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6028 = eq(_T_6027, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6029 = and(ic_valid_ff, _T_6028) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6030 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6031 = and(_T_6029, _T_6030) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6032 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6033 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6034 = and(_T_6032, _T_6033) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6035 = eq(perr_ic_index_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6036 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6037 = and(_T_6035, _T_6036) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6038 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6039 = and(_T_6037, _T_6038) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6040 = or(_T_6034, _T_6039) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6041 = bits(_T_6040, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][18] <= _T_6026 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6027 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6028 = eq(_T_6027, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6029 = and(ic_valid_ff, _T_6028) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6030 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6031 = and(_T_6029, _T_6030) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6032 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6033 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6034 = and(_T_6032, _T_6033) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6035 = eq(perr_ic_index_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6036 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6037 = and(_T_6035, _T_6036) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6038 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6039 = and(_T_6037, _T_6038) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6040 = or(_T_6034, _T_6039) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6041 = bits(_T_6040, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6042 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6041 : @[Reg.scala 28:19] _T_6042 <= _T_6031 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][19] <= _T_6042 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6043 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6044 = eq(_T_6043, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6045 = and(ic_valid_ff, _T_6044) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6046 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6047 = and(_T_6045, _T_6046) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6048 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6049 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6050 = and(_T_6048, _T_6049) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6051 = eq(perr_ic_index_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6052 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6053 = and(_T_6051, _T_6052) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6054 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6055 = and(_T_6053, _T_6054) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6056 = or(_T_6050, _T_6055) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6057 = bits(_T_6056, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][19] <= _T_6042 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6043 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6044 = eq(_T_6043, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6045 = and(ic_valid_ff, _T_6044) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6046 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6047 = and(_T_6045, _T_6046) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6048 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6049 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6050 = and(_T_6048, _T_6049) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6051 = eq(perr_ic_index_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6052 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6053 = and(_T_6051, _T_6052) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6054 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6055 = and(_T_6053, _T_6054) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6056 = or(_T_6050, _T_6055) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6057 = bits(_T_6056, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6058 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6057 : @[Reg.scala 28:19] _T_6058 <= _T_6047 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][20] <= _T_6058 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6059 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6060 = eq(_T_6059, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6061 = and(ic_valid_ff, _T_6060) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6062 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6063 = and(_T_6061, _T_6062) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6064 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6065 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6066 = and(_T_6064, _T_6065) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6067 = eq(perr_ic_index_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6068 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6069 = and(_T_6067, _T_6068) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6070 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6071 = and(_T_6069, _T_6070) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6072 = or(_T_6066, _T_6071) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6073 = bits(_T_6072, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][20] <= _T_6058 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6059 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6060 = eq(_T_6059, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6061 = and(ic_valid_ff, _T_6060) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6062 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6063 = and(_T_6061, _T_6062) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6064 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6065 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6066 = and(_T_6064, _T_6065) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6067 = eq(perr_ic_index_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6068 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6069 = and(_T_6067, _T_6068) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6070 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6071 = and(_T_6069, _T_6070) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6072 = or(_T_6066, _T_6071) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6073 = bits(_T_6072, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6074 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6073 : @[Reg.scala 28:19] _T_6074 <= _T_6063 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][21] <= _T_6074 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6075 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6076 = eq(_T_6075, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6077 = and(ic_valid_ff, _T_6076) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6078 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6079 = and(_T_6077, _T_6078) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6080 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6081 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6082 = and(_T_6080, _T_6081) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6083 = eq(perr_ic_index_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6084 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6085 = and(_T_6083, _T_6084) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6086 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6087 = and(_T_6085, _T_6086) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6088 = or(_T_6082, _T_6087) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6089 = bits(_T_6088, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][21] <= _T_6074 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6075 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6076 = eq(_T_6075, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6077 = and(ic_valid_ff, _T_6076) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6078 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6079 = and(_T_6077, _T_6078) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6080 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6081 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6082 = and(_T_6080, _T_6081) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6083 = eq(perr_ic_index_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6084 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6085 = and(_T_6083, _T_6084) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6086 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6087 = and(_T_6085, _T_6086) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6088 = or(_T_6082, _T_6087) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6089 = bits(_T_6088, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6090 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6089 : @[Reg.scala 28:19] _T_6090 <= _T_6079 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][22] <= _T_6090 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6091 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6092 = eq(_T_6091, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6093 = and(ic_valid_ff, _T_6092) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6094 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6095 = and(_T_6093, _T_6094) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6096 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6097 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6098 = and(_T_6096, _T_6097) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6099 = eq(perr_ic_index_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6100 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6101 = and(_T_6099, _T_6100) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6102 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6103 = and(_T_6101, _T_6102) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6104 = or(_T_6098, _T_6103) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6105 = bits(_T_6104, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][22] <= _T_6090 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6091 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6092 = eq(_T_6091, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6093 = and(ic_valid_ff, _T_6092) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6094 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6095 = and(_T_6093, _T_6094) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6096 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6097 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6098 = and(_T_6096, _T_6097) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6099 = eq(perr_ic_index_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6100 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6101 = and(_T_6099, _T_6100) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6102 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6103 = and(_T_6101, _T_6102) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6104 = or(_T_6098, _T_6103) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6105 = bits(_T_6104, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6106 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6105 : @[Reg.scala 28:19] _T_6106 <= _T_6095 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][23] <= _T_6106 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6107 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6108 = eq(_T_6107, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6109 = and(ic_valid_ff, _T_6108) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6110 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6111 = and(_T_6109, _T_6110) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6112 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6113 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6114 = and(_T_6112, _T_6113) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6115 = eq(perr_ic_index_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6116 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6117 = and(_T_6115, _T_6116) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6118 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6119 = and(_T_6117, _T_6118) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6120 = or(_T_6114, _T_6119) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6121 = bits(_T_6120, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][23] <= _T_6106 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6107 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6108 = eq(_T_6107, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6109 = and(ic_valid_ff, _T_6108) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6110 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6111 = and(_T_6109, _T_6110) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6112 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6113 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6114 = and(_T_6112, _T_6113) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6115 = eq(perr_ic_index_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6116 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6117 = and(_T_6115, _T_6116) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6118 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6119 = and(_T_6117, _T_6118) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6120 = or(_T_6114, _T_6119) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6121 = bits(_T_6120, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6122 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6121 : @[Reg.scala 28:19] _T_6122 <= _T_6111 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][24] <= _T_6122 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6123 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6124 = eq(_T_6123, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6125 = and(ic_valid_ff, _T_6124) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6126 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6127 = and(_T_6125, _T_6126) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6128 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6129 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6130 = and(_T_6128, _T_6129) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6131 = eq(perr_ic_index_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6132 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6133 = and(_T_6131, _T_6132) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6134 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6135 = and(_T_6133, _T_6134) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6136 = or(_T_6130, _T_6135) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6137 = bits(_T_6136, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][24] <= _T_6122 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6123 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6124 = eq(_T_6123, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6125 = and(ic_valid_ff, _T_6124) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6126 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6127 = and(_T_6125, _T_6126) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6128 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6129 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6130 = and(_T_6128, _T_6129) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6131 = eq(perr_ic_index_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6132 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6133 = and(_T_6131, _T_6132) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6134 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6135 = and(_T_6133, _T_6134) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6136 = or(_T_6130, _T_6135) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6137 = bits(_T_6136, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6138 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6137 : @[Reg.scala 28:19] _T_6138 <= _T_6127 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][25] <= _T_6138 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6139 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6140 = eq(_T_6139, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6141 = and(ic_valid_ff, _T_6140) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6142 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6143 = and(_T_6141, _T_6142) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6144 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6145 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6146 = and(_T_6144, _T_6145) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6147 = eq(perr_ic_index_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6148 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6149 = and(_T_6147, _T_6148) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6150 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6151 = and(_T_6149, _T_6150) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6152 = or(_T_6146, _T_6151) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6153 = bits(_T_6152, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][25] <= _T_6138 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6139 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6140 = eq(_T_6139, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6141 = and(ic_valid_ff, _T_6140) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6142 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6143 = and(_T_6141, _T_6142) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6144 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6145 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6146 = and(_T_6144, _T_6145) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6147 = eq(perr_ic_index_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6148 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6149 = and(_T_6147, _T_6148) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6150 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6151 = and(_T_6149, _T_6150) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6152 = or(_T_6146, _T_6151) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6153 = bits(_T_6152, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6154 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6153 : @[Reg.scala 28:19] _T_6154 <= _T_6143 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][26] <= _T_6154 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6155 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6156 = eq(_T_6155, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6157 = and(ic_valid_ff, _T_6156) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6158 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6159 = and(_T_6157, _T_6158) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6160 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6161 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6162 = and(_T_6160, _T_6161) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6163 = eq(perr_ic_index_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6164 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6165 = and(_T_6163, _T_6164) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6166 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6167 = and(_T_6165, _T_6166) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6168 = or(_T_6162, _T_6167) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6169 = bits(_T_6168, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][26] <= _T_6154 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6155 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6156 = eq(_T_6155, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6157 = and(ic_valid_ff, _T_6156) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6158 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6159 = and(_T_6157, _T_6158) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6160 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6161 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6162 = and(_T_6160, _T_6161) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6163 = eq(perr_ic_index_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6164 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6165 = and(_T_6163, _T_6164) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6166 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6167 = and(_T_6165, _T_6166) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6168 = or(_T_6162, _T_6167) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6169 = bits(_T_6168, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6170 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6169 : @[Reg.scala 28:19] _T_6170 <= _T_6159 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][27] <= _T_6170 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6171 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6172 = eq(_T_6171, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6173 = and(ic_valid_ff, _T_6172) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6174 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6175 = and(_T_6173, _T_6174) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6176 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6177 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6178 = and(_T_6176, _T_6177) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6179 = eq(perr_ic_index_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6180 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6181 = and(_T_6179, _T_6180) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6182 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6183 = and(_T_6181, _T_6182) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6184 = or(_T_6178, _T_6183) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6185 = bits(_T_6184, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][27] <= _T_6170 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6171 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6172 = eq(_T_6171, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6173 = and(ic_valid_ff, _T_6172) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6174 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6175 = and(_T_6173, _T_6174) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6176 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6177 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6178 = and(_T_6176, _T_6177) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6179 = eq(perr_ic_index_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6180 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6181 = and(_T_6179, _T_6180) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6182 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6183 = and(_T_6181, _T_6182) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6184 = or(_T_6178, _T_6183) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6185 = bits(_T_6184, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6186 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6185 : @[Reg.scala 28:19] _T_6186 <= _T_6175 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][28] <= _T_6186 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6187 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6188 = eq(_T_6187, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6189 = and(ic_valid_ff, _T_6188) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6190 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6191 = and(_T_6189, _T_6190) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6192 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6193 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6194 = and(_T_6192, _T_6193) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6195 = eq(perr_ic_index_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6196 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6197 = and(_T_6195, _T_6196) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6198 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6199 = and(_T_6197, _T_6198) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6200 = or(_T_6194, _T_6199) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6201 = bits(_T_6200, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][28] <= _T_6186 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6187 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6188 = eq(_T_6187, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6189 = and(ic_valid_ff, _T_6188) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6190 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6191 = and(_T_6189, _T_6190) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6192 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6193 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6194 = and(_T_6192, _T_6193) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6195 = eq(perr_ic_index_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6196 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6197 = and(_T_6195, _T_6196) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6198 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6199 = and(_T_6197, _T_6198) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6200 = or(_T_6194, _T_6199) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6201 = bits(_T_6200, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6202 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6201 : @[Reg.scala 28:19] _T_6202 <= _T_6191 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][29] <= _T_6202 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6203 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6204 = eq(_T_6203, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6205 = and(ic_valid_ff, _T_6204) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6206 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6207 = and(_T_6205, _T_6206) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6208 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6209 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6210 = and(_T_6208, _T_6209) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6211 = eq(perr_ic_index_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6212 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6213 = and(_T_6211, _T_6212) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6214 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6215 = and(_T_6213, _T_6214) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6216 = or(_T_6210, _T_6215) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6217 = bits(_T_6216, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][29] <= _T_6202 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6203 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6204 = eq(_T_6203, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6205 = and(ic_valid_ff, _T_6204) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6206 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6207 = and(_T_6205, _T_6206) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6208 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6209 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6210 = and(_T_6208, _T_6209) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6211 = eq(perr_ic_index_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6212 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6213 = and(_T_6211, _T_6212) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6214 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6215 = and(_T_6213, _T_6214) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6216 = or(_T_6210, _T_6215) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6217 = bits(_T_6216, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6218 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6217 : @[Reg.scala 28:19] _T_6218 <= _T_6207 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][30] <= _T_6218 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6219 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6220 = eq(_T_6219, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6221 = and(ic_valid_ff, _T_6220) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6222 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6223 = and(_T_6221, _T_6222) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6224 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6225 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6226 = and(_T_6224, _T_6225) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6227 = eq(perr_ic_index_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6228 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6229 = and(_T_6227, _T_6228) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6230 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6231 = and(_T_6229, _T_6230) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6232 = or(_T_6226, _T_6231) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6233 = bits(_T_6232, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][30] <= _T_6218 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6219 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6220 = eq(_T_6219, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6221 = and(ic_valid_ff, _T_6220) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6222 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6223 = and(_T_6221, _T_6222) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6224 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6225 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6226 = and(_T_6224, _T_6225) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6227 = eq(perr_ic_index_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6228 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6229 = and(_T_6227, _T_6228) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6230 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6231 = and(_T_6229, _T_6230) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6232 = or(_T_6226, _T_6231) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6233 = bits(_T_6232, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6234 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6233 : @[Reg.scala 28:19] _T_6234 <= _T_6223 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][31] <= _T_6234 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6235 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6236 = eq(_T_6235, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6237 = and(ic_valid_ff, _T_6236) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6238 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6239 = and(_T_6237, _T_6238) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6240 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6241 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6242 = and(_T_6240, _T_6241) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6243 = eq(perr_ic_index_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6244 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6245 = and(_T_6243, _T_6244) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6246 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6247 = and(_T_6245, _T_6246) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6248 = or(_T_6242, _T_6247) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6249 = bits(_T_6248, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][31] <= _T_6234 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6235 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6236 = eq(_T_6235, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6237 = and(ic_valid_ff, _T_6236) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6238 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6239 = and(_T_6237, _T_6238) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6240 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6241 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6242 = and(_T_6240, _T_6241) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6243 = eq(perr_ic_index_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6244 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6245 = and(_T_6243, _T_6244) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6246 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6247 = and(_T_6245, _T_6246) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6248 = or(_T_6242, _T_6247) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6249 = bits(_T_6248, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6250 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6249 : @[Reg.scala 28:19] _T_6250 <= _T_6239 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][32] <= _T_6250 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6251 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6252 = eq(_T_6251, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6253 = and(ic_valid_ff, _T_6252) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6254 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6255 = and(_T_6253, _T_6254) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6256 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6257 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6258 = and(_T_6256, _T_6257) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6259 = eq(perr_ic_index_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6260 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6261 = and(_T_6259, _T_6260) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6262 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6263 = and(_T_6261, _T_6262) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6264 = or(_T_6258, _T_6263) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6265 = bits(_T_6264, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][32] <= _T_6250 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6251 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6252 = eq(_T_6251, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6253 = and(ic_valid_ff, _T_6252) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6254 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6255 = and(_T_6253, _T_6254) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6256 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6257 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6258 = and(_T_6256, _T_6257) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6259 = eq(perr_ic_index_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6260 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6261 = and(_T_6259, _T_6260) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6262 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6263 = and(_T_6261, _T_6262) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6264 = or(_T_6258, _T_6263) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6265 = bits(_T_6264, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6266 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6265 : @[Reg.scala 28:19] _T_6266 <= _T_6255 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][33] <= _T_6266 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6267 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6268 = eq(_T_6267, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6269 = and(ic_valid_ff, _T_6268) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6270 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6271 = and(_T_6269, _T_6270) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6272 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6273 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6274 = and(_T_6272, _T_6273) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6275 = eq(perr_ic_index_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6276 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6277 = and(_T_6275, _T_6276) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6278 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6279 = and(_T_6277, _T_6278) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6280 = or(_T_6274, _T_6279) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6281 = bits(_T_6280, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][33] <= _T_6266 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6267 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6268 = eq(_T_6267, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6269 = and(ic_valid_ff, _T_6268) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6270 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6271 = and(_T_6269, _T_6270) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6272 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6273 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6274 = and(_T_6272, _T_6273) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6275 = eq(perr_ic_index_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6276 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6277 = and(_T_6275, _T_6276) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6278 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6279 = and(_T_6277, _T_6278) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6280 = or(_T_6274, _T_6279) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6281 = bits(_T_6280, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6282 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6281 : @[Reg.scala 28:19] _T_6282 <= _T_6271 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][34] <= _T_6282 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6283 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6284 = eq(_T_6283, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6285 = and(ic_valid_ff, _T_6284) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6286 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6287 = and(_T_6285, _T_6286) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6288 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6289 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6290 = and(_T_6288, _T_6289) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6291 = eq(perr_ic_index_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6292 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6293 = and(_T_6291, _T_6292) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6294 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6295 = and(_T_6293, _T_6294) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6296 = or(_T_6290, _T_6295) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6297 = bits(_T_6296, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][34] <= _T_6282 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6283 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6284 = eq(_T_6283, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6285 = and(ic_valid_ff, _T_6284) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6286 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6287 = and(_T_6285, _T_6286) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6288 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6289 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6290 = and(_T_6288, _T_6289) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6291 = eq(perr_ic_index_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6292 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6293 = and(_T_6291, _T_6292) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6294 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6295 = and(_T_6293, _T_6294) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6296 = or(_T_6290, _T_6295) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6297 = bits(_T_6296, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6298 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6297 : @[Reg.scala 28:19] _T_6298 <= _T_6287 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][35] <= _T_6298 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6299 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6300 = eq(_T_6299, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6301 = and(ic_valid_ff, _T_6300) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6302 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6303 = and(_T_6301, _T_6302) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6304 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6305 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6306 = and(_T_6304, _T_6305) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6307 = eq(perr_ic_index_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6308 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6309 = and(_T_6307, _T_6308) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6310 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6311 = and(_T_6309, _T_6310) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6312 = or(_T_6306, _T_6311) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6313 = bits(_T_6312, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][35] <= _T_6298 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6299 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6300 = eq(_T_6299, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6301 = and(ic_valid_ff, _T_6300) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6302 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6303 = and(_T_6301, _T_6302) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6304 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6305 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6306 = and(_T_6304, _T_6305) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6307 = eq(perr_ic_index_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6308 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6309 = and(_T_6307, _T_6308) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6310 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6311 = and(_T_6309, _T_6310) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6312 = or(_T_6306, _T_6311) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6313 = bits(_T_6312, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6314 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6313 : @[Reg.scala 28:19] _T_6314 <= _T_6303 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][36] <= _T_6314 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6315 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6316 = eq(_T_6315, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6317 = and(ic_valid_ff, _T_6316) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6318 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6319 = and(_T_6317, _T_6318) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6320 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6321 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6322 = and(_T_6320, _T_6321) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6323 = eq(perr_ic_index_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6324 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6325 = and(_T_6323, _T_6324) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6326 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6327 = and(_T_6325, _T_6326) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6328 = or(_T_6322, _T_6327) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6329 = bits(_T_6328, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][36] <= _T_6314 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6315 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6316 = eq(_T_6315, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6317 = and(ic_valid_ff, _T_6316) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6318 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6319 = and(_T_6317, _T_6318) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6320 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6321 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6322 = and(_T_6320, _T_6321) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6323 = eq(perr_ic_index_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6324 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6325 = and(_T_6323, _T_6324) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6326 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6327 = and(_T_6325, _T_6326) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6328 = or(_T_6322, _T_6327) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6329 = bits(_T_6328, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6330 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6329 : @[Reg.scala 28:19] _T_6330 <= _T_6319 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][37] <= _T_6330 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6331 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6332 = eq(_T_6331, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6333 = and(ic_valid_ff, _T_6332) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6334 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6335 = and(_T_6333, _T_6334) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6336 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6337 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6338 = and(_T_6336, _T_6337) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6339 = eq(perr_ic_index_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6340 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6341 = and(_T_6339, _T_6340) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6342 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6343 = and(_T_6341, _T_6342) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6344 = or(_T_6338, _T_6343) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6345 = bits(_T_6344, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][37] <= _T_6330 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6331 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6332 = eq(_T_6331, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6333 = and(ic_valid_ff, _T_6332) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6334 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6335 = and(_T_6333, _T_6334) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6336 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6337 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6338 = and(_T_6336, _T_6337) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6339 = eq(perr_ic_index_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6340 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6341 = and(_T_6339, _T_6340) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6342 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6343 = and(_T_6341, _T_6342) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6344 = or(_T_6338, _T_6343) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6345 = bits(_T_6344, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6346 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6345 : @[Reg.scala 28:19] _T_6346 <= _T_6335 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][38] <= _T_6346 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6347 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6348 = eq(_T_6347, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6349 = and(ic_valid_ff, _T_6348) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6350 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6351 = and(_T_6349, _T_6350) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6352 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6353 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6354 = and(_T_6352, _T_6353) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6355 = eq(perr_ic_index_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6356 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6357 = and(_T_6355, _T_6356) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6358 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6359 = and(_T_6357, _T_6358) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6360 = or(_T_6354, _T_6359) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6361 = bits(_T_6360, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][38] <= _T_6346 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6347 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6348 = eq(_T_6347, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6349 = and(ic_valid_ff, _T_6348) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6350 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6351 = and(_T_6349, _T_6350) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6352 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6353 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6354 = and(_T_6352, _T_6353) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6355 = eq(perr_ic_index_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6356 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6357 = and(_T_6355, _T_6356) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6358 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6359 = and(_T_6357, _T_6358) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6360 = or(_T_6354, _T_6359) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6361 = bits(_T_6360, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6362 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6361 : @[Reg.scala 28:19] _T_6362 <= _T_6351 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][39] <= _T_6362 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6363 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6364 = eq(_T_6363, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6365 = and(ic_valid_ff, _T_6364) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6366 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6367 = and(_T_6365, _T_6366) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6368 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6369 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6370 = and(_T_6368, _T_6369) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6371 = eq(perr_ic_index_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6372 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6373 = and(_T_6371, _T_6372) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6374 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6375 = and(_T_6373, _T_6374) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6376 = or(_T_6370, _T_6375) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6377 = bits(_T_6376, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][39] <= _T_6362 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6363 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6364 = eq(_T_6363, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6365 = and(ic_valid_ff, _T_6364) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6366 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6367 = and(_T_6365, _T_6366) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6368 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6369 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6370 = and(_T_6368, _T_6369) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6371 = eq(perr_ic_index_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6372 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6373 = and(_T_6371, _T_6372) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6374 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6375 = and(_T_6373, _T_6374) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6376 = or(_T_6370, _T_6375) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6377 = bits(_T_6376, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6378 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6377 : @[Reg.scala 28:19] _T_6378 <= _T_6367 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][40] <= _T_6378 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6379 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6380 = eq(_T_6379, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6381 = and(ic_valid_ff, _T_6380) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6382 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6383 = and(_T_6381, _T_6382) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6384 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6385 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6386 = and(_T_6384, _T_6385) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6387 = eq(perr_ic_index_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6388 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6389 = and(_T_6387, _T_6388) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6390 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6391 = and(_T_6389, _T_6390) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6392 = or(_T_6386, _T_6391) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6393 = bits(_T_6392, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][40] <= _T_6378 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6379 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6380 = eq(_T_6379, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6381 = and(ic_valid_ff, _T_6380) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6382 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6383 = and(_T_6381, _T_6382) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6384 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6385 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6386 = and(_T_6384, _T_6385) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6387 = eq(perr_ic_index_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6388 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6389 = and(_T_6387, _T_6388) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6390 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6391 = and(_T_6389, _T_6390) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6392 = or(_T_6386, _T_6391) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6393 = bits(_T_6392, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6394 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6393 : @[Reg.scala 28:19] _T_6394 <= _T_6383 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][41] <= _T_6394 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6395 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6396 = eq(_T_6395, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6397 = and(ic_valid_ff, _T_6396) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6398 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6399 = and(_T_6397, _T_6398) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6400 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6401 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6402 = and(_T_6400, _T_6401) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6403 = eq(perr_ic_index_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6404 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6405 = and(_T_6403, _T_6404) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6406 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6407 = and(_T_6405, _T_6406) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6408 = or(_T_6402, _T_6407) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6409 = bits(_T_6408, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][41] <= _T_6394 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6395 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6396 = eq(_T_6395, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6397 = and(ic_valid_ff, _T_6396) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6398 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6399 = and(_T_6397, _T_6398) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6400 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6401 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6402 = and(_T_6400, _T_6401) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6403 = eq(perr_ic_index_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6404 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6405 = and(_T_6403, _T_6404) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6406 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6407 = and(_T_6405, _T_6406) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6408 = or(_T_6402, _T_6407) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6409 = bits(_T_6408, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6410 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6409 : @[Reg.scala 28:19] _T_6410 <= _T_6399 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][42] <= _T_6410 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6411 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6412 = eq(_T_6411, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6413 = and(ic_valid_ff, _T_6412) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6414 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6415 = and(_T_6413, _T_6414) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6416 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6417 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6418 = and(_T_6416, _T_6417) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6419 = eq(perr_ic_index_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6420 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6421 = and(_T_6419, _T_6420) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6422 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6423 = and(_T_6421, _T_6422) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6424 = or(_T_6418, _T_6423) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6425 = bits(_T_6424, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][42] <= _T_6410 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6411 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6412 = eq(_T_6411, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6413 = and(ic_valid_ff, _T_6412) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6414 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6415 = and(_T_6413, _T_6414) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6416 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6417 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6418 = and(_T_6416, _T_6417) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6419 = eq(perr_ic_index_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6420 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6421 = and(_T_6419, _T_6420) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6422 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6423 = and(_T_6421, _T_6422) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6424 = or(_T_6418, _T_6423) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6425 = bits(_T_6424, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6426 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6425 : @[Reg.scala 28:19] _T_6426 <= _T_6415 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][43] <= _T_6426 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6427 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6428 = eq(_T_6427, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6429 = and(ic_valid_ff, _T_6428) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6430 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6431 = and(_T_6429, _T_6430) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6432 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6433 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6434 = and(_T_6432, _T_6433) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6435 = eq(perr_ic_index_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6436 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6437 = and(_T_6435, _T_6436) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6438 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6439 = and(_T_6437, _T_6438) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6440 = or(_T_6434, _T_6439) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6441 = bits(_T_6440, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][43] <= _T_6426 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6427 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6428 = eq(_T_6427, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6429 = and(ic_valid_ff, _T_6428) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6430 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6431 = and(_T_6429, _T_6430) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6432 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6433 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6434 = and(_T_6432, _T_6433) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6435 = eq(perr_ic_index_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6436 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6437 = and(_T_6435, _T_6436) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6438 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6439 = and(_T_6437, _T_6438) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6440 = or(_T_6434, _T_6439) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6441 = bits(_T_6440, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6442 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6441 : @[Reg.scala 28:19] _T_6442 <= _T_6431 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][44] <= _T_6442 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6443 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6444 = eq(_T_6443, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6445 = and(ic_valid_ff, _T_6444) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6446 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6447 = and(_T_6445, _T_6446) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6448 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6449 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6450 = and(_T_6448, _T_6449) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6451 = eq(perr_ic_index_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6452 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6453 = and(_T_6451, _T_6452) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6454 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6455 = and(_T_6453, _T_6454) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6456 = or(_T_6450, _T_6455) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6457 = bits(_T_6456, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][44] <= _T_6442 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6443 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6444 = eq(_T_6443, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6445 = and(ic_valid_ff, _T_6444) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6446 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6447 = and(_T_6445, _T_6446) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6448 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6449 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6450 = and(_T_6448, _T_6449) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6451 = eq(perr_ic_index_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6452 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6453 = and(_T_6451, _T_6452) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6454 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6455 = and(_T_6453, _T_6454) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6456 = or(_T_6450, _T_6455) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6457 = bits(_T_6456, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6458 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6457 : @[Reg.scala 28:19] _T_6458 <= _T_6447 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][45] <= _T_6458 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6459 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6460 = eq(_T_6459, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6461 = and(ic_valid_ff, _T_6460) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6462 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6463 = and(_T_6461, _T_6462) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6464 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6465 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6466 = and(_T_6464, _T_6465) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6467 = eq(perr_ic_index_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6468 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6469 = and(_T_6467, _T_6468) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6470 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6471 = and(_T_6469, _T_6470) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6472 = or(_T_6466, _T_6471) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6473 = bits(_T_6472, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][45] <= _T_6458 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6459 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6460 = eq(_T_6459, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6461 = and(ic_valid_ff, _T_6460) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6462 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6463 = and(_T_6461, _T_6462) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6464 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6465 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6466 = and(_T_6464, _T_6465) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6467 = eq(perr_ic_index_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6468 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6469 = and(_T_6467, _T_6468) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6470 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6471 = and(_T_6469, _T_6470) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6472 = or(_T_6466, _T_6471) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6473 = bits(_T_6472, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6474 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6473 : @[Reg.scala 28:19] _T_6474 <= _T_6463 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][46] <= _T_6474 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6475 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6476 = eq(_T_6475, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6477 = and(ic_valid_ff, _T_6476) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6478 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6479 = and(_T_6477, _T_6478) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6480 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6481 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6482 = and(_T_6480, _T_6481) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6483 = eq(perr_ic_index_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6484 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6485 = and(_T_6483, _T_6484) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6486 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6487 = and(_T_6485, _T_6486) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6488 = or(_T_6482, _T_6487) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6489 = bits(_T_6488, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][46] <= _T_6474 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6475 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6476 = eq(_T_6475, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6477 = and(ic_valid_ff, _T_6476) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6478 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6479 = and(_T_6477, _T_6478) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6480 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6481 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6482 = and(_T_6480, _T_6481) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6483 = eq(perr_ic_index_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6484 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6485 = and(_T_6483, _T_6484) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6486 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6487 = and(_T_6485, _T_6486) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6488 = or(_T_6482, _T_6487) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6489 = bits(_T_6488, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6490 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6489 : @[Reg.scala 28:19] _T_6490 <= _T_6479 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][47] <= _T_6490 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6491 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6492 = eq(_T_6491, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6493 = and(ic_valid_ff, _T_6492) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6494 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6495 = and(_T_6493, _T_6494) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6496 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6497 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6498 = and(_T_6496, _T_6497) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6499 = eq(perr_ic_index_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6500 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6501 = and(_T_6499, _T_6500) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6502 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6503 = and(_T_6501, _T_6502) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6504 = or(_T_6498, _T_6503) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6505 = bits(_T_6504, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][47] <= _T_6490 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6491 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6492 = eq(_T_6491, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6493 = and(ic_valid_ff, _T_6492) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6494 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6495 = and(_T_6493, _T_6494) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6496 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6497 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6498 = and(_T_6496, _T_6497) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6499 = eq(perr_ic_index_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6500 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6501 = and(_T_6499, _T_6500) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6502 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6503 = and(_T_6501, _T_6502) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6504 = or(_T_6498, _T_6503) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6505 = bits(_T_6504, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6506 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6505 : @[Reg.scala 28:19] _T_6506 <= _T_6495 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][48] <= _T_6506 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6507 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6508 = eq(_T_6507, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6509 = and(ic_valid_ff, _T_6508) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6510 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6511 = and(_T_6509, _T_6510) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6512 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6513 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6514 = and(_T_6512, _T_6513) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6515 = eq(perr_ic_index_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6516 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6517 = and(_T_6515, _T_6516) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6518 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6519 = and(_T_6517, _T_6518) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6520 = or(_T_6514, _T_6519) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6521 = bits(_T_6520, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][48] <= _T_6506 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6507 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6508 = eq(_T_6507, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6509 = and(ic_valid_ff, _T_6508) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6510 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6511 = and(_T_6509, _T_6510) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6512 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6513 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6514 = and(_T_6512, _T_6513) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6515 = eq(perr_ic_index_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6516 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6517 = and(_T_6515, _T_6516) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6518 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6519 = and(_T_6517, _T_6518) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6520 = or(_T_6514, _T_6519) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6521 = bits(_T_6520, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6522 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6521 : @[Reg.scala 28:19] _T_6522 <= _T_6511 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][49] <= _T_6522 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6523 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6524 = eq(_T_6523, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6525 = and(ic_valid_ff, _T_6524) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6526 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6527 = and(_T_6525, _T_6526) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6528 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6529 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6530 = and(_T_6528, _T_6529) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6531 = eq(perr_ic_index_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6532 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6533 = and(_T_6531, _T_6532) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6534 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6535 = and(_T_6533, _T_6534) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6536 = or(_T_6530, _T_6535) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6537 = bits(_T_6536, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][49] <= _T_6522 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6523 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6524 = eq(_T_6523, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6525 = and(ic_valid_ff, _T_6524) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6526 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6527 = and(_T_6525, _T_6526) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6528 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6529 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6530 = and(_T_6528, _T_6529) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6531 = eq(perr_ic_index_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6532 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6533 = and(_T_6531, _T_6532) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6534 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6535 = and(_T_6533, _T_6534) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6536 = or(_T_6530, _T_6535) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6537 = bits(_T_6536, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6538 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6537 : @[Reg.scala 28:19] _T_6538 <= _T_6527 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][50] <= _T_6538 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6539 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6540 = eq(_T_6539, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6541 = and(ic_valid_ff, _T_6540) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6542 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6543 = and(_T_6541, _T_6542) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6544 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6545 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6546 = and(_T_6544, _T_6545) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6547 = eq(perr_ic_index_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6548 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6549 = and(_T_6547, _T_6548) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6550 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6551 = and(_T_6549, _T_6550) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6552 = or(_T_6546, _T_6551) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6553 = bits(_T_6552, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][50] <= _T_6538 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6539 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6540 = eq(_T_6539, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6541 = and(ic_valid_ff, _T_6540) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6542 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6543 = and(_T_6541, _T_6542) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6544 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6545 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6546 = and(_T_6544, _T_6545) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6547 = eq(perr_ic_index_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6548 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6549 = and(_T_6547, _T_6548) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6550 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6551 = and(_T_6549, _T_6550) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6552 = or(_T_6546, _T_6551) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6553 = bits(_T_6552, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6554 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6553 : @[Reg.scala 28:19] _T_6554 <= _T_6543 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][51] <= _T_6554 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6555 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6556 = eq(_T_6555, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6557 = and(ic_valid_ff, _T_6556) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6558 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6559 = and(_T_6557, _T_6558) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6560 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6561 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6562 = and(_T_6560, _T_6561) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6563 = eq(perr_ic_index_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6564 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6565 = and(_T_6563, _T_6564) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6566 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6567 = and(_T_6565, _T_6566) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6568 = or(_T_6562, _T_6567) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6569 = bits(_T_6568, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][51] <= _T_6554 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6555 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6556 = eq(_T_6555, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6557 = and(ic_valid_ff, _T_6556) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6558 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6559 = and(_T_6557, _T_6558) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6560 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6561 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6562 = and(_T_6560, _T_6561) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6563 = eq(perr_ic_index_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6564 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6565 = and(_T_6563, _T_6564) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6566 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6567 = and(_T_6565, _T_6566) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6568 = or(_T_6562, _T_6567) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6569 = bits(_T_6568, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6570 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6569 : @[Reg.scala 28:19] _T_6570 <= _T_6559 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][52] <= _T_6570 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6571 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6572 = eq(_T_6571, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6573 = and(ic_valid_ff, _T_6572) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6574 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6575 = and(_T_6573, _T_6574) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6576 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6577 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6578 = and(_T_6576, _T_6577) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6579 = eq(perr_ic_index_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6580 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6581 = and(_T_6579, _T_6580) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6582 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6583 = and(_T_6581, _T_6582) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6584 = or(_T_6578, _T_6583) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6585 = bits(_T_6584, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][52] <= _T_6570 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6571 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6572 = eq(_T_6571, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6573 = and(ic_valid_ff, _T_6572) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6574 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6575 = and(_T_6573, _T_6574) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6576 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6577 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6578 = and(_T_6576, _T_6577) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6579 = eq(perr_ic_index_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6580 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6581 = and(_T_6579, _T_6580) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6582 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6583 = and(_T_6581, _T_6582) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6584 = or(_T_6578, _T_6583) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6585 = bits(_T_6584, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6586 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6585 : @[Reg.scala 28:19] _T_6586 <= _T_6575 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][53] <= _T_6586 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6587 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6588 = eq(_T_6587, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6589 = and(ic_valid_ff, _T_6588) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6590 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6591 = and(_T_6589, _T_6590) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6592 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6593 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6594 = and(_T_6592, _T_6593) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6595 = eq(perr_ic_index_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6596 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6597 = and(_T_6595, _T_6596) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6598 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6599 = and(_T_6597, _T_6598) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6600 = or(_T_6594, _T_6599) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6601 = bits(_T_6600, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][53] <= _T_6586 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6587 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6588 = eq(_T_6587, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6589 = and(ic_valid_ff, _T_6588) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6590 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6591 = and(_T_6589, _T_6590) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6592 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6593 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6594 = and(_T_6592, _T_6593) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6595 = eq(perr_ic_index_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6596 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6597 = and(_T_6595, _T_6596) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6598 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6599 = and(_T_6597, _T_6598) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6600 = or(_T_6594, _T_6599) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6601 = bits(_T_6600, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6602 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6601 : @[Reg.scala 28:19] _T_6602 <= _T_6591 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][54] <= _T_6602 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6603 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6604 = eq(_T_6603, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6605 = and(ic_valid_ff, _T_6604) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6606 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6607 = and(_T_6605, _T_6606) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6608 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6609 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6610 = and(_T_6608, _T_6609) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6611 = eq(perr_ic_index_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6612 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6613 = and(_T_6611, _T_6612) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6614 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6615 = and(_T_6613, _T_6614) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6616 = or(_T_6610, _T_6615) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6617 = bits(_T_6616, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][54] <= _T_6602 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6603 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6604 = eq(_T_6603, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6605 = and(ic_valid_ff, _T_6604) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6606 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6607 = and(_T_6605, _T_6606) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6608 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6609 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6610 = and(_T_6608, _T_6609) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6611 = eq(perr_ic_index_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6612 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6613 = and(_T_6611, _T_6612) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6614 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6615 = and(_T_6613, _T_6614) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6616 = or(_T_6610, _T_6615) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6617 = bits(_T_6616, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6618 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6617 : @[Reg.scala 28:19] _T_6618 <= _T_6607 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][55] <= _T_6618 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6619 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6620 = eq(_T_6619, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6621 = and(ic_valid_ff, _T_6620) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6622 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6623 = and(_T_6621, _T_6622) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6624 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6625 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6626 = and(_T_6624, _T_6625) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6627 = eq(perr_ic_index_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6628 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6629 = and(_T_6627, _T_6628) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6630 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6631 = and(_T_6629, _T_6630) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6632 = or(_T_6626, _T_6631) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6633 = bits(_T_6632, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][55] <= _T_6618 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6619 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6620 = eq(_T_6619, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6621 = and(ic_valid_ff, _T_6620) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6622 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6623 = and(_T_6621, _T_6622) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6624 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6625 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6626 = and(_T_6624, _T_6625) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6627 = eq(perr_ic_index_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6628 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6629 = and(_T_6627, _T_6628) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6630 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6631 = and(_T_6629, _T_6630) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6632 = or(_T_6626, _T_6631) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6633 = bits(_T_6632, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6634 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6633 : @[Reg.scala 28:19] _T_6634 <= _T_6623 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][56] <= _T_6634 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6635 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6636 = eq(_T_6635, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6637 = and(ic_valid_ff, _T_6636) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6638 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6639 = and(_T_6637, _T_6638) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6640 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6641 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6642 = and(_T_6640, _T_6641) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6643 = eq(perr_ic_index_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6644 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6645 = and(_T_6643, _T_6644) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6646 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6647 = and(_T_6645, _T_6646) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6648 = or(_T_6642, _T_6647) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6649 = bits(_T_6648, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][56] <= _T_6634 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6635 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6636 = eq(_T_6635, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6637 = and(ic_valid_ff, _T_6636) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6638 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6639 = and(_T_6637, _T_6638) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6640 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6641 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6642 = and(_T_6640, _T_6641) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6643 = eq(perr_ic_index_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6644 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6645 = and(_T_6643, _T_6644) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6646 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6647 = and(_T_6645, _T_6646) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6648 = or(_T_6642, _T_6647) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6649 = bits(_T_6648, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6650 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6649 : @[Reg.scala 28:19] _T_6650 <= _T_6639 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][57] <= _T_6650 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6651 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6652 = eq(_T_6651, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6653 = and(ic_valid_ff, _T_6652) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6654 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6655 = and(_T_6653, _T_6654) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6656 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6657 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6658 = and(_T_6656, _T_6657) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6659 = eq(perr_ic_index_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6660 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6661 = and(_T_6659, _T_6660) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6662 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6663 = and(_T_6661, _T_6662) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6664 = or(_T_6658, _T_6663) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6665 = bits(_T_6664, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][57] <= _T_6650 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6651 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6652 = eq(_T_6651, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6653 = and(ic_valid_ff, _T_6652) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6654 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6655 = and(_T_6653, _T_6654) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6656 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6657 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6658 = and(_T_6656, _T_6657) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6659 = eq(perr_ic_index_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6660 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6661 = and(_T_6659, _T_6660) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6662 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6663 = and(_T_6661, _T_6662) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6664 = or(_T_6658, _T_6663) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6665 = bits(_T_6664, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6666 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6665 : @[Reg.scala 28:19] _T_6666 <= _T_6655 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][58] <= _T_6666 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6667 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6668 = eq(_T_6667, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6669 = and(ic_valid_ff, _T_6668) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6670 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6671 = and(_T_6669, _T_6670) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6672 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6673 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6674 = and(_T_6672, _T_6673) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6675 = eq(perr_ic_index_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6676 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6677 = and(_T_6675, _T_6676) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6678 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6679 = and(_T_6677, _T_6678) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6680 = or(_T_6674, _T_6679) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6681 = bits(_T_6680, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][58] <= _T_6666 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6667 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6668 = eq(_T_6667, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6669 = and(ic_valid_ff, _T_6668) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6670 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6671 = and(_T_6669, _T_6670) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6672 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6673 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6674 = and(_T_6672, _T_6673) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6675 = eq(perr_ic_index_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6676 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6677 = and(_T_6675, _T_6676) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6678 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6679 = and(_T_6677, _T_6678) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6680 = or(_T_6674, _T_6679) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6681 = bits(_T_6680, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6682 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6681 : @[Reg.scala 28:19] _T_6682 <= _T_6671 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][59] <= _T_6682 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6683 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6684 = eq(_T_6683, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6685 = and(ic_valid_ff, _T_6684) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6686 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6687 = and(_T_6685, _T_6686) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6688 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6689 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6690 = and(_T_6688, _T_6689) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6691 = eq(perr_ic_index_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6692 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6693 = and(_T_6691, _T_6692) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6694 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6695 = and(_T_6693, _T_6694) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6696 = or(_T_6690, _T_6695) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6697 = bits(_T_6696, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][59] <= _T_6682 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6683 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6684 = eq(_T_6683, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6685 = and(ic_valid_ff, _T_6684) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6686 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6687 = and(_T_6685, _T_6686) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6688 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6689 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6690 = and(_T_6688, _T_6689) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6691 = eq(perr_ic_index_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6692 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6693 = and(_T_6691, _T_6692) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6694 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6695 = and(_T_6693, _T_6694) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6696 = or(_T_6690, _T_6695) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6697 = bits(_T_6696, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6698 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6697 : @[Reg.scala 28:19] _T_6698 <= _T_6687 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][60] <= _T_6698 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6699 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6700 = eq(_T_6699, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6701 = and(ic_valid_ff, _T_6700) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6702 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6703 = and(_T_6701, _T_6702) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6704 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6705 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6706 = and(_T_6704, _T_6705) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6707 = eq(perr_ic_index_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6708 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6709 = and(_T_6707, _T_6708) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6710 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6711 = and(_T_6709, _T_6710) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6712 = or(_T_6706, _T_6711) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6713 = bits(_T_6712, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][60] <= _T_6698 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6699 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6700 = eq(_T_6699, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6701 = and(ic_valid_ff, _T_6700) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6702 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6703 = and(_T_6701, _T_6702) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6704 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6705 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6706 = and(_T_6704, _T_6705) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6707 = eq(perr_ic_index_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6708 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6709 = and(_T_6707, _T_6708) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6710 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6711 = and(_T_6709, _T_6710) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6712 = or(_T_6706, _T_6711) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6713 = bits(_T_6712, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6714 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6713 : @[Reg.scala 28:19] _T_6714 <= _T_6703 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][61] <= _T_6714 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6715 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6716 = eq(_T_6715, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6717 = and(ic_valid_ff, _T_6716) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6718 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6719 = and(_T_6717, _T_6718) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6720 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6721 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6722 = and(_T_6720, _T_6721) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6723 = eq(perr_ic_index_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6724 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6725 = and(_T_6723, _T_6724) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6726 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6727 = and(_T_6725, _T_6726) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6728 = or(_T_6722, _T_6727) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6729 = bits(_T_6728, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][61] <= _T_6714 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6715 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6716 = eq(_T_6715, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6717 = and(ic_valid_ff, _T_6716) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6718 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6719 = and(_T_6717, _T_6718) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6720 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6721 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6722 = and(_T_6720, _T_6721) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6723 = eq(perr_ic_index_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6724 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6725 = and(_T_6723, _T_6724) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6726 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6727 = and(_T_6725, _T_6726) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6728 = or(_T_6722, _T_6727) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6729 = bits(_T_6728, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6730 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6729 : @[Reg.scala 28:19] _T_6730 <= _T_6719 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][62] <= _T_6730 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6731 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6732 = eq(_T_6731, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6733 = and(ic_valid_ff, _T_6732) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6734 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6735 = and(_T_6733, _T_6734) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6736 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6737 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6738 = and(_T_6736, _T_6737) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6739 = eq(perr_ic_index_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6740 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6741 = and(_T_6739, _T_6740) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6742 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6743 = and(_T_6741, _T_6742) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6744 = or(_T_6738, _T_6743) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6745 = bits(_T_6744, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][62] <= _T_6730 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6731 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6732 = eq(_T_6731, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6733 = and(ic_valid_ff, _T_6732) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6734 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6735 = and(_T_6733, _T_6734) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6736 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6737 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6738 = and(_T_6736, _T_6737) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6739 = eq(perr_ic_index_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6740 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6741 = and(_T_6739, _T_6740) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6742 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6743 = and(_T_6741, _T_6742) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6744 = or(_T_6738, _T_6743) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6745 = bits(_T_6744, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6746 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6745 : @[Reg.scala 28:19] _T_6746 <= _T_6735 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][63] <= _T_6746 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6747 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6748 = eq(_T_6747, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6749 = and(ic_valid_ff, _T_6748) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6750 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6751 = and(_T_6749, _T_6750) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6752 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6753 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6754 = and(_T_6752, _T_6753) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6755 = eq(perr_ic_index_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6756 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6757 = and(_T_6755, _T_6756) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6758 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6759 = and(_T_6757, _T_6758) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6760 = or(_T_6754, _T_6759) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6761 = bits(_T_6760, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][63] <= _T_6746 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6747 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6748 = eq(_T_6747, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6749 = and(ic_valid_ff, _T_6748) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6750 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6751 = and(_T_6749, _T_6750) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6752 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6753 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6754 = and(_T_6752, _T_6753) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6755 = eq(perr_ic_index_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6756 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6757 = and(_T_6755, _T_6756) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6758 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6759 = and(_T_6757, _T_6758) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6760 = or(_T_6754, _T_6759) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6761 = bits(_T_6760, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6762 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6761 : @[Reg.scala 28:19] _T_6762 <= _T_6751 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][32] <= _T_6762 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6763 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6764 = eq(_T_6763, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6765 = and(ic_valid_ff, _T_6764) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6766 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6767 = and(_T_6765, _T_6766) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6768 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6769 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6770 = and(_T_6768, _T_6769) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6771 = eq(perr_ic_index_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6772 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6773 = and(_T_6771, _T_6772) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6774 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6775 = and(_T_6773, _T_6774) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6776 = or(_T_6770, _T_6775) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6777 = bits(_T_6776, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][32] <= _T_6762 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6763 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6764 = eq(_T_6763, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6765 = and(ic_valid_ff, _T_6764) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6766 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6767 = and(_T_6765, _T_6766) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6768 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6769 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6770 = and(_T_6768, _T_6769) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6771 = eq(perr_ic_index_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6772 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6773 = and(_T_6771, _T_6772) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6774 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6775 = and(_T_6773, _T_6774) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6776 = or(_T_6770, _T_6775) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6777 = bits(_T_6776, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6778 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6777 : @[Reg.scala 28:19] _T_6778 <= _T_6767 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][33] <= _T_6778 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6779 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6780 = eq(_T_6779, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6781 = and(ic_valid_ff, _T_6780) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6782 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6783 = and(_T_6781, _T_6782) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6784 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6785 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6786 = and(_T_6784, _T_6785) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6787 = eq(perr_ic_index_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6788 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6789 = and(_T_6787, _T_6788) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6790 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6791 = and(_T_6789, _T_6790) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6792 = or(_T_6786, _T_6791) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6793 = bits(_T_6792, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][33] <= _T_6778 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6779 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6780 = eq(_T_6779, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6781 = and(ic_valid_ff, _T_6780) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6782 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6783 = and(_T_6781, _T_6782) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6784 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6785 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6786 = and(_T_6784, _T_6785) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6787 = eq(perr_ic_index_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6788 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6789 = and(_T_6787, _T_6788) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6790 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6791 = and(_T_6789, _T_6790) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6792 = or(_T_6786, _T_6791) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6793 = bits(_T_6792, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6794 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6793 : @[Reg.scala 28:19] _T_6794 <= _T_6783 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][34] <= _T_6794 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6795 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6796 = eq(_T_6795, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6797 = and(ic_valid_ff, _T_6796) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6798 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6799 = and(_T_6797, _T_6798) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6800 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6801 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6802 = and(_T_6800, _T_6801) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6803 = eq(perr_ic_index_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6804 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6805 = and(_T_6803, _T_6804) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6806 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6807 = and(_T_6805, _T_6806) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6808 = or(_T_6802, _T_6807) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6809 = bits(_T_6808, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][34] <= _T_6794 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6795 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6796 = eq(_T_6795, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6797 = and(ic_valid_ff, _T_6796) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6798 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6799 = and(_T_6797, _T_6798) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6800 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6801 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6802 = and(_T_6800, _T_6801) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6803 = eq(perr_ic_index_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6804 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6805 = and(_T_6803, _T_6804) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6806 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6807 = and(_T_6805, _T_6806) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6808 = or(_T_6802, _T_6807) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6809 = bits(_T_6808, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6810 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6809 : @[Reg.scala 28:19] _T_6810 <= _T_6799 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][35] <= _T_6810 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6811 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6812 = eq(_T_6811, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6813 = and(ic_valid_ff, _T_6812) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6814 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6815 = and(_T_6813, _T_6814) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6816 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6817 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6818 = and(_T_6816, _T_6817) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6819 = eq(perr_ic_index_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6820 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6821 = and(_T_6819, _T_6820) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6822 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6823 = and(_T_6821, _T_6822) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6824 = or(_T_6818, _T_6823) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6825 = bits(_T_6824, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][35] <= _T_6810 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6811 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6812 = eq(_T_6811, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6813 = and(ic_valid_ff, _T_6812) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6814 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6815 = and(_T_6813, _T_6814) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6816 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6817 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6818 = and(_T_6816, _T_6817) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6819 = eq(perr_ic_index_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6820 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6821 = and(_T_6819, _T_6820) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6822 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6823 = and(_T_6821, _T_6822) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6824 = or(_T_6818, _T_6823) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6825 = bits(_T_6824, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6826 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6825 : @[Reg.scala 28:19] _T_6826 <= _T_6815 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][36] <= _T_6826 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6827 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6828 = eq(_T_6827, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6829 = and(ic_valid_ff, _T_6828) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6830 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6831 = and(_T_6829, _T_6830) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6832 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6833 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6834 = and(_T_6832, _T_6833) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6835 = eq(perr_ic_index_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6836 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6837 = and(_T_6835, _T_6836) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6838 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6839 = and(_T_6837, _T_6838) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6840 = or(_T_6834, _T_6839) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6841 = bits(_T_6840, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][36] <= _T_6826 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6827 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6828 = eq(_T_6827, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6829 = and(ic_valid_ff, _T_6828) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6830 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6831 = and(_T_6829, _T_6830) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6832 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6833 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6834 = and(_T_6832, _T_6833) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6835 = eq(perr_ic_index_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6836 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6837 = and(_T_6835, _T_6836) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6838 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6839 = and(_T_6837, _T_6838) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6840 = or(_T_6834, _T_6839) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6841 = bits(_T_6840, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6842 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6841 : @[Reg.scala 28:19] _T_6842 <= _T_6831 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][37] <= _T_6842 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6843 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6844 = eq(_T_6843, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6845 = and(ic_valid_ff, _T_6844) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6846 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6847 = and(_T_6845, _T_6846) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6848 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6849 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6850 = and(_T_6848, _T_6849) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6851 = eq(perr_ic_index_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6852 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6853 = and(_T_6851, _T_6852) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6854 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6855 = and(_T_6853, _T_6854) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6856 = or(_T_6850, _T_6855) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6857 = bits(_T_6856, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][37] <= _T_6842 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6843 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6844 = eq(_T_6843, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6845 = and(ic_valid_ff, _T_6844) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6846 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6847 = and(_T_6845, _T_6846) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6848 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6849 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6850 = and(_T_6848, _T_6849) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6851 = eq(perr_ic_index_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6852 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6853 = and(_T_6851, _T_6852) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6854 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6855 = and(_T_6853, _T_6854) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6856 = or(_T_6850, _T_6855) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6857 = bits(_T_6856, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6858 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6857 : @[Reg.scala 28:19] _T_6858 <= _T_6847 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][38] <= _T_6858 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6859 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6860 = eq(_T_6859, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6861 = and(ic_valid_ff, _T_6860) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6862 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6863 = and(_T_6861, _T_6862) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6864 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6865 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6866 = and(_T_6864, _T_6865) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6867 = eq(perr_ic_index_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6868 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6869 = and(_T_6867, _T_6868) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6870 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6871 = and(_T_6869, _T_6870) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6872 = or(_T_6866, _T_6871) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6873 = bits(_T_6872, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][38] <= _T_6858 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6859 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6860 = eq(_T_6859, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6861 = and(ic_valid_ff, _T_6860) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6862 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6863 = and(_T_6861, _T_6862) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6864 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6865 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6866 = and(_T_6864, _T_6865) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6867 = eq(perr_ic_index_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6868 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6869 = and(_T_6867, _T_6868) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6870 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6871 = and(_T_6869, _T_6870) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6872 = or(_T_6866, _T_6871) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6873 = bits(_T_6872, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6874 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6873 : @[Reg.scala 28:19] _T_6874 <= _T_6863 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][39] <= _T_6874 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6875 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6876 = eq(_T_6875, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6877 = and(ic_valid_ff, _T_6876) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6878 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6879 = and(_T_6877, _T_6878) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6880 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6881 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6882 = and(_T_6880, _T_6881) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6883 = eq(perr_ic_index_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6884 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6885 = and(_T_6883, _T_6884) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6886 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6887 = and(_T_6885, _T_6886) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6888 = or(_T_6882, _T_6887) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6889 = bits(_T_6888, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][39] <= _T_6874 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6875 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6876 = eq(_T_6875, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6877 = and(ic_valid_ff, _T_6876) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6878 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6879 = and(_T_6877, _T_6878) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6880 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6881 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6882 = and(_T_6880, _T_6881) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6883 = eq(perr_ic_index_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6884 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6885 = and(_T_6883, _T_6884) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6886 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6887 = and(_T_6885, _T_6886) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6888 = or(_T_6882, _T_6887) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6889 = bits(_T_6888, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6890 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6889 : @[Reg.scala 28:19] _T_6890 <= _T_6879 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][40] <= _T_6890 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6891 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6892 = eq(_T_6891, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6893 = and(ic_valid_ff, _T_6892) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6894 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6895 = and(_T_6893, _T_6894) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6896 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6897 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6898 = and(_T_6896, _T_6897) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6899 = eq(perr_ic_index_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6900 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6901 = and(_T_6899, _T_6900) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6902 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6903 = and(_T_6901, _T_6902) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6904 = or(_T_6898, _T_6903) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6905 = bits(_T_6904, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][40] <= _T_6890 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6891 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6892 = eq(_T_6891, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6893 = and(ic_valid_ff, _T_6892) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6894 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6895 = and(_T_6893, _T_6894) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6896 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6897 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6898 = and(_T_6896, _T_6897) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6899 = eq(perr_ic_index_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6900 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6901 = and(_T_6899, _T_6900) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6902 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6903 = and(_T_6901, _T_6902) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6904 = or(_T_6898, _T_6903) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6905 = bits(_T_6904, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6906 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6905 : @[Reg.scala 28:19] _T_6906 <= _T_6895 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][41] <= _T_6906 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6907 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6908 = eq(_T_6907, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6909 = and(ic_valid_ff, _T_6908) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6910 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6911 = and(_T_6909, _T_6910) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6912 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6913 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6914 = and(_T_6912, _T_6913) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6915 = eq(perr_ic_index_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6916 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6917 = and(_T_6915, _T_6916) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6918 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6919 = and(_T_6917, _T_6918) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6920 = or(_T_6914, _T_6919) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6921 = bits(_T_6920, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][41] <= _T_6906 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6907 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6908 = eq(_T_6907, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6909 = and(ic_valid_ff, _T_6908) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6910 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6911 = and(_T_6909, _T_6910) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6912 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6913 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6914 = and(_T_6912, _T_6913) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6915 = eq(perr_ic_index_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6916 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6917 = and(_T_6915, _T_6916) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6918 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6919 = and(_T_6917, _T_6918) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6920 = or(_T_6914, _T_6919) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6921 = bits(_T_6920, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6922 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6921 : @[Reg.scala 28:19] _T_6922 <= _T_6911 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][42] <= _T_6922 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6923 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6924 = eq(_T_6923, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6925 = and(ic_valid_ff, _T_6924) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6926 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6927 = and(_T_6925, _T_6926) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6928 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6929 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6930 = and(_T_6928, _T_6929) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6931 = eq(perr_ic_index_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6932 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6933 = and(_T_6931, _T_6932) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6934 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6935 = and(_T_6933, _T_6934) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6936 = or(_T_6930, _T_6935) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6937 = bits(_T_6936, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][42] <= _T_6922 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6923 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6924 = eq(_T_6923, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6925 = and(ic_valid_ff, _T_6924) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6926 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6927 = and(_T_6925, _T_6926) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6928 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6929 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6930 = and(_T_6928, _T_6929) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6931 = eq(perr_ic_index_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6932 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6933 = and(_T_6931, _T_6932) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6934 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6935 = and(_T_6933, _T_6934) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6936 = or(_T_6930, _T_6935) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6937 = bits(_T_6936, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6938 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6937 : @[Reg.scala 28:19] _T_6938 <= _T_6927 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][43] <= _T_6938 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6939 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6940 = eq(_T_6939, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6941 = and(ic_valid_ff, _T_6940) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6942 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6943 = and(_T_6941, _T_6942) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6944 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6945 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6946 = and(_T_6944, _T_6945) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6947 = eq(perr_ic_index_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6948 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6949 = and(_T_6947, _T_6948) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6950 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6951 = and(_T_6949, _T_6950) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6952 = or(_T_6946, _T_6951) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6953 = bits(_T_6952, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][43] <= _T_6938 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6939 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6940 = eq(_T_6939, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6941 = and(ic_valid_ff, _T_6940) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6942 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6943 = and(_T_6941, _T_6942) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6944 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6945 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6946 = and(_T_6944, _T_6945) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6947 = eq(perr_ic_index_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6948 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6949 = and(_T_6947, _T_6948) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6950 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6951 = and(_T_6949, _T_6950) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6952 = or(_T_6946, _T_6951) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6953 = bits(_T_6952, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6954 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6953 : @[Reg.scala 28:19] _T_6954 <= _T_6943 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][44] <= _T_6954 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6955 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6956 = eq(_T_6955, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6957 = and(ic_valid_ff, _T_6956) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6958 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6959 = and(_T_6957, _T_6958) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6960 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6961 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6962 = and(_T_6960, _T_6961) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6963 = eq(perr_ic_index_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6964 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6965 = and(_T_6963, _T_6964) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6966 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6967 = and(_T_6965, _T_6966) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6968 = or(_T_6962, _T_6967) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6969 = bits(_T_6968, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][44] <= _T_6954 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6955 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6956 = eq(_T_6955, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6957 = and(ic_valid_ff, _T_6956) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6958 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6959 = and(_T_6957, _T_6958) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6960 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6961 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6962 = and(_T_6960, _T_6961) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6963 = eq(perr_ic_index_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6964 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6965 = and(_T_6963, _T_6964) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6966 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6967 = and(_T_6965, _T_6966) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6968 = or(_T_6962, _T_6967) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6969 = bits(_T_6968, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6970 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6969 : @[Reg.scala 28:19] _T_6970 <= _T_6959 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][45] <= _T_6970 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6971 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6972 = eq(_T_6971, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6973 = and(ic_valid_ff, _T_6972) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6974 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6975 = and(_T_6973, _T_6974) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6976 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6977 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6978 = and(_T_6976, _T_6977) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6979 = eq(perr_ic_index_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6980 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6981 = and(_T_6979, _T_6980) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6982 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6983 = and(_T_6981, _T_6982) @[el2_ifu_mem_ctl.scala 751:144] - node _T_6984 = or(_T_6978, _T_6983) @[el2_ifu_mem_ctl.scala 751:80] - node _T_6985 = bits(_T_6984, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][45] <= _T_6970 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6971 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6972 = eq(_T_6971, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6973 = and(ic_valid_ff, _T_6972) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6974 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6975 = and(_T_6973, _T_6974) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6976 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6977 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6978 = and(_T_6976, _T_6977) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6979 = eq(perr_ic_index_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6980 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6981 = and(_T_6979, _T_6980) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6982 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6983 = and(_T_6981, _T_6982) @[el2_ifu_mem_ctl.scala 753:144] + node _T_6984 = or(_T_6978, _T_6983) @[el2_ifu_mem_ctl.scala 753:80] + node _T_6985 = bits(_T_6984, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_6986 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6985 : @[Reg.scala 28:19] _T_6986 <= _T_6975 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][46] <= _T_6986 @[el2_ifu_mem_ctl.scala 750:39] - node _T_6987 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_6988 = eq(_T_6987, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_6989 = and(ic_valid_ff, _T_6988) @[el2_ifu_mem_ctl.scala 750:64] - node _T_6990 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_6991 = and(_T_6989, _T_6990) @[el2_ifu_mem_ctl.scala 750:89] - node _T_6992 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_6993 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_6994 = and(_T_6992, _T_6993) @[el2_ifu_mem_ctl.scala 751:58] - node _T_6995 = eq(perr_ic_index_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_6996 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_6997 = and(_T_6995, _T_6996) @[el2_ifu_mem_ctl.scala 751:123] - node _T_6998 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_6999 = and(_T_6997, _T_6998) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7000 = or(_T_6994, _T_6999) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7001 = bits(_T_7000, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][46] <= _T_6986 @[el2_ifu_mem_ctl.scala 752:39] + node _T_6987 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_6988 = eq(_T_6987, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_6989 = and(ic_valid_ff, _T_6988) @[el2_ifu_mem_ctl.scala 752:64] + node _T_6990 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_6991 = and(_T_6989, _T_6990) @[el2_ifu_mem_ctl.scala 752:89] + node _T_6992 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_6993 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_6994 = and(_T_6992, _T_6993) @[el2_ifu_mem_ctl.scala 753:58] + node _T_6995 = eq(perr_ic_index_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_6996 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_6997 = and(_T_6995, _T_6996) @[el2_ifu_mem_ctl.scala 753:123] + node _T_6998 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_6999 = and(_T_6997, _T_6998) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7000 = or(_T_6994, _T_6999) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7001 = bits(_T_7000, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7002 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7001 : @[Reg.scala 28:19] _T_7002 <= _T_6991 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][47] <= _T_7002 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7003 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7004 = eq(_T_7003, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7005 = and(ic_valid_ff, _T_7004) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7006 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7007 = and(_T_7005, _T_7006) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7008 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7009 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7010 = and(_T_7008, _T_7009) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7011 = eq(perr_ic_index_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7012 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7013 = and(_T_7011, _T_7012) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7014 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7015 = and(_T_7013, _T_7014) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7016 = or(_T_7010, _T_7015) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7017 = bits(_T_7016, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][47] <= _T_7002 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7003 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7004 = eq(_T_7003, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7005 = and(ic_valid_ff, _T_7004) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7006 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7007 = and(_T_7005, _T_7006) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7008 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7009 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7010 = and(_T_7008, _T_7009) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7011 = eq(perr_ic_index_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7012 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7013 = and(_T_7011, _T_7012) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7014 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7015 = and(_T_7013, _T_7014) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7016 = or(_T_7010, _T_7015) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7017 = bits(_T_7016, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7018 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7017 : @[Reg.scala 28:19] _T_7018 <= _T_7007 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][48] <= _T_7018 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7019 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7020 = eq(_T_7019, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7021 = and(ic_valid_ff, _T_7020) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7022 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7023 = and(_T_7021, _T_7022) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7024 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7025 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7026 = and(_T_7024, _T_7025) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7027 = eq(perr_ic_index_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7028 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7029 = and(_T_7027, _T_7028) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7030 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7031 = and(_T_7029, _T_7030) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7032 = or(_T_7026, _T_7031) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7033 = bits(_T_7032, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][48] <= _T_7018 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7019 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7020 = eq(_T_7019, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7021 = and(ic_valid_ff, _T_7020) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7022 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7023 = and(_T_7021, _T_7022) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7024 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7025 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7026 = and(_T_7024, _T_7025) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7027 = eq(perr_ic_index_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7028 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7029 = and(_T_7027, _T_7028) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7030 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7031 = and(_T_7029, _T_7030) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7032 = or(_T_7026, _T_7031) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7033 = bits(_T_7032, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7034 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7033 : @[Reg.scala 28:19] _T_7034 <= _T_7023 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][49] <= _T_7034 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7035 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7036 = eq(_T_7035, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7037 = and(ic_valid_ff, _T_7036) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7038 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7039 = and(_T_7037, _T_7038) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7040 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7041 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7042 = and(_T_7040, _T_7041) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7043 = eq(perr_ic_index_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7044 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7045 = and(_T_7043, _T_7044) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7046 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7047 = and(_T_7045, _T_7046) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7048 = or(_T_7042, _T_7047) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7049 = bits(_T_7048, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][49] <= _T_7034 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7035 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7036 = eq(_T_7035, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7037 = and(ic_valid_ff, _T_7036) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7038 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7039 = and(_T_7037, _T_7038) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7040 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7041 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7042 = and(_T_7040, _T_7041) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7043 = eq(perr_ic_index_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7044 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7045 = and(_T_7043, _T_7044) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7046 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7047 = and(_T_7045, _T_7046) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7048 = or(_T_7042, _T_7047) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7049 = bits(_T_7048, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7050 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7049 : @[Reg.scala 28:19] _T_7050 <= _T_7039 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][50] <= _T_7050 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7051 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7052 = eq(_T_7051, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7053 = and(ic_valid_ff, _T_7052) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7054 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7055 = and(_T_7053, _T_7054) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7056 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7057 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7058 = and(_T_7056, _T_7057) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7059 = eq(perr_ic_index_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7060 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7061 = and(_T_7059, _T_7060) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7062 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7063 = and(_T_7061, _T_7062) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7064 = or(_T_7058, _T_7063) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7065 = bits(_T_7064, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][50] <= _T_7050 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7051 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7052 = eq(_T_7051, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7053 = and(ic_valid_ff, _T_7052) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7054 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7055 = and(_T_7053, _T_7054) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7056 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7057 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7058 = and(_T_7056, _T_7057) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7059 = eq(perr_ic_index_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7060 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7061 = and(_T_7059, _T_7060) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7062 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7063 = and(_T_7061, _T_7062) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7064 = or(_T_7058, _T_7063) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7065 = bits(_T_7064, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7066 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7065 : @[Reg.scala 28:19] _T_7066 <= _T_7055 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][51] <= _T_7066 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7067 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7068 = eq(_T_7067, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7069 = and(ic_valid_ff, _T_7068) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7070 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7071 = and(_T_7069, _T_7070) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7072 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7073 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7074 = and(_T_7072, _T_7073) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7075 = eq(perr_ic_index_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7076 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7077 = and(_T_7075, _T_7076) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7078 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7079 = and(_T_7077, _T_7078) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7080 = or(_T_7074, _T_7079) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7081 = bits(_T_7080, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][51] <= _T_7066 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7067 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7068 = eq(_T_7067, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7069 = and(ic_valid_ff, _T_7068) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7070 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7071 = and(_T_7069, _T_7070) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7072 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7073 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7074 = and(_T_7072, _T_7073) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7075 = eq(perr_ic_index_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7076 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7077 = and(_T_7075, _T_7076) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7078 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7079 = and(_T_7077, _T_7078) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7080 = or(_T_7074, _T_7079) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7081 = bits(_T_7080, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7082 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7081 : @[Reg.scala 28:19] _T_7082 <= _T_7071 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][52] <= _T_7082 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7083 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7084 = eq(_T_7083, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7085 = and(ic_valid_ff, _T_7084) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7086 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7087 = and(_T_7085, _T_7086) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7088 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7089 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7090 = and(_T_7088, _T_7089) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7091 = eq(perr_ic_index_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7092 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7093 = and(_T_7091, _T_7092) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7094 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7095 = and(_T_7093, _T_7094) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7096 = or(_T_7090, _T_7095) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7097 = bits(_T_7096, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][52] <= _T_7082 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7083 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7084 = eq(_T_7083, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7085 = and(ic_valid_ff, _T_7084) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7086 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7087 = and(_T_7085, _T_7086) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7088 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7089 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7090 = and(_T_7088, _T_7089) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7091 = eq(perr_ic_index_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7092 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7093 = and(_T_7091, _T_7092) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7094 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7095 = and(_T_7093, _T_7094) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7096 = or(_T_7090, _T_7095) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7097 = bits(_T_7096, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7098 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7097 : @[Reg.scala 28:19] _T_7098 <= _T_7087 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][53] <= _T_7098 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7099 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7100 = eq(_T_7099, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7101 = and(ic_valid_ff, _T_7100) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7102 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7103 = and(_T_7101, _T_7102) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7104 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7105 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7106 = and(_T_7104, _T_7105) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7107 = eq(perr_ic_index_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7108 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7109 = and(_T_7107, _T_7108) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7110 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7111 = and(_T_7109, _T_7110) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7112 = or(_T_7106, _T_7111) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7113 = bits(_T_7112, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][53] <= _T_7098 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7099 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7100 = eq(_T_7099, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7101 = and(ic_valid_ff, _T_7100) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7102 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7103 = and(_T_7101, _T_7102) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7104 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7105 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7106 = and(_T_7104, _T_7105) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7107 = eq(perr_ic_index_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7108 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7109 = and(_T_7107, _T_7108) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7110 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7111 = and(_T_7109, _T_7110) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7112 = or(_T_7106, _T_7111) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7113 = bits(_T_7112, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7114 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7113 : @[Reg.scala 28:19] _T_7114 <= _T_7103 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][54] <= _T_7114 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7115 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7116 = eq(_T_7115, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7117 = and(ic_valid_ff, _T_7116) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7118 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7119 = and(_T_7117, _T_7118) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7120 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7121 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7122 = and(_T_7120, _T_7121) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7123 = eq(perr_ic_index_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7124 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7125 = and(_T_7123, _T_7124) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7126 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7127 = and(_T_7125, _T_7126) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7128 = or(_T_7122, _T_7127) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7129 = bits(_T_7128, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][54] <= _T_7114 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7115 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7116 = eq(_T_7115, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7117 = and(ic_valid_ff, _T_7116) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7118 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7119 = and(_T_7117, _T_7118) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7120 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7121 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7122 = and(_T_7120, _T_7121) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7123 = eq(perr_ic_index_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7124 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7125 = and(_T_7123, _T_7124) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7126 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7127 = and(_T_7125, _T_7126) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7128 = or(_T_7122, _T_7127) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7129 = bits(_T_7128, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7130 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7129 : @[Reg.scala 28:19] _T_7130 <= _T_7119 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][55] <= _T_7130 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7131 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7132 = eq(_T_7131, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7133 = and(ic_valid_ff, _T_7132) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7134 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7135 = and(_T_7133, _T_7134) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7136 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7137 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7138 = and(_T_7136, _T_7137) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7139 = eq(perr_ic_index_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7140 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7141 = and(_T_7139, _T_7140) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7142 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7143 = and(_T_7141, _T_7142) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7144 = or(_T_7138, _T_7143) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7145 = bits(_T_7144, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][55] <= _T_7130 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7131 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7132 = eq(_T_7131, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7133 = and(ic_valid_ff, _T_7132) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7134 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7135 = and(_T_7133, _T_7134) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7136 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7137 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7138 = and(_T_7136, _T_7137) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7139 = eq(perr_ic_index_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7140 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7141 = and(_T_7139, _T_7140) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7142 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7143 = and(_T_7141, _T_7142) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7144 = or(_T_7138, _T_7143) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7145 = bits(_T_7144, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7146 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7145 : @[Reg.scala 28:19] _T_7146 <= _T_7135 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][56] <= _T_7146 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7147 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7148 = eq(_T_7147, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7149 = and(ic_valid_ff, _T_7148) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7150 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7151 = and(_T_7149, _T_7150) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7152 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7153 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7154 = and(_T_7152, _T_7153) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7155 = eq(perr_ic_index_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7156 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7157 = and(_T_7155, _T_7156) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7158 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7159 = and(_T_7157, _T_7158) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7160 = or(_T_7154, _T_7159) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7161 = bits(_T_7160, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][56] <= _T_7146 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7147 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7148 = eq(_T_7147, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7149 = and(ic_valid_ff, _T_7148) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7150 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7151 = and(_T_7149, _T_7150) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7152 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7153 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7154 = and(_T_7152, _T_7153) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7155 = eq(perr_ic_index_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7156 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7157 = and(_T_7155, _T_7156) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7158 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7159 = and(_T_7157, _T_7158) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7160 = or(_T_7154, _T_7159) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7161 = bits(_T_7160, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7162 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7161 : @[Reg.scala 28:19] _T_7162 <= _T_7151 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][57] <= _T_7162 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7163 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7164 = eq(_T_7163, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7165 = and(ic_valid_ff, _T_7164) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7166 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7167 = and(_T_7165, _T_7166) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7168 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7169 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7170 = and(_T_7168, _T_7169) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7171 = eq(perr_ic_index_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7172 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7173 = and(_T_7171, _T_7172) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7174 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7175 = and(_T_7173, _T_7174) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7176 = or(_T_7170, _T_7175) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7177 = bits(_T_7176, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][57] <= _T_7162 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7163 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7164 = eq(_T_7163, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7165 = and(ic_valid_ff, _T_7164) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7166 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7167 = and(_T_7165, _T_7166) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7168 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7169 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7170 = and(_T_7168, _T_7169) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7171 = eq(perr_ic_index_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7172 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7173 = and(_T_7171, _T_7172) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7174 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7175 = and(_T_7173, _T_7174) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7176 = or(_T_7170, _T_7175) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7177 = bits(_T_7176, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7178 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7177 : @[Reg.scala 28:19] _T_7178 <= _T_7167 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][58] <= _T_7178 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7179 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7180 = eq(_T_7179, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7181 = and(ic_valid_ff, _T_7180) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7182 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7183 = and(_T_7181, _T_7182) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7184 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7185 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7186 = and(_T_7184, _T_7185) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7187 = eq(perr_ic_index_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7188 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7189 = and(_T_7187, _T_7188) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7190 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7191 = and(_T_7189, _T_7190) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7192 = or(_T_7186, _T_7191) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7193 = bits(_T_7192, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][58] <= _T_7178 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7179 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7180 = eq(_T_7179, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7181 = and(ic_valid_ff, _T_7180) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7182 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7183 = and(_T_7181, _T_7182) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7184 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7185 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7186 = and(_T_7184, _T_7185) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7187 = eq(perr_ic_index_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7188 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7189 = and(_T_7187, _T_7188) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7190 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7191 = and(_T_7189, _T_7190) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7192 = or(_T_7186, _T_7191) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7193 = bits(_T_7192, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7194 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7193 : @[Reg.scala 28:19] _T_7194 <= _T_7183 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][59] <= _T_7194 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7195 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7196 = eq(_T_7195, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7197 = and(ic_valid_ff, _T_7196) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7198 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7199 = and(_T_7197, _T_7198) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7200 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7201 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7202 = and(_T_7200, _T_7201) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7203 = eq(perr_ic_index_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7204 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7205 = and(_T_7203, _T_7204) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7206 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7207 = and(_T_7205, _T_7206) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7208 = or(_T_7202, _T_7207) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7209 = bits(_T_7208, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][59] <= _T_7194 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7195 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7196 = eq(_T_7195, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7197 = and(ic_valid_ff, _T_7196) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7198 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7199 = and(_T_7197, _T_7198) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7200 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7201 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7202 = and(_T_7200, _T_7201) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7203 = eq(perr_ic_index_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7204 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7205 = and(_T_7203, _T_7204) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7206 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7207 = and(_T_7205, _T_7206) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7208 = or(_T_7202, _T_7207) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7209 = bits(_T_7208, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7210 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7209 : @[Reg.scala 28:19] _T_7210 <= _T_7199 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][60] <= _T_7210 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7211 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7212 = eq(_T_7211, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7213 = and(ic_valid_ff, _T_7212) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7214 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7215 = and(_T_7213, _T_7214) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7216 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7217 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7218 = and(_T_7216, _T_7217) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7219 = eq(perr_ic_index_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7220 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7221 = and(_T_7219, _T_7220) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7222 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7223 = and(_T_7221, _T_7222) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7224 = or(_T_7218, _T_7223) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7225 = bits(_T_7224, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][60] <= _T_7210 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7211 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7212 = eq(_T_7211, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7213 = and(ic_valid_ff, _T_7212) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7214 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7215 = and(_T_7213, _T_7214) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7216 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7217 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7218 = and(_T_7216, _T_7217) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7219 = eq(perr_ic_index_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7220 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7221 = and(_T_7219, _T_7220) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7222 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7223 = and(_T_7221, _T_7222) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7224 = or(_T_7218, _T_7223) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7225 = bits(_T_7224, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7226 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7225 : @[Reg.scala 28:19] _T_7226 <= _T_7215 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][61] <= _T_7226 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7227 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7228 = eq(_T_7227, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7229 = and(ic_valid_ff, _T_7228) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7230 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7231 = and(_T_7229, _T_7230) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7232 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7233 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7234 = and(_T_7232, _T_7233) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7235 = eq(perr_ic_index_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7236 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7237 = and(_T_7235, _T_7236) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7238 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7239 = and(_T_7237, _T_7238) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7240 = or(_T_7234, _T_7239) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7241 = bits(_T_7240, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][61] <= _T_7226 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7227 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7228 = eq(_T_7227, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7229 = and(ic_valid_ff, _T_7228) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7230 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7231 = and(_T_7229, _T_7230) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7232 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7233 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7234 = and(_T_7232, _T_7233) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7235 = eq(perr_ic_index_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7236 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7237 = and(_T_7235, _T_7236) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7238 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7239 = and(_T_7237, _T_7238) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7240 = or(_T_7234, _T_7239) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7241 = bits(_T_7240, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7242 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7241 : @[Reg.scala 28:19] _T_7242 <= _T_7231 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][62] <= _T_7242 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7243 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7244 = eq(_T_7243, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7245 = and(ic_valid_ff, _T_7244) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7246 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7247 = and(_T_7245, _T_7246) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7248 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7249 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7250 = and(_T_7248, _T_7249) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7251 = eq(perr_ic_index_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7252 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7253 = and(_T_7251, _T_7252) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7254 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7255 = and(_T_7253, _T_7254) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7256 = or(_T_7250, _T_7255) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7257 = bits(_T_7256, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][62] <= _T_7242 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7243 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7244 = eq(_T_7243, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7245 = and(ic_valid_ff, _T_7244) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7246 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7247 = and(_T_7245, _T_7246) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7248 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7249 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7250 = and(_T_7248, _T_7249) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7251 = eq(perr_ic_index_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7252 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7253 = and(_T_7251, _T_7252) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7254 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7255 = and(_T_7253, _T_7254) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7256 = or(_T_7250, _T_7255) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7257 = bits(_T_7256, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7258 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7257 : @[Reg.scala 28:19] _T_7258 <= _T_7247 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][63] <= _T_7258 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7259 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7260 = eq(_T_7259, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7261 = and(ic_valid_ff, _T_7260) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7262 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7263 = and(_T_7261, _T_7262) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7264 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7265 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7266 = and(_T_7264, _T_7265) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7267 = eq(perr_ic_index_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7268 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7269 = and(_T_7267, _T_7268) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7270 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7271 = and(_T_7269, _T_7270) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7272 = or(_T_7266, _T_7271) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7273 = bits(_T_7272, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][63] <= _T_7258 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7259 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7260 = eq(_T_7259, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7261 = and(ic_valid_ff, _T_7260) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7262 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7263 = and(_T_7261, _T_7262) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7264 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7265 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7266 = and(_T_7264, _T_7265) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7267 = eq(perr_ic_index_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7268 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7269 = and(_T_7267, _T_7268) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7270 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7271 = and(_T_7269, _T_7270) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7272 = or(_T_7266, _T_7271) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7273 = bits(_T_7272, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7274 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7273 : @[Reg.scala 28:19] _T_7274 <= _T_7263 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][64] <= _T_7274 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7275 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7276 = eq(_T_7275, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7277 = and(ic_valid_ff, _T_7276) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7278 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7279 = and(_T_7277, _T_7278) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7280 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7281 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7282 = and(_T_7280, _T_7281) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7283 = eq(perr_ic_index_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7284 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7285 = and(_T_7283, _T_7284) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7286 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7287 = and(_T_7285, _T_7286) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7288 = or(_T_7282, _T_7287) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7289 = bits(_T_7288, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][64] <= _T_7274 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7275 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7276 = eq(_T_7275, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7277 = and(ic_valid_ff, _T_7276) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7278 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7279 = and(_T_7277, _T_7278) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7280 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7281 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7282 = and(_T_7280, _T_7281) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7283 = eq(perr_ic_index_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7284 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7285 = and(_T_7283, _T_7284) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7286 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7287 = and(_T_7285, _T_7286) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7288 = or(_T_7282, _T_7287) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7289 = bits(_T_7288, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7290 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7289 : @[Reg.scala 28:19] _T_7290 <= _T_7279 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][65] <= _T_7290 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7291 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7292 = eq(_T_7291, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7293 = and(ic_valid_ff, _T_7292) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7294 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7295 = and(_T_7293, _T_7294) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7296 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7297 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7298 = and(_T_7296, _T_7297) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7299 = eq(perr_ic_index_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7300 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7301 = and(_T_7299, _T_7300) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7302 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7303 = and(_T_7301, _T_7302) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7304 = or(_T_7298, _T_7303) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7305 = bits(_T_7304, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][65] <= _T_7290 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7291 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7292 = eq(_T_7291, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7293 = and(ic_valid_ff, _T_7292) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7294 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7295 = and(_T_7293, _T_7294) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7296 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7297 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7298 = and(_T_7296, _T_7297) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7299 = eq(perr_ic_index_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7300 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7301 = and(_T_7299, _T_7300) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7302 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7303 = and(_T_7301, _T_7302) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7304 = or(_T_7298, _T_7303) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7305 = bits(_T_7304, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7306 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7305 : @[Reg.scala 28:19] _T_7306 <= _T_7295 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][66] <= _T_7306 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7307 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7308 = eq(_T_7307, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7309 = and(ic_valid_ff, _T_7308) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7310 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7311 = and(_T_7309, _T_7310) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7312 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7313 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7314 = and(_T_7312, _T_7313) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7315 = eq(perr_ic_index_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7316 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7317 = and(_T_7315, _T_7316) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7318 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7319 = and(_T_7317, _T_7318) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7320 = or(_T_7314, _T_7319) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7321 = bits(_T_7320, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][66] <= _T_7306 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7307 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7308 = eq(_T_7307, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7309 = and(ic_valid_ff, _T_7308) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7310 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7311 = and(_T_7309, _T_7310) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7312 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7313 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7314 = and(_T_7312, _T_7313) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7315 = eq(perr_ic_index_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7316 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7317 = and(_T_7315, _T_7316) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7318 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7319 = and(_T_7317, _T_7318) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7320 = or(_T_7314, _T_7319) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7321 = bits(_T_7320, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7322 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7321 : @[Reg.scala 28:19] _T_7322 <= _T_7311 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][67] <= _T_7322 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7323 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7324 = eq(_T_7323, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7325 = and(ic_valid_ff, _T_7324) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7326 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7327 = and(_T_7325, _T_7326) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7328 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7329 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7330 = and(_T_7328, _T_7329) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7331 = eq(perr_ic_index_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7332 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7333 = and(_T_7331, _T_7332) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7334 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7335 = and(_T_7333, _T_7334) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7336 = or(_T_7330, _T_7335) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7337 = bits(_T_7336, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][67] <= _T_7322 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7323 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7324 = eq(_T_7323, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7325 = and(ic_valid_ff, _T_7324) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7326 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7327 = and(_T_7325, _T_7326) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7328 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7329 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7330 = and(_T_7328, _T_7329) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7331 = eq(perr_ic_index_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7332 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7333 = and(_T_7331, _T_7332) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7334 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7335 = and(_T_7333, _T_7334) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7336 = or(_T_7330, _T_7335) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7337 = bits(_T_7336, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7338 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7337 : @[Reg.scala 28:19] _T_7338 <= _T_7327 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][68] <= _T_7338 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7339 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7340 = eq(_T_7339, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7341 = and(ic_valid_ff, _T_7340) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7342 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7343 = and(_T_7341, _T_7342) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7344 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7345 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7346 = and(_T_7344, _T_7345) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7347 = eq(perr_ic_index_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7348 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7349 = and(_T_7347, _T_7348) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7350 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7351 = and(_T_7349, _T_7350) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7352 = or(_T_7346, _T_7351) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7353 = bits(_T_7352, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][68] <= _T_7338 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7339 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7340 = eq(_T_7339, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7341 = and(ic_valid_ff, _T_7340) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7342 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7343 = and(_T_7341, _T_7342) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7344 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7345 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7346 = and(_T_7344, _T_7345) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7347 = eq(perr_ic_index_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7348 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7349 = and(_T_7347, _T_7348) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7350 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7351 = and(_T_7349, _T_7350) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7352 = or(_T_7346, _T_7351) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7353 = bits(_T_7352, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7354 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7353 : @[Reg.scala 28:19] _T_7354 <= _T_7343 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][69] <= _T_7354 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7355 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7356 = eq(_T_7355, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7357 = and(ic_valid_ff, _T_7356) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7358 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7359 = and(_T_7357, _T_7358) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7360 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7361 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7362 = and(_T_7360, _T_7361) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7363 = eq(perr_ic_index_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7364 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7365 = and(_T_7363, _T_7364) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7366 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7367 = and(_T_7365, _T_7366) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7368 = or(_T_7362, _T_7367) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7369 = bits(_T_7368, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][69] <= _T_7354 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7355 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7356 = eq(_T_7355, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7357 = and(ic_valid_ff, _T_7356) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7358 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7359 = and(_T_7357, _T_7358) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7360 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7361 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7362 = and(_T_7360, _T_7361) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7363 = eq(perr_ic_index_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7364 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7365 = and(_T_7363, _T_7364) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7366 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7367 = and(_T_7365, _T_7366) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7368 = or(_T_7362, _T_7367) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7369 = bits(_T_7368, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7370 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7369 : @[Reg.scala 28:19] _T_7370 <= _T_7359 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][70] <= _T_7370 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7371 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7372 = eq(_T_7371, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7373 = and(ic_valid_ff, _T_7372) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7374 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7375 = and(_T_7373, _T_7374) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7376 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7377 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7378 = and(_T_7376, _T_7377) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7379 = eq(perr_ic_index_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7380 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7381 = and(_T_7379, _T_7380) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7382 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7383 = and(_T_7381, _T_7382) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7384 = or(_T_7378, _T_7383) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7385 = bits(_T_7384, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][70] <= _T_7370 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7371 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7372 = eq(_T_7371, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7373 = and(ic_valid_ff, _T_7372) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7374 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7375 = and(_T_7373, _T_7374) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7376 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7377 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7378 = and(_T_7376, _T_7377) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7379 = eq(perr_ic_index_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7380 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7381 = and(_T_7379, _T_7380) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7382 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7383 = and(_T_7381, _T_7382) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7384 = or(_T_7378, _T_7383) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7385 = bits(_T_7384, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7386 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7385 : @[Reg.scala 28:19] _T_7386 <= _T_7375 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][71] <= _T_7386 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7387 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7388 = eq(_T_7387, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7389 = and(ic_valid_ff, _T_7388) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7390 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7391 = and(_T_7389, _T_7390) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7392 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7393 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7394 = and(_T_7392, _T_7393) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7395 = eq(perr_ic_index_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7396 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7397 = and(_T_7395, _T_7396) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7398 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7399 = and(_T_7397, _T_7398) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7400 = or(_T_7394, _T_7399) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7401 = bits(_T_7400, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][71] <= _T_7386 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7387 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7388 = eq(_T_7387, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7389 = and(ic_valid_ff, _T_7388) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7390 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7391 = and(_T_7389, _T_7390) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7392 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7393 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7394 = and(_T_7392, _T_7393) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7395 = eq(perr_ic_index_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7396 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7397 = and(_T_7395, _T_7396) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7398 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7399 = and(_T_7397, _T_7398) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7400 = or(_T_7394, _T_7399) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7401 = bits(_T_7400, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7402 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7401 : @[Reg.scala 28:19] _T_7402 <= _T_7391 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][72] <= _T_7402 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7403 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7404 = eq(_T_7403, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7405 = and(ic_valid_ff, _T_7404) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7406 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7407 = and(_T_7405, _T_7406) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7408 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7409 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7410 = and(_T_7408, _T_7409) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7411 = eq(perr_ic_index_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7412 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7413 = and(_T_7411, _T_7412) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7414 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7415 = and(_T_7413, _T_7414) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7416 = or(_T_7410, _T_7415) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7417 = bits(_T_7416, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][72] <= _T_7402 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7403 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7404 = eq(_T_7403, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7405 = and(ic_valid_ff, _T_7404) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7406 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7407 = and(_T_7405, _T_7406) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7408 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7409 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7410 = and(_T_7408, _T_7409) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7411 = eq(perr_ic_index_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7412 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7413 = and(_T_7411, _T_7412) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7414 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7415 = and(_T_7413, _T_7414) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7416 = or(_T_7410, _T_7415) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7417 = bits(_T_7416, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7418 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7417 : @[Reg.scala 28:19] _T_7418 <= _T_7407 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][73] <= _T_7418 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7419 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7420 = eq(_T_7419, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7421 = and(ic_valid_ff, _T_7420) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7422 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7423 = and(_T_7421, _T_7422) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7424 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7425 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7426 = and(_T_7424, _T_7425) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7427 = eq(perr_ic_index_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7428 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7429 = and(_T_7427, _T_7428) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7430 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7431 = and(_T_7429, _T_7430) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7432 = or(_T_7426, _T_7431) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7433 = bits(_T_7432, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][73] <= _T_7418 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7419 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7420 = eq(_T_7419, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7421 = and(ic_valid_ff, _T_7420) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7422 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7423 = and(_T_7421, _T_7422) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7424 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7425 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7426 = and(_T_7424, _T_7425) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7427 = eq(perr_ic_index_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7428 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7429 = and(_T_7427, _T_7428) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7430 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7431 = and(_T_7429, _T_7430) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7432 = or(_T_7426, _T_7431) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7433 = bits(_T_7432, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7434 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7433 : @[Reg.scala 28:19] _T_7434 <= _T_7423 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][74] <= _T_7434 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7435 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7436 = eq(_T_7435, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7437 = and(ic_valid_ff, _T_7436) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7438 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7439 = and(_T_7437, _T_7438) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7440 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7441 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7442 = and(_T_7440, _T_7441) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7443 = eq(perr_ic_index_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7444 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7445 = and(_T_7443, _T_7444) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7446 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7447 = and(_T_7445, _T_7446) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7448 = or(_T_7442, _T_7447) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7449 = bits(_T_7448, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][74] <= _T_7434 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7435 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7436 = eq(_T_7435, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7437 = and(ic_valid_ff, _T_7436) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7438 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7439 = and(_T_7437, _T_7438) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7440 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7441 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7442 = and(_T_7440, _T_7441) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7443 = eq(perr_ic_index_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7444 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7445 = and(_T_7443, _T_7444) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7446 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7447 = and(_T_7445, _T_7446) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7448 = or(_T_7442, _T_7447) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7449 = bits(_T_7448, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7450 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7449 : @[Reg.scala 28:19] _T_7450 <= _T_7439 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][75] <= _T_7450 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7451 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7452 = eq(_T_7451, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7453 = and(ic_valid_ff, _T_7452) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7454 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7455 = and(_T_7453, _T_7454) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7456 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7457 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7458 = and(_T_7456, _T_7457) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7459 = eq(perr_ic_index_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7460 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7461 = and(_T_7459, _T_7460) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7462 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7463 = and(_T_7461, _T_7462) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7464 = or(_T_7458, _T_7463) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7465 = bits(_T_7464, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][75] <= _T_7450 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7451 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7452 = eq(_T_7451, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7453 = and(ic_valid_ff, _T_7452) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7454 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7455 = and(_T_7453, _T_7454) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7456 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7457 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7458 = and(_T_7456, _T_7457) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7459 = eq(perr_ic_index_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7460 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7461 = and(_T_7459, _T_7460) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7462 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7463 = and(_T_7461, _T_7462) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7464 = or(_T_7458, _T_7463) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7465 = bits(_T_7464, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7466 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7465 : @[Reg.scala 28:19] _T_7466 <= _T_7455 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][76] <= _T_7466 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7467 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7468 = eq(_T_7467, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7469 = and(ic_valid_ff, _T_7468) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7470 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7471 = and(_T_7469, _T_7470) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7472 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7473 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7474 = and(_T_7472, _T_7473) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7475 = eq(perr_ic_index_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7476 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7477 = and(_T_7475, _T_7476) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7478 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7479 = and(_T_7477, _T_7478) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7480 = or(_T_7474, _T_7479) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7481 = bits(_T_7480, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][76] <= _T_7466 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7467 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7468 = eq(_T_7467, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7469 = and(ic_valid_ff, _T_7468) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7470 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7471 = and(_T_7469, _T_7470) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7472 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7473 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7474 = and(_T_7472, _T_7473) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7475 = eq(perr_ic_index_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7476 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7477 = and(_T_7475, _T_7476) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7478 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7479 = and(_T_7477, _T_7478) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7480 = or(_T_7474, _T_7479) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7481 = bits(_T_7480, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7482 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7481 : @[Reg.scala 28:19] _T_7482 <= _T_7471 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][77] <= _T_7482 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7483 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7484 = eq(_T_7483, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7485 = and(ic_valid_ff, _T_7484) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7486 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7487 = and(_T_7485, _T_7486) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7488 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7489 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7490 = and(_T_7488, _T_7489) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7491 = eq(perr_ic_index_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7492 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7493 = and(_T_7491, _T_7492) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7494 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7495 = and(_T_7493, _T_7494) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7496 = or(_T_7490, _T_7495) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7497 = bits(_T_7496, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][77] <= _T_7482 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7483 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7484 = eq(_T_7483, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7485 = and(ic_valid_ff, _T_7484) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7486 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7487 = and(_T_7485, _T_7486) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7488 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7489 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7490 = and(_T_7488, _T_7489) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7491 = eq(perr_ic_index_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7492 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7493 = and(_T_7491, _T_7492) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7494 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7495 = and(_T_7493, _T_7494) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7496 = or(_T_7490, _T_7495) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7497 = bits(_T_7496, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7498 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7497 : @[Reg.scala 28:19] _T_7498 <= _T_7487 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][78] <= _T_7498 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7499 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7500 = eq(_T_7499, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7501 = and(ic_valid_ff, _T_7500) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7502 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7503 = and(_T_7501, _T_7502) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7504 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7505 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7506 = and(_T_7504, _T_7505) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7507 = eq(perr_ic_index_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7508 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7509 = and(_T_7507, _T_7508) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7510 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7511 = and(_T_7509, _T_7510) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7512 = or(_T_7506, _T_7511) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7513 = bits(_T_7512, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][78] <= _T_7498 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7499 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7500 = eq(_T_7499, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7501 = and(ic_valid_ff, _T_7500) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7502 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7503 = and(_T_7501, _T_7502) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7504 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7505 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7506 = and(_T_7504, _T_7505) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7507 = eq(perr_ic_index_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7508 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7509 = and(_T_7507, _T_7508) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7510 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7511 = and(_T_7509, _T_7510) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7512 = or(_T_7506, _T_7511) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7513 = bits(_T_7512, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7514 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7513 : @[Reg.scala 28:19] _T_7514 <= _T_7503 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][79] <= _T_7514 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7515 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7516 = eq(_T_7515, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7517 = and(ic_valid_ff, _T_7516) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7518 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7519 = and(_T_7517, _T_7518) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7520 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7521 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7522 = and(_T_7520, _T_7521) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7523 = eq(perr_ic_index_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7524 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7525 = and(_T_7523, _T_7524) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7526 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7527 = and(_T_7525, _T_7526) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7528 = or(_T_7522, _T_7527) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7529 = bits(_T_7528, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][79] <= _T_7514 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7515 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7516 = eq(_T_7515, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7517 = and(ic_valid_ff, _T_7516) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7518 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7519 = and(_T_7517, _T_7518) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7520 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7521 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7522 = and(_T_7520, _T_7521) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7523 = eq(perr_ic_index_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7524 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7525 = and(_T_7523, _T_7524) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7526 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7527 = and(_T_7525, _T_7526) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7528 = or(_T_7522, _T_7527) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7529 = bits(_T_7528, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7530 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7529 : @[Reg.scala 28:19] _T_7530 <= _T_7519 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][80] <= _T_7530 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7531 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7532 = eq(_T_7531, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7533 = and(ic_valid_ff, _T_7532) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7534 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7535 = and(_T_7533, _T_7534) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7536 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7537 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7538 = and(_T_7536, _T_7537) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7539 = eq(perr_ic_index_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7540 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7541 = and(_T_7539, _T_7540) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7542 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7543 = and(_T_7541, _T_7542) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7544 = or(_T_7538, _T_7543) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7545 = bits(_T_7544, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][80] <= _T_7530 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7531 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7532 = eq(_T_7531, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7533 = and(ic_valid_ff, _T_7532) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7534 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7535 = and(_T_7533, _T_7534) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7536 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7537 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7538 = and(_T_7536, _T_7537) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7539 = eq(perr_ic_index_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7540 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7541 = and(_T_7539, _T_7540) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7542 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7543 = and(_T_7541, _T_7542) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7544 = or(_T_7538, _T_7543) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7545 = bits(_T_7544, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7546 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7545 : @[Reg.scala 28:19] _T_7546 <= _T_7535 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][81] <= _T_7546 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7547 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7548 = eq(_T_7547, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7549 = and(ic_valid_ff, _T_7548) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7550 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7551 = and(_T_7549, _T_7550) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7552 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7553 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7554 = and(_T_7552, _T_7553) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7555 = eq(perr_ic_index_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7556 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7557 = and(_T_7555, _T_7556) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7558 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7559 = and(_T_7557, _T_7558) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7560 = or(_T_7554, _T_7559) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7561 = bits(_T_7560, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][81] <= _T_7546 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7547 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7548 = eq(_T_7547, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7549 = and(ic_valid_ff, _T_7548) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7550 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7551 = and(_T_7549, _T_7550) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7552 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7553 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7554 = and(_T_7552, _T_7553) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7555 = eq(perr_ic_index_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7556 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7557 = and(_T_7555, _T_7556) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7558 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7559 = and(_T_7557, _T_7558) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7560 = or(_T_7554, _T_7559) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7561 = bits(_T_7560, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7562 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7561 : @[Reg.scala 28:19] _T_7562 <= _T_7551 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][82] <= _T_7562 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7563 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7564 = eq(_T_7563, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7565 = and(ic_valid_ff, _T_7564) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7566 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7567 = and(_T_7565, _T_7566) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7568 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7569 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7570 = and(_T_7568, _T_7569) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7571 = eq(perr_ic_index_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7572 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7573 = and(_T_7571, _T_7572) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7574 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7575 = and(_T_7573, _T_7574) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7576 = or(_T_7570, _T_7575) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7577 = bits(_T_7576, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][82] <= _T_7562 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7563 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7564 = eq(_T_7563, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7565 = and(ic_valid_ff, _T_7564) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7566 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7567 = and(_T_7565, _T_7566) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7568 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7569 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7570 = and(_T_7568, _T_7569) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7571 = eq(perr_ic_index_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7572 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7573 = and(_T_7571, _T_7572) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7574 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7575 = and(_T_7573, _T_7574) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7576 = or(_T_7570, _T_7575) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7577 = bits(_T_7576, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7578 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7577 : @[Reg.scala 28:19] _T_7578 <= _T_7567 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][83] <= _T_7578 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7579 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7580 = eq(_T_7579, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7581 = and(ic_valid_ff, _T_7580) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7582 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7583 = and(_T_7581, _T_7582) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7584 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7585 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7586 = and(_T_7584, _T_7585) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7587 = eq(perr_ic_index_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7588 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7589 = and(_T_7587, _T_7588) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7590 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7591 = and(_T_7589, _T_7590) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7592 = or(_T_7586, _T_7591) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7593 = bits(_T_7592, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][83] <= _T_7578 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7579 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7580 = eq(_T_7579, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7581 = and(ic_valid_ff, _T_7580) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7582 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7583 = and(_T_7581, _T_7582) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7584 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7585 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7586 = and(_T_7584, _T_7585) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7587 = eq(perr_ic_index_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7588 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7589 = and(_T_7587, _T_7588) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7590 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7591 = and(_T_7589, _T_7590) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7592 = or(_T_7586, _T_7591) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7593 = bits(_T_7592, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7594 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7593 : @[Reg.scala 28:19] _T_7594 <= _T_7583 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][84] <= _T_7594 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7595 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7596 = eq(_T_7595, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7597 = and(ic_valid_ff, _T_7596) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7598 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7599 = and(_T_7597, _T_7598) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7600 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7601 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7602 = and(_T_7600, _T_7601) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7603 = eq(perr_ic_index_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7604 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7605 = and(_T_7603, _T_7604) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7606 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7607 = and(_T_7605, _T_7606) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7608 = or(_T_7602, _T_7607) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7609 = bits(_T_7608, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][84] <= _T_7594 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7595 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7596 = eq(_T_7595, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7597 = and(ic_valid_ff, _T_7596) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7598 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7599 = and(_T_7597, _T_7598) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7600 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7601 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7602 = and(_T_7600, _T_7601) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7603 = eq(perr_ic_index_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7604 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7605 = and(_T_7603, _T_7604) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7606 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7607 = and(_T_7605, _T_7606) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7608 = or(_T_7602, _T_7607) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7609 = bits(_T_7608, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7610 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7609 : @[Reg.scala 28:19] _T_7610 <= _T_7599 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][85] <= _T_7610 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7611 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7612 = eq(_T_7611, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7613 = and(ic_valid_ff, _T_7612) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7614 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7615 = and(_T_7613, _T_7614) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7616 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7617 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7618 = and(_T_7616, _T_7617) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7619 = eq(perr_ic_index_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7620 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7621 = and(_T_7619, _T_7620) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7622 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7623 = and(_T_7621, _T_7622) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7624 = or(_T_7618, _T_7623) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7625 = bits(_T_7624, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][85] <= _T_7610 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7611 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7612 = eq(_T_7611, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7613 = and(ic_valid_ff, _T_7612) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7614 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7615 = and(_T_7613, _T_7614) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7616 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7617 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7618 = and(_T_7616, _T_7617) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7619 = eq(perr_ic_index_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7620 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7621 = and(_T_7619, _T_7620) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7622 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7623 = and(_T_7621, _T_7622) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7624 = or(_T_7618, _T_7623) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7625 = bits(_T_7624, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7626 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7625 : @[Reg.scala 28:19] _T_7626 <= _T_7615 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][86] <= _T_7626 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7627 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7628 = eq(_T_7627, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7629 = and(ic_valid_ff, _T_7628) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7630 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7631 = and(_T_7629, _T_7630) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7632 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7633 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7634 = and(_T_7632, _T_7633) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7635 = eq(perr_ic_index_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7636 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7637 = and(_T_7635, _T_7636) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7638 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7639 = and(_T_7637, _T_7638) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7640 = or(_T_7634, _T_7639) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7641 = bits(_T_7640, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][86] <= _T_7626 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7627 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7628 = eq(_T_7627, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7629 = and(ic_valid_ff, _T_7628) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7630 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7631 = and(_T_7629, _T_7630) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7632 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7633 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7634 = and(_T_7632, _T_7633) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7635 = eq(perr_ic_index_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7636 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7637 = and(_T_7635, _T_7636) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7638 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7639 = and(_T_7637, _T_7638) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7640 = or(_T_7634, _T_7639) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7641 = bits(_T_7640, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7642 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7641 : @[Reg.scala 28:19] _T_7642 <= _T_7631 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][87] <= _T_7642 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7643 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7644 = eq(_T_7643, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7645 = and(ic_valid_ff, _T_7644) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7646 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7647 = and(_T_7645, _T_7646) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7648 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7649 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7650 = and(_T_7648, _T_7649) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7651 = eq(perr_ic_index_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7652 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7653 = and(_T_7651, _T_7652) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7654 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7655 = and(_T_7653, _T_7654) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7656 = or(_T_7650, _T_7655) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7657 = bits(_T_7656, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][87] <= _T_7642 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7643 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7644 = eq(_T_7643, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7645 = and(ic_valid_ff, _T_7644) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7646 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7647 = and(_T_7645, _T_7646) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7648 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7649 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7650 = and(_T_7648, _T_7649) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7651 = eq(perr_ic_index_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7652 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7653 = and(_T_7651, _T_7652) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7654 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7655 = and(_T_7653, _T_7654) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7656 = or(_T_7650, _T_7655) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7657 = bits(_T_7656, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7658 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7657 : @[Reg.scala 28:19] _T_7658 <= _T_7647 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][88] <= _T_7658 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7659 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7660 = eq(_T_7659, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7661 = and(ic_valid_ff, _T_7660) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7662 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7663 = and(_T_7661, _T_7662) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7664 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7665 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7666 = and(_T_7664, _T_7665) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7667 = eq(perr_ic_index_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7668 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7669 = and(_T_7667, _T_7668) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7670 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7671 = and(_T_7669, _T_7670) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7672 = or(_T_7666, _T_7671) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7673 = bits(_T_7672, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][88] <= _T_7658 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7659 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7660 = eq(_T_7659, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7661 = and(ic_valid_ff, _T_7660) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7662 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7663 = and(_T_7661, _T_7662) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7664 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7665 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7666 = and(_T_7664, _T_7665) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7667 = eq(perr_ic_index_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7668 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7669 = and(_T_7667, _T_7668) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7670 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7671 = and(_T_7669, _T_7670) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7672 = or(_T_7666, _T_7671) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7673 = bits(_T_7672, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7674 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7673 : @[Reg.scala 28:19] _T_7674 <= _T_7663 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][89] <= _T_7674 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7675 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7676 = eq(_T_7675, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7677 = and(ic_valid_ff, _T_7676) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7678 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7679 = and(_T_7677, _T_7678) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7680 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7681 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7682 = and(_T_7680, _T_7681) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7683 = eq(perr_ic_index_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7684 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7685 = and(_T_7683, _T_7684) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7686 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7687 = and(_T_7685, _T_7686) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7688 = or(_T_7682, _T_7687) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7689 = bits(_T_7688, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][89] <= _T_7674 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7675 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7676 = eq(_T_7675, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7677 = and(ic_valid_ff, _T_7676) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7678 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7679 = and(_T_7677, _T_7678) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7680 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7681 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7682 = and(_T_7680, _T_7681) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7683 = eq(perr_ic_index_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7684 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7685 = and(_T_7683, _T_7684) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7686 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7687 = and(_T_7685, _T_7686) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7688 = or(_T_7682, _T_7687) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7689 = bits(_T_7688, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7690 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7689 : @[Reg.scala 28:19] _T_7690 <= _T_7679 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][90] <= _T_7690 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7691 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7692 = eq(_T_7691, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7693 = and(ic_valid_ff, _T_7692) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7694 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7695 = and(_T_7693, _T_7694) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7696 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7697 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7698 = and(_T_7696, _T_7697) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7699 = eq(perr_ic_index_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7700 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7701 = and(_T_7699, _T_7700) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7702 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7703 = and(_T_7701, _T_7702) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7704 = or(_T_7698, _T_7703) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7705 = bits(_T_7704, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][90] <= _T_7690 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7691 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7692 = eq(_T_7691, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7693 = and(ic_valid_ff, _T_7692) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7694 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7695 = and(_T_7693, _T_7694) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7696 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7697 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7698 = and(_T_7696, _T_7697) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7699 = eq(perr_ic_index_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7700 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7701 = and(_T_7699, _T_7700) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7702 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7703 = and(_T_7701, _T_7702) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7704 = or(_T_7698, _T_7703) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7705 = bits(_T_7704, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7706 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7705 : @[Reg.scala 28:19] _T_7706 <= _T_7695 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][91] <= _T_7706 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7707 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7708 = eq(_T_7707, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7709 = and(ic_valid_ff, _T_7708) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7710 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7711 = and(_T_7709, _T_7710) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7712 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7713 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7714 = and(_T_7712, _T_7713) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7715 = eq(perr_ic_index_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7716 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7717 = and(_T_7715, _T_7716) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7718 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7719 = and(_T_7717, _T_7718) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7720 = or(_T_7714, _T_7719) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7721 = bits(_T_7720, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][91] <= _T_7706 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7707 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7708 = eq(_T_7707, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7709 = and(ic_valid_ff, _T_7708) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7710 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7711 = and(_T_7709, _T_7710) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7712 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7713 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7714 = and(_T_7712, _T_7713) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7715 = eq(perr_ic_index_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7716 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7717 = and(_T_7715, _T_7716) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7718 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7719 = and(_T_7717, _T_7718) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7720 = or(_T_7714, _T_7719) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7721 = bits(_T_7720, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7722 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7721 : @[Reg.scala 28:19] _T_7722 <= _T_7711 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][92] <= _T_7722 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7723 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7724 = eq(_T_7723, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7725 = and(ic_valid_ff, _T_7724) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7726 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7727 = and(_T_7725, _T_7726) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7728 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7729 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7730 = and(_T_7728, _T_7729) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7731 = eq(perr_ic_index_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7732 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7733 = and(_T_7731, _T_7732) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7734 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7735 = and(_T_7733, _T_7734) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7736 = or(_T_7730, _T_7735) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7737 = bits(_T_7736, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][92] <= _T_7722 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7723 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7724 = eq(_T_7723, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7725 = and(ic_valid_ff, _T_7724) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7726 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7727 = and(_T_7725, _T_7726) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7728 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7729 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7730 = and(_T_7728, _T_7729) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7731 = eq(perr_ic_index_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7732 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7733 = and(_T_7731, _T_7732) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7734 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7735 = and(_T_7733, _T_7734) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7736 = or(_T_7730, _T_7735) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7737 = bits(_T_7736, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7738 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7737 : @[Reg.scala 28:19] _T_7738 <= _T_7727 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][93] <= _T_7738 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7739 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7740 = eq(_T_7739, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7741 = and(ic_valid_ff, _T_7740) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7742 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7743 = and(_T_7741, _T_7742) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7744 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7745 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7746 = and(_T_7744, _T_7745) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7747 = eq(perr_ic_index_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7748 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7749 = and(_T_7747, _T_7748) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7750 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7751 = and(_T_7749, _T_7750) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7752 = or(_T_7746, _T_7751) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7753 = bits(_T_7752, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][93] <= _T_7738 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7739 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7740 = eq(_T_7739, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7741 = and(ic_valid_ff, _T_7740) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7742 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7743 = and(_T_7741, _T_7742) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7744 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7745 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7746 = and(_T_7744, _T_7745) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7747 = eq(perr_ic_index_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7748 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7749 = and(_T_7747, _T_7748) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7750 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7751 = and(_T_7749, _T_7750) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7752 = or(_T_7746, _T_7751) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7753 = bits(_T_7752, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7754 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7753 : @[Reg.scala 28:19] _T_7754 <= _T_7743 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][94] <= _T_7754 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7755 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7756 = eq(_T_7755, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7757 = and(ic_valid_ff, _T_7756) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7758 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7759 = and(_T_7757, _T_7758) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7760 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7761 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7762 = and(_T_7760, _T_7761) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7763 = eq(perr_ic_index_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7764 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7765 = and(_T_7763, _T_7764) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7766 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7767 = and(_T_7765, _T_7766) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7768 = or(_T_7762, _T_7767) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7769 = bits(_T_7768, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][94] <= _T_7754 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7755 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7756 = eq(_T_7755, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7757 = and(ic_valid_ff, _T_7756) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7758 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7759 = and(_T_7757, _T_7758) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7760 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7761 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7762 = and(_T_7760, _T_7761) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7763 = eq(perr_ic_index_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7764 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7765 = and(_T_7763, _T_7764) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7766 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7767 = and(_T_7765, _T_7766) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7768 = or(_T_7762, _T_7767) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7769 = bits(_T_7768, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7770 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7769 : @[Reg.scala 28:19] _T_7770 <= _T_7759 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][95] <= _T_7770 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7771 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7772 = eq(_T_7771, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7773 = and(ic_valid_ff, _T_7772) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7774 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7775 = and(_T_7773, _T_7774) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7776 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7777 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7778 = and(_T_7776, _T_7777) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7779 = eq(perr_ic_index_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7780 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7781 = and(_T_7779, _T_7780) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7782 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7783 = and(_T_7781, _T_7782) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7784 = or(_T_7778, _T_7783) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7785 = bits(_T_7784, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][95] <= _T_7770 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7771 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7772 = eq(_T_7771, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7773 = and(ic_valid_ff, _T_7772) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7774 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7775 = and(_T_7773, _T_7774) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7776 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7777 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7778 = and(_T_7776, _T_7777) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7779 = eq(perr_ic_index_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7780 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7781 = and(_T_7779, _T_7780) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7782 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7783 = and(_T_7781, _T_7782) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7784 = or(_T_7778, _T_7783) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7785 = bits(_T_7784, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7786 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7785 : @[Reg.scala 28:19] _T_7786 <= _T_7775 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][64] <= _T_7786 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7787 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7788 = eq(_T_7787, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7789 = and(ic_valid_ff, _T_7788) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7790 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7791 = and(_T_7789, _T_7790) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7792 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7793 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7794 = and(_T_7792, _T_7793) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7795 = eq(perr_ic_index_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7796 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7797 = and(_T_7795, _T_7796) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7798 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7799 = and(_T_7797, _T_7798) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7800 = or(_T_7794, _T_7799) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7801 = bits(_T_7800, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][64] <= _T_7786 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7787 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7788 = eq(_T_7787, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7789 = and(ic_valid_ff, _T_7788) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7790 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7791 = and(_T_7789, _T_7790) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7792 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7793 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7794 = and(_T_7792, _T_7793) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7795 = eq(perr_ic_index_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7796 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7797 = and(_T_7795, _T_7796) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7798 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7799 = and(_T_7797, _T_7798) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7800 = or(_T_7794, _T_7799) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7801 = bits(_T_7800, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7802 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7801 : @[Reg.scala 28:19] _T_7802 <= _T_7791 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][65] <= _T_7802 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7803 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7804 = eq(_T_7803, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7805 = and(ic_valid_ff, _T_7804) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7806 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7807 = and(_T_7805, _T_7806) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7808 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7809 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7810 = and(_T_7808, _T_7809) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7811 = eq(perr_ic_index_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7812 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7813 = and(_T_7811, _T_7812) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7814 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7815 = and(_T_7813, _T_7814) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7816 = or(_T_7810, _T_7815) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7817 = bits(_T_7816, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][65] <= _T_7802 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7803 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7804 = eq(_T_7803, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7805 = and(ic_valid_ff, _T_7804) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7806 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7807 = and(_T_7805, _T_7806) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7808 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7809 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7810 = and(_T_7808, _T_7809) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7811 = eq(perr_ic_index_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7812 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7813 = and(_T_7811, _T_7812) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7814 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7815 = and(_T_7813, _T_7814) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7816 = or(_T_7810, _T_7815) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7817 = bits(_T_7816, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7818 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7817 : @[Reg.scala 28:19] _T_7818 <= _T_7807 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][66] <= _T_7818 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7819 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7820 = eq(_T_7819, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7821 = and(ic_valid_ff, _T_7820) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7822 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7823 = and(_T_7821, _T_7822) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7824 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7825 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7826 = and(_T_7824, _T_7825) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7827 = eq(perr_ic_index_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7828 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7829 = and(_T_7827, _T_7828) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7830 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7831 = and(_T_7829, _T_7830) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7832 = or(_T_7826, _T_7831) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7833 = bits(_T_7832, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][66] <= _T_7818 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7819 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7820 = eq(_T_7819, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7821 = and(ic_valid_ff, _T_7820) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7822 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7823 = and(_T_7821, _T_7822) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7824 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7825 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7826 = and(_T_7824, _T_7825) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7827 = eq(perr_ic_index_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7828 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7829 = and(_T_7827, _T_7828) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7830 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7831 = and(_T_7829, _T_7830) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7832 = or(_T_7826, _T_7831) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7833 = bits(_T_7832, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7834 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7833 : @[Reg.scala 28:19] _T_7834 <= _T_7823 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][67] <= _T_7834 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7835 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7836 = eq(_T_7835, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7837 = and(ic_valid_ff, _T_7836) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7838 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7839 = and(_T_7837, _T_7838) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7840 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7841 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7842 = and(_T_7840, _T_7841) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7843 = eq(perr_ic_index_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7844 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7845 = and(_T_7843, _T_7844) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7846 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7847 = and(_T_7845, _T_7846) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7848 = or(_T_7842, _T_7847) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7849 = bits(_T_7848, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][67] <= _T_7834 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7835 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7836 = eq(_T_7835, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7837 = and(ic_valid_ff, _T_7836) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7838 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7839 = and(_T_7837, _T_7838) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7840 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7841 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7842 = and(_T_7840, _T_7841) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7843 = eq(perr_ic_index_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7844 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7845 = and(_T_7843, _T_7844) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7846 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7847 = and(_T_7845, _T_7846) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7848 = or(_T_7842, _T_7847) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7849 = bits(_T_7848, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7850 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7849 : @[Reg.scala 28:19] _T_7850 <= _T_7839 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][68] <= _T_7850 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7851 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7852 = eq(_T_7851, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7853 = and(ic_valid_ff, _T_7852) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7854 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7855 = and(_T_7853, _T_7854) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7856 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7857 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7858 = and(_T_7856, _T_7857) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7859 = eq(perr_ic_index_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7860 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7861 = and(_T_7859, _T_7860) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7862 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7863 = and(_T_7861, _T_7862) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7864 = or(_T_7858, _T_7863) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7865 = bits(_T_7864, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][68] <= _T_7850 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7851 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7852 = eq(_T_7851, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7853 = and(ic_valid_ff, _T_7852) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7854 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7855 = and(_T_7853, _T_7854) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7856 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7857 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7858 = and(_T_7856, _T_7857) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7859 = eq(perr_ic_index_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7860 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7861 = and(_T_7859, _T_7860) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7862 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7863 = and(_T_7861, _T_7862) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7864 = or(_T_7858, _T_7863) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7865 = bits(_T_7864, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7866 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7865 : @[Reg.scala 28:19] _T_7866 <= _T_7855 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][69] <= _T_7866 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7867 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7868 = eq(_T_7867, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7869 = and(ic_valid_ff, _T_7868) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7870 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7871 = and(_T_7869, _T_7870) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7872 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7873 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7874 = and(_T_7872, _T_7873) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7875 = eq(perr_ic_index_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7876 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7877 = and(_T_7875, _T_7876) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7878 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7879 = and(_T_7877, _T_7878) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7880 = or(_T_7874, _T_7879) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7881 = bits(_T_7880, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][69] <= _T_7866 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7867 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7868 = eq(_T_7867, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7869 = and(ic_valid_ff, _T_7868) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7870 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7871 = and(_T_7869, _T_7870) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7872 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7873 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7874 = and(_T_7872, _T_7873) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7875 = eq(perr_ic_index_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7876 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7877 = and(_T_7875, _T_7876) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7878 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7879 = and(_T_7877, _T_7878) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7880 = or(_T_7874, _T_7879) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7881 = bits(_T_7880, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7882 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7881 : @[Reg.scala 28:19] _T_7882 <= _T_7871 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][70] <= _T_7882 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7883 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7884 = eq(_T_7883, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7885 = and(ic_valid_ff, _T_7884) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7886 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7887 = and(_T_7885, _T_7886) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7888 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7889 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7890 = and(_T_7888, _T_7889) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7891 = eq(perr_ic_index_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7892 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7893 = and(_T_7891, _T_7892) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7894 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7895 = and(_T_7893, _T_7894) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7896 = or(_T_7890, _T_7895) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7897 = bits(_T_7896, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][70] <= _T_7882 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7883 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7884 = eq(_T_7883, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7885 = and(ic_valid_ff, _T_7884) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7886 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7887 = and(_T_7885, _T_7886) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7888 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7889 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7890 = and(_T_7888, _T_7889) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7891 = eq(perr_ic_index_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7892 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7893 = and(_T_7891, _T_7892) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7894 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7895 = and(_T_7893, _T_7894) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7896 = or(_T_7890, _T_7895) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7897 = bits(_T_7896, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7898 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7897 : @[Reg.scala 28:19] _T_7898 <= _T_7887 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][71] <= _T_7898 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7899 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7900 = eq(_T_7899, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7901 = and(ic_valid_ff, _T_7900) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7902 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7903 = and(_T_7901, _T_7902) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7904 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7905 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7906 = and(_T_7904, _T_7905) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7907 = eq(perr_ic_index_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7908 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7909 = and(_T_7907, _T_7908) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7910 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7911 = and(_T_7909, _T_7910) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7912 = or(_T_7906, _T_7911) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7913 = bits(_T_7912, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][71] <= _T_7898 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7899 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7900 = eq(_T_7899, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7901 = and(ic_valid_ff, _T_7900) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7902 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7903 = and(_T_7901, _T_7902) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7904 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7905 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7906 = and(_T_7904, _T_7905) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7907 = eq(perr_ic_index_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7908 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7909 = and(_T_7907, _T_7908) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7910 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7911 = and(_T_7909, _T_7910) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7912 = or(_T_7906, _T_7911) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7913 = bits(_T_7912, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7914 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7913 : @[Reg.scala 28:19] _T_7914 <= _T_7903 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][72] <= _T_7914 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7915 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7916 = eq(_T_7915, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7917 = and(ic_valid_ff, _T_7916) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7918 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7919 = and(_T_7917, _T_7918) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7920 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7921 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7922 = and(_T_7920, _T_7921) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7923 = eq(perr_ic_index_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7924 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7925 = and(_T_7923, _T_7924) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7926 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7927 = and(_T_7925, _T_7926) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7928 = or(_T_7922, _T_7927) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7929 = bits(_T_7928, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][72] <= _T_7914 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7915 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7916 = eq(_T_7915, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7917 = and(ic_valid_ff, _T_7916) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7918 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7919 = and(_T_7917, _T_7918) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7920 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7921 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7922 = and(_T_7920, _T_7921) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7923 = eq(perr_ic_index_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7924 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7925 = and(_T_7923, _T_7924) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7926 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7927 = and(_T_7925, _T_7926) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7928 = or(_T_7922, _T_7927) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7929 = bits(_T_7928, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7930 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7929 : @[Reg.scala 28:19] _T_7930 <= _T_7919 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][73] <= _T_7930 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7931 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7932 = eq(_T_7931, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7933 = and(ic_valid_ff, _T_7932) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7934 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7935 = and(_T_7933, _T_7934) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7936 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7937 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7938 = and(_T_7936, _T_7937) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7939 = eq(perr_ic_index_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7940 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7941 = and(_T_7939, _T_7940) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7942 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7943 = and(_T_7941, _T_7942) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7944 = or(_T_7938, _T_7943) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7945 = bits(_T_7944, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][73] <= _T_7930 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7931 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7932 = eq(_T_7931, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7933 = and(ic_valid_ff, _T_7932) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7934 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7935 = and(_T_7933, _T_7934) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7936 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7937 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7938 = and(_T_7936, _T_7937) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7939 = eq(perr_ic_index_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7940 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7941 = and(_T_7939, _T_7940) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7942 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7943 = and(_T_7941, _T_7942) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7944 = or(_T_7938, _T_7943) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7945 = bits(_T_7944, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7946 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7945 : @[Reg.scala 28:19] _T_7946 <= _T_7935 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][74] <= _T_7946 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7947 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7948 = eq(_T_7947, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7949 = and(ic_valid_ff, _T_7948) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7950 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7951 = and(_T_7949, _T_7950) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7952 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7953 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7954 = and(_T_7952, _T_7953) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7955 = eq(perr_ic_index_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7956 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7957 = and(_T_7955, _T_7956) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7958 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7959 = and(_T_7957, _T_7958) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7960 = or(_T_7954, _T_7959) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7961 = bits(_T_7960, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][74] <= _T_7946 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7947 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7948 = eq(_T_7947, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7949 = and(ic_valid_ff, _T_7948) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7950 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7951 = and(_T_7949, _T_7950) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7952 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7953 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7954 = and(_T_7952, _T_7953) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7955 = eq(perr_ic_index_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7956 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7957 = and(_T_7955, _T_7956) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7958 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7959 = and(_T_7957, _T_7958) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7960 = or(_T_7954, _T_7959) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7961 = bits(_T_7960, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7962 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7961 : @[Reg.scala 28:19] _T_7962 <= _T_7951 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][75] <= _T_7962 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7963 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7964 = eq(_T_7963, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7965 = and(ic_valid_ff, _T_7964) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7966 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7967 = and(_T_7965, _T_7966) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7968 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7969 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7970 = and(_T_7968, _T_7969) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7971 = eq(perr_ic_index_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7972 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7973 = and(_T_7971, _T_7972) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7974 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7975 = and(_T_7973, _T_7974) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7976 = or(_T_7970, _T_7975) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7977 = bits(_T_7976, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][75] <= _T_7962 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7963 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7964 = eq(_T_7963, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7965 = and(ic_valid_ff, _T_7964) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7966 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7967 = and(_T_7965, _T_7966) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7968 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7969 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7970 = and(_T_7968, _T_7969) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7971 = eq(perr_ic_index_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7972 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7973 = and(_T_7971, _T_7972) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7974 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7975 = and(_T_7973, _T_7974) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7976 = or(_T_7970, _T_7975) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7977 = bits(_T_7976, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7978 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7977 : @[Reg.scala 28:19] _T_7978 <= _T_7967 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][76] <= _T_7978 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7979 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7980 = eq(_T_7979, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7981 = and(ic_valid_ff, _T_7980) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7982 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7983 = and(_T_7981, _T_7982) @[el2_ifu_mem_ctl.scala 750:89] - node _T_7984 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_7985 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_7986 = and(_T_7984, _T_7985) @[el2_ifu_mem_ctl.scala 751:58] - node _T_7987 = eq(perr_ic_index_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_7988 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_7989 = and(_T_7987, _T_7988) @[el2_ifu_mem_ctl.scala 751:123] - node _T_7990 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_7991 = and(_T_7989, _T_7990) @[el2_ifu_mem_ctl.scala 751:144] - node _T_7992 = or(_T_7986, _T_7991) @[el2_ifu_mem_ctl.scala 751:80] - node _T_7993 = bits(_T_7992, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][76] <= _T_7978 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7979 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7980 = eq(_T_7979, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7981 = and(ic_valid_ff, _T_7980) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7982 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7983 = and(_T_7981, _T_7982) @[el2_ifu_mem_ctl.scala 752:89] + node _T_7984 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_7985 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_7986 = and(_T_7984, _T_7985) @[el2_ifu_mem_ctl.scala 753:58] + node _T_7987 = eq(perr_ic_index_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_7988 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_7989 = and(_T_7987, _T_7988) @[el2_ifu_mem_ctl.scala 753:123] + node _T_7990 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_7991 = and(_T_7989, _T_7990) @[el2_ifu_mem_ctl.scala 753:144] + node _T_7992 = or(_T_7986, _T_7991) @[el2_ifu_mem_ctl.scala 753:80] + node _T_7993 = bits(_T_7992, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_7994 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7993 : @[Reg.scala 28:19] _T_7994 <= _T_7983 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][77] <= _T_7994 @[el2_ifu_mem_ctl.scala 750:39] - node _T_7995 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_7996 = eq(_T_7995, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_7997 = and(ic_valid_ff, _T_7996) @[el2_ifu_mem_ctl.scala 750:64] - node _T_7998 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_7999 = and(_T_7997, _T_7998) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8000 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8001 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8002 = and(_T_8000, _T_8001) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8003 = eq(perr_ic_index_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8004 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8005 = and(_T_8003, _T_8004) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8006 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8007 = and(_T_8005, _T_8006) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8008 = or(_T_8002, _T_8007) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8009 = bits(_T_8008, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][77] <= _T_7994 @[el2_ifu_mem_ctl.scala 752:39] + node _T_7995 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_7996 = eq(_T_7995, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_7997 = and(ic_valid_ff, _T_7996) @[el2_ifu_mem_ctl.scala 752:64] + node _T_7998 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_7999 = and(_T_7997, _T_7998) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8000 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8001 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8002 = and(_T_8000, _T_8001) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8003 = eq(perr_ic_index_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8004 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8005 = and(_T_8003, _T_8004) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8006 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8007 = and(_T_8005, _T_8006) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8008 = or(_T_8002, _T_8007) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8009 = bits(_T_8008, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8010 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8009 : @[Reg.scala 28:19] _T_8010 <= _T_7999 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][78] <= _T_8010 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8011 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8012 = eq(_T_8011, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8013 = and(ic_valid_ff, _T_8012) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8014 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8015 = and(_T_8013, _T_8014) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8016 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8017 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8018 = and(_T_8016, _T_8017) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8019 = eq(perr_ic_index_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8020 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8021 = and(_T_8019, _T_8020) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8022 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8023 = and(_T_8021, _T_8022) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8024 = or(_T_8018, _T_8023) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8025 = bits(_T_8024, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][78] <= _T_8010 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8011 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8012 = eq(_T_8011, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8013 = and(ic_valid_ff, _T_8012) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8014 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8015 = and(_T_8013, _T_8014) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8016 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8017 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8018 = and(_T_8016, _T_8017) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8019 = eq(perr_ic_index_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8020 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8021 = and(_T_8019, _T_8020) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8022 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8023 = and(_T_8021, _T_8022) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8024 = or(_T_8018, _T_8023) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8025 = bits(_T_8024, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8026 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8025 : @[Reg.scala 28:19] _T_8026 <= _T_8015 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][79] <= _T_8026 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8027 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8028 = eq(_T_8027, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8029 = and(ic_valid_ff, _T_8028) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8030 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8031 = and(_T_8029, _T_8030) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8032 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8033 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8034 = and(_T_8032, _T_8033) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8035 = eq(perr_ic_index_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8036 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8037 = and(_T_8035, _T_8036) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8038 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8039 = and(_T_8037, _T_8038) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8040 = or(_T_8034, _T_8039) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8041 = bits(_T_8040, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][79] <= _T_8026 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8027 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8028 = eq(_T_8027, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8029 = and(ic_valid_ff, _T_8028) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8030 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8031 = and(_T_8029, _T_8030) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8032 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8033 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8034 = and(_T_8032, _T_8033) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8035 = eq(perr_ic_index_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8036 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8037 = and(_T_8035, _T_8036) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8038 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8039 = and(_T_8037, _T_8038) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8040 = or(_T_8034, _T_8039) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8041 = bits(_T_8040, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8042 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8041 : @[Reg.scala 28:19] _T_8042 <= _T_8031 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][80] <= _T_8042 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8043 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8044 = eq(_T_8043, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8045 = and(ic_valid_ff, _T_8044) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8046 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8047 = and(_T_8045, _T_8046) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8048 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8049 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8050 = and(_T_8048, _T_8049) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8051 = eq(perr_ic_index_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8052 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8053 = and(_T_8051, _T_8052) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8054 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8055 = and(_T_8053, _T_8054) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8056 = or(_T_8050, _T_8055) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8057 = bits(_T_8056, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][80] <= _T_8042 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8043 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8044 = eq(_T_8043, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8045 = and(ic_valid_ff, _T_8044) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8046 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8047 = and(_T_8045, _T_8046) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8048 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8049 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8050 = and(_T_8048, _T_8049) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8051 = eq(perr_ic_index_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8052 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8053 = and(_T_8051, _T_8052) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8054 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8055 = and(_T_8053, _T_8054) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8056 = or(_T_8050, _T_8055) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8057 = bits(_T_8056, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8058 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8057 : @[Reg.scala 28:19] _T_8058 <= _T_8047 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][81] <= _T_8058 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8059 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8060 = eq(_T_8059, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8061 = and(ic_valid_ff, _T_8060) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8062 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8063 = and(_T_8061, _T_8062) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8064 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8065 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8066 = and(_T_8064, _T_8065) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8067 = eq(perr_ic_index_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8068 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8069 = and(_T_8067, _T_8068) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8070 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8071 = and(_T_8069, _T_8070) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8072 = or(_T_8066, _T_8071) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8073 = bits(_T_8072, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][81] <= _T_8058 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8059 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8060 = eq(_T_8059, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8061 = and(ic_valid_ff, _T_8060) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8062 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8063 = and(_T_8061, _T_8062) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8064 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8065 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8066 = and(_T_8064, _T_8065) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8067 = eq(perr_ic_index_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8068 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8069 = and(_T_8067, _T_8068) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8070 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8071 = and(_T_8069, _T_8070) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8072 = or(_T_8066, _T_8071) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8073 = bits(_T_8072, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8074 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8073 : @[Reg.scala 28:19] _T_8074 <= _T_8063 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][82] <= _T_8074 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8075 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8076 = eq(_T_8075, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8077 = and(ic_valid_ff, _T_8076) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8078 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8079 = and(_T_8077, _T_8078) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8080 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8081 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8082 = and(_T_8080, _T_8081) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8083 = eq(perr_ic_index_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8084 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8085 = and(_T_8083, _T_8084) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8086 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8087 = and(_T_8085, _T_8086) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8088 = or(_T_8082, _T_8087) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8089 = bits(_T_8088, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][82] <= _T_8074 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8075 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8076 = eq(_T_8075, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8077 = and(ic_valid_ff, _T_8076) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8078 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8079 = and(_T_8077, _T_8078) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8080 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8081 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8082 = and(_T_8080, _T_8081) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8083 = eq(perr_ic_index_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8084 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8085 = and(_T_8083, _T_8084) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8086 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8087 = and(_T_8085, _T_8086) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8088 = or(_T_8082, _T_8087) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8089 = bits(_T_8088, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8090 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8089 : @[Reg.scala 28:19] _T_8090 <= _T_8079 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][83] <= _T_8090 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8091 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8092 = eq(_T_8091, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8093 = and(ic_valid_ff, _T_8092) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8094 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8095 = and(_T_8093, _T_8094) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8096 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8097 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8098 = and(_T_8096, _T_8097) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8099 = eq(perr_ic_index_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8100 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8101 = and(_T_8099, _T_8100) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8102 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8103 = and(_T_8101, _T_8102) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8104 = or(_T_8098, _T_8103) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8105 = bits(_T_8104, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][83] <= _T_8090 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8091 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8092 = eq(_T_8091, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8093 = and(ic_valid_ff, _T_8092) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8094 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8095 = and(_T_8093, _T_8094) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8096 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8097 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8098 = and(_T_8096, _T_8097) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8099 = eq(perr_ic_index_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8100 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8101 = and(_T_8099, _T_8100) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8102 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8103 = and(_T_8101, _T_8102) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8104 = or(_T_8098, _T_8103) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8105 = bits(_T_8104, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8106 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8105 : @[Reg.scala 28:19] _T_8106 <= _T_8095 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][84] <= _T_8106 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8107 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8108 = eq(_T_8107, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8109 = and(ic_valid_ff, _T_8108) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8110 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8111 = and(_T_8109, _T_8110) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8112 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8113 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8114 = and(_T_8112, _T_8113) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8115 = eq(perr_ic_index_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8116 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8117 = and(_T_8115, _T_8116) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8118 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8119 = and(_T_8117, _T_8118) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8120 = or(_T_8114, _T_8119) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8121 = bits(_T_8120, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][84] <= _T_8106 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8107 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8108 = eq(_T_8107, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8109 = and(ic_valid_ff, _T_8108) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8110 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8111 = and(_T_8109, _T_8110) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8112 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8113 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8114 = and(_T_8112, _T_8113) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8115 = eq(perr_ic_index_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8116 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8117 = and(_T_8115, _T_8116) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8118 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8119 = and(_T_8117, _T_8118) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8120 = or(_T_8114, _T_8119) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8121 = bits(_T_8120, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8122 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8121 : @[Reg.scala 28:19] _T_8122 <= _T_8111 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][85] <= _T_8122 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8123 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8124 = eq(_T_8123, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8125 = and(ic_valid_ff, _T_8124) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8126 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8127 = and(_T_8125, _T_8126) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8128 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8129 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8130 = and(_T_8128, _T_8129) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8131 = eq(perr_ic_index_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8132 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8133 = and(_T_8131, _T_8132) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8134 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8135 = and(_T_8133, _T_8134) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8136 = or(_T_8130, _T_8135) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8137 = bits(_T_8136, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][85] <= _T_8122 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8123 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8124 = eq(_T_8123, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8125 = and(ic_valid_ff, _T_8124) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8126 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8127 = and(_T_8125, _T_8126) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8128 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8129 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8130 = and(_T_8128, _T_8129) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8131 = eq(perr_ic_index_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8132 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8133 = and(_T_8131, _T_8132) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8134 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8135 = and(_T_8133, _T_8134) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8136 = or(_T_8130, _T_8135) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8137 = bits(_T_8136, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8138 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8137 : @[Reg.scala 28:19] _T_8138 <= _T_8127 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][86] <= _T_8138 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8139 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8140 = eq(_T_8139, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8141 = and(ic_valid_ff, _T_8140) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8142 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8143 = and(_T_8141, _T_8142) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8144 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8145 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8146 = and(_T_8144, _T_8145) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8147 = eq(perr_ic_index_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8148 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8149 = and(_T_8147, _T_8148) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8150 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8151 = and(_T_8149, _T_8150) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8152 = or(_T_8146, _T_8151) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8153 = bits(_T_8152, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][86] <= _T_8138 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8139 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8140 = eq(_T_8139, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8141 = and(ic_valid_ff, _T_8140) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8142 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8143 = and(_T_8141, _T_8142) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8144 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8145 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8146 = and(_T_8144, _T_8145) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8147 = eq(perr_ic_index_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8148 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8149 = and(_T_8147, _T_8148) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8150 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8151 = and(_T_8149, _T_8150) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8152 = or(_T_8146, _T_8151) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8153 = bits(_T_8152, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8154 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8153 : @[Reg.scala 28:19] _T_8154 <= _T_8143 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][87] <= _T_8154 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8155 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8156 = eq(_T_8155, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8157 = and(ic_valid_ff, _T_8156) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8158 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8159 = and(_T_8157, _T_8158) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8160 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8161 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8162 = and(_T_8160, _T_8161) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8163 = eq(perr_ic_index_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8164 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8165 = and(_T_8163, _T_8164) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8166 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8167 = and(_T_8165, _T_8166) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8168 = or(_T_8162, _T_8167) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8169 = bits(_T_8168, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][87] <= _T_8154 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8155 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8156 = eq(_T_8155, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8157 = and(ic_valid_ff, _T_8156) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8158 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8159 = and(_T_8157, _T_8158) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8160 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8161 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8162 = and(_T_8160, _T_8161) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8163 = eq(perr_ic_index_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8164 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8165 = and(_T_8163, _T_8164) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8166 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8167 = and(_T_8165, _T_8166) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8168 = or(_T_8162, _T_8167) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8169 = bits(_T_8168, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8170 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8169 : @[Reg.scala 28:19] _T_8170 <= _T_8159 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][88] <= _T_8170 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8171 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8172 = eq(_T_8171, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8173 = and(ic_valid_ff, _T_8172) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8174 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8175 = and(_T_8173, _T_8174) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8176 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8177 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8178 = and(_T_8176, _T_8177) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8179 = eq(perr_ic_index_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8180 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8181 = and(_T_8179, _T_8180) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8182 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8183 = and(_T_8181, _T_8182) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8184 = or(_T_8178, _T_8183) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8185 = bits(_T_8184, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][88] <= _T_8170 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8171 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8172 = eq(_T_8171, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8173 = and(ic_valid_ff, _T_8172) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8174 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8175 = and(_T_8173, _T_8174) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8176 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8177 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8178 = and(_T_8176, _T_8177) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8179 = eq(perr_ic_index_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8180 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8181 = and(_T_8179, _T_8180) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8182 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8183 = and(_T_8181, _T_8182) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8184 = or(_T_8178, _T_8183) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8185 = bits(_T_8184, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8186 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8185 : @[Reg.scala 28:19] _T_8186 <= _T_8175 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][89] <= _T_8186 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8187 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8188 = eq(_T_8187, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8189 = and(ic_valid_ff, _T_8188) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8190 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8191 = and(_T_8189, _T_8190) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8192 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8193 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8194 = and(_T_8192, _T_8193) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8195 = eq(perr_ic_index_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8196 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8197 = and(_T_8195, _T_8196) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8198 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8199 = and(_T_8197, _T_8198) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8200 = or(_T_8194, _T_8199) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8201 = bits(_T_8200, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][89] <= _T_8186 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8187 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8188 = eq(_T_8187, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8189 = and(ic_valid_ff, _T_8188) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8190 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8191 = and(_T_8189, _T_8190) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8192 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8193 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8194 = and(_T_8192, _T_8193) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8195 = eq(perr_ic_index_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8196 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8197 = and(_T_8195, _T_8196) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8198 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8199 = and(_T_8197, _T_8198) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8200 = or(_T_8194, _T_8199) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8201 = bits(_T_8200, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8202 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8201 : @[Reg.scala 28:19] _T_8202 <= _T_8191 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][90] <= _T_8202 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8203 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8204 = eq(_T_8203, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8205 = and(ic_valid_ff, _T_8204) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8206 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8207 = and(_T_8205, _T_8206) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8208 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8209 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8210 = and(_T_8208, _T_8209) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8211 = eq(perr_ic_index_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8212 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8213 = and(_T_8211, _T_8212) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8214 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8215 = and(_T_8213, _T_8214) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8216 = or(_T_8210, _T_8215) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8217 = bits(_T_8216, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][90] <= _T_8202 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8203 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8204 = eq(_T_8203, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8205 = and(ic_valid_ff, _T_8204) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8206 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8207 = and(_T_8205, _T_8206) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8208 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8209 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8210 = and(_T_8208, _T_8209) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8211 = eq(perr_ic_index_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8212 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8213 = and(_T_8211, _T_8212) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8214 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8215 = and(_T_8213, _T_8214) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8216 = or(_T_8210, _T_8215) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8217 = bits(_T_8216, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8218 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8217 : @[Reg.scala 28:19] _T_8218 <= _T_8207 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][91] <= _T_8218 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8219 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8220 = eq(_T_8219, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8221 = and(ic_valid_ff, _T_8220) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8222 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8223 = and(_T_8221, _T_8222) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8224 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8225 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8226 = and(_T_8224, _T_8225) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8227 = eq(perr_ic_index_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8228 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8229 = and(_T_8227, _T_8228) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8230 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8231 = and(_T_8229, _T_8230) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8232 = or(_T_8226, _T_8231) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8233 = bits(_T_8232, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][91] <= _T_8218 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8219 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8220 = eq(_T_8219, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8221 = and(ic_valid_ff, _T_8220) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8222 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8223 = and(_T_8221, _T_8222) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8224 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8225 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8226 = and(_T_8224, _T_8225) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8227 = eq(perr_ic_index_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8228 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8229 = and(_T_8227, _T_8228) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8230 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8231 = and(_T_8229, _T_8230) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8232 = or(_T_8226, _T_8231) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8233 = bits(_T_8232, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8234 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8233 : @[Reg.scala 28:19] _T_8234 <= _T_8223 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][92] <= _T_8234 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8235 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8236 = eq(_T_8235, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8237 = and(ic_valid_ff, _T_8236) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8238 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8239 = and(_T_8237, _T_8238) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8240 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8241 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8242 = and(_T_8240, _T_8241) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8243 = eq(perr_ic_index_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8244 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8245 = and(_T_8243, _T_8244) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8246 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8247 = and(_T_8245, _T_8246) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8248 = or(_T_8242, _T_8247) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8249 = bits(_T_8248, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][92] <= _T_8234 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8235 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8236 = eq(_T_8235, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8237 = and(ic_valid_ff, _T_8236) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8238 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8239 = and(_T_8237, _T_8238) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8240 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8241 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8242 = and(_T_8240, _T_8241) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8243 = eq(perr_ic_index_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8244 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8245 = and(_T_8243, _T_8244) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8246 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8247 = and(_T_8245, _T_8246) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8248 = or(_T_8242, _T_8247) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8249 = bits(_T_8248, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8250 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8249 : @[Reg.scala 28:19] _T_8250 <= _T_8239 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][93] <= _T_8250 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8251 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8252 = eq(_T_8251, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8253 = and(ic_valid_ff, _T_8252) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8254 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8255 = and(_T_8253, _T_8254) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8256 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8257 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8258 = and(_T_8256, _T_8257) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8259 = eq(perr_ic_index_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8260 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8261 = and(_T_8259, _T_8260) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8262 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8263 = and(_T_8261, _T_8262) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8264 = or(_T_8258, _T_8263) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8265 = bits(_T_8264, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][93] <= _T_8250 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8251 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8252 = eq(_T_8251, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8253 = and(ic_valid_ff, _T_8252) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8254 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8255 = and(_T_8253, _T_8254) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8256 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8257 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8258 = and(_T_8256, _T_8257) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8259 = eq(perr_ic_index_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8260 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8261 = and(_T_8259, _T_8260) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8262 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8263 = and(_T_8261, _T_8262) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8264 = or(_T_8258, _T_8263) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8265 = bits(_T_8264, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8266 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8265 : @[Reg.scala 28:19] _T_8266 <= _T_8255 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][94] <= _T_8266 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8267 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8268 = eq(_T_8267, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8269 = and(ic_valid_ff, _T_8268) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8270 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8271 = and(_T_8269, _T_8270) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8272 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8273 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8274 = and(_T_8272, _T_8273) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8275 = eq(perr_ic_index_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8276 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8277 = and(_T_8275, _T_8276) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8278 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8279 = and(_T_8277, _T_8278) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8280 = or(_T_8274, _T_8279) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8281 = bits(_T_8280, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][94] <= _T_8266 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8267 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8268 = eq(_T_8267, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8269 = and(ic_valid_ff, _T_8268) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8270 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8271 = and(_T_8269, _T_8270) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8272 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8273 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8274 = and(_T_8272, _T_8273) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8275 = eq(perr_ic_index_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8276 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8277 = and(_T_8275, _T_8276) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8278 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8279 = and(_T_8277, _T_8278) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8280 = or(_T_8274, _T_8279) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8281 = bits(_T_8280, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8282 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8281 : @[Reg.scala 28:19] _T_8282 <= _T_8271 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][95] <= _T_8282 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8283 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8284 = eq(_T_8283, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8285 = and(ic_valid_ff, _T_8284) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8286 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8287 = and(_T_8285, _T_8286) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8288 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8289 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8290 = and(_T_8288, _T_8289) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8291 = eq(perr_ic_index_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8292 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8293 = and(_T_8291, _T_8292) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8294 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8295 = and(_T_8293, _T_8294) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8296 = or(_T_8290, _T_8295) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8297 = bits(_T_8296, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][95] <= _T_8282 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8283 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8284 = eq(_T_8283, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8285 = and(ic_valid_ff, _T_8284) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8286 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8287 = and(_T_8285, _T_8286) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8288 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8289 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8290 = and(_T_8288, _T_8289) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8291 = eq(perr_ic_index_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8292 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8293 = and(_T_8291, _T_8292) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8294 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8295 = and(_T_8293, _T_8294) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8296 = or(_T_8290, _T_8295) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8297 = bits(_T_8296, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8298 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8297 : @[Reg.scala 28:19] _T_8298 <= _T_8287 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][96] <= _T_8298 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8299 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8300 = eq(_T_8299, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8301 = and(ic_valid_ff, _T_8300) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8302 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8303 = and(_T_8301, _T_8302) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8304 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8305 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8306 = and(_T_8304, _T_8305) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8307 = eq(perr_ic_index_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8308 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8309 = and(_T_8307, _T_8308) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8310 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8311 = and(_T_8309, _T_8310) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8312 = or(_T_8306, _T_8311) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8313 = bits(_T_8312, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][96] <= _T_8298 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8299 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8300 = eq(_T_8299, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8301 = and(ic_valid_ff, _T_8300) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8302 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8303 = and(_T_8301, _T_8302) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8304 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8305 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8306 = and(_T_8304, _T_8305) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8307 = eq(perr_ic_index_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8308 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8309 = and(_T_8307, _T_8308) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8310 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8311 = and(_T_8309, _T_8310) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8312 = or(_T_8306, _T_8311) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8313 = bits(_T_8312, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8314 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8313 : @[Reg.scala 28:19] _T_8314 <= _T_8303 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][97] <= _T_8314 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8315 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8316 = eq(_T_8315, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8317 = and(ic_valid_ff, _T_8316) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8318 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8319 = and(_T_8317, _T_8318) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8320 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8321 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8322 = and(_T_8320, _T_8321) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8323 = eq(perr_ic_index_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8324 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8325 = and(_T_8323, _T_8324) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8326 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8327 = and(_T_8325, _T_8326) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8328 = or(_T_8322, _T_8327) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8329 = bits(_T_8328, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][97] <= _T_8314 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8315 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8316 = eq(_T_8315, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8317 = and(ic_valid_ff, _T_8316) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8318 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8319 = and(_T_8317, _T_8318) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8320 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8321 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8322 = and(_T_8320, _T_8321) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8323 = eq(perr_ic_index_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8324 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8325 = and(_T_8323, _T_8324) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8326 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8327 = and(_T_8325, _T_8326) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8328 = or(_T_8322, _T_8327) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8329 = bits(_T_8328, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8330 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8329 : @[Reg.scala 28:19] _T_8330 <= _T_8319 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][98] <= _T_8330 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8331 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8332 = eq(_T_8331, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8333 = and(ic_valid_ff, _T_8332) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8334 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8335 = and(_T_8333, _T_8334) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8336 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8337 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8338 = and(_T_8336, _T_8337) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8339 = eq(perr_ic_index_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8340 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8341 = and(_T_8339, _T_8340) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8342 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8343 = and(_T_8341, _T_8342) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8344 = or(_T_8338, _T_8343) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8345 = bits(_T_8344, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][98] <= _T_8330 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8331 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8332 = eq(_T_8331, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8333 = and(ic_valid_ff, _T_8332) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8334 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8335 = and(_T_8333, _T_8334) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8336 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8337 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8338 = and(_T_8336, _T_8337) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8339 = eq(perr_ic_index_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8340 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8341 = and(_T_8339, _T_8340) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8342 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8343 = and(_T_8341, _T_8342) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8344 = or(_T_8338, _T_8343) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8345 = bits(_T_8344, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8346 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8345 : @[Reg.scala 28:19] _T_8346 <= _T_8335 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][99] <= _T_8346 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8347 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8348 = eq(_T_8347, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8349 = and(ic_valid_ff, _T_8348) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8350 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8351 = and(_T_8349, _T_8350) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8352 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8353 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8354 = and(_T_8352, _T_8353) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8355 = eq(perr_ic_index_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8356 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8357 = and(_T_8355, _T_8356) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8358 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8359 = and(_T_8357, _T_8358) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8360 = or(_T_8354, _T_8359) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8361 = bits(_T_8360, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][99] <= _T_8346 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8347 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8348 = eq(_T_8347, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8349 = and(ic_valid_ff, _T_8348) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8350 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8351 = and(_T_8349, _T_8350) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8352 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8353 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8354 = and(_T_8352, _T_8353) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8355 = eq(perr_ic_index_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8356 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8357 = and(_T_8355, _T_8356) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8358 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8359 = and(_T_8357, _T_8358) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8360 = or(_T_8354, _T_8359) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8361 = bits(_T_8360, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8362 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8361 : @[Reg.scala 28:19] _T_8362 <= _T_8351 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][100] <= _T_8362 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8363 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8364 = eq(_T_8363, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8365 = and(ic_valid_ff, _T_8364) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8366 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8367 = and(_T_8365, _T_8366) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8368 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8369 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8370 = and(_T_8368, _T_8369) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8371 = eq(perr_ic_index_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8372 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8373 = and(_T_8371, _T_8372) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8374 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8375 = and(_T_8373, _T_8374) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8376 = or(_T_8370, _T_8375) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8377 = bits(_T_8376, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][100] <= _T_8362 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8363 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8364 = eq(_T_8363, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8365 = and(ic_valid_ff, _T_8364) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8366 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8367 = and(_T_8365, _T_8366) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8368 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8369 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8370 = and(_T_8368, _T_8369) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8371 = eq(perr_ic_index_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8372 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8373 = and(_T_8371, _T_8372) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8374 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8375 = and(_T_8373, _T_8374) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8376 = or(_T_8370, _T_8375) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8377 = bits(_T_8376, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8378 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8377 : @[Reg.scala 28:19] _T_8378 <= _T_8367 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][101] <= _T_8378 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8379 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8380 = eq(_T_8379, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8381 = and(ic_valid_ff, _T_8380) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8382 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8383 = and(_T_8381, _T_8382) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8384 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8385 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8386 = and(_T_8384, _T_8385) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8387 = eq(perr_ic_index_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8388 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8389 = and(_T_8387, _T_8388) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8390 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8391 = and(_T_8389, _T_8390) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8392 = or(_T_8386, _T_8391) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8393 = bits(_T_8392, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][101] <= _T_8378 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8379 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8380 = eq(_T_8379, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8381 = and(ic_valid_ff, _T_8380) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8382 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8383 = and(_T_8381, _T_8382) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8384 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8385 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8386 = and(_T_8384, _T_8385) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8387 = eq(perr_ic_index_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8388 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8389 = and(_T_8387, _T_8388) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8390 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8391 = and(_T_8389, _T_8390) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8392 = or(_T_8386, _T_8391) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8393 = bits(_T_8392, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8394 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8393 : @[Reg.scala 28:19] _T_8394 <= _T_8383 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][102] <= _T_8394 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8395 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8396 = eq(_T_8395, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8397 = and(ic_valid_ff, _T_8396) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8398 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8399 = and(_T_8397, _T_8398) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8400 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8401 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8402 = and(_T_8400, _T_8401) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8403 = eq(perr_ic_index_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8404 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8405 = and(_T_8403, _T_8404) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8406 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8407 = and(_T_8405, _T_8406) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8408 = or(_T_8402, _T_8407) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8409 = bits(_T_8408, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][102] <= _T_8394 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8395 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8396 = eq(_T_8395, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8397 = and(ic_valid_ff, _T_8396) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8398 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8399 = and(_T_8397, _T_8398) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8400 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8401 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8402 = and(_T_8400, _T_8401) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8403 = eq(perr_ic_index_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8404 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8405 = and(_T_8403, _T_8404) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8406 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8407 = and(_T_8405, _T_8406) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8408 = or(_T_8402, _T_8407) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8409 = bits(_T_8408, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8410 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8409 : @[Reg.scala 28:19] _T_8410 <= _T_8399 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][103] <= _T_8410 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8411 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8412 = eq(_T_8411, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8413 = and(ic_valid_ff, _T_8412) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8414 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8415 = and(_T_8413, _T_8414) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8416 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8417 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8418 = and(_T_8416, _T_8417) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8419 = eq(perr_ic_index_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8420 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8421 = and(_T_8419, _T_8420) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8422 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8423 = and(_T_8421, _T_8422) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8424 = or(_T_8418, _T_8423) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8425 = bits(_T_8424, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][103] <= _T_8410 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8411 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8412 = eq(_T_8411, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8413 = and(ic_valid_ff, _T_8412) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8414 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8415 = and(_T_8413, _T_8414) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8416 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8417 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8418 = and(_T_8416, _T_8417) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8419 = eq(perr_ic_index_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8420 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8421 = and(_T_8419, _T_8420) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8422 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8423 = and(_T_8421, _T_8422) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8424 = or(_T_8418, _T_8423) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8425 = bits(_T_8424, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8426 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8425 : @[Reg.scala 28:19] _T_8426 <= _T_8415 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][104] <= _T_8426 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8427 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8428 = eq(_T_8427, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8429 = and(ic_valid_ff, _T_8428) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8430 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8431 = and(_T_8429, _T_8430) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8432 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8433 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8434 = and(_T_8432, _T_8433) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8435 = eq(perr_ic_index_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8436 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8437 = and(_T_8435, _T_8436) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8438 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8439 = and(_T_8437, _T_8438) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8440 = or(_T_8434, _T_8439) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8441 = bits(_T_8440, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][104] <= _T_8426 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8427 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8428 = eq(_T_8427, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8429 = and(ic_valid_ff, _T_8428) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8430 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8431 = and(_T_8429, _T_8430) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8432 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8433 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8434 = and(_T_8432, _T_8433) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8435 = eq(perr_ic_index_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8436 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8437 = and(_T_8435, _T_8436) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8438 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8439 = and(_T_8437, _T_8438) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8440 = or(_T_8434, _T_8439) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8441 = bits(_T_8440, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8442 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8441 : @[Reg.scala 28:19] _T_8442 <= _T_8431 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][105] <= _T_8442 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8443 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8444 = eq(_T_8443, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8445 = and(ic_valid_ff, _T_8444) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8446 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8447 = and(_T_8445, _T_8446) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8448 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8449 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8450 = and(_T_8448, _T_8449) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8451 = eq(perr_ic_index_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8452 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8453 = and(_T_8451, _T_8452) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8454 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8455 = and(_T_8453, _T_8454) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8456 = or(_T_8450, _T_8455) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8457 = bits(_T_8456, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][105] <= _T_8442 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8443 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8444 = eq(_T_8443, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8445 = and(ic_valid_ff, _T_8444) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8446 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8447 = and(_T_8445, _T_8446) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8448 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8449 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8450 = and(_T_8448, _T_8449) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8451 = eq(perr_ic_index_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8452 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8453 = and(_T_8451, _T_8452) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8454 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8455 = and(_T_8453, _T_8454) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8456 = or(_T_8450, _T_8455) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8457 = bits(_T_8456, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8458 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8457 : @[Reg.scala 28:19] _T_8458 <= _T_8447 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][106] <= _T_8458 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8459 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8460 = eq(_T_8459, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8461 = and(ic_valid_ff, _T_8460) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8462 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8463 = and(_T_8461, _T_8462) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8464 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8465 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8466 = and(_T_8464, _T_8465) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8467 = eq(perr_ic_index_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8468 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8469 = and(_T_8467, _T_8468) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8470 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8471 = and(_T_8469, _T_8470) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8472 = or(_T_8466, _T_8471) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8473 = bits(_T_8472, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][106] <= _T_8458 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8459 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8460 = eq(_T_8459, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8461 = and(ic_valid_ff, _T_8460) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8462 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8463 = and(_T_8461, _T_8462) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8464 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8465 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8466 = and(_T_8464, _T_8465) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8467 = eq(perr_ic_index_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8468 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8469 = and(_T_8467, _T_8468) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8470 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8471 = and(_T_8469, _T_8470) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8472 = or(_T_8466, _T_8471) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8473 = bits(_T_8472, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8474 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8473 : @[Reg.scala 28:19] _T_8474 <= _T_8463 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][107] <= _T_8474 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8475 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8476 = eq(_T_8475, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8477 = and(ic_valid_ff, _T_8476) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8478 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8479 = and(_T_8477, _T_8478) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8480 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8481 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8482 = and(_T_8480, _T_8481) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8483 = eq(perr_ic_index_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8484 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8485 = and(_T_8483, _T_8484) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8486 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8487 = and(_T_8485, _T_8486) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8488 = or(_T_8482, _T_8487) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8489 = bits(_T_8488, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][107] <= _T_8474 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8475 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8476 = eq(_T_8475, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8477 = and(ic_valid_ff, _T_8476) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8478 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8479 = and(_T_8477, _T_8478) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8480 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8481 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8482 = and(_T_8480, _T_8481) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8483 = eq(perr_ic_index_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8484 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8485 = and(_T_8483, _T_8484) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8486 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8487 = and(_T_8485, _T_8486) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8488 = or(_T_8482, _T_8487) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8489 = bits(_T_8488, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8490 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8489 : @[Reg.scala 28:19] _T_8490 <= _T_8479 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][108] <= _T_8490 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8491 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8492 = eq(_T_8491, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8493 = and(ic_valid_ff, _T_8492) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8494 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8495 = and(_T_8493, _T_8494) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8496 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8497 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8498 = and(_T_8496, _T_8497) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8499 = eq(perr_ic_index_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8500 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8501 = and(_T_8499, _T_8500) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8502 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8503 = and(_T_8501, _T_8502) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8504 = or(_T_8498, _T_8503) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8505 = bits(_T_8504, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][108] <= _T_8490 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8491 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8492 = eq(_T_8491, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8493 = and(ic_valid_ff, _T_8492) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8494 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8495 = and(_T_8493, _T_8494) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8496 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8497 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8498 = and(_T_8496, _T_8497) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8499 = eq(perr_ic_index_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8500 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8501 = and(_T_8499, _T_8500) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8502 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8503 = and(_T_8501, _T_8502) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8504 = or(_T_8498, _T_8503) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8505 = bits(_T_8504, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8506 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8505 : @[Reg.scala 28:19] _T_8506 <= _T_8495 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][109] <= _T_8506 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8507 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8508 = eq(_T_8507, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8509 = and(ic_valid_ff, _T_8508) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8510 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8511 = and(_T_8509, _T_8510) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8512 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8513 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8514 = and(_T_8512, _T_8513) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8515 = eq(perr_ic_index_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8516 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8517 = and(_T_8515, _T_8516) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8518 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8519 = and(_T_8517, _T_8518) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8520 = or(_T_8514, _T_8519) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8521 = bits(_T_8520, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][109] <= _T_8506 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8507 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8508 = eq(_T_8507, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8509 = and(ic_valid_ff, _T_8508) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8510 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8511 = and(_T_8509, _T_8510) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8512 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8513 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8514 = and(_T_8512, _T_8513) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8515 = eq(perr_ic_index_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8516 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8517 = and(_T_8515, _T_8516) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8518 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8519 = and(_T_8517, _T_8518) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8520 = or(_T_8514, _T_8519) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8521 = bits(_T_8520, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8522 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8521 : @[Reg.scala 28:19] _T_8522 <= _T_8511 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][110] <= _T_8522 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8523 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8524 = eq(_T_8523, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8525 = and(ic_valid_ff, _T_8524) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8526 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8527 = and(_T_8525, _T_8526) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8528 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8529 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8530 = and(_T_8528, _T_8529) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8531 = eq(perr_ic_index_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8532 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8533 = and(_T_8531, _T_8532) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8534 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8535 = and(_T_8533, _T_8534) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8536 = or(_T_8530, _T_8535) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8537 = bits(_T_8536, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][110] <= _T_8522 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8523 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8524 = eq(_T_8523, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8525 = and(ic_valid_ff, _T_8524) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8526 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8527 = and(_T_8525, _T_8526) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8528 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8529 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8530 = and(_T_8528, _T_8529) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8531 = eq(perr_ic_index_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8532 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8533 = and(_T_8531, _T_8532) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8534 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8535 = and(_T_8533, _T_8534) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8536 = or(_T_8530, _T_8535) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8537 = bits(_T_8536, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8538 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8537 : @[Reg.scala 28:19] _T_8538 <= _T_8527 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][111] <= _T_8538 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8539 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8540 = eq(_T_8539, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8541 = and(ic_valid_ff, _T_8540) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8542 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8543 = and(_T_8541, _T_8542) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8544 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8545 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8546 = and(_T_8544, _T_8545) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8547 = eq(perr_ic_index_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8548 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8549 = and(_T_8547, _T_8548) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8550 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8551 = and(_T_8549, _T_8550) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8552 = or(_T_8546, _T_8551) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8553 = bits(_T_8552, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][111] <= _T_8538 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8539 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8540 = eq(_T_8539, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8541 = and(ic_valid_ff, _T_8540) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8542 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8543 = and(_T_8541, _T_8542) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8544 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8545 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8546 = and(_T_8544, _T_8545) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8547 = eq(perr_ic_index_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8548 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8549 = and(_T_8547, _T_8548) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8550 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8551 = and(_T_8549, _T_8550) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8552 = or(_T_8546, _T_8551) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8553 = bits(_T_8552, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8554 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8553 : @[Reg.scala 28:19] _T_8554 <= _T_8543 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][112] <= _T_8554 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8555 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8556 = eq(_T_8555, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8557 = and(ic_valid_ff, _T_8556) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8558 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8559 = and(_T_8557, _T_8558) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8560 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8561 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8562 = and(_T_8560, _T_8561) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8563 = eq(perr_ic_index_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8564 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8565 = and(_T_8563, _T_8564) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8566 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8567 = and(_T_8565, _T_8566) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8568 = or(_T_8562, _T_8567) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8569 = bits(_T_8568, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][112] <= _T_8554 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8555 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8556 = eq(_T_8555, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8557 = and(ic_valid_ff, _T_8556) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8558 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8559 = and(_T_8557, _T_8558) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8560 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8561 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8562 = and(_T_8560, _T_8561) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8563 = eq(perr_ic_index_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8564 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8565 = and(_T_8563, _T_8564) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8566 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8567 = and(_T_8565, _T_8566) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8568 = or(_T_8562, _T_8567) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8569 = bits(_T_8568, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8570 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8569 : @[Reg.scala 28:19] _T_8570 <= _T_8559 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][113] <= _T_8570 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8571 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8572 = eq(_T_8571, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8573 = and(ic_valid_ff, _T_8572) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8574 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8575 = and(_T_8573, _T_8574) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8576 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8577 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8578 = and(_T_8576, _T_8577) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8579 = eq(perr_ic_index_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8580 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8581 = and(_T_8579, _T_8580) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8582 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8583 = and(_T_8581, _T_8582) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8584 = or(_T_8578, _T_8583) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8585 = bits(_T_8584, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][113] <= _T_8570 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8571 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8572 = eq(_T_8571, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8573 = and(ic_valid_ff, _T_8572) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8574 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8575 = and(_T_8573, _T_8574) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8576 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8577 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8578 = and(_T_8576, _T_8577) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8579 = eq(perr_ic_index_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8580 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8581 = and(_T_8579, _T_8580) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8582 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8583 = and(_T_8581, _T_8582) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8584 = or(_T_8578, _T_8583) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8585 = bits(_T_8584, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8586 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8585 : @[Reg.scala 28:19] _T_8586 <= _T_8575 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][114] <= _T_8586 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8587 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8588 = eq(_T_8587, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8589 = and(ic_valid_ff, _T_8588) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8590 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8591 = and(_T_8589, _T_8590) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8592 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8593 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8594 = and(_T_8592, _T_8593) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8595 = eq(perr_ic_index_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8596 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8597 = and(_T_8595, _T_8596) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8598 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8599 = and(_T_8597, _T_8598) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8600 = or(_T_8594, _T_8599) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8601 = bits(_T_8600, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][114] <= _T_8586 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8587 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8588 = eq(_T_8587, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8589 = and(ic_valid_ff, _T_8588) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8590 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8591 = and(_T_8589, _T_8590) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8592 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8593 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8594 = and(_T_8592, _T_8593) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8595 = eq(perr_ic_index_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8596 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8597 = and(_T_8595, _T_8596) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8598 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8599 = and(_T_8597, _T_8598) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8600 = or(_T_8594, _T_8599) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8601 = bits(_T_8600, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8602 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8601 : @[Reg.scala 28:19] _T_8602 <= _T_8591 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][115] <= _T_8602 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8603 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8604 = eq(_T_8603, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8605 = and(ic_valid_ff, _T_8604) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8606 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8607 = and(_T_8605, _T_8606) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8608 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8609 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8610 = and(_T_8608, _T_8609) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8611 = eq(perr_ic_index_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8612 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8613 = and(_T_8611, _T_8612) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8614 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8615 = and(_T_8613, _T_8614) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8616 = or(_T_8610, _T_8615) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8617 = bits(_T_8616, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][115] <= _T_8602 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8603 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8604 = eq(_T_8603, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8605 = and(ic_valid_ff, _T_8604) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8606 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8607 = and(_T_8605, _T_8606) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8608 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8609 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8610 = and(_T_8608, _T_8609) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8611 = eq(perr_ic_index_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8612 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8613 = and(_T_8611, _T_8612) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8614 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8615 = and(_T_8613, _T_8614) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8616 = or(_T_8610, _T_8615) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8617 = bits(_T_8616, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8618 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8617 : @[Reg.scala 28:19] _T_8618 <= _T_8607 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][116] <= _T_8618 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8619 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8620 = eq(_T_8619, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8621 = and(ic_valid_ff, _T_8620) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8622 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8623 = and(_T_8621, _T_8622) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8624 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8625 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8626 = and(_T_8624, _T_8625) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8627 = eq(perr_ic_index_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8628 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8629 = and(_T_8627, _T_8628) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8630 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8631 = and(_T_8629, _T_8630) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8632 = or(_T_8626, _T_8631) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8633 = bits(_T_8632, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][116] <= _T_8618 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8619 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8620 = eq(_T_8619, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8621 = and(ic_valid_ff, _T_8620) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8622 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8623 = and(_T_8621, _T_8622) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8624 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8625 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8626 = and(_T_8624, _T_8625) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8627 = eq(perr_ic_index_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8628 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8629 = and(_T_8627, _T_8628) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8630 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8631 = and(_T_8629, _T_8630) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8632 = or(_T_8626, _T_8631) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8633 = bits(_T_8632, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8634 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8633 : @[Reg.scala 28:19] _T_8634 <= _T_8623 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][117] <= _T_8634 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8635 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8636 = eq(_T_8635, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8637 = and(ic_valid_ff, _T_8636) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8638 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8639 = and(_T_8637, _T_8638) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8640 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8641 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8642 = and(_T_8640, _T_8641) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8643 = eq(perr_ic_index_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8644 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8645 = and(_T_8643, _T_8644) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8646 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8647 = and(_T_8645, _T_8646) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8648 = or(_T_8642, _T_8647) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8649 = bits(_T_8648, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][117] <= _T_8634 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8635 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8636 = eq(_T_8635, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8637 = and(ic_valid_ff, _T_8636) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8638 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8639 = and(_T_8637, _T_8638) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8640 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8641 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8642 = and(_T_8640, _T_8641) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8643 = eq(perr_ic_index_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8644 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8645 = and(_T_8643, _T_8644) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8646 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8647 = and(_T_8645, _T_8646) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8648 = or(_T_8642, _T_8647) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8649 = bits(_T_8648, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8650 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8649 : @[Reg.scala 28:19] _T_8650 <= _T_8639 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][118] <= _T_8650 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8651 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8652 = eq(_T_8651, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8653 = and(ic_valid_ff, _T_8652) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8654 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8655 = and(_T_8653, _T_8654) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8656 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8657 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8658 = and(_T_8656, _T_8657) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8659 = eq(perr_ic_index_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8660 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8661 = and(_T_8659, _T_8660) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8662 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8663 = and(_T_8661, _T_8662) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8664 = or(_T_8658, _T_8663) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8665 = bits(_T_8664, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][118] <= _T_8650 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8651 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8652 = eq(_T_8651, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8653 = and(ic_valid_ff, _T_8652) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8654 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8655 = and(_T_8653, _T_8654) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8656 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8657 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8658 = and(_T_8656, _T_8657) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8659 = eq(perr_ic_index_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8660 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8661 = and(_T_8659, _T_8660) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8662 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8663 = and(_T_8661, _T_8662) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8664 = or(_T_8658, _T_8663) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8665 = bits(_T_8664, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8666 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8665 : @[Reg.scala 28:19] _T_8666 <= _T_8655 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][119] <= _T_8666 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8667 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8668 = eq(_T_8667, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8669 = and(ic_valid_ff, _T_8668) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8670 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8671 = and(_T_8669, _T_8670) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8672 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8673 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8674 = and(_T_8672, _T_8673) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8675 = eq(perr_ic_index_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8676 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8677 = and(_T_8675, _T_8676) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8678 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8679 = and(_T_8677, _T_8678) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8680 = or(_T_8674, _T_8679) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8681 = bits(_T_8680, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][119] <= _T_8666 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8667 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8668 = eq(_T_8667, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8669 = and(ic_valid_ff, _T_8668) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8670 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8671 = and(_T_8669, _T_8670) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8672 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8673 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8674 = and(_T_8672, _T_8673) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8675 = eq(perr_ic_index_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8676 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8677 = and(_T_8675, _T_8676) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8678 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8679 = and(_T_8677, _T_8678) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8680 = or(_T_8674, _T_8679) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8681 = bits(_T_8680, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8682 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8681 : @[Reg.scala 28:19] _T_8682 <= _T_8671 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][120] <= _T_8682 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8683 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8684 = eq(_T_8683, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8685 = and(ic_valid_ff, _T_8684) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8686 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8687 = and(_T_8685, _T_8686) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8688 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8689 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8690 = and(_T_8688, _T_8689) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8691 = eq(perr_ic_index_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8692 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8693 = and(_T_8691, _T_8692) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8694 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8695 = and(_T_8693, _T_8694) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8696 = or(_T_8690, _T_8695) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8697 = bits(_T_8696, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][120] <= _T_8682 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8683 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8684 = eq(_T_8683, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8685 = and(ic_valid_ff, _T_8684) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8686 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8687 = and(_T_8685, _T_8686) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8688 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8689 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8690 = and(_T_8688, _T_8689) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8691 = eq(perr_ic_index_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8692 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8693 = and(_T_8691, _T_8692) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8694 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8695 = and(_T_8693, _T_8694) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8696 = or(_T_8690, _T_8695) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8697 = bits(_T_8696, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8698 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8697 : @[Reg.scala 28:19] _T_8698 <= _T_8687 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][121] <= _T_8698 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8699 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8700 = eq(_T_8699, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8701 = and(ic_valid_ff, _T_8700) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8702 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8703 = and(_T_8701, _T_8702) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8704 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8705 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8706 = and(_T_8704, _T_8705) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8707 = eq(perr_ic_index_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8708 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8709 = and(_T_8707, _T_8708) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8710 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8711 = and(_T_8709, _T_8710) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8712 = or(_T_8706, _T_8711) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8713 = bits(_T_8712, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][121] <= _T_8698 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8699 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8700 = eq(_T_8699, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8701 = and(ic_valid_ff, _T_8700) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8702 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8703 = and(_T_8701, _T_8702) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8704 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8705 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8706 = and(_T_8704, _T_8705) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8707 = eq(perr_ic_index_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8708 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8709 = and(_T_8707, _T_8708) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8710 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8711 = and(_T_8709, _T_8710) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8712 = or(_T_8706, _T_8711) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8713 = bits(_T_8712, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8714 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8713 : @[Reg.scala 28:19] _T_8714 <= _T_8703 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][122] <= _T_8714 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8715 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8716 = eq(_T_8715, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8717 = and(ic_valid_ff, _T_8716) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8718 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8719 = and(_T_8717, _T_8718) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8720 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8721 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8722 = and(_T_8720, _T_8721) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8723 = eq(perr_ic_index_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8724 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8725 = and(_T_8723, _T_8724) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8726 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8727 = and(_T_8725, _T_8726) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8728 = or(_T_8722, _T_8727) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8729 = bits(_T_8728, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][122] <= _T_8714 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8715 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8716 = eq(_T_8715, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8717 = and(ic_valid_ff, _T_8716) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8718 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8719 = and(_T_8717, _T_8718) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8720 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8721 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8722 = and(_T_8720, _T_8721) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8723 = eq(perr_ic_index_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8724 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8725 = and(_T_8723, _T_8724) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8726 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8727 = and(_T_8725, _T_8726) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8728 = or(_T_8722, _T_8727) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8729 = bits(_T_8728, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8730 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8729 : @[Reg.scala 28:19] _T_8730 <= _T_8719 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][123] <= _T_8730 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8731 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8732 = eq(_T_8731, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8733 = and(ic_valid_ff, _T_8732) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8734 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8735 = and(_T_8733, _T_8734) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8736 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8737 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8738 = and(_T_8736, _T_8737) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8739 = eq(perr_ic_index_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8740 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8741 = and(_T_8739, _T_8740) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8742 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8743 = and(_T_8741, _T_8742) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8744 = or(_T_8738, _T_8743) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8745 = bits(_T_8744, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][123] <= _T_8730 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8731 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8732 = eq(_T_8731, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8733 = and(ic_valid_ff, _T_8732) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8734 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8735 = and(_T_8733, _T_8734) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8736 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8737 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8738 = and(_T_8736, _T_8737) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8739 = eq(perr_ic_index_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8740 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8741 = and(_T_8739, _T_8740) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8742 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8743 = and(_T_8741, _T_8742) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8744 = or(_T_8738, _T_8743) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8745 = bits(_T_8744, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8746 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8745 : @[Reg.scala 28:19] _T_8746 <= _T_8735 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][124] <= _T_8746 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8747 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8748 = eq(_T_8747, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8749 = and(ic_valid_ff, _T_8748) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8750 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8751 = and(_T_8749, _T_8750) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8752 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8753 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8754 = and(_T_8752, _T_8753) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8755 = eq(perr_ic_index_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8756 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8757 = and(_T_8755, _T_8756) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8758 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8759 = and(_T_8757, _T_8758) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8760 = or(_T_8754, _T_8759) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8761 = bits(_T_8760, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][124] <= _T_8746 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8747 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8748 = eq(_T_8747, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8749 = and(ic_valid_ff, _T_8748) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8750 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8751 = and(_T_8749, _T_8750) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8752 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8753 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8754 = and(_T_8752, _T_8753) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8755 = eq(perr_ic_index_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8756 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8757 = and(_T_8755, _T_8756) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8758 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8759 = and(_T_8757, _T_8758) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8760 = or(_T_8754, _T_8759) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8761 = bits(_T_8760, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8762 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8761 : @[Reg.scala 28:19] _T_8762 <= _T_8751 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][125] <= _T_8762 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8763 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8764 = eq(_T_8763, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8765 = and(ic_valid_ff, _T_8764) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8766 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8767 = and(_T_8765, _T_8766) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8768 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8769 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8770 = and(_T_8768, _T_8769) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8771 = eq(perr_ic_index_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8772 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8773 = and(_T_8771, _T_8772) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8774 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8775 = and(_T_8773, _T_8774) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8776 = or(_T_8770, _T_8775) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8777 = bits(_T_8776, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][125] <= _T_8762 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8763 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8764 = eq(_T_8763, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8765 = and(ic_valid_ff, _T_8764) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8766 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8767 = and(_T_8765, _T_8766) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8768 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8769 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8770 = and(_T_8768, _T_8769) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8771 = eq(perr_ic_index_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8772 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8773 = and(_T_8771, _T_8772) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8774 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8775 = and(_T_8773, _T_8774) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8776 = or(_T_8770, _T_8775) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8777 = bits(_T_8776, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8778 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8777 : @[Reg.scala 28:19] _T_8778 <= _T_8767 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][126] <= _T_8778 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8779 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8780 = eq(_T_8779, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8781 = and(ic_valid_ff, _T_8780) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8782 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8783 = and(_T_8781, _T_8782) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8784 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8785 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8786 = and(_T_8784, _T_8785) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8787 = eq(perr_ic_index_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8788 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8789 = and(_T_8787, _T_8788) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8790 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8791 = and(_T_8789, _T_8790) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8792 = or(_T_8786, _T_8791) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8793 = bits(_T_8792, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][126] <= _T_8778 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8779 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8780 = eq(_T_8779, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8781 = and(ic_valid_ff, _T_8780) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8782 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8783 = and(_T_8781, _T_8782) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8784 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8785 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8786 = and(_T_8784, _T_8785) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8787 = eq(perr_ic_index_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8788 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8789 = and(_T_8787, _T_8788) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8790 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8791 = and(_T_8789, _T_8790) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8792 = or(_T_8786, _T_8791) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8793 = bits(_T_8792, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8794 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8793 : @[Reg.scala 28:19] _T_8794 <= _T_8783 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][127] <= _T_8794 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8795 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8796 = eq(_T_8795, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8797 = and(ic_valid_ff, _T_8796) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8798 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8799 = and(_T_8797, _T_8798) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8800 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8801 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8802 = and(_T_8800, _T_8801) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8803 = eq(perr_ic_index_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8804 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8805 = and(_T_8803, _T_8804) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8806 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8807 = and(_T_8805, _T_8806) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8808 = or(_T_8802, _T_8807) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8809 = bits(_T_8808, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[0][127] <= _T_8794 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8795 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8796 = eq(_T_8795, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8797 = and(ic_valid_ff, _T_8796) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8798 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8799 = and(_T_8797, _T_8798) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8800 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8801 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8802 = and(_T_8800, _T_8801) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8803 = eq(perr_ic_index_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8804 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8805 = and(_T_8803, _T_8804) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8806 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8807 = and(_T_8805, _T_8806) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8808 = or(_T_8802, _T_8807) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8809 = bits(_T_8808, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8810 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8809 : @[Reg.scala 28:19] _T_8810 <= _T_8799 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][96] <= _T_8810 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8811 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8812 = eq(_T_8811, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8813 = and(ic_valid_ff, _T_8812) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8814 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8815 = and(_T_8813, _T_8814) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8816 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8817 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8818 = and(_T_8816, _T_8817) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8819 = eq(perr_ic_index_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8820 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8821 = and(_T_8819, _T_8820) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8822 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8823 = and(_T_8821, _T_8822) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8824 = or(_T_8818, _T_8823) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8825 = bits(_T_8824, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][96] <= _T_8810 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8811 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8812 = eq(_T_8811, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8813 = and(ic_valid_ff, _T_8812) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8814 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8815 = and(_T_8813, _T_8814) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8816 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8817 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8818 = and(_T_8816, _T_8817) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8819 = eq(perr_ic_index_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8820 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8821 = and(_T_8819, _T_8820) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8822 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8823 = and(_T_8821, _T_8822) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8824 = or(_T_8818, _T_8823) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8825 = bits(_T_8824, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8826 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8825 : @[Reg.scala 28:19] _T_8826 <= _T_8815 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][97] <= _T_8826 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8827 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8828 = eq(_T_8827, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8829 = and(ic_valid_ff, _T_8828) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8830 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8831 = and(_T_8829, _T_8830) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8832 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8833 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8834 = and(_T_8832, _T_8833) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8835 = eq(perr_ic_index_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8836 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8837 = and(_T_8835, _T_8836) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8838 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8839 = and(_T_8837, _T_8838) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8840 = or(_T_8834, _T_8839) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8841 = bits(_T_8840, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][97] <= _T_8826 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8827 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8828 = eq(_T_8827, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8829 = and(ic_valid_ff, _T_8828) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8830 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8831 = and(_T_8829, _T_8830) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8832 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8833 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8834 = and(_T_8832, _T_8833) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8835 = eq(perr_ic_index_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8836 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8837 = and(_T_8835, _T_8836) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8838 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8839 = and(_T_8837, _T_8838) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8840 = or(_T_8834, _T_8839) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8841 = bits(_T_8840, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8842 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8841 : @[Reg.scala 28:19] _T_8842 <= _T_8831 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][98] <= _T_8842 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8843 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8844 = eq(_T_8843, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8845 = and(ic_valid_ff, _T_8844) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8846 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8847 = and(_T_8845, _T_8846) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8848 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8849 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8850 = and(_T_8848, _T_8849) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8851 = eq(perr_ic_index_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8852 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8853 = and(_T_8851, _T_8852) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8854 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8855 = and(_T_8853, _T_8854) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8856 = or(_T_8850, _T_8855) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8857 = bits(_T_8856, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][98] <= _T_8842 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8843 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8844 = eq(_T_8843, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8845 = and(ic_valid_ff, _T_8844) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8846 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8847 = and(_T_8845, _T_8846) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8848 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8849 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8850 = and(_T_8848, _T_8849) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8851 = eq(perr_ic_index_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8852 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8853 = and(_T_8851, _T_8852) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8854 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8855 = and(_T_8853, _T_8854) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8856 = or(_T_8850, _T_8855) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8857 = bits(_T_8856, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8858 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8857 : @[Reg.scala 28:19] _T_8858 <= _T_8847 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][99] <= _T_8858 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8859 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8860 = eq(_T_8859, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8861 = and(ic_valid_ff, _T_8860) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8862 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8863 = and(_T_8861, _T_8862) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8864 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8865 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8866 = and(_T_8864, _T_8865) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8867 = eq(perr_ic_index_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8868 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8869 = and(_T_8867, _T_8868) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8870 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8871 = and(_T_8869, _T_8870) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8872 = or(_T_8866, _T_8871) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8873 = bits(_T_8872, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][99] <= _T_8858 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8859 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8860 = eq(_T_8859, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8861 = and(ic_valid_ff, _T_8860) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8862 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8863 = and(_T_8861, _T_8862) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8864 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8865 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8866 = and(_T_8864, _T_8865) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8867 = eq(perr_ic_index_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8868 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8869 = and(_T_8867, _T_8868) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8870 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8871 = and(_T_8869, _T_8870) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8872 = or(_T_8866, _T_8871) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8873 = bits(_T_8872, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8874 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8873 : @[Reg.scala 28:19] _T_8874 <= _T_8863 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][100] <= _T_8874 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8875 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8876 = eq(_T_8875, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8877 = and(ic_valid_ff, _T_8876) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8878 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8879 = and(_T_8877, _T_8878) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8880 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8881 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8882 = and(_T_8880, _T_8881) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8883 = eq(perr_ic_index_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8884 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8885 = and(_T_8883, _T_8884) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8886 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8887 = and(_T_8885, _T_8886) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8888 = or(_T_8882, _T_8887) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8889 = bits(_T_8888, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][100] <= _T_8874 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8875 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8876 = eq(_T_8875, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8877 = and(ic_valid_ff, _T_8876) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8878 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8879 = and(_T_8877, _T_8878) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8880 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8881 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8882 = and(_T_8880, _T_8881) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8883 = eq(perr_ic_index_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8884 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8885 = and(_T_8883, _T_8884) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8886 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8887 = and(_T_8885, _T_8886) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8888 = or(_T_8882, _T_8887) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8889 = bits(_T_8888, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8890 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8889 : @[Reg.scala 28:19] _T_8890 <= _T_8879 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][101] <= _T_8890 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8891 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8892 = eq(_T_8891, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8893 = and(ic_valid_ff, _T_8892) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8894 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8895 = and(_T_8893, _T_8894) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8896 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8897 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8898 = and(_T_8896, _T_8897) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8899 = eq(perr_ic_index_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8900 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8901 = and(_T_8899, _T_8900) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8902 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8903 = and(_T_8901, _T_8902) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8904 = or(_T_8898, _T_8903) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8905 = bits(_T_8904, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][101] <= _T_8890 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8891 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8892 = eq(_T_8891, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8893 = and(ic_valid_ff, _T_8892) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8894 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8895 = and(_T_8893, _T_8894) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8896 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8897 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8898 = and(_T_8896, _T_8897) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8899 = eq(perr_ic_index_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8900 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8901 = and(_T_8899, _T_8900) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8902 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8903 = and(_T_8901, _T_8902) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8904 = or(_T_8898, _T_8903) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8905 = bits(_T_8904, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8906 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8905 : @[Reg.scala 28:19] _T_8906 <= _T_8895 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][102] <= _T_8906 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8907 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8908 = eq(_T_8907, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8909 = and(ic_valid_ff, _T_8908) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8910 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8911 = and(_T_8909, _T_8910) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8912 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8913 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8914 = and(_T_8912, _T_8913) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8915 = eq(perr_ic_index_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8916 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8917 = and(_T_8915, _T_8916) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8918 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8919 = and(_T_8917, _T_8918) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8920 = or(_T_8914, _T_8919) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8921 = bits(_T_8920, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][102] <= _T_8906 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8907 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8908 = eq(_T_8907, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8909 = and(ic_valid_ff, _T_8908) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8910 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8911 = and(_T_8909, _T_8910) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8912 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8913 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8914 = and(_T_8912, _T_8913) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8915 = eq(perr_ic_index_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8916 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8917 = and(_T_8915, _T_8916) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8918 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8919 = and(_T_8917, _T_8918) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8920 = or(_T_8914, _T_8919) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8921 = bits(_T_8920, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8922 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8921 : @[Reg.scala 28:19] _T_8922 <= _T_8911 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][103] <= _T_8922 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8923 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8924 = eq(_T_8923, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8925 = and(ic_valid_ff, _T_8924) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8926 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8927 = and(_T_8925, _T_8926) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8928 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8929 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8930 = and(_T_8928, _T_8929) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8931 = eq(perr_ic_index_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8932 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8933 = and(_T_8931, _T_8932) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8934 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8935 = and(_T_8933, _T_8934) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8936 = or(_T_8930, _T_8935) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8937 = bits(_T_8936, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][103] <= _T_8922 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8923 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8924 = eq(_T_8923, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8925 = and(ic_valid_ff, _T_8924) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8926 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8927 = and(_T_8925, _T_8926) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8928 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8929 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8930 = and(_T_8928, _T_8929) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8931 = eq(perr_ic_index_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8932 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8933 = and(_T_8931, _T_8932) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8934 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8935 = and(_T_8933, _T_8934) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8936 = or(_T_8930, _T_8935) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8937 = bits(_T_8936, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8938 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8937 : @[Reg.scala 28:19] _T_8938 <= _T_8927 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][104] <= _T_8938 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8939 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8940 = eq(_T_8939, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8941 = and(ic_valid_ff, _T_8940) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8942 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8943 = and(_T_8941, _T_8942) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8944 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8945 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8946 = and(_T_8944, _T_8945) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8947 = eq(perr_ic_index_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8948 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8949 = and(_T_8947, _T_8948) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8950 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8951 = and(_T_8949, _T_8950) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8952 = or(_T_8946, _T_8951) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8953 = bits(_T_8952, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][104] <= _T_8938 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8939 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8940 = eq(_T_8939, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8941 = and(ic_valid_ff, _T_8940) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8942 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8943 = and(_T_8941, _T_8942) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8944 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8945 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8946 = and(_T_8944, _T_8945) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8947 = eq(perr_ic_index_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8948 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8949 = and(_T_8947, _T_8948) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8950 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8951 = and(_T_8949, _T_8950) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8952 = or(_T_8946, _T_8951) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8953 = bits(_T_8952, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8954 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8953 : @[Reg.scala 28:19] _T_8954 <= _T_8943 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][105] <= _T_8954 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8955 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8956 = eq(_T_8955, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8957 = and(ic_valid_ff, _T_8956) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8958 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8959 = and(_T_8957, _T_8958) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8960 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8961 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8962 = and(_T_8960, _T_8961) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8963 = eq(perr_ic_index_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8964 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8965 = and(_T_8963, _T_8964) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8966 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8967 = and(_T_8965, _T_8966) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8968 = or(_T_8962, _T_8967) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8969 = bits(_T_8968, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][105] <= _T_8954 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8955 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8956 = eq(_T_8955, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8957 = and(ic_valid_ff, _T_8956) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8958 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8959 = and(_T_8957, _T_8958) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8960 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8961 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8962 = and(_T_8960, _T_8961) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8963 = eq(perr_ic_index_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8964 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8965 = and(_T_8963, _T_8964) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8966 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8967 = and(_T_8965, _T_8966) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8968 = or(_T_8962, _T_8967) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8969 = bits(_T_8968, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8970 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8969 : @[Reg.scala 28:19] _T_8970 <= _T_8959 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][106] <= _T_8970 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8971 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8972 = eq(_T_8971, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8973 = and(ic_valid_ff, _T_8972) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8974 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8975 = and(_T_8973, _T_8974) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8976 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8977 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8978 = and(_T_8976, _T_8977) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8979 = eq(perr_ic_index_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8980 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8981 = and(_T_8979, _T_8980) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8982 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8983 = and(_T_8981, _T_8982) @[el2_ifu_mem_ctl.scala 751:144] - node _T_8984 = or(_T_8978, _T_8983) @[el2_ifu_mem_ctl.scala 751:80] - node _T_8985 = bits(_T_8984, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][106] <= _T_8970 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8971 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8972 = eq(_T_8971, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8973 = and(ic_valid_ff, _T_8972) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8974 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8975 = and(_T_8973, _T_8974) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8976 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8977 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8978 = and(_T_8976, _T_8977) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8979 = eq(perr_ic_index_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8980 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8981 = and(_T_8979, _T_8980) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8982 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8983 = and(_T_8981, _T_8982) @[el2_ifu_mem_ctl.scala 753:144] + node _T_8984 = or(_T_8978, _T_8983) @[el2_ifu_mem_ctl.scala 753:80] + node _T_8985 = bits(_T_8984, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_8986 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8985 : @[Reg.scala 28:19] _T_8986 <= _T_8975 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][107] <= _T_8986 @[el2_ifu_mem_ctl.scala 750:39] - node _T_8987 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_8988 = eq(_T_8987, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_8989 = and(ic_valid_ff, _T_8988) @[el2_ifu_mem_ctl.scala 750:64] - node _T_8990 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_8991 = and(_T_8989, _T_8990) @[el2_ifu_mem_ctl.scala 750:89] - node _T_8992 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_8993 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_8994 = and(_T_8992, _T_8993) @[el2_ifu_mem_ctl.scala 751:58] - node _T_8995 = eq(perr_ic_index_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_8996 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_8997 = and(_T_8995, _T_8996) @[el2_ifu_mem_ctl.scala 751:123] - node _T_8998 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_8999 = and(_T_8997, _T_8998) @[el2_ifu_mem_ctl.scala 751:144] - node _T_9000 = or(_T_8994, _T_8999) @[el2_ifu_mem_ctl.scala 751:80] - node _T_9001 = bits(_T_9000, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][107] <= _T_8986 @[el2_ifu_mem_ctl.scala 752:39] + node _T_8987 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_8988 = eq(_T_8987, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_8989 = and(ic_valid_ff, _T_8988) @[el2_ifu_mem_ctl.scala 752:64] + node _T_8990 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_8991 = and(_T_8989, _T_8990) @[el2_ifu_mem_ctl.scala 752:89] + node _T_8992 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_8993 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_8994 = and(_T_8992, _T_8993) @[el2_ifu_mem_ctl.scala 753:58] + node _T_8995 = eq(perr_ic_index_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_8996 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_8997 = and(_T_8995, _T_8996) @[el2_ifu_mem_ctl.scala 753:123] + node _T_8998 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_8999 = and(_T_8997, _T_8998) @[el2_ifu_mem_ctl.scala 753:144] + node _T_9000 = or(_T_8994, _T_8999) @[el2_ifu_mem_ctl.scala 753:80] + node _T_9001 = bits(_T_9000, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_9002 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9001 : @[Reg.scala 28:19] _T_9002 <= _T_8991 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][108] <= _T_9002 @[el2_ifu_mem_ctl.scala 750:39] - node _T_9003 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_9004 = eq(_T_9003, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9005 = and(ic_valid_ff, _T_9004) @[el2_ifu_mem_ctl.scala 750:64] - node _T_9006 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9007 = and(_T_9005, _T_9006) @[el2_ifu_mem_ctl.scala 750:89] - node _T_9008 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_9009 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_9010 = and(_T_9008, _T_9009) @[el2_ifu_mem_ctl.scala 751:58] - node _T_9011 = eq(perr_ic_index_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_9012 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_9013 = and(_T_9011, _T_9012) @[el2_ifu_mem_ctl.scala 751:123] - node _T_9014 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_9015 = and(_T_9013, _T_9014) @[el2_ifu_mem_ctl.scala 751:144] - node _T_9016 = or(_T_9010, _T_9015) @[el2_ifu_mem_ctl.scala 751:80] - node _T_9017 = bits(_T_9016, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][108] <= _T_9002 @[el2_ifu_mem_ctl.scala 752:39] + node _T_9003 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_9004 = eq(_T_9003, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_9005 = and(ic_valid_ff, _T_9004) @[el2_ifu_mem_ctl.scala 752:64] + node _T_9006 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9007 = and(_T_9005, _T_9006) @[el2_ifu_mem_ctl.scala 752:89] + node _T_9008 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_9009 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_9010 = and(_T_9008, _T_9009) @[el2_ifu_mem_ctl.scala 753:58] + node _T_9011 = eq(perr_ic_index_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_9012 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_9013 = and(_T_9011, _T_9012) @[el2_ifu_mem_ctl.scala 753:123] + node _T_9014 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_9015 = and(_T_9013, _T_9014) @[el2_ifu_mem_ctl.scala 753:144] + node _T_9016 = or(_T_9010, _T_9015) @[el2_ifu_mem_ctl.scala 753:80] + node _T_9017 = bits(_T_9016, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_9018 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9017 : @[Reg.scala 28:19] _T_9018 <= _T_9007 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][109] <= _T_9018 @[el2_ifu_mem_ctl.scala 750:39] - node _T_9019 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_9020 = eq(_T_9019, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9021 = and(ic_valid_ff, _T_9020) @[el2_ifu_mem_ctl.scala 750:64] - node _T_9022 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9023 = and(_T_9021, _T_9022) @[el2_ifu_mem_ctl.scala 750:89] - node _T_9024 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_9025 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_9026 = and(_T_9024, _T_9025) @[el2_ifu_mem_ctl.scala 751:58] - node _T_9027 = eq(perr_ic_index_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_9028 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_9029 = and(_T_9027, _T_9028) @[el2_ifu_mem_ctl.scala 751:123] - node _T_9030 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_9031 = and(_T_9029, _T_9030) @[el2_ifu_mem_ctl.scala 751:144] - node _T_9032 = or(_T_9026, _T_9031) @[el2_ifu_mem_ctl.scala 751:80] - node _T_9033 = bits(_T_9032, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][109] <= _T_9018 @[el2_ifu_mem_ctl.scala 752:39] + node _T_9019 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_9020 = eq(_T_9019, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_9021 = and(ic_valid_ff, _T_9020) @[el2_ifu_mem_ctl.scala 752:64] + node _T_9022 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9023 = and(_T_9021, _T_9022) @[el2_ifu_mem_ctl.scala 752:89] + node _T_9024 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_9025 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_9026 = and(_T_9024, _T_9025) @[el2_ifu_mem_ctl.scala 753:58] + node _T_9027 = eq(perr_ic_index_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_9028 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_9029 = and(_T_9027, _T_9028) @[el2_ifu_mem_ctl.scala 753:123] + node _T_9030 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_9031 = and(_T_9029, _T_9030) @[el2_ifu_mem_ctl.scala 753:144] + node _T_9032 = or(_T_9026, _T_9031) @[el2_ifu_mem_ctl.scala 753:80] + node _T_9033 = bits(_T_9032, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_9034 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9033 : @[Reg.scala 28:19] _T_9034 <= _T_9023 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][110] <= _T_9034 @[el2_ifu_mem_ctl.scala 750:39] - node _T_9035 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_9036 = eq(_T_9035, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9037 = and(ic_valid_ff, _T_9036) @[el2_ifu_mem_ctl.scala 750:64] - node _T_9038 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9039 = and(_T_9037, _T_9038) @[el2_ifu_mem_ctl.scala 750:89] - node _T_9040 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_9041 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_9042 = and(_T_9040, _T_9041) @[el2_ifu_mem_ctl.scala 751:58] - node _T_9043 = eq(perr_ic_index_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_9044 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_9045 = and(_T_9043, _T_9044) @[el2_ifu_mem_ctl.scala 751:123] - node _T_9046 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_9047 = and(_T_9045, _T_9046) @[el2_ifu_mem_ctl.scala 751:144] - node _T_9048 = or(_T_9042, _T_9047) @[el2_ifu_mem_ctl.scala 751:80] - node _T_9049 = bits(_T_9048, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][110] <= _T_9034 @[el2_ifu_mem_ctl.scala 752:39] + node _T_9035 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_9036 = eq(_T_9035, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_9037 = and(ic_valid_ff, _T_9036) @[el2_ifu_mem_ctl.scala 752:64] + node _T_9038 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9039 = and(_T_9037, _T_9038) @[el2_ifu_mem_ctl.scala 752:89] + node _T_9040 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_9041 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_9042 = and(_T_9040, _T_9041) @[el2_ifu_mem_ctl.scala 753:58] + node _T_9043 = eq(perr_ic_index_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_9044 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_9045 = and(_T_9043, _T_9044) @[el2_ifu_mem_ctl.scala 753:123] + node _T_9046 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_9047 = and(_T_9045, _T_9046) @[el2_ifu_mem_ctl.scala 753:144] + node _T_9048 = or(_T_9042, _T_9047) @[el2_ifu_mem_ctl.scala 753:80] + node _T_9049 = bits(_T_9048, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_9050 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9049 : @[Reg.scala 28:19] _T_9050 <= _T_9039 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][111] <= _T_9050 @[el2_ifu_mem_ctl.scala 750:39] - node _T_9051 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_9052 = eq(_T_9051, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9053 = and(ic_valid_ff, _T_9052) @[el2_ifu_mem_ctl.scala 750:64] - node _T_9054 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9055 = and(_T_9053, _T_9054) @[el2_ifu_mem_ctl.scala 750:89] - node _T_9056 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_9057 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_9058 = and(_T_9056, _T_9057) @[el2_ifu_mem_ctl.scala 751:58] - node _T_9059 = eq(perr_ic_index_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_9060 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_9061 = and(_T_9059, _T_9060) @[el2_ifu_mem_ctl.scala 751:123] - node _T_9062 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_9063 = and(_T_9061, _T_9062) @[el2_ifu_mem_ctl.scala 751:144] - node _T_9064 = or(_T_9058, _T_9063) @[el2_ifu_mem_ctl.scala 751:80] - node _T_9065 = bits(_T_9064, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][111] <= _T_9050 @[el2_ifu_mem_ctl.scala 752:39] + node _T_9051 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_9052 = eq(_T_9051, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_9053 = and(ic_valid_ff, _T_9052) @[el2_ifu_mem_ctl.scala 752:64] + node _T_9054 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9055 = and(_T_9053, _T_9054) @[el2_ifu_mem_ctl.scala 752:89] + node _T_9056 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_9057 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_9058 = and(_T_9056, _T_9057) @[el2_ifu_mem_ctl.scala 753:58] + node _T_9059 = eq(perr_ic_index_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_9060 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_9061 = and(_T_9059, _T_9060) @[el2_ifu_mem_ctl.scala 753:123] + node _T_9062 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_9063 = and(_T_9061, _T_9062) @[el2_ifu_mem_ctl.scala 753:144] + node _T_9064 = or(_T_9058, _T_9063) @[el2_ifu_mem_ctl.scala 753:80] + node _T_9065 = bits(_T_9064, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_9066 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9065 : @[Reg.scala 28:19] _T_9066 <= _T_9055 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][112] <= _T_9066 @[el2_ifu_mem_ctl.scala 750:39] - node _T_9067 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_9068 = eq(_T_9067, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9069 = and(ic_valid_ff, _T_9068) @[el2_ifu_mem_ctl.scala 750:64] - node _T_9070 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9071 = and(_T_9069, _T_9070) @[el2_ifu_mem_ctl.scala 750:89] - node _T_9072 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_9073 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_9074 = and(_T_9072, _T_9073) @[el2_ifu_mem_ctl.scala 751:58] - node _T_9075 = eq(perr_ic_index_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_9076 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_9077 = and(_T_9075, _T_9076) @[el2_ifu_mem_ctl.scala 751:123] - node _T_9078 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_9079 = and(_T_9077, _T_9078) @[el2_ifu_mem_ctl.scala 751:144] - node _T_9080 = or(_T_9074, _T_9079) @[el2_ifu_mem_ctl.scala 751:80] - node _T_9081 = bits(_T_9080, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][112] <= _T_9066 @[el2_ifu_mem_ctl.scala 752:39] + node _T_9067 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_9068 = eq(_T_9067, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_9069 = and(ic_valid_ff, _T_9068) @[el2_ifu_mem_ctl.scala 752:64] + node _T_9070 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9071 = and(_T_9069, _T_9070) @[el2_ifu_mem_ctl.scala 752:89] + node _T_9072 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_9073 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_9074 = and(_T_9072, _T_9073) @[el2_ifu_mem_ctl.scala 753:58] + node _T_9075 = eq(perr_ic_index_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_9076 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_9077 = and(_T_9075, _T_9076) @[el2_ifu_mem_ctl.scala 753:123] + node _T_9078 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_9079 = and(_T_9077, _T_9078) @[el2_ifu_mem_ctl.scala 753:144] + node _T_9080 = or(_T_9074, _T_9079) @[el2_ifu_mem_ctl.scala 753:80] + node _T_9081 = bits(_T_9080, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_9082 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9081 : @[Reg.scala 28:19] _T_9082 <= _T_9071 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][113] <= _T_9082 @[el2_ifu_mem_ctl.scala 750:39] - node _T_9083 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_9084 = eq(_T_9083, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9085 = and(ic_valid_ff, _T_9084) @[el2_ifu_mem_ctl.scala 750:64] - node _T_9086 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9087 = and(_T_9085, _T_9086) @[el2_ifu_mem_ctl.scala 750:89] - node _T_9088 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_9089 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_9090 = and(_T_9088, _T_9089) @[el2_ifu_mem_ctl.scala 751:58] - node _T_9091 = eq(perr_ic_index_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_9092 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_9093 = and(_T_9091, _T_9092) @[el2_ifu_mem_ctl.scala 751:123] - node _T_9094 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_9095 = and(_T_9093, _T_9094) @[el2_ifu_mem_ctl.scala 751:144] - node _T_9096 = or(_T_9090, _T_9095) @[el2_ifu_mem_ctl.scala 751:80] - node _T_9097 = bits(_T_9096, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][113] <= _T_9082 @[el2_ifu_mem_ctl.scala 752:39] + node _T_9083 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_9084 = eq(_T_9083, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_9085 = and(ic_valid_ff, _T_9084) @[el2_ifu_mem_ctl.scala 752:64] + node _T_9086 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9087 = and(_T_9085, _T_9086) @[el2_ifu_mem_ctl.scala 752:89] + node _T_9088 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_9089 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_9090 = and(_T_9088, _T_9089) @[el2_ifu_mem_ctl.scala 753:58] + node _T_9091 = eq(perr_ic_index_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_9092 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_9093 = and(_T_9091, _T_9092) @[el2_ifu_mem_ctl.scala 753:123] + node _T_9094 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_9095 = and(_T_9093, _T_9094) @[el2_ifu_mem_ctl.scala 753:144] + node _T_9096 = or(_T_9090, _T_9095) @[el2_ifu_mem_ctl.scala 753:80] + node _T_9097 = bits(_T_9096, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_9098 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9097 : @[Reg.scala 28:19] _T_9098 <= _T_9087 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][114] <= _T_9098 @[el2_ifu_mem_ctl.scala 750:39] - node _T_9099 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_9100 = eq(_T_9099, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9101 = and(ic_valid_ff, _T_9100) @[el2_ifu_mem_ctl.scala 750:64] - node _T_9102 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9103 = and(_T_9101, _T_9102) @[el2_ifu_mem_ctl.scala 750:89] - node _T_9104 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_9105 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_9106 = and(_T_9104, _T_9105) @[el2_ifu_mem_ctl.scala 751:58] - node _T_9107 = eq(perr_ic_index_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_9108 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_9109 = and(_T_9107, _T_9108) @[el2_ifu_mem_ctl.scala 751:123] - node _T_9110 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_9111 = and(_T_9109, _T_9110) @[el2_ifu_mem_ctl.scala 751:144] - node _T_9112 = or(_T_9106, _T_9111) @[el2_ifu_mem_ctl.scala 751:80] - node _T_9113 = bits(_T_9112, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][114] <= _T_9098 @[el2_ifu_mem_ctl.scala 752:39] + node _T_9099 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_9100 = eq(_T_9099, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_9101 = and(ic_valid_ff, _T_9100) @[el2_ifu_mem_ctl.scala 752:64] + node _T_9102 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9103 = and(_T_9101, _T_9102) @[el2_ifu_mem_ctl.scala 752:89] + node _T_9104 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_9105 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_9106 = and(_T_9104, _T_9105) @[el2_ifu_mem_ctl.scala 753:58] + node _T_9107 = eq(perr_ic_index_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_9108 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_9109 = and(_T_9107, _T_9108) @[el2_ifu_mem_ctl.scala 753:123] + node _T_9110 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_9111 = and(_T_9109, _T_9110) @[el2_ifu_mem_ctl.scala 753:144] + node _T_9112 = or(_T_9106, _T_9111) @[el2_ifu_mem_ctl.scala 753:80] + node _T_9113 = bits(_T_9112, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_9114 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9113 : @[Reg.scala 28:19] _T_9114 <= _T_9103 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][115] <= _T_9114 @[el2_ifu_mem_ctl.scala 750:39] - node _T_9115 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_9116 = eq(_T_9115, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9117 = and(ic_valid_ff, _T_9116) @[el2_ifu_mem_ctl.scala 750:64] - node _T_9118 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9119 = and(_T_9117, _T_9118) @[el2_ifu_mem_ctl.scala 750:89] - node _T_9120 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_9121 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_9122 = and(_T_9120, _T_9121) @[el2_ifu_mem_ctl.scala 751:58] - node _T_9123 = eq(perr_ic_index_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_9124 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_9125 = and(_T_9123, _T_9124) @[el2_ifu_mem_ctl.scala 751:123] - node _T_9126 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_9127 = and(_T_9125, _T_9126) @[el2_ifu_mem_ctl.scala 751:144] - node _T_9128 = or(_T_9122, _T_9127) @[el2_ifu_mem_ctl.scala 751:80] - node _T_9129 = bits(_T_9128, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][115] <= _T_9114 @[el2_ifu_mem_ctl.scala 752:39] + node _T_9115 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_9116 = eq(_T_9115, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_9117 = and(ic_valid_ff, _T_9116) @[el2_ifu_mem_ctl.scala 752:64] + node _T_9118 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9119 = and(_T_9117, _T_9118) @[el2_ifu_mem_ctl.scala 752:89] + node _T_9120 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_9121 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_9122 = and(_T_9120, _T_9121) @[el2_ifu_mem_ctl.scala 753:58] + node _T_9123 = eq(perr_ic_index_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_9124 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_9125 = and(_T_9123, _T_9124) @[el2_ifu_mem_ctl.scala 753:123] + node _T_9126 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_9127 = and(_T_9125, _T_9126) @[el2_ifu_mem_ctl.scala 753:144] + node _T_9128 = or(_T_9122, _T_9127) @[el2_ifu_mem_ctl.scala 753:80] + node _T_9129 = bits(_T_9128, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_9130 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9129 : @[Reg.scala 28:19] _T_9130 <= _T_9119 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][116] <= _T_9130 @[el2_ifu_mem_ctl.scala 750:39] - node _T_9131 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_9132 = eq(_T_9131, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9133 = and(ic_valid_ff, _T_9132) @[el2_ifu_mem_ctl.scala 750:64] - node _T_9134 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9135 = and(_T_9133, _T_9134) @[el2_ifu_mem_ctl.scala 750:89] - node _T_9136 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_9137 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_9138 = and(_T_9136, _T_9137) @[el2_ifu_mem_ctl.scala 751:58] - node _T_9139 = eq(perr_ic_index_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_9140 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_9141 = and(_T_9139, _T_9140) @[el2_ifu_mem_ctl.scala 751:123] - node _T_9142 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_9143 = and(_T_9141, _T_9142) @[el2_ifu_mem_ctl.scala 751:144] - node _T_9144 = or(_T_9138, _T_9143) @[el2_ifu_mem_ctl.scala 751:80] - node _T_9145 = bits(_T_9144, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][116] <= _T_9130 @[el2_ifu_mem_ctl.scala 752:39] + node _T_9131 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_9132 = eq(_T_9131, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_9133 = and(ic_valid_ff, _T_9132) @[el2_ifu_mem_ctl.scala 752:64] + node _T_9134 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9135 = and(_T_9133, _T_9134) @[el2_ifu_mem_ctl.scala 752:89] + node _T_9136 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_9137 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_9138 = and(_T_9136, _T_9137) @[el2_ifu_mem_ctl.scala 753:58] + node _T_9139 = eq(perr_ic_index_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_9140 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_9141 = and(_T_9139, _T_9140) @[el2_ifu_mem_ctl.scala 753:123] + node _T_9142 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_9143 = and(_T_9141, _T_9142) @[el2_ifu_mem_ctl.scala 753:144] + node _T_9144 = or(_T_9138, _T_9143) @[el2_ifu_mem_ctl.scala 753:80] + node _T_9145 = bits(_T_9144, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_9146 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9145 : @[Reg.scala 28:19] _T_9146 <= _T_9135 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][117] <= _T_9146 @[el2_ifu_mem_ctl.scala 750:39] - node _T_9147 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_9148 = eq(_T_9147, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9149 = and(ic_valid_ff, _T_9148) @[el2_ifu_mem_ctl.scala 750:64] - node _T_9150 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9151 = and(_T_9149, _T_9150) @[el2_ifu_mem_ctl.scala 750:89] - node _T_9152 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_9153 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_9154 = and(_T_9152, _T_9153) @[el2_ifu_mem_ctl.scala 751:58] - node _T_9155 = eq(perr_ic_index_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_9156 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_9157 = and(_T_9155, _T_9156) @[el2_ifu_mem_ctl.scala 751:123] - node _T_9158 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_9159 = and(_T_9157, _T_9158) @[el2_ifu_mem_ctl.scala 751:144] - node _T_9160 = or(_T_9154, _T_9159) @[el2_ifu_mem_ctl.scala 751:80] - node _T_9161 = bits(_T_9160, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][117] <= _T_9146 @[el2_ifu_mem_ctl.scala 752:39] + node _T_9147 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_9148 = eq(_T_9147, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_9149 = and(ic_valid_ff, _T_9148) @[el2_ifu_mem_ctl.scala 752:64] + node _T_9150 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9151 = and(_T_9149, _T_9150) @[el2_ifu_mem_ctl.scala 752:89] + node _T_9152 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_9153 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_9154 = and(_T_9152, _T_9153) @[el2_ifu_mem_ctl.scala 753:58] + node _T_9155 = eq(perr_ic_index_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_9156 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_9157 = and(_T_9155, _T_9156) @[el2_ifu_mem_ctl.scala 753:123] + node _T_9158 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_9159 = and(_T_9157, _T_9158) @[el2_ifu_mem_ctl.scala 753:144] + node _T_9160 = or(_T_9154, _T_9159) @[el2_ifu_mem_ctl.scala 753:80] + node _T_9161 = bits(_T_9160, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_9162 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9161 : @[Reg.scala 28:19] _T_9162 <= _T_9151 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][118] <= _T_9162 @[el2_ifu_mem_ctl.scala 750:39] - node _T_9163 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_9164 = eq(_T_9163, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9165 = and(ic_valid_ff, _T_9164) @[el2_ifu_mem_ctl.scala 750:64] - node _T_9166 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9167 = and(_T_9165, _T_9166) @[el2_ifu_mem_ctl.scala 750:89] - node _T_9168 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_9169 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_9170 = and(_T_9168, _T_9169) @[el2_ifu_mem_ctl.scala 751:58] - node _T_9171 = eq(perr_ic_index_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_9172 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_9173 = and(_T_9171, _T_9172) @[el2_ifu_mem_ctl.scala 751:123] - node _T_9174 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_9175 = and(_T_9173, _T_9174) @[el2_ifu_mem_ctl.scala 751:144] - node _T_9176 = or(_T_9170, _T_9175) @[el2_ifu_mem_ctl.scala 751:80] - node _T_9177 = bits(_T_9176, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][118] <= _T_9162 @[el2_ifu_mem_ctl.scala 752:39] + node _T_9163 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_9164 = eq(_T_9163, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_9165 = and(ic_valid_ff, _T_9164) @[el2_ifu_mem_ctl.scala 752:64] + node _T_9166 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9167 = and(_T_9165, _T_9166) @[el2_ifu_mem_ctl.scala 752:89] + node _T_9168 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_9169 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_9170 = and(_T_9168, _T_9169) @[el2_ifu_mem_ctl.scala 753:58] + node _T_9171 = eq(perr_ic_index_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_9172 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_9173 = and(_T_9171, _T_9172) @[el2_ifu_mem_ctl.scala 753:123] + node _T_9174 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_9175 = and(_T_9173, _T_9174) @[el2_ifu_mem_ctl.scala 753:144] + node _T_9176 = or(_T_9170, _T_9175) @[el2_ifu_mem_ctl.scala 753:80] + node _T_9177 = bits(_T_9176, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_9178 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9177 : @[Reg.scala 28:19] _T_9178 <= _T_9167 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][119] <= _T_9178 @[el2_ifu_mem_ctl.scala 750:39] - node _T_9179 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_9180 = eq(_T_9179, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9181 = and(ic_valid_ff, _T_9180) @[el2_ifu_mem_ctl.scala 750:64] - node _T_9182 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9183 = and(_T_9181, _T_9182) @[el2_ifu_mem_ctl.scala 750:89] - node _T_9184 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_9185 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_9186 = and(_T_9184, _T_9185) @[el2_ifu_mem_ctl.scala 751:58] - node _T_9187 = eq(perr_ic_index_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_9188 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_9189 = and(_T_9187, _T_9188) @[el2_ifu_mem_ctl.scala 751:123] - node _T_9190 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_9191 = and(_T_9189, _T_9190) @[el2_ifu_mem_ctl.scala 751:144] - node _T_9192 = or(_T_9186, _T_9191) @[el2_ifu_mem_ctl.scala 751:80] - node _T_9193 = bits(_T_9192, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][119] <= _T_9178 @[el2_ifu_mem_ctl.scala 752:39] + node _T_9179 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_9180 = eq(_T_9179, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_9181 = and(ic_valid_ff, _T_9180) @[el2_ifu_mem_ctl.scala 752:64] + node _T_9182 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9183 = and(_T_9181, _T_9182) @[el2_ifu_mem_ctl.scala 752:89] + node _T_9184 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_9185 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_9186 = and(_T_9184, _T_9185) @[el2_ifu_mem_ctl.scala 753:58] + node _T_9187 = eq(perr_ic_index_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_9188 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_9189 = and(_T_9187, _T_9188) @[el2_ifu_mem_ctl.scala 753:123] + node _T_9190 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_9191 = and(_T_9189, _T_9190) @[el2_ifu_mem_ctl.scala 753:144] + node _T_9192 = or(_T_9186, _T_9191) @[el2_ifu_mem_ctl.scala 753:80] + node _T_9193 = bits(_T_9192, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_9194 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9193 : @[Reg.scala 28:19] _T_9194 <= _T_9183 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][120] <= _T_9194 @[el2_ifu_mem_ctl.scala 750:39] - node _T_9195 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_9196 = eq(_T_9195, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9197 = and(ic_valid_ff, _T_9196) @[el2_ifu_mem_ctl.scala 750:64] - node _T_9198 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9199 = and(_T_9197, _T_9198) @[el2_ifu_mem_ctl.scala 750:89] - node _T_9200 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_9201 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_9202 = and(_T_9200, _T_9201) @[el2_ifu_mem_ctl.scala 751:58] - node _T_9203 = eq(perr_ic_index_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_9204 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_9205 = and(_T_9203, _T_9204) @[el2_ifu_mem_ctl.scala 751:123] - node _T_9206 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_9207 = and(_T_9205, _T_9206) @[el2_ifu_mem_ctl.scala 751:144] - node _T_9208 = or(_T_9202, _T_9207) @[el2_ifu_mem_ctl.scala 751:80] - node _T_9209 = bits(_T_9208, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][120] <= _T_9194 @[el2_ifu_mem_ctl.scala 752:39] + node _T_9195 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_9196 = eq(_T_9195, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_9197 = and(ic_valid_ff, _T_9196) @[el2_ifu_mem_ctl.scala 752:64] + node _T_9198 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9199 = and(_T_9197, _T_9198) @[el2_ifu_mem_ctl.scala 752:89] + node _T_9200 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_9201 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_9202 = and(_T_9200, _T_9201) @[el2_ifu_mem_ctl.scala 753:58] + node _T_9203 = eq(perr_ic_index_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_9204 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_9205 = and(_T_9203, _T_9204) @[el2_ifu_mem_ctl.scala 753:123] + node _T_9206 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_9207 = and(_T_9205, _T_9206) @[el2_ifu_mem_ctl.scala 753:144] + node _T_9208 = or(_T_9202, _T_9207) @[el2_ifu_mem_ctl.scala 753:80] + node _T_9209 = bits(_T_9208, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_9210 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9209 : @[Reg.scala 28:19] _T_9210 <= _T_9199 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][121] <= _T_9210 @[el2_ifu_mem_ctl.scala 750:39] - node _T_9211 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_9212 = eq(_T_9211, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9213 = and(ic_valid_ff, _T_9212) @[el2_ifu_mem_ctl.scala 750:64] - node _T_9214 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9215 = and(_T_9213, _T_9214) @[el2_ifu_mem_ctl.scala 750:89] - node _T_9216 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_9217 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_9218 = and(_T_9216, _T_9217) @[el2_ifu_mem_ctl.scala 751:58] - node _T_9219 = eq(perr_ic_index_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_9220 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_9221 = and(_T_9219, _T_9220) @[el2_ifu_mem_ctl.scala 751:123] - node _T_9222 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_9223 = and(_T_9221, _T_9222) @[el2_ifu_mem_ctl.scala 751:144] - node _T_9224 = or(_T_9218, _T_9223) @[el2_ifu_mem_ctl.scala 751:80] - node _T_9225 = bits(_T_9224, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][121] <= _T_9210 @[el2_ifu_mem_ctl.scala 752:39] + node _T_9211 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_9212 = eq(_T_9211, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_9213 = and(ic_valid_ff, _T_9212) @[el2_ifu_mem_ctl.scala 752:64] + node _T_9214 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9215 = and(_T_9213, _T_9214) @[el2_ifu_mem_ctl.scala 752:89] + node _T_9216 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_9217 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_9218 = and(_T_9216, _T_9217) @[el2_ifu_mem_ctl.scala 753:58] + node _T_9219 = eq(perr_ic_index_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_9220 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_9221 = and(_T_9219, _T_9220) @[el2_ifu_mem_ctl.scala 753:123] + node _T_9222 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_9223 = and(_T_9221, _T_9222) @[el2_ifu_mem_ctl.scala 753:144] + node _T_9224 = or(_T_9218, _T_9223) @[el2_ifu_mem_ctl.scala 753:80] + node _T_9225 = bits(_T_9224, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_9226 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9225 : @[Reg.scala 28:19] _T_9226 <= _T_9215 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][122] <= _T_9226 @[el2_ifu_mem_ctl.scala 750:39] - node _T_9227 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_9228 = eq(_T_9227, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9229 = and(ic_valid_ff, _T_9228) @[el2_ifu_mem_ctl.scala 750:64] - node _T_9230 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9231 = and(_T_9229, _T_9230) @[el2_ifu_mem_ctl.scala 750:89] - node _T_9232 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_9233 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_9234 = and(_T_9232, _T_9233) @[el2_ifu_mem_ctl.scala 751:58] - node _T_9235 = eq(perr_ic_index_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_9236 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_9237 = and(_T_9235, _T_9236) @[el2_ifu_mem_ctl.scala 751:123] - node _T_9238 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_9239 = and(_T_9237, _T_9238) @[el2_ifu_mem_ctl.scala 751:144] - node _T_9240 = or(_T_9234, _T_9239) @[el2_ifu_mem_ctl.scala 751:80] - node _T_9241 = bits(_T_9240, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][122] <= _T_9226 @[el2_ifu_mem_ctl.scala 752:39] + node _T_9227 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_9228 = eq(_T_9227, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_9229 = and(ic_valid_ff, _T_9228) @[el2_ifu_mem_ctl.scala 752:64] + node _T_9230 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9231 = and(_T_9229, _T_9230) @[el2_ifu_mem_ctl.scala 752:89] + node _T_9232 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_9233 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_9234 = and(_T_9232, _T_9233) @[el2_ifu_mem_ctl.scala 753:58] + node _T_9235 = eq(perr_ic_index_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_9236 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_9237 = and(_T_9235, _T_9236) @[el2_ifu_mem_ctl.scala 753:123] + node _T_9238 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_9239 = and(_T_9237, _T_9238) @[el2_ifu_mem_ctl.scala 753:144] + node _T_9240 = or(_T_9234, _T_9239) @[el2_ifu_mem_ctl.scala 753:80] + node _T_9241 = bits(_T_9240, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_9242 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9241 : @[Reg.scala 28:19] _T_9242 <= _T_9231 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][123] <= _T_9242 @[el2_ifu_mem_ctl.scala 750:39] - node _T_9243 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_9244 = eq(_T_9243, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9245 = and(ic_valid_ff, _T_9244) @[el2_ifu_mem_ctl.scala 750:64] - node _T_9246 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9247 = and(_T_9245, _T_9246) @[el2_ifu_mem_ctl.scala 750:89] - node _T_9248 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_9249 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_9250 = and(_T_9248, _T_9249) @[el2_ifu_mem_ctl.scala 751:58] - node _T_9251 = eq(perr_ic_index_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_9252 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_9253 = and(_T_9251, _T_9252) @[el2_ifu_mem_ctl.scala 751:123] - node _T_9254 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_9255 = and(_T_9253, _T_9254) @[el2_ifu_mem_ctl.scala 751:144] - node _T_9256 = or(_T_9250, _T_9255) @[el2_ifu_mem_ctl.scala 751:80] - node _T_9257 = bits(_T_9256, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][123] <= _T_9242 @[el2_ifu_mem_ctl.scala 752:39] + node _T_9243 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_9244 = eq(_T_9243, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_9245 = and(ic_valid_ff, _T_9244) @[el2_ifu_mem_ctl.scala 752:64] + node _T_9246 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9247 = and(_T_9245, _T_9246) @[el2_ifu_mem_ctl.scala 752:89] + node _T_9248 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_9249 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_9250 = and(_T_9248, _T_9249) @[el2_ifu_mem_ctl.scala 753:58] + node _T_9251 = eq(perr_ic_index_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_9252 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_9253 = and(_T_9251, _T_9252) @[el2_ifu_mem_ctl.scala 753:123] + node _T_9254 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_9255 = and(_T_9253, _T_9254) @[el2_ifu_mem_ctl.scala 753:144] + node _T_9256 = or(_T_9250, _T_9255) @[el2_ifu_mem_ctl.scala 753:80] + node _T_9257 = bits(_T_9256, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_9258 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9257 : @[Reg.scala 28:19] _T_9258 <= _T_9247 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][124] <= _T_9258 @[el2_ifu_mem_ctl.scala 750:39] - node _T_9259 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_9260 = eq(_T_9259, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9261 = and(ic_valid_ff, _T_9260) @[el2_ifu_mem_ctl.scala 750:64] - node _T_9262 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9263 = and(_T_9261, _T_9262) @[el2_ifu_mem_ctl.scala 750:89] - node _T_9264 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_9265 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_9266 = and(_T_9264, _T_9265) @[el2_ifu_mem_ctl.scala 751:58] - node _T_9267 = eq(perr_ic_index_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_9268 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_9269 = and(_T_9267, _T_9268) @[el2_ifu_mem_ctl.scala 751:123] - node _T_9270 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_9271 = and(_T_9269, _T_9270) @[el2_ifu_mem_ctl.scala 751:144] - node _T_9272 = or(_T_9266, _T_9271) @[el2_ifu_mem_ctl.scala 751:80] - node _T_9273 = bits(_T_9272, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][124] <= _T_9258 @[el2_ifu_mem_ctl.scala 752:39] + node _T_9259 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_9260 = eq(_T_9259, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_9261 = and(ic_valid_ff, _T_9260) @[el2_ifu_mem_ctl.scala 752:64] + node _T_9262 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9263 = and(_T_9261, _T_9262) @[el2_ifu_mem_ctl.scala 752:89] + node _T_9264 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_9265 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_9266 = and(_T_9264, _T_9265) @[el2_ifu_mem_ctl.scala 753:58] + node _T_9267 = eq(perr_ic_index_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_9268 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_9269 = and(_T_9267, _T_9268) @[el2_ifu_mem_ctl.scala 753:123] + node _T_9270 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_9271 = and(_T_9269, _T_9270) @[el2_ifu_mem_ctl.scala 753:144] + node _T_9272 = or(_T_9266, _T_9271) @[el2_ifu_mem_ctl.scala 753:80] + node _T_9273 = bits(_T_9272, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_9274 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9273 : @[Reg.scala 28:19] _T_9274 <= _T_9263 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][125] <= _T_9274 @[el2_ifu_mem_ctl.scala 750:39] - node _T_9275 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_9276 = eq(_T_9275, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9277 = and(ic_valid_ff, _T_9276) @[el2_ifu_mem_ctl.scala 750:64] - node _T_9278 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9279 = and(_T_9277, _T_9278) @[el2_ifu_mem_ctl.scala 750:89] - node _T_9280 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_9281 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_9282 = and(_T_9280, _T_9281) @[el2_ifu_mem_ctl.scala 751:58] - node _T_9283 = eq(perr_ic_index_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_9284 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_9285 = and(_T_9283, _T_9284) @[el2_ifu_mem_ctl.scala 751:123] - node _T_9286 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_9287 = and(_T_9285, _T_9286) @[el2_ifu_mem_ctl.scala 751:144] - node _T_9288 = or(_T_9282, _T_9287) @[el2_ifu_mem_ctl.scala 751:80] - node _T_9289 = bits(_T_9288, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][125] <= _T_9274 @[el2_ifu_mem_ctl.scala 752:39] + node _T_9275 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_9276 = eq(_T_9275, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_9277 = and(ic_valid_ff, _T_9276) @[el2_ifu_mem_ctl.scala 752:64] + node _T_9278 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9279 = and(_T_9277, _T_9278) @[el2_ifu_mem_ctl.scala 752:89] + node _T_9280 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_9281 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_9282 = and(_T_9280, _T_9281) @[el2_ifu_mem_ctl.scala 753:58] + node _T_9283 = eq(perr_ic_index_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_9284 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_9285 = and(_T_9283, _T_9284) @[el2_ifu_mem_ctl.scala 753:123] + node _T_9286 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_9287 = and(_T_9285, _T_9286) @[el2_ifu_mem_ctl.scala 753:144] + node _T_9288 = or(_T_9282, _T_9287) @[el2_ifu_mem_ctl.scala 753:80] + node _T_9289 = bits(_T_9288, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_9290 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9289 : @[Reg.scala 28:19] _T_9290 <= _T_9279 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][126] <= _T_9290 @[el2_ifu_mem_ctl.scala 750:39] - node _T_9291 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 750:82] - node _T_9292 = eq(_T_9291, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:66] - node _T_9293 = and(ic_valid_ff, _T_9292) @[el2_ifu_mem_ctl.scala 750:64] - node _T_9294 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 750:91] - node _T_9295 = and(_T_9293, _T_9294) @[el2_ifu_mem_ctl.scala 750:89] - node _T_9296 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 751:36] - node _T_9297 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:75] - node _T_9298 = and(_T_9296, _T_9297) @[el2_ifu_mem_ctl.scala 751:58] - node _T_9299 = eq(perr_ic_index_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 751:101] - node _T_9300 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 751:140] - node _T_9301 = and(_T_9299, _T_9300) @[el2_ifu_mem_ctl.scala 751:123] - node _T_9302 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 751:163] - node _T_9303 = and(_T_9301, _T_9302) @[el2_ifu_mem_ctl.scala 751:144] - node _T_9304 = or(_T_9298, _T_9303) @[el2_ifu_mem_ctl.scala 751:80] - node _T_9305 = bits(_T_9304, 0, 0) @[el2_ifu_mem_ctl.scala 751:168] + ic_tag_valid_out[1][126] <= _T_9290 @[el2_ifu_mem_ctl.scala 752:39] + node _T_9291 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 752:82] + node _T_9292 = eq(_T_9291, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:66] + node _T_9293 = and(ic_valid_ff, _T_9292) @[el2_ifu_mem_ctl.scala 752:64] + node _T_9294 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9295 = and(_T_9293, _T_9294) @[el2_ifu_mem_ctl.scala 752:89] + node _T_9296 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 753:36] + node _T_9297 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:75] + node _T_9298 = and(_T_9296, _T_9297) @[el2_ifu_mem_ctl.scala 753:58] + node _T_9299 = eq(perr_ic_index_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 753:101] + node _T_9300 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 753:140] + node _T_9301 = and(_T_9299, _T_9300) @[el2_ifu_mem_ctl.scala 753:123] + node _T_9302 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 753:163] + node _T_9303 = and(_T_9301, _T_9302) @[el2_ifu_mem_ctl.scala 753:144] + node _T_9304 = or(_T_9298, _T_9303) @[el2_ifu_mem_ctl.scala 753:80] + node _T_9305 = bits(_T_9304, 0, 0) @[el2_ifu_mem_ctl.scala 753:168] reg _T_9306 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9305 : @[Reg.scala 28:19] _T_9306 <= _T_9295 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][127] <= _T_9306 @[el2_ifu_mem_ctl.scala 750:39] - node _T_9307 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9308 = mux(_T_9307, UInt<1>("h00"), ic_tag_valid_out[0][0]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9309 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9310 = mux(_T_9309, UInt<1>("h00"), ic_tag_valid_out[0][1]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9311 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9312 = mux(_T_9311, UInt<1>("h00"), ic_tag_valid_out[0][2]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9313 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9314 = mux(_T_9313, UInt<1>("h00"), ic_tag_valid_out[0][3]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9315 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9316 = mux(_T_9315, UInt<1>("h00"), ic_tag_valid_out[0][4]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9317 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9318 = mux(_T_9317, UInt<1>("h00"), ic_tag_valid_out[0][5]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9319 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9320 = mux(_T_9319, UInt<1>("h00"), ic_tag_valid_out[0][6]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9321 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9322 = mux(_T_9321, UInt<1>("h00"), ic_tag_valid_out[0][7]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9323 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9324 = mux(_T_9323, UInt<1>("h00"), ic_tag_valid_out[0][8]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9325 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9326 = mux(_T_9325, UInt<1>("h00"), ic_tag_valid_out[0][9]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9327 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9328 = mux(_T_9327, UInt<1>("h00"), ic_tag_valid_out[0][10]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9329 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9330 = mux(_T_9329, UInt<1>("h00"), ic_tag_valid_out[0][11]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9331 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9332 = mux(_T_9331, UInt<1>("h00"), ic_tag_valid_out[0][12]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9333 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9334 = mux(_T_9333, UInt<1>("h00"), ic_tag_valid_out[0][13]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9335 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9336 = mux(_T_9335, UInt<1>("h00"), ic_tag_valid_out[0][14]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9337 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9338 = mux(_T_9337, UInt<1>("h00"), ic_tag_valid_out[0][15]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9339 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9340 = mux(_T_9339, UInt<1>("h00"), ic_tag_valid_out[0][16]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9341 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9342 = mux(_T_9341, UInt<1>("h00"), ic_tag_valid_out[0][17]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9343 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9344 = mux(_T_9343, UInt<1>("h00"), ic_tag_valid_out[0][18]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9345 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9346 = mux(_T_9345, UInt<1>("h00"), ic_tag_valid_out[0][19]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9347 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9348 = mux(_T_9347, UInt<1>("h00"), ic_tag_valid_out[0][20]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9349 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9350 = mux(_T_9349, UInt<1>("h00"), ic_tag_valid_out[0][21]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9351 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9352 = mux(_T_9351, UInt<1>("h00"), ic_tag_valid_out[0][22]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9353 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9354 = mux(_T_9353, UInt<1>("h00"), ic_tag_valid_out[0][23]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9355 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9356 = mux(_T_9355, UInt<1>("h00"), ic_tag_valid_out[0][24]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9357 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9358 = mux(_T_9357, UInt<1>("h00"), ic_tag_valid_out[0][25]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9359 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9360 = mux(_T_9359, UInt<1>("h00"), ic_tag_valid_out[0][26]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9361 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9362 = mux(_T_9361, UInt<1>("h00"), ic_tag_valid_out[0][27]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9363 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9364 = mux(_T_9363, UInt<1>("h00"), ic_tag_valid_out[0][28]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9365 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9366 = mux(_T_9365, UInt<1>("h00"), ic_tag_valid_out[0][29]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9367 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9368 = mux(_T_9367, UInt<1>("h00"), ic_tag_valid_out[0][30]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9369 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9370 = mux(_T_9369, UInt<1>("h00"), ic_tag_valid_out[0][31]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9371 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9372 = mux(_T_9371, UInt<1>("h00"), ic_tag_valid_out[0][32]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9373 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9374 = mux(_T_9373, UInt<1>("h00"), ic_tag_valid_out[0][33]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9375 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9376 = mux(_T_9375, UInt<1>("h00"), ic_tag_valid_out[0][34]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9377 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9378 = mux(_T_9377, UInt<1>("h00"), ic_tag_valid_out[0][35]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9379 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9380 = mux(_T_9379, UInt<1>("h00"), ic_tag_valid_out[0][36]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9381 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9382 = mux(_T_9381, UInt<1>("h00"), ic_tag_valid_out[0][37]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9383 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9384 = mux(_T_9383, UInt<1>("h00"), ic_tag_valid_out[0][38]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9385 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9386 = mux(_T_9385, UInt<1>("h00"), ic_tag_valid_out[0][39]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9387 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9388 = mux(_T_9387, UInt<1>("h00"), ic_tag_valid_out[0][40]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9389 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9390 = mux(_T_9389, UInt<1>("h00"), ic_tag_valid_out[0][41]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9391 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9392 = mux(_T_9391, UInt<1>("h00"), ic_tag_valid_out[0][42]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9393 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9394 = mux(_T_9393, UInt<1>("h00"), ic_tag_valid_out[0][43]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9395 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9396 = mux(_T_9395, UInt<1>("h00"), ic_tag_valid_out[0][44]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9397 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9398 = mux(_T_9397, UInt<1>("h00"), ic_tag_valid_out[0][45]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9399 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9400 = mux(_T_9399, UInt<1>("h00"), ic_tag_valid_out[0][46]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9401 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9402 = mux(_T_9401, UInt<1>("h00"), ic_tag_valid_out[0][47]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9403 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9404 = mux(_T_9403, UInt<1>("h00"), ic_tag_valid_out[0][48]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9405 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9406 = mux(_T_9405, UInt<1>("h00"), ic_tag_valid_out[0][49]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9407 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9408 = mux(_T_9407, UInt<1>("h00"), ic_tag_valid_out[0][50]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9409 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9410 = mux(_T_9409, UInt<1>("h00"), ic_tag_valid_out[0][51]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9411 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9412 = mux(_T_9411, UInt<1>("h00"), ic_tag_valid_out[0][52]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9413 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9414 = mux(_T_9413, UInt<1>("h00"), ic_tag_valid_out[0][53]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9415 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9416 = mux(_T_9415, UInt<1>("h00"), ic_tag_valid_out[0][54]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9417 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9418 = mux(_T_9417, UInt<1>("h00"), ic_tag_valid_out[0][55]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9419 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9420 = mux(_T_9419, UInt<1>("h00"), ic_tag_valid_out[0][56]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9421 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9422 = mux(_T_9421, UInt<1>("h00"), ic_tag_valid_out[0][57]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9423 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9424 = mux(_T_9423, UInt<1>("h00"), ic_tag_valid_out[0][58]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9425 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9426 = mux(_T_9425, UInt<1>("h00"), ic_tag_valid_out[0][59]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9427 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9428 = mux(_T_9427, UInt<1>("h00"), ic_tag_valid_out[0][60]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9429 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9430 = mux(_T_9429, UInt<1>("h00"), ic_tag_valid_out[0][61]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9431 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9432 = mux(_T_9431, UInt<1>("h00"), ic_tag_valid_out[0][62]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9433 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9434 = mux(_T_9433, UInt<1>("h00"), ic_tag_valid_out[0][63]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9435 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9436 = mux(_T_9435, UInt<1>("h00"), ic_tag_valid_out[0][64]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9437 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9438 = mux(_T_9437, UInt<1>("h00"), ic_tag_valid_out[0][65]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9439 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9440 = mux(_T_9439, UInt<1>("h00"), ic_tag_valid_out[0][66]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9441 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9442 = mux(_T_9441, UInt<1>("h00"), ic_tag_valid_out[0][67]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9443 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9444 = mux(_T_9443, UInt<1>("h00"), ic_tag_valid_out[0][68]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9445 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9446 = mux(_T_9445, UInt<1>("h00"), ic_tag_valid_out[0][69]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9447 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9448 = mux(_T_9447, UInt<1>("h00"), ic_tag_valid_out[0][70]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9449 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9450 = mux(_T_9449, UInt<1>("h00"), ic_tag_valid_out[0][71]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9451 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9452 = mux(_T_9451, UInt<1>("h00"), ic_tag_valid_out[0][72]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9453 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9454 = mux(_T_9453, UInt<1>("h00"), ic_tag_valid_out[0][73]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9455 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9456 = mux(_T_9455, UInt<1>("h00"), ic_tag_valid_out[0][74]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9457 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9458 = mux(_T_9457, UInt<1>("h00"), ic_tag_valid_out[0][75]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9459 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9460 = mux(_T_9459, UInt<1>("h00"), ic_tag_valid_out[0][76]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9461 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9462 = mux(_T_9461, UInt<1>("h00"), ic_tag_valid_out[0][77]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9463 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9464 = mux(_T_9463, UInt<1>("h00"), ic_tag_valid_out[0][78]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9465 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9466 = mux(_T_9465, UInt<1>("h00"), ic_tag_valid_out[0][79]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9467 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9468 = mux(_T_9467, UInt<1>("h00"), ic_tag_valid_out[0][80]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9469 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9470 = mux(_T_9469, UInt<1>("h00"), ic_tag_valid_out[0][81]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9471 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9472 = mux(_T_9471, UInt<1>("h00"), ic_tag_valid_out[0][82]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9473 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9474 = mux(_T_9473, UInt<1>("h00"), ic_tag_valid_out[0][83]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9475 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9476 = mux(_T_9475, UInt<1>("h00"), ic_tag_valid_out[0][84]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9477 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9478 = mux(_T_9477, UInt<1>("h00"), ic_tag_valid_out[0][85]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9479 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9480 = mux(_T_9479, UInt<1>("h00"), ic_tag_valid_out[0][86]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9481 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9482 = mux(_T_9481, UInt<1>("h00"), ic_tag_valid_out[0][87]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9483 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9484 = mux(_T_9483, UInt<1>("h00"), ic_tag_valid_out[0][88]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9485 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9486 = mux(_T_9485, UInt<1>("h00"), ic_tag_valid_out[0][89]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9487 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9488 = mux(_T_9487, UInt<1>("h00"), ic_tag_valid_out[0][90]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9489 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9490 = mux(_T_9489, UInt<1>("h00"), ic_tag_valid_out[0][91]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9491 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9492 = mux(_T_9491, UInt<1>("h00"), ic_tag_valid_out[0][92]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9493 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9494 = mux(_T_9493, UInt<1>("h00"), ic_tag_valid_out[0][93]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9495 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9496 = mux(_T_9495, UInt<1>("h00"), ic_tag_valid_out[0][94]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9497 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9498 = mux(_T_9497, UInt<1>("h00"), ic_tag_valid_out[0][95]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9499 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9500 = mux(_T_9499, UInt<1>("h00"), ic_tag_valid_out[0][96]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9501 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9502 = mux(_T_9501, UInt<1>("h00"), ic_tag_valid_out[0][97]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9503 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9504 = mux(_T_9503, UInt<1>("h00"), ic_tag_valid_out[0][98]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9505 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9506 = mux(_T_9505, UInt<1>("h00"), ic_tag_valid_out[0][99]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9507 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9508 = mux(_T_9507, UInt<1>("h00"), ic_tag_valid_out[0][100]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9509 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9510 = mux(_T_9509, UInt<1>("h00"), ic_tag_valid_out[0][101]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9511 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9512 = mux(_T_9511, UInt<1>("h00"), ic_tag_valid_out[0][102]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9513 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9514 = mux(_T_9513, UInt<1>("h00"), ic_tag_valid_out[0][103]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9515 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9516 = mux(_T_9515, UInt<1>("h00"), ic_tag_valid_out[0][104]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9517 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9518 = mux(_T_9517, UInt<1>("h00"), ic_tag_valid_out[0][105]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9519 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9520 = mux(_T_9519, UInt<1>("h00"), ic_tag_valid_out[0][106]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9521 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9522 = mux(_T_9521, UInt<1>("h00"), ic_tag_valid_out[0][107]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9523 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9524 = mux(_T_9523, UInt<1>("h00"), ic_tag_valid_out[0][108]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9525 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9526 = mux(_T_9525, UInt<1>("h00"), ic_tag_valid_out[0][109]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9527 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9528 = mux(_T_9527, UInt<1>("h00"), ic_tag_valid_out[0][110]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9529 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9530 = mux(_T_9529, UInt<1>("h00"), ic_tag_valid_out[0][111]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9531 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9532 = mux(_T_9531, UInt<1>("h00"), ic_tag_valid_out[0][112]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9533 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9534 = mux(_T_9533, UInt<1>("h00"), ic_tag_valid_out[0][113]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9535 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9536 = mux(_T_9535, UInt<1>("h00"), ic_tag_valid_out[0][114]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9537 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9538 = mux(_T_9537, UInt<1>("h00"), ic_tag_valid_out[0][115]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9539 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9540 = mux(_T_9539, UInt<1>("h00"), ic_tag_valid_out[0][116]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9541 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9542 = mux(_T_9541, UInt<1>("h00"), ic_tag_valid_out[0][117]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9543 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9544 = mux(_T_9543, UInt<1>("h00"), ic_tag_valid_out[0][118]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9545 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9546 = mux(_T_9545, UInt<1>("h00"), ic_tag_valid_out[0][119]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9547 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9548 = mux(_T_9547, UInt<1>("h00"), ic_tag_valid_out[0][120]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9549 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9550 = mux(_T_9549, UInt<1>("h00"), ic_tag_valid_out[0][121]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9551 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9552 = mux(_T_9551, UInt<1>("h00"), ic_tag_valid_out[0][122]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9553 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9554 = mux(_T_9553, UInt<1>("h00"), ic_tag_valid_out[0][123]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9555 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9556 = mux(_T_9555, UInt<1>("h00"), ic_tag_valid_out[0][124]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9557 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9558 = mux(_T_9557, UInt<1>("h00"), ic_tag_valid_out[0][125]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9559 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9560 = mux(_T_9559, UInt<1>("h00"), ic_tag_valid_out[0][126]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9561 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9562 = mux(_T_9561, UInt<1>("h00"), ic_tag_valid_out[0][127]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9563 = or(_T_9308, _T_9310) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9564 = or(_T_9563, _T_9312) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9565 = or(_T_9564, _T_9314) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9566 = or(_T_9565, _T_9316) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9567 = or(_T_9566, _T_9318) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9568 = or(_T_9567, _T_9320) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9569 = or(_T_9568, _T_9322) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9570 = or(_T_9569, _T_9324) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9571 = or(_T_9570, _T_9326) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9572 = or(_T_9571, _T_9328) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9573 = or(_T_9572, _T_9330) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9574 = or(_T_9573, _T_9332) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9575 = or(_T_9574, _T_9334) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9576 = or(_T_9575, _T_9336) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9577 = or(_T_9576, _T_9338) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9578 = or(_T_9577, _T_9340) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9579 = or(_T_9578, _T_9342) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9580 = or(_T_9579, _T_9344) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9581 = or(_T_9580, _T_9346) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9582 = or(_T_9581, _T_9348) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9583 = or(_T_9582, _T_9350) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9584 = or(_T_9583, _T_9352) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9585 = or(_T_9584, _T_9354) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9586 = or(_T_9585, _T_9356) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9587 = or(_T_9586, _T_9358) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9588 = or(_T_9587, _T_9360) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9589 = or(_T_9588, _T_9362) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9590 = or(_T_9589, _T_9364) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9591 = or(_T_9590, _T_9366) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9592 = or(_T_9591, _T_9368) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9593 = or(_T_9592, _T_9370) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9594 = or(_T_9593, _T_9372) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9595 = or(_T_9594, _T_9374) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9596 = or(_T_9595, _T_9376) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9597 = or(_T_9596, _T_9378) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9598 = or(_T_9597, _T_9380) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9599 = or(_T_9598, _T_9382) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9600 = or(_T_9599, _T_9384) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9601 = or(_T_9600, _T_9386) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9602 = or(_T_9601, _T_9388) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9603 = or(_T_9602, _T_9390) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9604 = or(_T_9603, _T_9392) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9605 = or(_T_9604, _T_9394) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9606 = or(_T_9605, _T_9396) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9607 = or(_T_9606, _T_9398) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9608 = or(_T_9607, _T_9400) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9609 = or(_T_9608, _T_9402) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9610 = or(_T_9609, _T_9404) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9611 = or(_T_9610, _T_9406) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9612 = or(_T_9611, _T_9408) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9613 = or(_T_9612, _T_9410) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9614 = or(_T_9613, _T_9412) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9615 = or(_T_9614, _T_9414) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9616 = or(_T_9615, _T_9416) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9617 = or(_T_9616, _T_9418) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9618 = or(_T_9617, _T_9420) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9619 = or(_T_9618, _T_9422) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9620 = or(_T_9619, _T_9424) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9621 = or(_T_9620, _T_9426) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9622 = or(_T_9621, _T_9428) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9623 = or(_T_9622, _T_9430) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9624 = or(_T_9623, _T_9432) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9625 = or(_T_9624, _T_9434) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9626 = or(_T_9625, _T_9436) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9627 = or(_T_9626, _T_9438) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9628 = or(_T_9627, _T_9440) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9629 = or(_T_9628, _T_9442) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9630 = or(_T_9629, _T_9444) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9631 = or(_T_9630, _T_9446) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9632 = or(_T_9631, _T_9448) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9633 = or(_T_9632, _T_9450) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9634 = or(_T_9633, _T_9452) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9635 = or(_T_9634, _T_9454) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9636 = or(_T_9635, _T_9456) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9637 = or(_T_9636, _T_9458) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9638 = or(_T_9637, _T_9460) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9639 = or(_T_9638, _T_9462) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9640 = or(_T_9639, _T_9464) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9641 = or(_T_9640, _T_9466) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9642 = or(_T_9641, _T_9468) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9643 = or(_T_9642, _T_9470) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9644 = or(_T_9643, _T_9472) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9645 = or(_T_9644, _T_9474) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9646 = or(_T_9645, _T_9476) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9647 = or(_T_9646, _T_9478) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9648 = or(_T_9647, _T_9480) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9649 = or(_T_9648, _T_9482) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9650 = or(_T_9649, _T_9484) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9651 = or(_T_9650, _T_9486) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9652 = or(_T_9651, _T_9488) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9653 = or(_T_9652, _T_9490) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9654 = or(_T_9653, _T_9492) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9655 = or(_T_9654, _T_9494) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9656 = or(_T_9655, _T_9496) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9657 = or(_T_9656, _T_9498) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9658 = or(_T_9657, _T_9500) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9659 = or(_T_9658, _T_9502) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9660 = or(_T_9659, _T_9504) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9661 = or(_T_9660, _T_9506) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9662 = or(_T_9661, _T_9508) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9663 = or(_T_9662, _T_9510) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9664 = or(_T_9663, _T_9512) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9665 = or(_T_9664, _T_9514) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9666 = or(_T_9665, _T_9516) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9667 = or(_T_9666, _T_9518) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9668 = or(_T_9667, _T_9520) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9669 = or(_T_9668, _T_9522) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9670 = or(_T_9669, _T_9524) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9671 = or(_T_9670, _T_9526) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9672 = or(_T_9671, _T_9528) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9673 = or(_T_9672, _T_9530) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9674 = or(_T_9673, _T_9532) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9675 = or(_T_9674, _T_9534) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9676 = or(_T_9675, _T_9536) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9677 = or(_T_9676, _T_9538) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9678 = or(_T_9677, _T_9540) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9679 = or(_T_9678, _T_9542) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9680 = or(_T_9679, _T_9544) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9681 = or(_T_9680, _T_9546) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9682 = or(_T_9681, _T_9548) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9683 = or(_T_9682, _T_9550) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9684 = or(_T_9683, _T_9552) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9685 = or(_T_9684, _T_9554) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9686 = or(_T_9685, _T_9556) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9687 = or(_T_9686, _T_9558) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9688 = or(_T_9687, _T_9560) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9689 = or(_T_9688, _T_9562) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9690 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9691 = mux(_T_9690, UInt<1>("h00"), ic_tag_valid_out[1][0]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9692 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9693 = mux(_T_9692, UInt<1>("h00"), ic_tag_valid_out[1][1]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9694 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9695 = mux(_T_9694, UInt<1>("h00"), ic_tag_valid_out[1][2]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9696 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9697 = mux(_T_9696, UInt<1>("h00"), ic_tag_valid_out[1][3]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9698 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9699 = mux(_T_9698, UInt<1>("h00"), ic_tag_valid_out[1][4]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9700 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9701 = mux(_T_9700, UInt<1>("h00"), ic_tag_valid_out[1][5]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9702 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9703 = mux(_T_9702, UInt<1>("h00"), ic_tag_valid_out[1][6]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9704 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9705 = mux(_T_9704, UInt<1>("h00"), ic_tag_valid_out[1][7]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9706 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9707 = mux(_T_9706, UInt<1>("h00"), ic_tag_valid_out[1][8]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9708 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9709 = mux(_T_9708, UInt<1>("h00"), ic_tag_valid_out[1][9]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9710 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9711 = mux(_T_9710, UInt<1>("h00"), ic_tag_valid_out[1][10]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9712 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9713 = mux(_T_9712, UInt<1>("h00"), ic_tag_valid_out[1][11]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9714 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9715 = mux(_T_9714, UInt<1>("h00"), ic_tag_valid_out[1][12]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9716 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9717 = mux(_T_9716, UInt<1>("h00"), ic_tag_valid_out[1][13]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9718 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9719 = mux(_T_9718, UInt<1>("h00"), ic_tag_valid_out[1][14]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9720 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9721 = mux(_T_9720, UInt<1>("h00"), ic_tag_valid_out[1][15]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9722 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9723 = mux(_T_9722, UInt<1>("h00"), ic_tag_valid_out[1][16]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9724 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9725 = mux(_T_9724, UInt<1>("h00"), ic_tag_valid_out[1][17]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9726 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9727 = mux(_T_9726, UInt<1>("h00"), ic_tag_valid_out[1][18]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9728 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9729 = mux(_T_9728, UInt<1>("h00"), ic_tag_valid_out[1][19]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9730 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9731 = mux(_T_9730, UInt<1>("h00"), ic_tag_valid_out[1][20]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9732 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9733 = mux(_T_9732, UInt<1>("h00"), ic_tag_valid_out[1][21]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9734 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9735 = mux(_T_9734, UInt<1>("h00"), ic_tag_valid_out[1][22]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9736 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9737 = mux(_T_9736, UInt<1>("h00"), ic_tag_valid_out[1][23]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9738 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9739 = mux(_T_9738, UInt<1>("h00"), ic_tag_valid_out[1][24]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9740 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9741 = mux(_T_9740, UInt<1>("h00"), ic_tag_valid_out[1][25]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9742 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9743 = mux(_T_9742, UInt<1>("h00"), ic_tag_valid_out[1][26]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9744 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9745 = mux(_T_9744, UInt<1>("h00"), ic_tag_valid_out[1][27]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9746 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9747 = mux(_T_9746, UInt<1>("h00"), ic_tag_valid_out[1][28]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9748 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9749 = mux(_T_9748, UInt<1>("h00"), ic_tag_valid_out[1][29]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9750 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9751 = mux(_T_9750, UInt<1>("h00"), ic_tag_valid_out[1][30]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9752 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9753 = mux(_T_9752, UInt<1>("h00"), ic_tag_valid_out[1][31]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9754 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9755 = mux(_T_9754, UInt<1>("h00"), ic_tag_valid_out[1][32]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9756 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9757 = mux(_T_9756, UInt<1>("h00"), ic_tag_valid_out[1][33]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9758 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9759 = mux(_T_9758, UInt<1>("h00"), ic_tag_valid_out[1][34]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9760 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9761 = mux(_T_9760, UInt<1>("h00"), ic_tag_valid_out[1][35]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9762 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9763 = mux(_T_9762, UInt<1>("h00"), ic_tag_valid_out[1][36]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9764 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9765 = mux(_T_9764, UInt<1>("h00"), ic_tag_valid_out[1][37]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9766 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9767 = mux(_T_9766, UInt<1>("h00"), ic_tag_valid_out[1][38]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9768 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9769 = mux(_T_9768, UInt<1>("h00"), ic_tag_valid_out[1][39]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9770 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9771 = mux(_T_9770, UInt<1>("h00"), ic_tag_valid_out[1][40]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9772 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9773 = mux(_T_9772, UInt<1>("h00"), ic_tag_valid_out[1][41]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9774 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9775 = mux(_T_9774, UInt<1>("h00"), ic_tag_valid_out[1][42]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9776 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9777 = mux(_T_9776, UInt<1>("h00"), ic_tag_valid_out[1][43]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9778 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9779 = mux(_T_9778, UInt<1>("h00"), ic_tag_valid_out[1][44]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9780 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9781 = mux(_T_9780, UInt<1>("h00"), ic_tag_valid_out[1][45]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9782 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9783 = mux(_T_9782, UInt<1>("h00"), ic_tag_valid_out[1][46]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9784 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9785 = mux(_T_9784, UInt<1>("h00"), ic_tag_valid_out[1][47]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9786 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9787 = mux(_T_9786, UInt<1>("h00"), ic_tag_valid_out[1][48]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9788 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9789 = mux(_T_9788, UInt<1>("h00"), ic_tag_valid_out[1][49]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9790 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9791 = mux(_T_9790, UInt<1>("h00"), ic_tag_valid_out[1][50]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9792 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9793 = mux(_T_9792, UInt<1>("h00"), ic_tag_valid_out[1][51]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9794 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9795 = mux(_T_9794, UInt<1>("h00"), ic_tag_valid_out[1][52]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9796 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9797 = mux(_T_9796, UInt<1>("h00"), ic_tag_valid_out[1][53]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9798 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9799 = mux(_T_9798, UInt<1>("h00"), ic_tag_valid_out[1][54]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9800 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9801 = mux(_T_9800, UInt<1>("h00"), ic_tag_valid_out[1][55]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9802 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9803 = mux(_T_9802, UInt<1>("h00"), ic_tag_valid_out[1][56]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9804 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9805 = mux(_T_9804, UInt<1>("h00"), ic_tag_valid_out[1][57]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9806 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9807 = mux(_T_9806, UInt<1>("h00"), ic_tag_valid_out[1][58]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9808 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9809 = mux(_T_9808, UInt<1>("h00"), ic_tag_valid_out[1][59]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9810 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9811 = mux(_T_9810, UInt<1>("h00"), ic_tag_valid_out[1][60]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9812 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9813 = mux(_T_9812, UInt<1>("h00"), ic_tag_valid_out[1][61]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9814 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9815 = mux(_T_9814, UInt<1>("h00"), ic_tag_valid_out[1][62]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9816 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9817 = mux(_T_9816, UInt<1>("h00"), ic_tag_valid_out[1][63]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9818 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9819 = mux(_T_9818, UInt<1>("h00"), ic_tag_valid_out[1][64]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9820 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9821 = mux(_T_9820, UInt<1>("h00"), ic_tag_valid_out[1][65]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9822 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9823 = mux(_T_9822, UInt<1>("h00"), ic_tag_valid_out[1][66]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9824 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9825 = mux(_T_9824, UInt<1>("h00"), ic_tag_valid_out[1][67]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9826 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9827 = mux(_T_9826, UInt<1>("h00"), ic_tag_valid_out[1][68]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9828 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9829 = mux(_T_9828, UInt<1>("h00"), ic_tag_valid_out[1][69]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9830 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9831 = mux(_T_9830, UInt<1>("h00"), ic_tag_valid_out[1][70]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9832 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9833 = mux(_T_9832, UInt<1>("h00"), ic_tag_valid_out[1][71]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9834 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9835 = mux(_T_9834, UInt<1>("h00"), ic_tag_valid_out[1][72]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9836 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9837 = mux(_T_9836, UInt<1>("h00"), ic_tag_valid_out[1][73]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9838 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9839 = mux(_T_9838, UInt<1>("h00"), ic_tag_valid_out[1][74]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9840 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9841 = mux(_T_9840, UInt<1>("h00"), ic_tag_valid_out[1][75]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9842 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9843 = mux(_T_9842, UInt<1>("h00"), ic_tag_valid_out[1][76]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9844 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9845 = mux(_T_9844, UInt<1>("h00"), ic_tag_valid_out[1][77]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9846 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9847 = mux(_T_9846, UInt<1>("h00"), ic_tag_valid_out[1][78]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9848 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9849 = mux(_T_9848, UInt<1>("h00"), ic_tag_valid_out[1][79]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9850 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9851 = mux(_T_9850, UInt<1>("h00"), ic_tag_valid_out[1][80]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9852 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9853 = mux(_T_9852, UInt<1>("h00"), ic_tag_valid_out[1][81]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9854 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9855 = mux(_T_9854, UInt<1>("h00"), ic_tag_valid_out[1][82]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9856 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9857 = mux(_T_9856, UInt<1>("h00"), ic_tag_valid_out[1][83]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9858 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9859 = mux(_T_9858, UInt<1>("h00"), ic_tag_valid_out[1][84]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9860 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9861 = mux(_T_9860, UInt<1>("h00"), ic_tag_valid_out[1][85]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9862 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9863 = mux(_T_9862, UInt<1>("h00"), ic_tag_valid_out[1][86]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9864 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9865 = mux(_T_9864, UInt<1>("h00"), ic_tag_valid_out[1][87]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9866 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9867 = mux(_T_9866, UInt<1>("h00"), ic_tag_valid_out[1][88]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9868 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9869 = mux(_T_9868, UInt<1>("h00"), ic_tag_valid_out[1][89]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9870 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9871 = mux(_T_9870, UInt<1>("h00"), ic_tag_valid_out[1][90]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9872 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9873 = mux(_T_9872, UInt<1>("h00"), ic_tag_valid_out[1][91]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9874 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9875 = mux(_T_9874, UInt<1>("h00"), ic_tag_valid_out[1][92]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9876 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9877 = mux(_T_9876, UInt<1>("h00"), ic_tag_valid_out[1][93]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9878 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9879 = mux(_T_9878, UInt<1>("h00"), ic_tag_valid_out[1][94]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9880 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9881 = mux(_T_9880, UInt<1>("h00"), ic_tag_valid_out[1][95]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9882 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9883 = mux(_T_9882, UInt<1>("h00"), ic_tag_valid_out[1][96]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9884 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9885 = mux(_T_9884, UInt<1>("h00"), ic_tag_valid_out[1][97]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9886 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9887 = mux(_T_9886, UInt<1>("h00"), ic_tag_valid_out[1][98]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9888 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9889 = mux(_T_9888, UInt<1>("h00"), ic_tag_valid_out[1][99]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9890 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9891 = mux(_T_9890, UInt<1>("h00"), ic_tag_valid_out[1][100]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9892 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9893 = mux(_T_9892, UInt<1>("h00"), ic_tag_valid_out[1][101]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9894 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9895 = mux(_T_9894, UInt<1>("h00"), ic_tag_valid_out[1][102]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9896 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9897 = mux(_T_9896, UInt<1>("h00"), ic_tag_valid_out[1][103]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9898 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9899 = mux(_T_9898, UInt<1>("h00"), ic_tag_valid_out[1][104]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9900 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9901 = mux(_T_9900, UInt<1>("h00"), ic_tag_valid_out[1][105]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9902 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9903 = mux(_T_9902, UInt<1>("h00"), ic_tag_valid_out[1][106]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9904 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9905 = mux(_T_9904, UInt<1>("h00"), ic_tag_valid_out[1][107]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9906 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9907 = mux(_T_9906, UInt<1>("h00"), ic_tag_valid_out[1][108]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9908 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9909 = mux(_T_9908, UInt<1>("h00"), ic_tag_valid_out[1][109]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9910 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9911 = mux(_T_9910, UInt<1>("h00"), ic_tag_valid_out[1][110]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9912 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9913 = mux(_T_9912, UInt<1>("h00"), ic_tag_valid_out[1][111]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9914 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9915 = mux(_T_9914, UInt<1>("h00"), ic_tag_valid_out[1][112]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9916 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9917 = mux(_T_9916, UInt<1>("h00"), ic_tag_valid_out[1][113]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9918 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9919 = mux(_T_9918, UInt<1>("h00"), ic_tag_valid_out[1][114]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9920 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9921 = mux(_T_9920, UInt<1>("h00"), ic_tag_valid_out[1][115]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9922 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9923 = mux(_T_9922, UInt<1>("h00"), ic_tag_valid_out[1][116]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9924 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9925 = mux(_T_9924, UInt<1>("h00"), ic_tag_valid_out[1][117]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9926 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9927 = mux(_T_9926, UInt<1>("h00"), ic_tag_valid_out[1][118]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9928 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9929 = mux(_T_9928, UInt<1>("h00"), ic_tag_valid_out[1][119]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9930 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9931 = mux(_T_9930, UInt<1>("h00"), ic_tag_valid_out[1][120]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9932 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9933 = mux(_T_9932, UInt<1>("h00"), ic_tag_valid_out[1][121]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9934 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9935 = mux(_T_9934, UInt<1>("h00"), ic_tag_valid_out[1][122]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9936 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9937 = mux(_T_9936, UInt<1>("h00"), ic_tag_valid_out[1][123]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9938 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9939 = mux(_T_9938, UInt<1>("h00"), ic_tag_valid_out[1][124]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9940 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9941 = mux(_T_9940, UInt<1>("h00"), ic_tag_valid_out[1][125]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9942 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9943 = mux(_T_9942, UInt<1>("h00"), ic_tag_valid_out[1][126]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9944 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 754:33] - node _T_9945 = mux(_T_9944, UInt<1>("h00"), ic_tag_valid_out[1][127]) @[el2_ifu_mem_ctl.scala 754:10] - node _T_9946 = or(_T_9691, _T_9693) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9947 = or(_T_9946, _T_9695) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9948 = or(_T_9947, _T_9697) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9949 = or(_T_9948, _T_9699) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9950 = or(_T_9949, _T_9701) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9951 = or(_T_9950, _T_9703) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9952 = or(_T_9951, _T_9705) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9953 = or(_T_9952, _T_9707) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9954 = or(_T_9953, _T_9709) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9955 = or(_T_9954, _T_9711) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9956 = or(_T_9955, _T_9713) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9957 = or(_T_9956, _T_9715) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9958 = or(_T_9957, _T_9717) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9959 = or(_T_9958, _T_9719) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9960 = or(_T_9959, _T_9721) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9961 = or(_T_9960, _T_9723) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9962 = or(_T_9961, _T_9725) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9963 = or(_T_9962, _T_9727) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9964 = or(_T_9963, _T_9729) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9965 = or(_T_9964, _T_9731) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9966 = or(_T_9965, _T_9733) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9967 = or(_T_9966, _T_9735) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9968 = or(_T_9967, _T_9737) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9969 = or(_T_9968, _T_9739) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9970 = or(_T_9969, _T_9741) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9971 = or(_T_9970, _T_9743) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9972 = or(_T_9971, _T_9745) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9973 = or(_T_9972, _T_9747) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9974 = or(_T_9973, _T_9749) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9975 = or(_T_9974, _T_9751) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9976 = or(_T_9975, _T_9753) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9977 = or(_T_9976, _T_9755) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9978 = or(_T_9977, _T_9757) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9979 = or(_T_9978, _T_9759) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9980 = or(_T_9979, _T_9761) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9981 = or(_T_9980, _T_9763) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9982 = or(_T_9981, _T_9765) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9983 = or(_T_9982, _T_9767) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9984 = or(_T_9983, _T_9769) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9985 = or(_T_9984, _T_9771) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9986 = or(_T_9985, _T_9773) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9987 = or(_T_9986, _T_9775) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9988 = or(_T_9987, _T_9777) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9989 = or(_T_9988, _T_9779) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9990 = or(_T_9989, _T_9781) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9991 = or(_T_9990, _T_9783) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9992 = or(_T_9991, _T_9785) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9993 = or(_T_9992, _T_9787) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9994 = or(_T_9993, _T_9789) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9995 = or(_T_9994, _T_9791) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9996 = or(_T_9995, _T_9793) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9997 = or(_T_9996, _T_9795) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9998 = or(_T_9997, _T_9797) @[el2_ifu_mem_ctl.scala 754:91] - node _T_9999 = or(_T_9998, _T_9799) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10000 = or(_T_9999, _T_9801) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10001 = or(_T_10000, _T_9803) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10002 = or(_T_10001, _T_9805) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10003 = or(_T_10002, _T_9807) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10004 = or(_T_10003, _T_9809) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10005 = or(_T_10004, _T_9811) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10006 = or(_T_10005, _T_9813) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10007 = or(_T_10006, _T_9815) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10008 = or(_T_10007, _T_9817) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10009 = or(_T_10008, _T_9819) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10010 = or(_T_10009, _T_9821) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10011 = or(_T_10010, _T_9823) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10012 = or(_T_10011, _T_9825) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10013 = or(_T_10012, _T_9827) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10014 = or(_T_10013, _T_9829) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10015 = or(_T_10014, _T_9831) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10016 = or(_T_10015, _T_9833) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10017 = or(_T_10016, _T_9835) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10018 = or(_T_10017, _T_9837) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10019 = or(_T_10018, _T_9839) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10020 = or(_T_10019, _T_9841) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10021 = or(_T_10020, _T_9843) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10022 = or(_T_10021, _T_9845) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10023 = or(_T_10022, _T_9847) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10024 = or(_T_10023, _T_9849) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10025 = or(_T_10024, _T_9851) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10026 = or(_T_10025, _T_9853) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10027 = or(_T_10026, _T_9855) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10028 = or(_T_10027, _T_9857) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10029 = or(_T_10028, _T_9859) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10030 = or(_T_10029, _T_9861) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10031 = or(_T_10030, _T_9863) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10032 = or(_T_10031, _T_9865) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10033 = or(_T_10032, _T_9867) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10034 = or(_T_10033, _T_9869) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10035 = or(_T_10034, _T_9871) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10036 = or(_T_10035, _T_9873) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10037 = or(_T_10036, _T_9875) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10038 = or(_T_10037, _T_9877) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10039 = or(_T_10038, _T_9879) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10040 = or(_T_10039, _T_9881) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10041 = or(_T_10040, _T_9883) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10042 = or(_T_10041, _T_9885) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10043 = or(_T_10042, _T_9887) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10044 = or(_T_10043, _T_9889) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10045 = or(_T_10044, _T_9891) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10046 = or(_T_10045, _T_9893) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10047 = or(_T_10046, _T_9895) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10048 = or(_T_10047, _T_9897) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10049 = or(_T_10048, _T_9899) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10050 = or(_T_10049, _T_9901) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10051 = or(_T_10050, _T_9903) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10052 = or(_T_10051, _T_9905) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10053 = or(_T_10052, _T_9907) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10054 = or(_T_10053, _T_9909) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10055 = or(_T_10054, _T_9911) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10056 = or(_T_10055, _T_9913) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10057 = or(_T_10056, _T_9915) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10058 = or(_T_10057, _T_9917) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10059 = or(_T_10058, _T_9919) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10060 = or(_T_10059, _T_9921) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10061 = or(_T_10060, _T_9923) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10062 = or(_T_10061, _T_9925) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10063 = or(_T_10062, _T_9927) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10064 = or(_T_10063, _T_9929) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10065 = or(_T_10064, _T_9931) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10066 = or(_T_10065, _T_9933) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10067 = or(_T_10066, _T_9935) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10068 = or(_T_10067, _T_9937) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10069 = or(_T_10068, _T_9939) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10070 = or(_T_10069, _T_9941) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10071 = or(_T_10070, _T_9943) @[el2_ifu_mem_ctl.scala 754:91] - node _T_10072 = or(_T_10071, _T_9945) @[el2_ifu_mem_ctl.scala 754:91] + ic_tag_valid_out[1][127] <= _T_9306 @[el2_ifu_mem_ctl.scala 752:39] + node _T_9307 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9308 = mux(_T_9307, UInt<1>("h00"), ic_tag_valid_out[0][0]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9309 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9310 = mux(_T_9309, UInt<1>("h00"), ic_tag_valid_out[0][1]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9311 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9312 = mux(_T_9311, UInt<1>("h00"), ic_tag_valid_out[0][2]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9313 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9314 = mux(_T_9313, UInt<1>("h00"), ic_tag_valid_out[0][3]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9315 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9316 = mux(_T_9315, UInt<1>("h00"), ic_tag_valid_out[0][4]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9317 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9318 = mux(_T_9317, UInt<1>("h00"), ic_tag_valid_out[0][5]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9319 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9320 = mux(_T_9319, UInt<1>("h00"), ic_tag_valid_out[0][6]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9321 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9322 = mux(_T_9321, UInt<1>("h00"), ic_tag_valid_out[0][7]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9323 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9324 = mux(_T_9323, UInt<1>("h00"), ic_tag_valid_out[0][8]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9325 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9326 = mux(_T_9325, UInt<1>("h00"), ic_tag_valid_out[0][9]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9327 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9328 = mux(_T_9327, UInt<1>("h00"), ic_tag_valid_out[0][10]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9329 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9330 = mux(_T_9329, UInt<1>("h00"), ic_tag_valid_out[0][11]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9331 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9332 = mux(_T_9331, UInt<1>("h00"), ic_tag_valid_out[0][12]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9333 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9334 = mux(_T_9333, UInt<1>("h00"), ic_tag_valid_out[0][13]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9335 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9336 = mux(_T_9335, UInt<1>("h00"), ic_tag_valid_out[0][14]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9337 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9338 = mux(_T_9337, UInt<1>("h00"), ic_tag_valid_out[0][15]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9339 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9340 = mux(_T_9339, UInt<1>("h00"), ic_tag_valid_out[0][16]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9341 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9342 = mux(_T_9341, UInt<1>("h00"), ic_tag_valid_out[0][17]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9343 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9344 = mux(_T_9343, UInt<1>("h00"), ic_tag_valid_out[0][18]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9345 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9346 = mux(_T_9345, UInt<1>("h00"), ic_tag_valid_out[0][19]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9347 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9348 = mux(_T_9347, UInt<1>("h00"), ic_tag_valid_out[0][20]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9349 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9350 = mux(_T_9349, UInt<1>("h00"), ic_tag_valid_out[0][21]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9351 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9352 = mux(_T_9351, UInt<1>("h00"), ic_tag_valid_out[0][22]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9353 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9354 = mux(_T_9353, UInt<1>("h00"), ic_tag_valid_out[0][23]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9355 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9356 = mux(_T_9355, UInt<1>("h00"), ic_tag_valid_out[0][24]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9357 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9358 = mux(_T_9357, UInt<1>("h00"), ic_tag_valid_out[0][25]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9359 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9360 = mux(_T_9359, UInt<1>("h00"), ic_tag_valid_out[0][26]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9361 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9362 = mux(_T_9361, UInt<1>("h00"), ic_tag_valid_out[0][27]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9363 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9364 = mux(_T_9363, UInt<1>("h00"), ic_tag_valid_out[0][28]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9365 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9366 = mux(_T_9365, UInt<1>("h00"), ic_tag_valid_out[0][29]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9367 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9368 = mux(_T_9367, UInt<1>("h00"), ic_tag_valid_out[0][30]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9369 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9370 = mux(_T_9369, UInt<1>("h00"), ic_tag_valid_out[0][31]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9371 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9372 = mux(_T_9371, UInt<1>("h00"), ic_tag_valid_out[0][32]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9373 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9374 = mux(_T_9373, UInt<1>("h00"), ic_tag_valid_out[0][33]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9375 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9376 = mux(_T_9375, UInt<1>("h00"), ic_tag_valid_out[0][34]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9377 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9378 = mux(_T_9377, UInt<1>("h00"), ic_tag_valid_out[0][35]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9379 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9380 = mux(_T_9379, UInt<1>("h00"), ic_tag_valid_out[0][36]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9381 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9382 = mux(_T_9381, UInt<1>("h00"), ic_tag_valid_out[0][37]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9383 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9384 = mux(_T_9383, UInt<1>("h00"), ic_tag_valid_out[0][38]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9385 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9386 = mux(_T_9385, UInt<1>("h00"), ic_tag_valid_out[0][39]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9387 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9388 = mux(_T_9387, UInt<1>("h00"), ic_tag_valid_out[0][40]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9389 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9390 = mux(_T_9389, UInt<1>("h00"), ic_tag_valid_out[0][41]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9391 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9392 = mux(_T_9391, UInt<1>("h00"), ic_tag_valid_out[0][42]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9393 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9394 = mux(_T_9393, UInt<1>("h00"), ic_tag_valid_out[0][43]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9395 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9396 = mux(_T_9395, UInt<1>("h00"), ic_tag_valid_out[0][44]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9397 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9398 = mux(_T_9397, UInt<1>("h00"), ic_tag_valid_out[0][45]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9399 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9400 = mux(_T_9399, UInt<1>("h00"), ic_tag_valid_out[0][46]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9401 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9402 = mux(_T_9401, UInt<1>("h00"), ic_tag_valid_out[0][47]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9403 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9404 = mux(_T_9403, UInt<1>("h00"), ic_tag_valid_out[0][48]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9405 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9406 = mux(_T_9405, UInt<1>("h00"), ic_tag_valid_out[0][49]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9407 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9408 = mux(_T_9407, UInt<1>("h00"), ic_tag_valid_out[0][50]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9409 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9410 = mux(_T_9409, UInt<1>("h00"), ic_tag_valid_out[0][51]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9411 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9412 = mux(_T_9411, UInt<1>("h00"), ic_tag_valid_out[0][52]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9413 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9414 = mux(_T_9413, UInt<1>("h00"), ic_tag_valid_out[0][53]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9415 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9416 = mux(_T_9415, UInt<1>("h00"), ic_tag_valid_out[0][54]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9417 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9418 = mux(_T_9417, UInt<1>("h00"), ic_tag_valid_out[0][55]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9419 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9420 = mux(_T_9419, UInt<1>("h00"), ic_tag_valid_out[0][56]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9421 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9422 = mux(_T_9421, UInt<1>("h00"), ic_tag_valid_out[0][57]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9423 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9424 = mux(_T_9423, UInt<1>("h00"), ic_tag_valid_out[0][58]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9425 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9426 = mux(_T_9425, UInt<1>("h00"), ic_tag_valid_out[0][59]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9427 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9428 = mux(_T_9427, UInt<1>("h00"), ic_tag_valid_out[0][60]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9429 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9430 = mux(_T_9429, UInt<1>("h00"), ic_tag_valid_out[0][61]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9431 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9432 = mux(_T_9431, UInt<1>("h00"), ic_tag_valid_out[0][62]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9433 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9434 = mux(_T_9433, UInt<1>("h00"), ic_tag_valid_out[0][63]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9435 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9436 = mux(_T_9435, UInt<1>("h00"), ic_tag_valid_out[0][64]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9437 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9438 = mux(_T_9437, UInt<1>("h00"), ic_tag_valid_out[0][65]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9439 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9440 = mux(_T_9439, UInt<1>("h00"), ic_tag_valid_out[0][66]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9441 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9442 = mux(_T_9441, UInt<1>("h00"), ic_tag_valid_out[0][67]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9443 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9444 = mux(_T_9443, UInt<1>("h00"), ic_tag_valid_out[0][68]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9445 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9446 = mux(_T_9445, UInt<1>("h00"), ic_tag_valid_out[0][69]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9447 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9448 = mux(_T_9447, UInt<1>("h00"), ic_tag_valid_out[0][70]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9449 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9450 = mux(_T_9449, UInt<1>("h00"), ic_tag_valid_out[0][71]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9451 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9452 = mux(_T_9451, UInt<1>("h00"), ic_tag_valid_out[0][72]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9453 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9454 = mux(_T_9453, UInt<1>("h00"), ic_tag_valid_out[0][73]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9455 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9456 = mux(_T_9455, UInt<1>("h00"), ic_tag_valid_out[0][74]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9457 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9458 = mux(_T_9457, UInt<1>("h00"), ic_tag_valid_out[0][75]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9459 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9460 = mux(_T_9459, UInt<1>("h00"), ic_tag_valid_out[0][76]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9461 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9462 = mux(_T_9461, UInt<1>("h00"), ic_tag_valid_out[0][77]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9463 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9464 = mux(_T_9463, UInt<1>("h00"), ic_tag_valid_out[0][78]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9465 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9466 = mux(_T_9465, UInt<1>("h00"), ic_tag_valid_out[0][79]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9467 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9468 = mux(_T_9467, UInt<1>("h00"), ic_tag_valid_out[0][80]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9469 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9470 = mux(_T_9469, UInt<1>("h00"), ic_tag_valid_out[0][81]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9471 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9472 = mux(_T_9471, UInt<1>("h00"), ic_tag_valid_out[0][82]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9473 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9474 = mux(_T_9473, UInt<1>("h00"), ic_tag_valid_out[0][83]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9475 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9476 = mux(_T_9475, UInt<1>("h00"), ic_tag_valid_out[0][84]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9477 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9478 = mux(_T_9477, UInt<1>("h00"), ic_tag_valid_out[0][85]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9479 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9480 = mux(_T_9479, UInt<1>("h00"), ic_tag_valid_out[0][86]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9481 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9482 = mux(_T_9481, UInt<1>("h00"), ic_tag_valid_out[0][87]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9483 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9484 = mux(_T_9483, UInt<1>("h00"), ic_tag_valid_out[0][88]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9485 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9486 = mux(_T_9485, UInt<1>("h00"), ic_tag_valid_out[0][89]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9487 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9488 = mux(_T_9487, UInt<1>("h00"), ic_tag_valid_out[0][90]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9489 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9490 = mux(_T_9489, UInt<1>("h00"), ic_tag_valid_out[0][91]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9491 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9492 = mux(_T_9491, UInt<1>("h00"), ic_tag_valid_out[0][92]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9493 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9494 = mux(_T_9493, UInt<1>("h00"), ic_tag_valid_out[0][93]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9495 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9496 = mux(_T_9495, UInt<1>("h00"), ic_tag_valid_out[0][94]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9497 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9498 = mux(_T_9497, UInt<1>("h00"), ic_tag_valid_out[0][95]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9499 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9500 = mux(_T_9499, UInt<1>("h00"), ic_tag_valid_out[0][96]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9501 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9502 = mux(_T_9501, UInt<1>("h00"), ic_tag_valid_out[0][97]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9503 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9504 = mux(_T_9503, UInt<1>("h00"), ic_tag_valid_out[0][98]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9505 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9506 = mux(_T_9505, UInt<1>("h00"), ic_tag_valid_out[0][99]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9507 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9508 = mux(_T_9507, UInt<1>("h00"), ic_tag_valid_out[0][100]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9509 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9510 = mux(_T_9509, UInt<1>("h00"), ic_tag_valid_out[0][101]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9511 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9512 = mux(_T_9511, UInt<1>("h00"), ic_tag_valid_out[0][102]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9513 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9514 = mux(_T_9513, UInt<1>("h00"), ic_tag_valid_out[0][103]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9515 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9516 = mux(_T_9515, UInt<1>("h00"), ic_tag_valid_out[0][104]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9517 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9518 = mux(_T_9517, UInt<1>("h00"), ic_tag_valid_out[0][105]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9519 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9520 = mux(_T_9519, UInt<1>("h00"), ic_tag_valid_out[0][106]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9521 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9522 = mux(_T_9521, UInt<1>("h00"), ic_tag_valid_out[0][107]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9523 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9524 = mux(_T_9523, UInt<1>("h00"), ic_tag_valid_out[0][108]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9525 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9526 = mux(_T_9525, UInt<1>("h00"), ic_tag_valid_out[0][109]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9527 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9528 = mux(_T_9527, UInt<1>("h00"), ic_tag_valid_out[0][110]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9529 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9530 = mux(_T_9529, UInt<1>("h00"), ic_tag_valid_out[0][111]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9531 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9532 = mux(_T_9531, UInt<1>("h00"), ic_tag_valid_out[0][112]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9533 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9534 = mux(_T_9533, UInt<1>("h00"), ic_tag_valid_out[0][113]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9535 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9536 = mux(_T_9535, UInt<1>("h00"), ic_tag_valid_out[0][114]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9537 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9538 = mux(_T_9537, UInt<1>("h00"), ic_tag_valid_out[0][115]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9539 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9540 = mux(_T_9539, UInt<1>("h00"), ic_tag_valid_out[0][116]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9541 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9542 = mux(_T_9541, UInt<1>("h00"), ic_tag_valid_out[0][117]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9543 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9544 = mux(_T_9543, UInt<1>("h00"), ic_tag_valid_out[0][118]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9545 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9546 = mux(_T_9545, UInt<1>("h00"), ic_tag_valid_out[0][119]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9547 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9548 = mux(_T_9547, UInt<1>("h00"), ic_tag_valid_out[0][120]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9549 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9550 = mux(_T_9549, UInt<1>("h00"), ic_tag_valid_out[0][121]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9551 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9552 = mux(_T_9551, UInt<1>("h00"), ic_tag_valid_out[0][122]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9553 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9554 = mux(_T_9553, UInt<1>("h00"), ic_tag_valid_out[0][123]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9555 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9556 = mux(_T_9555, UInt<1>("h00"), ic_tag_valid_out[0][124]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9557 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9558 = mux(_T_9557, UInt<1>("h00"), ic_tag_valid_out[0][125]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9559 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9560 = mux(_T_9559, UInt<1>("h00"), ic_tag_valid_out[0][126]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9561 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9562 = mux(_T_9561, UInt<1>("h00"), ic_tag_valid_out[0][127]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9563 = or(_T_9308, _T_9310) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9564 = or(_T_9563, _T_9312) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9565 = or(_T_9564, _T_9314) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9566 = or(_T_9565, _T_9316) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9567 = or(_T_9566, _T_9318) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9568 = or(_T_9567, _T_9320) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9569 = or(_T_9568, _T_9322) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9570 = or(_T_9569, _T_9324) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9571 = or(_T_9570, _T_9326) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9572 = or(_T_9571, _T_9328) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9573 = or(_T_9572, _T_9330) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9574 = or(_T_9573, _T_9332) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9575 = or(_T_9574, _T_9334) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9576 = or(_T_9575, _T_9336) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9577 = or(_T_9576, _T_9338) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9578 = or(_T_9577, _T_9340) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9579 = or(_T_9578, _T_9342) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9580 = or(_T_9579, _T_9344) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9581 = or(_T_9580, _T_9346) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9582 = or(_T_9581, _T_9348) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9583 = or(_T_9582, _T_9350) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9584 = or(_T_9583, _T_9352) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9585 = or(_T_9584, _T_9354) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9586 = or(_T_9585, _T_9356) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9587 = or(_T_9586, _T_9358) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9588 = or(_T_9587, _T_9360) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9589 = or(_T_9588, _T_9362) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9590 = or(_T_9589, _T_9364) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9591 = or(_T_9590, _T_9366) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9592 = or(_T_9591, _T_9368) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9593 = or(_T_9592, _T_9370) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9594 = or(_T_9593, _T_9372) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9595 = or(_T_9594, _T_9374) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9596 = or(_T_9595, _T_9376) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9597 = or(_T_9596, _T_9378) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9598 = or(_T_9597, _T_9380) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9599 = or(_T_9598, _T_9382) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9600 = or(_T_9599, _T_9384) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9601 = or(_T_9600, _T_9386) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9602 = or(_T_9601, _T_9388) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9603 = or(_T_9602, _T_9390) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9604 = or(_T_9603, _T_9392) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9605 = or(_T_9604, _T_9394) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9606 = or(_T_9605, _T_9396) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9607 = or(_T_9606, _T_9398) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9608 = or(_T_9607, _T_9400) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9609 = or(_T_9608, _T_9402) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9610 = or(_T_9609, _T_9404) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9611 = or(_T_9610, _T_9406) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9612 = or(_T_9611, _T_9408) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9613 = or(_T_9612, _T_9410) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9614 = or(_T_9613, _T_9412) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9615 = or(_T_9614, _T_9414) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9616 = or(_T_9615, _T_9416) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9617 = or(_T_9616, _T_9418) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9618 = or(_T_9617, _T_9420) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9619 = or(_T_9618, _T_9422) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9620 = or(_T_9619, _T_9424) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9621 = or(_T_9620, _T_9426) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9622 = or(_T_9621, _T_9428) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9623 = or(_T_9622, _T_9430) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9624 = or(_T_9623, _T_9432) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9625 = or(_T_9624, _T_9434) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9626 = or(_T_9625, _T_9436) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9627 = or(_T_9626, _T_9438) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9628 = or(_T_9627, _T_9440) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9629 = or(_T_9628, _T_9442) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9630 = or(_T_9629, _T_9444) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9631 = or(_T_9630, _T_9446) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9632 = or(_T_9631, _T_9448) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9633 = or(_T_9632, _T_9450) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9634 = or(_T_9633, _T_9452) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9635 = or(_T_9634, _T_9454) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9636 = or(_T_9635, _T_9456) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9637 = or(_T_9636, _T_9458) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9638 = or(_T_9637, _T_9460) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9639 = or(_T_9638, _T_9462) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9640 = or(_T_9639, _T_9464) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9641 = or(_T_9640, _T_9466) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9642 = or(_T_9641, _T_9468) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9643 = or(_T_9642, _T_9470) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9644 = or(_T_9643, _T_9472) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9645 = or(_T_9644, _T_9474) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9646 = or(_T_9645, _T_9476) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9647 = or(_T_9646, _T_9478) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9648 = or(_T_9647, _T_9480) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9649 = or(_T_9648, _T_9482) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9650 = or(_T_9649, _T_9484) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9651 = or(_T_9650, _T_9486) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9652 = or(_T_9651, _T_9488) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9653 = or(_T_9652, _T_9490) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9654 = or(_T_9653, _T_9492) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9655 = or(_T_9654, _T_9494) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9656 = or(_T_9655, _T_9496) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9657 = or(_T_9656, _T_9498) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9658 = or(_T_9657, _T_9500) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9659 = or(_T_9658, _T_9502) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9660 = or(_T_9659, _T_9504) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9661 = or(_T_9660, _T_9506) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9662 = or(_T_9661, _T_9508) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9663 = or(_T_9662, _T_9510) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9664 = or(_T_9663, _T_9512) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9665 = or(_T_9664, _T_9514) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9666 = or(_T_9665, _T_9516) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9667 = or(_T_9666, _T_9518) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9668 = or(_T_9667, _T_9520) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9669 = or(_T_9668, _T_9522) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9670 = or(_T_9669, _T_9524) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9671 = or(_T_9670, _T_9526) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9672 = or(_T_9671, _T_9528) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9673 = or(_T_9672, _T_9530) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9674 = or(_T_9673, _T_9532) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9675 = or(_T_9674, _T_9534) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9676 = or(_T_9675, _T_9536) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9677 = or(_T_9676, _T_9538) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9678 = or(_T_9677, _T_9540) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9679 = or(_T_9678, _T_9542) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9680 = or(_T_9679, _T_9544) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9681 = or(_T_9680, _T_9546) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9682 = or(_T_9681, _T_9548) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9683 = or(_T_9682, _T_9550) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9684 = or(_T_9683, _T_9552) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9685 = or(_T_9684, _T_9554) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9686 = or(_T_9685, _T_9556) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9687 = or(_T_9686, _T_9558) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9688 = or(_T_9687, _T_9560) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9689 = or(_T_9688, _T_9562) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9690 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9691 = mux(_T_9690, UInt<1>("h00"), ic_tag_valid_out[1][0]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9692 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9693 = mux(_T_9692, UInt<1>("h00"), ic_tag_valid_out[1][1]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9694 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9695 = mux(_T_9694, UInt<1>("h00"), ic_tag_valid_out[1][2]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9696 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9697 = mux(_T_9696, UInt<1>("h00"), ic_tag_valid_out[1][3]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9698 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9699 = mux(_T_9698, UInt<1>("h00"), ic_tag_valid_out[1][4]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9700 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9701 = mux(_T_9700, UInt<1>("h00"), ic_tag_valid_out[1][5]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9702 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9703 = mux(_T_9702, UInt<1>("h00"), ic_tag_valid_out[1][6]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9704 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9705 = mux(_T_9704, UInt<1>("h00"), ic_tag_valid_out[1][7]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9706 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9707 = mux(_T_9706, UInt<1>("h00"), ic_tag_valid_out[1][8]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9708 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9709 = mux(_T_9708, UInt<1>("h00"), ic_tag_valid_out[1][9]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9710 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9711 = mux(_T_9710, UInt<1>("h00"), ic_tag_valid_out[1][10]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9712 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9713 = mux(_T_9712, UInt<1>("h00"), ic_tag_valid_out[1][11]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9714 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9715 = mux(_T_9714, UInt<1>("h00"), ic_tag_valid_out[1][12]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9716 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9717 = mux(_T_9716, UInt<1>("h00"), ic_tag_valid_out[1][13]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9718 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9719 = mux(_T_9718, UInt<1>("h00"), ic_tag_valid_out[1][14]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9720 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9721 = mux(_T_9720, UInt<1>("h00"), ic_tag_valid_out[1][15]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9722 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9723 = mux(_T_9722, UInt<1>("h00"), ic_tag_valid_out[1][16]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9724 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9725 = mux(_T_9724, UInt<1>("h00"), ic_tag_valid_out[1][17]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9726 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9727 = mux(_T_9726, UInt<1>("h00"), ic_tag_valid_out[1][18]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9728 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9729 = mux(_T_9728, UInt<1>("h00"), ic_tag_valid_out[1][19]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9730 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9731 = mux(_T_9730, UInt<1>("h00"), ic_tag_valid_out[1][20]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9732 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9733 = mux(_T_9732, UInt<1>("h00"), ic_tag_valid_out[1][21]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9734 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9735 = mux(_T_9734, UInt<1>("h00"), ic_tag_valid_out[1][22]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9736 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9737 = mux(_T_9736, UInt<1>("h00"), ic_tag_valid_out[1][23]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9738 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9739 = mux(_T_9738, UInt<1>("h00"), ic_tag_valid_out[1][24]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9740 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9741 = mux(_T_9740, UInt<1>("h00"), ic_tag_valid_out[1][25]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9742 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9743 = mux(_T_9742, UInt<1>("h00"), ic_tag_valid_out[1][26]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9744 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9745 = mux(_T_9744, UInt<1>("h00"), ic_tag_valid_out[1][27]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9746 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9747 = mux(_T_9746, UInt<1>("h00"), ic_tag_valid_out[1][28]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9748 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9749 = mux(_T_9748, UInt<1>("h00"), ic_tag_valid_out[1][29]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9750 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9751 = mux(_T_9750, UInt<1>("h00"), ic_tag_valid_out[1][30]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9752 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9753 = mux(_T_9752, UInt<1>("h00"), ic_tag_valid_out[1][31]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9754 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9755 = mux(_T_9754, UInt<1>("h00"), ic_tag_valid_out[1][32]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9756 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9757 = mux(_T_9756, UInt<1>("h00"), ic_tag_valid_out[1][33]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9758 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9759 = mux(_T_9758, UInt<1>("h00"), ic_tag_valid_out[1][34]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9760 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9761 = mux(_T_9760, UInt<1>("h00"), ic_tag_valid_out[1][35]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9762 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9763 = mux(_T_9762, UInt<1>("h00"), ic_tag_valid_out[1][36]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9764 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9765 = mux(_T_9764, UInt<1>("h00"), ic_tag_valid_out[1][37]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9766 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9767 = mux(_T_9766, UInt<1>("h00"), ic_tag_valid_out[1][38]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9768 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9769 = mux(_T_9768, UInt<1>("h00"), ic_tag_valid_out[1][39]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9770 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9771 = mux(_T_9770, UInt<1>("h00"), ic_tag_valid_out[1][40]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9772 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9773 = mux(_T_9772, UInt<1>("h00"), ic_tag_valid_out[1][41]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9774 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9775 = mux(_T_9774, UInt<1>("h00"), ic_tag_valid_out[1][42]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9776 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9777 = mux(_T_9776, UInt<1>("h00"), ic_tag_valid_out[1][43]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9778 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9779 = mux(_T_9778, UInt<1>("h00"), ic_tag_valid_out[1][44]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9780 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9781 = mux(_T_9780, UInt<1>("h00"), ic_tag_valid_out[1][45]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9782 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9783 = mux(_T_9782, UInt<1>("h00"), ic_tag_valid_out[1][46]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9784 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9785 = mux(_T_9784, UInt<1>("h00"), ic_tag_valid_out[1][47]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9786 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9787 = mux(_T_9786, UInt<1>("h00"), ic_tag_valid_out[1][48]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9788 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9789 = mux(_T_9788, UInt<1>("h00"), ic_tag_valid_out[1][49]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9790 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9791 = mux(_T_9790, UInt<1>("h00"), ic_tag_valid_out[1][50]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9792 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9793 = mux(_T_9792, UInt<1>("h00"), ic_tag_valid_out[1][51]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9794 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9795 = mux(_T_9794, UInt<1>("h00"), ic_tag_valid_out[1][52]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9796 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9797 = mux(_T_9796, UInt<1>("h00"), ic_tag_valid_out[1][53]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9798 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9799 = mux(_T_9798, UInt<1>("h00"), ic_tag_valid_out[1][54]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9800 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9801 = mux(_T_9800, UInt<1>("h00"), ic_tag_valid_out[1][55]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9802 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9803 = mux(_T_9802, UInt<1>("h00"), ic_tag_valid_out[1][56]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9804 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9805 = mux(_T_9804, UInt<1>("h00"), ic_tag_valid_out[1][57]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9806 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9807 = mux(_T_9806, UInt<1>("h00"), ic_tag_valid_out[1][58]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9808 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9809 = mux(_T_9808, UInt<1>("h00"), ic_tag_valid_out[1][59]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9810 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9811 = mux(_T_9810, UInt<1>("h00"), ic_tag_valid_out[1][60]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9812 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9813 = mux(_T_9812, UInt<1>("h00"), ic_tag_valid_out[1][61]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9814 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9815 = mux(_T_9814, UInt<1>("h00"), ic_tag_valid_out[1][62]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9816 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9817 = mux(_T_9816, UInt<1>("h00"), ic_tag_valid_out[1][63]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9818 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9819 = mux(_T_9818, UInt<1>("h00"), ic_tag_valid_out[1][64]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9820 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9821 = mux(_T_9820, UInt<1>("h00"), ic_tag_valid_out[1][65]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9822 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9823 = mux(_T_9822, UInt<1>("h00"), ic_tag_valid_out[1][66]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9824 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9825 = mux(_T_9824, UInt<1>("h00"), ic_tag_valid_out[1][67]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9826 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9827 = mux(_T_9826, UInt<1>("h00"), ic_tag_valid_out[1][68]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9828 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9829 = mux(_T_9828, UInt<1>("h00"), ic_tag_valid_out[1][69]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9830 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9831 = mux(_T_9830, UInt<1>("h00"), ic_tag_valid_out[1][70]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9832 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9833 = mux(_T_9832, UInt<1>("h00"), ic_tag_valid_out[1][71]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9834 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9835 = mux(_T_9834, UInt<1>("h00"), ic_tag_valid_out[1][72]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9836 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9837 = mux(_T_9836, UInt<1>("h00"), ic_tag_valid_out[1][73]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9838 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9839 = mux(_T_9838, UInt<1>("h00"), ic_tag_valid_out[1][74]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9840 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9841 = mux(_T_9840, UInt<1>("h00"), ic_tag_valid_out[1][75]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9842 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9843 = mux(_T_9842, UInt<1>("h00"), ic_tag_valid_out[1][76]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9844 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9845 = mux(_T_9844, UInt<1>("h00"), ic_tag_valid_out[1][77]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9846 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9847 = mux(_T_9846, UInt<1>("h00"), ic_tag_valid_out[1][78]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9848 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9849 = mux(_T_9848, UInt<1>("h00"), ic_tag_valid_out[1][79]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9850 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9851 = mux(_T_9850, UInt<1>("h00"), ic_tag_valid_out[1][80]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9852 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9853 = mux(_T_9852, UInt<1>("h00"), ic_tag_valid_out[1][81]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9854 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9855 = mux(_T_9854, UInt<1>("h00"), ic_tag_valid_out[1][82]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9856 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9857 = mux(_T_9856, UInt<1>("h00"), ic_tag_valid_out[1][83]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9858 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9859 = mux(_T_9858, UInt<1>("h00"), ic_tag_valid_out[1][84]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9860 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9861 = mux(_T_9860, UInt<1>("h00"), ic_tag_valid_out[1][85]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9862 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9863 = mux(_T_9862, UInt<1>("h00"), ic_tag_valid_out[1][86]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9864 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9865 = mux(_T_9864, UInt<1>("h00"), ic_tag_valid_out[1][87]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9866 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9867 = mux(_T_9866, UInt<1>("h00"), ic_tag_valid_out[1][88]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9868 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9869 = mux(_T_9868, UInt<1>("h00"), ic_tag_valid_out[1][89]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9870 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9871 = mux(_T_9870, UInt<1>("h00"), ic_tag_valid_out[1][90]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9872 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9873 = mux(_T_9872, UInt<1>("h00"), ic_tag_valid_out[1][91]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9874 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9875 = mux(_T_9874, UInt<1>("h00"), ic_tag_valid_out[1][92]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9876 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9877 = mux(_T_9876, UInt<1>("h00"), ic_tag_valid_out[1][93]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9878 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9879 = mux(_T_9878, UInt<1>("h00"), ic_tag_valid_out[1][94]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9880 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9881 = mux(_T_9880, UInt<1>("h00"), ic_tag_valid_out[1][95]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9882 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9883 = mux(_T_9882, UInt<1>("h00"), ic_tag_valid_out[1][96]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9884 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9885 = mux(_T_9884, UInt<1>("h00"), ic_tag_valid_out[1][97]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9886 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9887 = mux(_T_9886, UInt<1>("h00"), ic_tag_valid_out[1][98]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9888 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9889 = mux(_T_9888, UInt<1>("h00"), ic_tag_valid_out[1][99]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9890 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9891 = mux(_T_9890, UInt<1>("h00"), ic_tag_valid_out[1][100]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9892 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9893 = mux(_T_9892, UInt<1>("h00"), ic_tag_valid_out[1][101]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9894 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9895 = mux(_T_9894, UInt<1>("h00"), ic_tag_valid_out[1][102]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9896 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9897 = mux(_T_9896, UInt<1>("h00"), ic_tag_valid_out[1][103]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9898 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9899 = mux(_T_9898, UInt<1>("h00"), ic_tag_valid_out[1][104]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9900 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9901 = mux(_T_9900, UInt<1>("h00"), ic_tag_valid_out[1][105]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9902 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9903 = mux(_T_9902, UInt<1>("h00"), ic_tag_valid_out[1][106]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9904 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9905 = mux(_T_9904, UInt<1>("h00"), ic_tag_valid_out[1][107]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9906 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9907 = mux(_T_9906, UInt<1>("h00"), ic_tag_valid_out[1][108]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9908 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9909 = mux(_T_9908, UInt<1>("h00"), ic_tag_valid_out[1][109]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9910 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9911 = mux(_T_9910, UInt<1>("h00"), ic_tag_valid_out[1][110]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9912 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9913 = mux(_T_9912, UInt<1>("h00"), ic_tag_valid_out[1][111]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9914 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9915 = mux(_T_9914, UInt<1>("h00"), ic_tag_valid_out[1][112]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9916 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9917 = mux(_T_9916, UInt<1>("h00"), ic_tag_valid_out[1][113]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9918 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9919 = mux(_T_9918, UInt<1>("h00"), ic_tag_valid_out[1][114]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9920 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9921 = mux(_T_9920, UInt<1>("h00"), ic_tag_valid_out[1][115]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9922 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9923 = mux(_T_9922, UInt<1>("h00"), ic_tag_valid_out[1][116]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9924 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9925 = mux(_T_9924, UInt<1>("h00"), ic_tag_valid_out[1][117]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9926 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9927 = mux(_T_9926, UInt<1>("h00"), ic_tag_valid_out[1][118]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9928 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9929 = mux(_T_9928, UInt<1>("h00"), ic_tag_valid_out[1][119]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9930 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9931 = mux(_T_9930, UInt<1>("h00"), ic_tag_valid_out[1][120]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9932 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9933 = mux(_T_9932, UInt<1>("h00"), ic_tag_valid_out[1][121]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9934 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9935 = mux(_T_9934, UInt<1>("h00"), ic_tag_valid_out[1][122]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9936 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9937 = mux(_T_9936, UInt<1>("h00"), ic_tag_valid_out[1][123]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9938 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9939 = mux(_T_9938, UInt<1>("h00"), ic_tag_valid_out[1][124]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9940 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9941 = mux(_T_9940, UInt<1>("h00"), ic_tag_valid_out[1][125]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9942 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9943 = mux(_T_9942, UInt<1>("h00"), ic_tag_valid_out[1][126]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9944 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 756:33] + node _T_9945 = mux(_T_9944, UInt<1>("h00"), ic_tag_valid_out[1][127]) @[el2_ifu_mem_ctl.scala 756:10] + node _T_9946 = or(_T_9691, _T_9693) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9947 = or(_T_9946, _T_9695) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9948 = or(_T_9947, _T_9697) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9949 = or(_T_9948, _T_9699) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9950 = or(_T_9949, _T_9701) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9951 = or(_T_9950, _T_9703) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9952 = or(_T_9951, _T_9705) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9953 = or(_T_9952, _T_9707) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9954 = or(_T_9953, _T_9709) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9955 = or(_T_9954, _T_9711) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9956 = or(_T_9955, _T_9713) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9957 = or(_T_9956, _T_9715) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9958 = or(_T_9957, _T_9717) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9959 = or(_T_9958, _T_9719) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9960 = or(_T_9959, _T_9721) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9961 = or(_T_9960, _T_9723) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9962 = or(_T_9961, _T_9725) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9963 = or(_T_9962, _T_9727) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9964 = or(_T_9963, _T_9729) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9965 = or(_T_9964, _T_9731) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9966 = or(_T_9965, _T_9733) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9967 = or(_T_9966, _T_9735) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9968 = or(_T_9967, _T_9737) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9969 = or(_T_9968, _T_9739) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9970 = or(_T_9969, _T_9741) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9971 = or(_T_9970, _T_9743) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9972 = or(_T_9971, _T_9745) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9973 = or(_T_9972, _T_9747) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9974 = or(_T_9973, _T_9749) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9975 = or(_T_9974, _T_9751) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9976 = or(_T_9975, _T_9753) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9977 = or(_T_9976, _T_9755) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9978 = or(_T_9977, _T_9757) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9979 = or(_T_9978, _T_9759) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9980 = or(_T_9979, _T_9761) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9981 = or(_T_9980, _T_9763) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9982 = or(_T_9981, _T_9765) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9983 = or(_T_9982, _T_9767) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9984 = or(_T_9983, _T_9769) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9985 = or(_T_9984, _T_9771) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9986 = or(_T_9985, _T_9773) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9987 = or(_T_9986, _T_9775) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9988 = or(_T_9987, _T_9777) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9989 = or(_T_9988, _T_9779) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9990 = or(_T_9989, _T_9781) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9991 = or(_T_9990, _T_9783) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9992 = or(_T_9991, _T_9785) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9993 = or(_T_9992, _T_9787) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9994 = or(_T_9993, _T_9789) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9995 = or(_T_9994, _T_9791) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9996 = or(_T_9995, _T_9793) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9997 = or(_T_9996, _T_9795) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9998 = or(_T_9997, _T_9797) @[el2_ifu_mem_ctl.scala 756:91] + node _T_9999 = or(_T_9998, _T_9799) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10000 = or(_T_9999, _T_9801) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10001 = or(_T_10000, _T_9803) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10002 = or(_T_10001, _T_9805) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10003 = or(_T_10002, _T_9807) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10004 = or(_T_10003, _T_9809) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10005 = or(_T_10004, _T_9811) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10006 = or(_T_10005, _T_9813) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10007 = or(_T_10006, _T_9815) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10008 = or(_T_10007, _T_9817) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10009 = or(_T_10008, _T_9819) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10010 = or(_T_10009, _T_9821) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10011 = or(_T_10010, _T_9823) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10012 = or(_T_10011, _T_9825) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10013 = or(_T_10012, _T_9827) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10014 = or(_T_10013, _T_9829) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10015 = or(_T_10014, _T_9831) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10016 = or(_T_10015, _T_9833) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10017 = or(_T_10016, _T_9835) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10018 = or(_T_10017, _T_9837) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10019 = or(_T_10018, _T_9839) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10020 = or(_T_10019, _T_9841) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10021 = or(_T_10020, _T_9843) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10022 = or(_T_10021, _T_9845) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10023 = or(_T_10022, _T_9847) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10024 = or(_T_10023, _T_9849) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10025 = or(_T_10024, _T_9851) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10026 = or(_T_10025, _T_9853) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10027 = or(_T_10026, _T_9855) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10028 = or(_T_10027, _T_9857) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10029 = or(_T_10028, _T_9859) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10030 = or(_T_10029, _T_9861) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10031 = or(_T_10030, _T_9863) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10032 = or(_T_10031, _T_9865) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10033 = or(_T_10032, _T_9867) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10034 = or(_T_10033, _T_9869) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10035 = or(_T_10034, _T_9871) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10036 = or(_T_10035, _T_9873) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10037 = or(_T_10036, _T_9875) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10038 = or(_T_10037, _T_9877) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10039 = or(_T_10038, _T_9879) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10040 = or(_T_10039, _T_9881) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10041 = or(_T_10040, _T_9883) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10042 = or(_T_10041, _T_9885) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10043 = or(_T_10042, _T_9887) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10044 = or(_T_10043, _T_9889) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10045 = or(_T_10044, _T_9891) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10046 = or(_T_10045, _T_9893) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10047 = or(_T_10046, _T_9895) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10048 = or(_T_10047, _T_9897) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10049 = or(_T_10048, _T_9899) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10050 = or(_T_10049, _T_9901) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10051 = or(_T_10050, _T_9903) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10052 = or(_T_10051, _T_9905) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10053 = or(_T_10052, _T_9907) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10054 = or(_T_10053, _T_9909) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10055 = or(_T_10054, _T_9911) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10056 = or(_T_10055, _T_9913) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10057 = or(_T_10056, _T_9915) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10058 = or(_T_10057, _T_9917) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10059 = or(_T_10058, _T_9919) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10060 = or(_T_10059, _T_9921) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10061 = or(_T_10060, _T_9923) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10062 = or(_T_10061, _T_9925) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10063 = or(_T_10062, _T_9927) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10064 = or(_T_10063, _T_9929) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10065 = or(_T_10064, _T_9931) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10066 = or(_T_10065, _T_9933) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10067 = or(_T_10066, _T_9935) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10068 = or(_T_10067, _T_9937) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10069 = or(_T_10068, _T_9939) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10070 = or(_T_10069, _T_9941) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10071 = or(_T_10070, _T_9943) @[el2_ifu_mem_ctl.scala 756:91] + node _T_10072 = or(_T_10071, _T_9945) @[el2_ifu_mem_ctl.scala 756:91] node ic_tag_valid_unq = cat(_T_10072, _T_9689) @[Cat.scala 29:58] wire way_status_hit_new : UInt<1> way_status_hit_new <= UInt<1>("h00") - node _T_10073 = eq(way_status_mb_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 779:33] - node _T_10074 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 779:63] - node _T_10075 = and(_T_10073, _T_10074) @[el2_ifu_mem_ctl.scala 779:51] - node _T_10076 = bits(tagv_mb_ff, 1, 1) @[el2_ifu_mem_ctl.scala 779:79] - node _T_10077 = and(_T_10075, _T_10076) @[el2_ifu_mem_ctl.scala 779:67] - node _T_10078 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 779:97] - node _T_10079 = eq(_T_10078, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 779:86] - node _T_10080 = or(_T_10077, _T_10079) @[el2_ifu_mem_ctl.scala 779:84] - replace_way_mb_any[0] <= _T_10080 @[el2_ifu_mem_ctl.scala 779:29] - node _T_10081 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 780:62] - node _T_10082 = and(way_status_mb_ff, _T_10081) @[el2_ifu_mem_ctl.scala 780:50] - node _T_10083 = bits(tagv_mb_ff, 1, 1) @[el2_ifu_mem_ctl.scala 780:78] - node _T_10084 = and(_T_10082, _T_10083) @[el2_ifu_mem_ctl.scala 780:66] - node _T_10085 = bits(tagv_mb_ff, 1, 1) @[el2_ifu_mem_ctl.scala 780:96] - node _T_10086 = eq(_T_10085, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 780:85] - node _T_10087 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 780:112] - node _T_10088 = and(_T_10086, _T_10087) @[el2_ifu_mem_ctl.scala 780:100] - node _T_10089 = or(_T_10084, _T_10088) @[el2_ifu_mem_ctl.scala 780:83] - replace_way_mb_any[1] <= _T_10089 @[el2_ifu_mem_ctl.scala 780:29] - node _T_10090 = bits(io.ic_rd_hit, 0, 0) @[el2_ifu_mem_ctl.scala 781:41] - way_status_hit_new <= _T_10090 @[el2_ifu_mem_ctl.scala 781:26] - way_status_rep_new <= replace_way_mb_any[0] @[el2_ifu_mem_ctl.scala 782:26] - node _T_10091 = and(bus_ifu_wr_en_ff_q, last_beat) @[el2_ifu_mem_ctl.scala 784:47] - node _T_10092 = bits(_T_10091, 0, 0) @[el2_ifu_mem_ctl.scala 784:60] - node _T_10093 = mux(_T_10092, way_status_rep_new, way_status_hit_new) @[el2_ifu_mem_ctl.scala 784:26] - way_status_new <= _T_10093 @[el2_ifu_mem_ctl.scala 784:20] - node _T_10094 = and(bus_ifu_wr_en_ff_q, last_beat) @[el2_ifu_mem_ctl.scala 785:45] - node _T_10095 = or(_T_10094, ic_act_hit_f) @[el2_ifu_mem_ctl.scala 785:58] - way_status_wr_en <= _T_10095 @[el2_ifu_mem_ctl.scala 785:22] - node _T_10096 = and(bus_ifu_wr_en_ff_q, replace_way_mb_any[0]) @[el2_ifu_mem_ctl.scala 786:74] - node bus_wren_0 = and(_T_10096, miss_pending) @[el2_ifu_mem_ctl.scala 786:98] - node _T_10097 = and(bus_ifu_wr_en_ff_q, replace_way_mb_any[1]) @[el2_ifu_mem_ctl.scala 786:74] - node bus_wren_1 = and(_T_10097, miss_pending) @[el2_ifu_mem_ctl.scala 786:98] - node _T_10098 = and(bus_ifu_wr_en_ff_wo_err, replace_way_mb_any[0]) @[el2_ifu_mem_ctl.scala 788:84] - node _T_10099 = and(_T_10098, miss_pending) @[el2_ifu_mem_ctl.scala 788:108] - node bus_wren_last_0 = and(_T_10099, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 788:123] - node _T_10100 = and(bus_ifu_wr_en_ff_wo_err, replace_way_mb_any[1]) @[el2_ifu_mem_ctl.scala 788:84] - node _T_10101 = and(_T_10100, miss_pending) @[el2_ifu_mem_ctl.scala 788:108] - node bus_wren_last_1 = and(_T_10101, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 788:123] - node wren_reset_miss_0 = and(replace_way_mb_any[0], reset_tag_valid_for_miss) @[el2_ifu_mem_ctl.scala 789:84] - node wren_reset_miss_1 = and(replace_way_mb_any[1], reset_tag_valid_for_miss) @[el2_ifu_mem_ctl.scala 789:84] - node _T_10102 = or(bus_wren_last_0, wren_reset_miss_0) @[el2_ifu_mem_ctl.scala 790:73] - node _T_10103 = or(bus_wren_last_1, wren_reset_miss_1) @[el2_ifu_mem_ctl.scala 790:73] + node _T_10073 = eq(way_status_mb_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 781:33] + node _T_10074 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 781:63] + node _T_10075 = and(_T_10073, _T_10074) @[el2_ifu_mem_ctl.scala 781:51] + node _T_10076 = bits(tagv_mb_ff, 1, 1) @[el2_ifu_mem_ctl.scala 781:79] + node _T_10077 = and(_T_10075, _T_10076) @[el2_ifu_mem_ctl.scala 781:67] + node _T_10078 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 781:97] + node _T_10079 = eq(_T_10078, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 781:86] + node _T_10080 = or(_T_10077, _T_10079) @[el2_ifu_mem_ctl.scala 781:84] + replace_way_mb_any[0] <= _T_10080 @[el2_ifu_mem_ctl.scala 781:29] + node _T_10081 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 782:62] + node _T_10082 = and(way_status_mb_ff, _T_10081) @[el2_ifu_mem_ctl.scala 782:50] + node _T_10083 = bits(tagv_mb_ff, 1, 1) @[el2_ifu_mem_ctl.scala 782:78] + node _T_10084 = and(_T_10082, _T_10083) @[el2_ifu_mem_ctl.scala 782:66] + node _T_10085 = bits(tagv_mb_ff, 1, 1) @[el2_ifu_mem_ctl.scala 782:96] + node _T_10086 = eq(_T_10085, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 782:85] + node _T_10087 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 782:112] + node _T_10088 = and(_T_10086, _T_10087) @[el2_ifu_mem_ctl.scala 782:100] + node _T_10089 = or(_T_10084, _T_10088) @[el2_ifu_mem_ctl.scala 782:83] + replace_way_mb_any[1] <= _T_10089 @[el2_ifu_mem_ctl.scala 782:29] + node _T_10090 = bits(io.ic_rd_hit, 0, 0) @[el2_ifu_mem_ctl.scala 783:41] + way_status_hit_new <= _T_10090 @[el2_ifu_mem_ctl.scala 783:26] + way_status_rep_new <= replace_way_mb_any[0] @[el2_ifu_mem_ctl.scala 784:26] + node _T_10091 = and(bus_ifu_wr_en_ff_q, last_beat) @[el2_ifu_mem_ctl.scala 786:47] + node _T_10092 = bits(_T_10091, 0, 0) @[el2_ifu_mem_ctl.scala 786:60] + node _T_10093 = mux(_T_10092, way_status_rep_new, way_status_hit_new) @[el2_ifu_mem_ctl.scala 786:26] + way_status_new <= _T_10093 @[el2_ifu_mem_ctl.scala 786:20] + node _T_10094 = and(bus_ifu_wr_en_ff_q, last_beat) @[el2_ifu_mem_ctl.scala 787:45] + node _T_10095 = or(_T_10094, ic_act_hit_f) @[el2_ifu_mem_ctl.scala 787:58] + way_status_wr_en <= _T_10095 @[el2_ifu_mem_ctl.scala 787:22] + node _T_10096 = and(bus_ifu_wr_en_ff_q, replace_way_mb_any[0]) @[el2_ifu_mem_ctl.scala 788:74] + node bus_wren_0 = and(_T_10096, miss_pending) @[el2_ifu_mem_ctl.scala 788:98] + node _T_10097 = and(bus_ifu_wr_en_ff_q, replace_way_mb_any[1]) @[el2_ifu_mem_ctl.scala 788:74] + node bus_wren_1 = and(_T_10097, miss_pending) @[el2_ifu_mem_ctl.scala 788:98] + node _T_10098 = and(bus_ifu_wr_en_ff_wo_err, replace_way_mb_any[0]) @[el2_ifu_mem_ctl.scala 790:84] + node _T_10099 = and(_T_10098, miss_pending) @[el2_ifu_mem_ctl.scala 790:108] + node bus_wren_last_0 = and(_T_10099, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 790:123] + node _T_10100 = and(bus_ifu_wr_en_ff_wo_err, replace_way_mb_any[1]) @[el2_ifu_mem_ctl.scala 790:84] + node _T_10101 = and(_T_10100, miss_pending) @[el2_ifu_mem_ctl.scala 790:108] + node bus_wren_last_1 = and(_T_10101, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 790:123] + node wren_reset_miss_0 = and(replace_way_mb_any[0], reset_tag_valid_for_miss) @[el2_ifu_mem_ctl.scala 791:84] + node wren_reset_miss_1 = and(replace_way_mb_any[1], reset_tag_valid_for_miss) @[el2_ifu_mem_ctl.scala 791:84] + node _T_10102 = or(bus_wren_last_0, wren_reset_miss_0) @[el2_ifu_mem_ctl.scala 792:73] + node _T_10103 = or(bus_wren_last_1, wren_reset_miss_1) @[el2_ifu_mem_ctl.scala 792:73] node _T_10104 = cat(_T_10103, _T_10102) @[Cat.scala 29:58] - ifu_tag_wren <= _T_10104 @[el2_ifu_mem_ctl.scala 790:18] - node _T_10105 = eq(fetch_uncacheable_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 805:63] - node _T_10106 = and(_T_10105, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 805:85] + ifu_tag_wren <= _T_10104 @[el2_ifu_mem_ctl.scala 792:18] + node _T_10105 = eq(fetch_uncacheable_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 807:63] + node _T_10106 = and(_T_10105, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 807:85] node _T_10107 = bits(_T_10106, 0, 0) @[Bitwise.scala 72:15] node _T_10108 = mux(_T_10107, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_10109 = and(ic_tag_valid_unq, _T_10108) @[el2_ifu_mem_ctl.scala 805:39] - io.ic_tag_valid <= _T_10109 @[el2_ifu_mem_ctl.scala 805:19] + node _T_10109 = and(ic_tag_valid_unq, _T_10108) @[el2_ifu_mem_ctl.scala 807:39] + io.ic_tag_valid <= _T_10109 @[el2_ifu_mem_ctl.scala 807:19] wire ic_debug_rd_en_ff : UInt<1> ic_debug_rd_en_ff <= UInt<1>("h00") wire ic_debug_way_ff : UInt<2> ic_debug_way_ff <= UInt<1>("h00") node _T_10110 = bits(ic_debug_rd_en_ff, 0, 0) @[Bitwise.scala 72:15] node _T_10111 = mux(_T_10110, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_10112 = and(ic_debug_way_ff, _T_10111) @[el2_ifu_mem_ctl.scala 808:67] - node _T_10113 = and(ic_tag_valid_unq, _T_10112) @[el2_ifu_mem_ctl.scala 808:48] - node _T_10114 = orr(_T_10113) @[el2_ifu_mem_ctl.scala 808:115] - ic_debug_tag_val_rd_out <= _T_10114 @[el2_ifu_mem_ctl.scala 808:27] - reg _T_10115 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 810:57] - _T_10115 <= ic_act_miss_f @[el2_ifu_mem_ctl.scala 810:57] - io.ifu_pmu_ic_miss <= _T_10115 @[el2_ifu_mem_ctl.scala 810:22] - reg _T_10116 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 811:56] - _T_10116 <= ic_act_hit_f @[el2_ifu_mem_ctl.scala 811:56] - io.ifu_pmu_ic_hit <= _T_10116 @[el2_ifu_mem_ctl.scala 811:21] - reg _T_10117 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 812:59] - _T_10117 <= ifc_bus_acc_fault_f @[el2_ifu_mem_ctl.scala 812:59] - io.ifu_pmu_bus_error <= _T_10117 @[el2_ifu_mem_ctl.scala 812:24] - node _T_10118 = eq(ifu_bus_arready_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 813:80] - node _T_10119 = and(ifu_bus_arvalid_ff, _T_10118) @[el2_ifu_mem_ctl.scala 813:78] - node _T_10120 = and(_T_10119, miss_pending) @[el2_ifu_mem_ctl.scala 813:100] - reg _T_10121 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 813:58] - _T_10121 <= _T_10120 @[el2_ifu_mem_ctl.scala 813:58] - io.ifu_pmu_bus_busy <= _T_10121 @[el2_ifu_mem_ctl.scala 813:23] - reg _T_10122 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 814:58] - _T_10122 <= bus_cmd_sent @[el2_ifu_mem_ctl.scala 814:58] - io.ifu_pmu_bus_trxn <= _T_10122 @[el2_ifu_mem_ctl.scala 814:23] - io.ic_debug_addr <= io.dec_tlu_ic_diag_pkt.icache_dicawics @[el2_ifu_mem_ctl.scala 817:20] - node _T_10123 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 16, 16) @[el2_ifu_mem_ctl.scala 818:66] - io.ic_debug_tag_array <= _T_10123 @[el2_ifu_mem_ctl.scala 818:25] - io.ic_debug_rd_en <= io.dec_tlu_ic_diag_pkt.icache_rd_valid @[el2_ifu_mem_ctl.scala 819:21] - io.ic_debug_wr_en <= io.dec_tlu_ic_diag_pkt.icache_wr_valid @[el2_ifu_mem_ctl.scala 820:21] - node _T_10124 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 821:64] - node _T_10125 = eq(_T_10124, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 821:71] - node _T_10126 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 821:117] - node _T_10127 = eq(_T_10126, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 821:124] - node _T_10128 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 822:43] - node _T_10129 = eq(_T_10128, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 822:50] - node _T_10130 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 822:96] - node _T_10131 = eq(_T_10130, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 822:103] + node _T_10112 = and(ic_debug_way_ff, _T_10111) @[el2_ifu_mem_ctl.scala 810:67] + node _T_10113 = and(ic_tag_valid_unq, _T_10112) @[el2_ifu_mem_ctl.scala 810:48] + node _T_10114 = orr(_T_10113) @[el2_ifu_mem_ctl.scala 810:115] + ic_debug_tag_val_rd_out <= _T_10114 @[el2_ifu_mem_ctl.scala 810:27] + reg _T_10115 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 812:57] + _T_10115 <= ic_act_miss_f @[el2_ifu_mem_ctl.scala 812:57] + io.ifu_pmu_ic_miss <= _T_10115 @[el2_ifu_mem_ctl.scala 812:22] + reg _T_10116 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 813:56] + _T_10116 <= ic_act_hit_f @[el2_ifu_mem_ctl.scala 813:56] + io.ifu_pmu_ic_hit <= _T_10116 @[el2_ifu_mem_ctl.scala 813:21] + reg _T_10117 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 814:59] + _T_10117 <= ifc_bus_acc_fault_f @[el2_ifu_mem_ctl.scala 814:59] + io.ifu_pmu_bus_error <= _T_10117 @[el2_ifu_mem_ctl.scala 814:24] + node _T_10118 = eq(ifu_bus_arready_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 815:80] + node _T_10119 = and(ifu_bus_arvalid_ff, _T_10118) @[el2_ifu_mem_ctl.scala 815:78] + node _T_10120 = and(_T_10119, miss_pending) @[el2_ifu_mem_ctl.scala 815:100] + reg _T_10121 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 815:58] + _T_10121 <= _T_10120 @[el2_ifu_mem_ctl.scala 815:58] + io.ifu_pmu_bus_busy <= _T_10121 @[el2_ifu_mem_ctl.scala 815:23] + reg _T_10122 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 816:58] + _T_10122 <= bus_cmd_sent @[el2_ifu_mem_ctl.scala 816:58] + io.ifu_pmu_bus_trxn <= _T_10122 @[el2_ifu_mem_ctl.scala 816:23] + io.ic_debug_addr <= io.dec_tlu_ic_diag_pkt.icache_dicawics @[el2_ifu_mem_ctl.scala 819:20] + node _T_10123 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 16, 16) @[el2_ifu_mem_ctl.scala 820:66] + io.ic_debug_tag_array <= _T_10123 @[el2_ifu_mem_ctl.scala 820:25] + io.ic_debug_rd_en <= io.dec_tlu_ic_diag_pkt.icache_rd_valid @[el2_ifu_mem_ctl.scala 821:21] + io.ic_debug_wr_en <= io.dec_tlu_ic_diag_pkt.icache_wr_valid @[el2_ifu_mem_ctl.scala 822:21] + node _T_10124 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 823:64] + node _T_10125 = eq(_T_10124, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 823:71] + node _T_10126 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 823:117] + node _T_10127 = eq(_T_10126, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 823:124] + node _T_10128 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 824:43] + node _T_10129 = eq(_T_10128, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 824:50] + node _T_10130 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 824:96] + node _T_10131 = eq(_T_10130, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 824:103] node _T_10132 = cat(_T_10129, _T_10131) @[Cat.scala 29:58] node _T_10133 = cat(_T_10125, _T_10127) @[Cat.scala 29:58] node _T_10134 = cat(_T_10133, _T_10132) @[Cat.scala 29:58] - io.ic_debug_way <= _T_10134 @[el2_ifu_mem_ctl.scala 821:19] - node _T_10135 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 823:65] + io.ic_debug_way <= _T_10134 @[el2_ifu_mem_ctl.scala 823:19] + node _T_10135 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 825:65] node _T_10136 = bits(_T_10135, 0, 0) @[Bitwise.scala 72:15] node _T_10137 = mux(_T_10136, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_10138 = and(_T_10137, io.ic_debug_way) @[el2_ifu_mem_ctl.scala 823:90] - ic_debug_tag_wr_en <= _T_10138 @[el2_ifu_mem_ctl.scala 823:22] - node ic_debug_ict_array_sel_in = and(io.ic_debug_rd_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 824:53] - node _T_10139 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 825:72] + node _T_10138 = and(_T_10137, io.ic_debug_way) @[el2_ifu_mem_ctl.scala 825:90] + ic_debug_tag_wr_en <= _T_10138 @[el2_ifu_mem_ctl.scala 825:22] + node ic_debug_ict_array_sel_in = and(io.ic_debug_rd_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 826:53] + node _T_10139 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 827:72] reg _T_10140 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_10139 : @[Reg.scala 28:19] _T_10140 <= io.ic_debug_way @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_debug_way_ff <= _T_10140 @[el2_ifu_mem_ctl.scala 825:19] - node _T_10141 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 826:92] + ic_debug_way_ff <= _T_10140 @[el2_ifu_mem_ctl.scala 827:19] + node _T_10141 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 828:92] reg _T_10142 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_10141 : @[Reg.scala 28:19] _T_10142 <= ic_debug_ict_array_sel_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_debug_ict_array_sel_ff <= _T_10142 @[el2_ifu_mem_ctl.scala 826:29] - reg _T_10143 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 827:54] - _T_10143 <= io.ic_debug_rd_en @[el2_ifu_mem_ctl.scala 827:54] - ic_debug_rd_en_ff <= _T_10143 @[el2_ifu_mem_ctl.scala 827:21] - node _T_10144 = bits(ic_debug_rd_en_ff, 0, 0) @[el2_ifu_mem_ctl.scala 828:111] + ic_debug_ict_array_sel_ff <= _T_10142 @[el2_ifu_mem_ctl.scala 828:29] + reg _T_10143 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 829:54] + _T_10143 <= io.ic_debug_rd_en @[el2_ifu_mem_ctl.scala 829:54] + ic_debug_rd_en_ff <= _T_10143 @[el2_ifu_mem_ctl.scala 829:21] + node _T_10144 = bits(ic_debug_rd_en_ff, 0, 0) @[el2_ifu_mem_ctl.scala 830:111] reg _T_10145 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_10144 : @[Reg.scala 28:19] _T_10145 <= ic_debug_rd_en_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - io.ifu_ic_debug_rd_data_valid <= _T_10145 @[el2_ifu_mem_ctl.scala 828:33] + io.ifu_ic_debug_rd_data_valid <= _T_10145 @[el2_ifu_mem_ctl.scala 830:33] node _T_10146 = cat(UInt<1>("h00"), UInt<1>("h00")) @[Cat.scala 29:58] node _T_10147 = cat(UInt<1>("h00"), UInt<1>("h00")) @[Cat.scala 29:58] node _T_10148 = cat(_T_10147, _T_10146) @[Cat.scala 29:58] @@ -13402,62 +14041,62 @@ circuit el2_ifu_mem_ctl : node _T_10150 = cat(UInt<1>("h01"), UInt<1>("h01")) @[Cat.scala 29:58] node _T_10151 = cat(_T_10150, _T_10149) @[Cat.scala 29:58] node _T_10152 = cat(_T_10151, _T_10148) @[Cat.scala 29:58] - node _T_10153 = orr(_T_10152) @[el2_ifu_mem_ctl.scala 829:213] + node _T_10153 = orr(_T_10152) @[el2_ifu_mem_ctl.scala 831:213] node _T_10154 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10155 = or(_T_10154, UInt<31>("h07fffffff")) @[el2_ifu_mem_ctl.scala 830:62] - node _T_10156 = or(UInt<1>("h00"), UInt<31>("h07fffffff")) @[el2_ifu_mem_ctl.scala 830:110] - node _T_10157 = eq(_T_10155, _T_10156) @[el2_ifu_mem_ctl.scala 830:85] - node _T_10158 = and(UInt<1>("h01"), _T_10157) @[el2_ifu_mem_ctl.scala 830:27] - node _T_10159 = or(_T_10153, _T_10158) @[el2_ifu_mem_ctl.scala 829:216] + node _T_10155 = or(_T_10154, UInt<31>("h07fffffff")) @[el2_ifu_mem_ctl.scala 832:62] + node _T_10156 = or(UInt<1>("h00"), UInt<31>("h07fffffff")) @[el2_ifu_mem_ctl.scala 832:110] + node _T_10157 = eq(_T_10155, _T_10156) @[el2_ifu_mem_ctl.scala 832:85] + node _T_10158 = and(UInt<1>("h01"), _T_10157) @[el2_ifu_mem_ctl.scala 832:27] + node _T_10159 = or(_T_10153, _T_10158) @[el2_ifu_mem_ctl.scala 831:216] node _T_10160 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10161 = or(_T_10160, UInt<30>("h03fffffff")) @[el2_ifu_mem_ctl.scala 831:62] - node _T_10162 = or(UInt<32>("h0c0000000"), UInt<30>("h03fffffff")) @[el2_ifu_mem_ctl.scala 831:110] - node _T_10163 = eq(_T_10161, _T_10162) @[el2_ifu_mem_ctl.scala 831:85] - node _T_10164 = and(UInt<1>("h01"), _T_10163) @[el2_ifu_mem_ctl.scala 831:27] - node _T_10165 = or(_T_10159, _T_10164) @[el2_ifu_mem_ctl.scala 830:134] + node _T_10161 = or(_T_10160, UInt<30>("h03fffffff")) @[el2_ifu_mem_ctl.scala 833:62] + node _T_10162 = or(UInt<32>("h0c0000000"), UInt<30>("h03fffffff")) @[el2_ifu_mem_ctl.scala 833:110] + node _T_10163 = eq(_T_10161, _T_10162) @[el2_ifu_mem_ctl.scala 833:85] + node _T_10164 = and(UInt<1>("h01"), _T_10163) @[el2_ifu_mem_ctl.scala 833:27] + node _T_10165 = or(_T_10159, _T_10164) @[el2_ifu_mem_ctl.scala 832:134] node _T_10166 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10167 = or(_T_10166, UInt<29>("h01fffffff")) @[el2_ifu_mem_ctl.scala 832:62] - node _T_10168 = or(UInt<32>("h0a0000000"), UInt<29>("h01fffffff")) @[el2_ifu_mem_ctl.scala 832:110] - node _T_10169 = eq(_T_10167, _T_10168) @[el2_ifu_mem_ctl.scala 832:85] - node _T_10170 = and(UInt<1>("h01"), _T_10169) @[el2_ifu_mem_ctl.scala 832:27] - node _T_10171 = or(_T_10165, _T_10170) @[el2_ifu_mem_ctl.scala 831:134] + node _T_10167 = or(_T_10166, UInt<29>("h01fffffff")) @[el2_ifu_mem_ctl.scala 834:62] + node _T_10168 = or(UInt<32>("h0a0000000"), UInt<29>("h01fffffff")) @[el2_ifu_mem_ctl.scala 834:110] + node _T_10169 = eq(_T_10167, _T_10168) @[el2_ifu_mem_ctl.scala 834:85] + node _T_10170 = and(UInt<1>("h01"), _T_10169) @[el2_ifu_mem_ctl.scala 834:27] + node _T_10171 = or(_T_10165, _T_10170) @[el2_ifu_mem_ctl.scala 833:134] node _T_10172 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10173 = or(_T_10172, UInt<28>("h0fffffff")) @[el2_ifu_mem_ctl.scala 833:62] - node _T_10174 = or(UInt<32>("h080000000"), UInt<28>("h0fffffff")) @[el2_ifu_mem_ctl.scala 833:110] - node _T_10175 = eq(_T_10173, _T_10174) @[el2_ifu_mem_ctl.scala 833:85] - node _T_10176 = and(UInt<1>("h01"), _T_10175) @[el2_ifu_mem_ctl.scala 833:27] - node _T_10177 = or(_T_10171, _T_10176) @[el2_ifu_mem_ctl.scala 832:134] + node _T_10173 = or(_T_10172, UInt<28>("h0fffffff")) @[el2_ifu_mem_ctl.scala 835:62] + node _T_10174 = or(UInt<32>("h080000000"), UInt<28>("h0fffffff")) @[el2_ifu_mem_ctl.scala 835:110] + node _T_10175 = eq(_T_10173, _T_10174) @[el2_ifu_mem_ctl.scala 835:85] + node _T_10176 = and(UInt<1>("h01"), _T_10175) @[el2_ifu_mem_ctl.scala 835:27] + node _T_10177 = or(_T_10171, _T_10176) @[el2_ifu_mem_ctl.scala 834:134] node _T_10178 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10179 = or(_T_10178, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 834:62] - node _T_10180 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 834:110] - node _T_10181 = eq(_T_10179, _T_10180) @[el2_ifu_mem_ctl.scala 834:85] - node _T_10182 = and(UInt<1>("h00"), _T_10181) @[el2_ifu_mem_ctl.scala 834:27] - node _T_10183 = or(_T_10177, _T_10182) @[el2_ifu_mem_ctl.scala 833:134] + node _T_10179 = or(_T_10178, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 836:62] + node _T_10180 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 836:110] + node _T_10181 = eq(_T_10179, _T_10180) @[el2_ifu_mem_ctl.scala 836:85] + node _T_10182 = and(UInt<1>("h00"), _T_10181) @[el2_ifu_mem_ctl.scala 836:27] + node _T_10183 = or(_T_10177, _T_10182) @[el2_ifu_mem_ctl.scala 835:134] node _T_10184 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10185 = or(_T_10184, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 835:62] - node _T_10186 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 835:110] - node _T_10187 = eq(_T_10185, _T_10186) @[el2_ifu_mem_ctl.scala 835:85] - node _T_10188 = and(UInt<1>("h00"), _T_10187) @[el2_ifu_mem_ctl.scala 835:27] - node _T_10189 = or(_T_10183, _T_10188) @[el2_ifu_mem_ctl.scala 834:134] + node _T_10185 = or(_T_10184, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 837:62] + node _T_10186 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 837:110] + node _T_10187 = eq(_T_10185, _T_10186) @[el2_ifu_mem_ctl.scala 837:85] + node _T_10188 = and(UInt<1>("h00"), _T_10187) @[el2_ifu_mem_ctl.scala 837:27] + node _T_10189 = or(_T_10183, _T_10188) @[el2_ifu_mem_ctl.scala 836:134] node _T_10190 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10191 = or(_T_10190, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 836:62] - node _T_10192 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 836:110] - node _T_10193 = eq(_T_10191, _T_10192) @[el2_ifu_mem_ctl.scala 836:85] - node _T_10194 = and(UInt<1>("h00"), _T_10193) @[el2_ifu_mem_ctl.scala 836:27] - node _T_10195 = or(_T_10189, _T_10194) @[el2_ifu_mem_ctl.scala 835:134] + node _T_10191 = or(_T_10190, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 838:62] + node _T_10192 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 838:110] + node _T_10193 = eq(_T_10191, _T_10192) @[el2_ifu_mem_ctl.scala 838:85] + node _T_10194 = and(UInt<1>("h00"), _T_10193) @[el2_ifu_mem_ctl.scala 838:27] + node _T_10195 = or(_T_10189, _T_10194) @[el2_ifu_mem_ctl.scala 837:134] node _T_10196 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10197 = or(_T_10196, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 837:62] - node _T_10198 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 837:110] - node _T_10199 = eq(_T_10197, _T_10198) @[el2_ifu_mem_ctl.scala 837:85] - node _T_10200 = and(UInt<1>("h00"), _T_10199) @[el2_ifu_mem_ctl.scala 837:27] - node ifc_region_acc_okay = or(_T_10195, _T_10200) @[el2_ifu_mem_ctl.scala 836:134] - node _T_10201 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 838:40] - node _T_10202 = eq(ifc_region_acc_okay, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 838:65] - node _T_10203 = and(_T_10201, _T_10202) @[el2_ifu_mem_ctl.scala 838:63] - node ifc_region_acc_fault_memory_bf = and(_T_10203, io.ifc_fetch_req_bf) @[el2_ifu_mem_ctl.scala 838:86] - node _T_10204 = or(io.ifc_region_acc_fault_bf, ifc_region_acc_fault_memory_bf) @[el2_ifu_mem_ctl.scala 839:63] - ifc_region_acc_fault_final_bf <= _T_10204 @[el2_ifu_mem_ctl.scala 839:33] - reg _T_10205 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 840:66] - _T_10205 <= ifc_region_acc_fault_memory_bf @[el2_ifu_mem_ctl.scala 840:66] - ifc_region_acc_fault_memory_f <= _T_10205 @[el2_ifu_mem_ctl.scala 840:33] + node _T_10197 = or(_T_10196, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 839:62] + node _T_10198 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 839:110] + node _T_10199 = eq(_T_10197, _T_10198) @[el2_ifu_mem_ctl.scala 839:85] + node _T_10200 = and(UInt<1>("h00"), _T_10199) @[el2_ifu_mem_ctl.scala 839:27] + node ifc_region_acc_okay = or(_T_10195, _T_10200) @[el2_ifu_mem_ctl.scala 838:134] + node _T_10201 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 840:40] + node _T_10202 = eq(ifc_region_acc_okay, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 840:65] + node _T_10203 = and(_T_10201, _T_10202) @[el2_ifu_mem_ctl.scala 840:63] + node ifc_region_acc_fault_memory_bf = and(_T_10203, io.ifc_fetch_req_bf) @[el2_ifu_mem_ctl.scala 840:86] + node _T_10204 = or(io.ifc_region_acc_fault_bf, ifc_region_acc_fault_memory_bf) @[el2_ifu_mem_ctl.scala 841:63] + ifc_region_acc_fault_final_bf <= _T_10204 @[el2_ifu_mem_ctl.scala 841:33] + reg _T_10205 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 842:66] + _T_10205 <= ifc_region_acc_fault_memory_bf @[el2_ifu_mem_ctl.scala 842:66] + ifc_region_acc_fault_memory_f <= _T_10205 @[el2_ifu_mem_ctl.scala 842:33] diff --git a/el2_ifu_mem_ctl.v b/el2_ifu_mem_ctl.v index 06a1071b..ed909e6f 100644 --- a/el2_ifu_mem_ctl.v +++ b/el2_ifu_mem_ctl.v @@ -1,3 +1,109 @@ +module rvecc_encode_64( + input [63:0] io_din, + output [6:0] io_ecc_out +); + wire w0_0 = io_din[0]; // @[el2_lib.scala 343:39] + wire w0_1 = io_din[1]; // @[el2_lib.scala 343:39] + wire w1_1 = io_din[2]; // @[el2_lib.scala 344:39] + wire w0_2 = io_din[3]; // @[el2_lib.scala 343:39] + wire w0_3 = io_din[4]; // @[el2_lib.scala 343:39] + wire w1_3 = io_din[5]; // @[el2_lib.scala 344:39] + wire w0_4 = io_din[6]; // @[el2_lib.scala 343:39] + wire w2_3 = io_din[7]; // @[el2_lib.scala 345:39] + wire w0_5 = io_din[8]; // @[el2_lib.scala 343:39] + wire w1_5 = io_din[9]; // @[el2_lib.scala 344:39] + wire w0_6 = io_din[10]; // @[el2_lib.scala 343:39] + wire w0_7 = io_din[11]; // @[el2_lib.scala 343:39] + wire w1_7 = io_din[12]; // @[el2_lib.scala 344:39] + wire w0_8 = io_din[13]; // @[el2_lib.scala 343:39] + wire w2_7 = io_din[14]; // @[el2_lib.scala 345:39] + wire w0_9 = io_din[15]; // @[el2_lib.scala 343:39] + wire w1_9 = io_din[16]; // @[el2_lib.scala 344:39] + wire w0_10 = io_din[17]; // @[el2_lib.scala 343:39] + wire w3_7 = io_din[18]; // @[el2_lib.scala 346:39] + wire w0_11 = io_din[19]; // @[el2_lib.scala 343:39] + wire w1_11 = io_din[20]; // @[el2_lib.scala 344:39] + wire w0_12 = io_din[21]; // @[el2_lib.scala 343:39] + wire w2_11 = io_din[22]; // @[el2_lib.scala 345:39] + wire w0_13 = io_din[23]; // @[el2_lib.scala 343:39] + wire w1_13 = io_din[24]; // @[el2_lib.scala 344:39] + wire w0_14 = io_din[25]; // @[el2_lib.scala 343:39] + wire w0_15 = io_din[26]; // @[el2_lib.scala 343:39] + wire w1_15 = io_din[27]; // @[el2_lib.scala 344:39] + wire w0_16 = io_din[28]; // @[el2_lib.scala 343:39] + wire w2_15 = io_din[29]; // @[el2_lib.scala 345:39] + wire w0_17 = io_din[30]; // @[el2_lib.scala 343:39] + wire w1_17 = io_din[31]; // @[el2_lib.scala 344:39] + wire w0_18 = io_din[32]; // @[el2_lib.scala 343:39] + wire w3_15 = io_din[33]; // @[el2_lib.scala 346:39] + wire w0_19 = io_din[34]; // @[el2_lib.scala 343:39] + wire w1_19 = io_din[35]; // @[el2_lib.scala 344:39] + wire w0_20 = io_din[36]; // @[el2_lib.scala 343:39] + wire w2_19 = io_din[37]; // @[el2_lib.scala 345:39] + wire w0_21 = io_din[38]; // @[el2_lib.scala 343:39] + wire w1_21 = io_din[39]; // @[el2_lib.scala 344:39] + wire w0_22 = io_din[40]; // @[el2_lib.scala 343:39] + wire w4_15 = io_din[41]; // @[el2_lib.scala 347:39] + wire w0_23 = io_din[42]; // @[el2_lib.scala 343:39] + wire w1_23 = io_din[43]; // @[el2_lib.scala 344:39] + wire w0_24 = io_din[44]; // @[el2_lib.scala 343:39] + wire w2_23 = io_din[45]; // @[el2_lib.scala 345:39] + wire w0_25 = io_din[46]; // @[el2_lib.scala 343:39] + wire w1_25 = io_din[47]; // @[el2_lib.scala 344:39] + wire w0_26 = io_din[48]; // @[el2_lib.scala 343:39] + wire w3_23 = io_din[49]; // @[el2_lib.scala 346:39] + wire w0_27 = io_din[50]; // @[el2_lib.scala 343:39] + wire w1_27 = io_din[51]; // @[el2_lib.scala 344:39] + wire w0_28 = io_din[52]; // @[el2_lib.scala 343:39] + wire w2_27 = io_din[53]; // @[el2_lib.scala 345:39] + wire w0_29 = io_din[54]; // @[el2_lib.scala 343:39] + wire w1_29 = io_din[55]; // @[el2_lib.scala 344:39] + wire w0_30 = io_din[56]; // @[el2_lib.scala 343:39] + wire w0_31 = io_din[57]; // @[el2_lib.scala 343:39] + wire w1_31 = io_din[58]; // @[el2_lib.scala 344:39] + wire w0_32 = io_din[59]; // @[el2_lib.scala 343:39] + wire w2_31 = io_din[60]; // @[el2_lib.scala 345:39] + wire w0_33 = io_din[61]; // @[el2_lib.scala 343:39] + wire w1_33 = io_din[62]; // @[el2_lib.scala 344:39] + wire w0_34 = io_din[63]; // @[el2_lib.scala 343:39] + wire [6:0] _T_210 = {w0_34,w1_33,w0_33,w2_31,w0_32,w1_31,w0_31}; // @[el2_lib.scala 351:27] + wire _T_211 = ^_T_210; // @[el2_lib.scala 351:34] + wire [6:0] _T_217 = {w0_18,w1_17,w0_17,w2_15,w0_16,w1_15,w0_15}; // @[el2_lib.scala 351:44] + wire [7:0] _T_224 = {w0_22,w1_21,w0_21,w2_19,w0_20,w1_19,w0_19,w3_15}; // @[el2_lib.scala 351:44] + wire [14:0] _T_225 = {w0_22,w1_21,w0_21,w2_19,w0_20,w1_19,w0_19,w3_15,_T_217}; // @[el2_lib.scala 351:44] + wire [7:0] _T_232 = {w0_26,w1_25,w0_25,w2_23,w0_24,w1_23,w0_23,w4_15}; // @[el2_lib.scala 351:44] + wire [30:0] _T_241 = {w0_30,w1_29,w0_29,w2_27,w0_28,w1_27,w0_27,w3_23,_T_232,_T_225}; // @[el2_lib.scala 351:44] + wire _T_242 = ^_T_241; // @[el2_lib.scala 351:51] + wire [6:0] _T_248 = {w0_10,w1_9,w0_9,w2_7,w0_8,w1_7,w0_7}; // @[el2_lib.scala 351:61] + wire [14:0] _T_256 = {w0_14,w1_13,w0_13,w2_11,w0_12,w1_11,w0_11,w3_7,_T_248}; // @[el2_lib.scala 351:61] + wire [30:0] _T_272 = {w0_30,w1_29,w0_29,w2_27,w0_28,w1_27,w0_27,w3_23,_T_232,_T_256}; // @[el2_lib.scala 351:61] + wire _T_273 = ^_T_272; // @[el2_lib.scala 351:68] + wire [6:0] _T_279 = {w0_6,w1_5,w0_5,w2_3,w0_4,w1_3,w0_3}; // @[el2_lib.scala 351:78] + wire [14:0] _T_287 = {w0_14,w1_13,w0_13,w2_11,w0_12,w1_11,w0_11,w3_7,_T_279}; // @[el2_lib.scala 351:78] + wire [30:0] _T_303 = {w0_30,w1_29,w0_29,w2_27,w0_28,w1_27,w0_27,w3_23,_T_224,_T_287}; // @[el2_lib.scala 351:78] + wire _T_304 = ^_T_303; // @[el2_lib.scala 351:85] + wire [7:0] _T_311 = {w2_7,w0_6,w1_5,w0_5,w2_3,w0_2,w1_1,w0_1}; // @[el2_lib.scala 351:95] + wire [16:0] _T_320 = {w0_17,w2_15,w0_14,w1_13,w0_13,w2_11,w0_10,w1_9,w0_9,_T_311}; // @[el2_lib.scala 351:95] + wire [8:0] _T_328 = {w1_25,w0_25,w2_23,w0_22,w1_21,w0_21,w2_19,w0_18,w1_17}; // @[el2_lib.scala 351:95] + wire [17:0] _T_337 = {w0_34,w1_33,w0_33,w2_31,w0_30,w1_29,w0_29,w2_27,w0_26,_T_328}; // @[el2_lib.scala 351:95] + wire [34:0] _T_338 = {_T_337,_T_320}; // @[el2_lib.scala 351:95] + wire _T_339 = ^_T_338; // @[el2_lib.scala 351:102] + wire [7:0] _T_346 = {w1_7,w0_6,w1_5,w0_4,w1_3,w0_2,w1_1,w0_0}; // @[el2_lib.scala 351:112] + wire [16:0] _T_355 = {w0_16,w1_15,w0_14,w1_13,w0_12,w1_11,w0_10,w1_9,w0_8,_T_346}; // @[el2_lib.scala 351:112] + wire [8:0] _T_363 = {w1_25,w0_24,w1_23,w0_22,w1_21,w0_20,w1_19,w0_18,w1_17}; // @[el2_lib.scala 351:112] + wire [17:0] _T_372 = {w0_34,w1_33,w0_32,w1_31,w0_30,w1_29,w0_28,w1_27,w0_26,_T_363}; // @[el2_lib.scala 351:112] + wire [34:0] _T_373 = {_T_372,_T_355}; // @[el2_lib.scala 351:112] + wire _T_374 = ^_T_373; // @[el2_lib.scala 351:119] + wire [7:0] _T_381 = {w0_7,w0_6,w0_5,w0_4,w0_3,w0_2,w0_1,w0_0}; // @[el2_lib.scala 351:129] + wire [16:0] _T_390 = {w0_16,w0_15,w0_14,w0_13,w0_12,w0_11,w0_10,w0_9,w0_8,_T_381}; // @[el2_lib.scala 351:129] + wire [8:0] _T_398 = {w0_25,w0_24,w0_23,w0_22,w0_21,w0_20,w0_19,w0_18,w0_17}; // @[el2_lib.scala 351:129] + wire [17:0] _T_407 = {w0_34,w0_33,w0_32,w0_31,w0_30,w0_29,w0_28,w0_27,w0_26,_T_398}; // @[el2_lib.scala 351:129] + wire [34:0] _T_408 = {_T_407,_T_390}; // @[el2_lib.scala 351:129] + wire _T_409 = ^_T_408; // @[el2_lib.scala 351:136] + wire [2:0] _T_411 = {_T_339,_T_374,_T_409}; // @[Cat.scala 29:58] + wire [3:0] _T_414 = {_T_211,_T_242,_T_273,_T_304}; // @[Cat.scala 29:58] + assign io_ecc_out = {_T_414,_T_411}; // @[el2_lib.scala 351:16] +endmodule module el2_ifu_mem_ctl( input clock, input reset, @@ -595,35 +701,37 @@ module el2_ifu_mem_ctl( reg [31:0] _RAND_467; reg [31:0] _RAND_468; `endif // RANDOMIZE_REG_INIT + wire [63:0] m1_io_din; // @[el2_ifu_mem_ctl.scala 343:18] + wire [6:0] m1_io_ecc_out; // @[el2_ifu_mem_ctl.scala 343:18] reg flush_final_f; // @[el2_ifu_mem_ctl.scala 185:30] reg ifc_fetch_req_f_raw; // @[el2_ifu_mem_ctl.scala 321:36] wire _T_317 = ~io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 322:44] wire ifc_fetch_req_f = ifc_fetch_req_f_raw & _T_317; // @[el2_ifu_mem_ctl.scala 322:42] reg [2:0] miss_state; // @[Reg.scala 27:20] wire miss_pending = miss_state != 3'h0; // @[el2_ifu_mem_ctl.scala 254:30] - reg scnd_miss_req_q; // @[el2_ifu_mem_ctl.scala 550:52] - wire scnd_miss_req = scnd_miss_req_q & _T_317; // @[el2_ifu_mem_ctl.scala 552:36] + reg scnd_miss_req_q; // @[el2_ifu_mem_ctl.scala 552:52] + wire scnd_miss_req = scnd_miss_req_q & _T_317; // @[el2_ifu_mem_ctl.scala 554:36] wire debug_c1_clken = io_ic_debug_rd_en | io_ic_debug_wr_en; // @[el2_ifu_mem_ctl.scala 187:42] wire [3:0] ic_fetch_val_int_f = {2'h0,io_ic_fetch_val_f}; // @[Cat.scala 29:58] reg [30:0] ifu_fetch_addr_int_f; // @[el2_ifu_mem_ctl.scala 309:34] - wire [4:0] _GEN_463 = {{1'd0}, ic_fetch_val_int_f}; // @[el2_ifu_mem_ctl.scala 667:53] - wire [4:0] ic_fetch_val_shift_right = _GEN_463 << ifu_fetch_addr_int_f[0]; // @[el2_ifu_mem_ctl.scala 667:53] - wire [1:0] _GEN_464 = {{1'd0}, _T_317}; // @[el2_ifu_mem_ctl.scala 670:91] - wire [1:0] _T_3083 = ic_fetch_val_shift_right[3:2] & _GEN_464; // @[el2_ifu_mem_ctl.scala 670:91] + wire [4:0] _GEN_463 = {{1'd0}, ic_fetch_val_int_f}; // @[el2_ifu_mem_ctl.scala 669:53] + wire [4:0] ic_fetch_val_shift_right = _GEN_463 << ifu_fetch_addr_int_f[0]; // @[el2_ifu_mem_ctl.scala 669:53] + wire [1:0] _GEN_464 = {{1'd0}, _T_317}; // @[el2_ifu_mem_ctl.scala 672:91] + wire [1:0] _T_3083 = ic_fetch_val_shift_right[3:2] & _GEN_464; // @[el2_ifu_mem_ctl.scala 672:91] reg ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 323:31] wire fetch_req_iccm_f = ifc_fetch_req_f & ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 276:46] - wire [1:0] _GEN_465 = {{1'd0}, fetch_req_iccm_f}; // @[el2_ifu_mem_ctl.scala 670:113] - wire [1:0] _T_3084 = _T_3083 & _GEN_465; // @[el2_ifu_mem_ctl.scala 670:113] - reg iccm_dma_rvalid_in; // @[el2_ifu_mem_ctl.scala 656:59] - wire [1:0] _GEN_466 = {{1'd0}, iccm_dma_rvalid_in}; // @[el2_ifu_mem_ctl.scala 670:130] - wire [1:0] _T_3085 = _T_3084 | _GEN_466; // @[el2_ifu_mem_ctl.scala 670:130] - wire _T_3086 = ~io_dec_tlu_core_ecc_disable; // @[el2_ifu_mem_ctl.scala 670:154] - wire [1:0] _GEN_467 = {{1'd0}, _T_3086}; // @[el2_ifu_mem_ctl.scala 670:152] - wire [1:0] _T_3087 = _T_3085 & _GEN_467; // @[el2_ifu_mem_ctl.scala 670:152] - wire [1:0] _T_3076 = ic_fetch_val_shift_right[1:0] & _GEN_464; // @[el2_ifu_mem_ctl.scala 670:91] - wire [1:0] _T_3077 = _T_3076 & _GEN_465; // @[el2_ifu_mem_ctl.scala 670:113] - wire [1:0] _T_3078 = _T_3077 | _GEN_466; // @[el2_ifu_mem_ctl.scala 670:130] - wire [1:0] _T_3080 = _T_3078 & _GEN_467; // @[el2_ifu_mem_ctl.scala 670:152] + wire [1:0] _GEN_465 = {{1'd0}, fetch_req_iccm_f}; // @[el2_ifu_mem_ctl.scala 672:113] + wire [1:0] _T_3084 = _T_3083 & _GEN_465; // @[el2_ifu_mem_ctl.scala 672:113] + reg iccm_dma_rvalid_in; // @[el2_ifu_mem_ctl.scala 658:59] + wire [1:0] _GEN_466 = {{1'd0}, iccm_dma_rvalid_in}; // @[el2_ifu_mem_ctl.scala 672:130] + wire [1:0] _T_3085 = _T_3084 | _GEN_466; // @[el2_ifu_mem_ctl.scala 672:130] + wire _T_3086 = ~io_dec_tlu_core_ecc_disable; // @[el2_ifu_mem_ctl.scala 672:154] + wire [1:0] _GEN_467 = {{1'd0}, _T_3086}; // @[el2_ifu_mem_ctl.scala 672:152] + wire [1:0] _T_3087 = _T_3085 & _GEN_467; // @[el2_ifu_mem_ctl.scala 672:152] + wire [1:0] _T_3076 = ic_fetch_val_shift_right[1:0] & _GEN_464; // @[el2_ifu_mem_ctl.scala 672:91] + wire [1:0] _T_3077 = _T_3076 & _GEN_465; // @[el2_ifu_mem_ctl.scala 672:113] + wire [1:0] _T_3078 = _T_3077 | _GEN_466; // @[el2_ifu_mem_ctl.scala 672:130] + wire [1:0] _T_3080 = _T_3078 & _GEN_467; // @[el2_ifu_mem_ctl.scala 672:152] wire [3:0] iccm_ecc_word_enable = {_T_3087,_T_3080}; // @[Cat.scala 29:58] wire _T_3187 = ^io_iccm_rd_data_ecc[31:0]; // @[el2_lib.scala 301:30] wire _T_3188 = ^io_iccm_rd_data_ecc[38:32]; // @[el2_lib.scala 301:44] @@ -687,28 +795,28 @@ module el2_ifu_mem_ctl( wire _T_3688 = _T_3686 & _T_3684[6]; // @[el2_lib.scala 302:53] wire [1:0] iccm_single_ecc_error = {_T_3303,_T_3688}; // @[Cat.scala 29:58] wire _T_3 = |iccm_single_ecc_error; // @[el2_ifu_mem_ctl.scala 190:52] - reg dma_iccm_req_f; // @[el2_ifu_mem_ctl.scala 634:51] + reg dma_iccm_req_f; // @[el2_ifu_mem_ctl.scala 636:51] wire _T_6 = io_iccm_rd_ecc_single_err | io_ic_error_start; // @[el2_ifu_mem_ctl.scala 191:57] reg [2:0] perr_state; // @[Reg.scala 27:20] wire _T_7 = perr_state == 3'h4; // @[el2_ifu_mem_ctl.scala 192:54] - wire iccm_correct_ecc = perr_state == 3'h3; // @[el2_ifu_mem_ctl.scala 479:34] + wire iccm_correct_ecc = perr_state == 3'h3; // @[el2_ifu_mem_ctl.scala 481:34] wire _T_8 = iccm_correct_ecc | _T_7; // @[el2_ifu_mem_ctl.scala 192:40] reg [1:0] err_stop_state; // @[Reg.scala 27:20] wire _T_9 = err_stop_state == 2'h3; // @[el2_ifu_mem_ctl.scala 192:90] wire _T_10 = _T_8 | _T_9; // @[el2_ifu_mem_ctl.scala 192:72] wire _T_2452 = 2'h0 == err_stop_state; // @[Conditional.scala 37:30] wire _T_2457 = 2'h1 == err_stop_state; // @[Conditional.scala 37:30] - wire _T_2477 = io_ifu_fetch_val == 2'h3; // @[el2_ifu_mem_ctl.scala 529:48] - wire two_byte_instr = io_ic_data_f[1:0] != 2'h3; // @[el2_ifu_mem_ctl.scala 395:42] - wire _T_2479 = io_ifu_fetch_val[0] & two_byte_instr; // @[el2_ifu_mem_ctl.scala 529:79] - wire _T_2480 = _T_2477 | _T_2479; // @[el2_ifu_mem_ctl.scala 529:56] - wire _T_2481 = io_exu_flush_final | io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 529:122] - wire _T_2482 = ~_T_2481; // @[el2_ifu_mem_ctl.scala 529:101] - wire _T_2483 = _T_2480 & _T_2482; // @[el2_ifu_mem_ctl.scala 529:99] + wire _T_2477 = io_ifu_fetch_val == 2'h3; // @[el2_ifu_mem_ctl.scala 531:48] + wire two_byte_instr = io_ic_data_f[1:0] != 2'h3; // @[el2_ifu_mem_ctl.scala 397:42] + wire _T_2479 = io_ifu_fetch_val[0] & two_byte_instr; // @[el2_ifu_mem_ctl.scala 531:79] + wire _T_2480 = _T_2477 | _T_2479; // @[el2_ifu_mem_ctl.scala 531:56] + wire _T_2481 = io_exu_flush_final | io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 531:122] + wire _T_2482 = ~_T_2481; // @[el2_ifu_mem_ctl.scala 531:101] + wire _T_2483 = _T_2480 & _T_2482; // @[el2_ifu_mem_ctl.scala 531:99] wire _T_2484 = 2'h2 == err_stop_state; // @[Conditional.scala 37:30] - wire _T_2498 = io_ifu_fetch_val[0] & _T_317; // @[el2_ifu_mem_ctl.scala 536:45] - wire _T_2499 = ~io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 536:69] - wire _T_2500 = _T_2498 & _T_2499; // @[el2_ifu_mem_ctl.scala 536:67] + wire _T_2498 = io_ifu_fetch_val[0] & _T_317; // @[el2_ifu_mem_ctl.scala 538:45] + wire _T_2499 = ~io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 538:69] + wire _T_2500 = _T_2498 & _T_2499; // @[el2_ifu_mem_ctl.scala 538:67] wire _T_2501 = 2'h3 == err_stop_state; // @[Conditional.scala 37:30] wire _GEN_54 = _T_2484 ? _T_2500 : _T_2501; // @[Conditional.scala 39:67] wire _GEN_58 = _T_2457 ? _T_2483 : _GEN_54; // @[Conditional.scala 39:67] @@ -718,7 +826,7 @@ module el2_ifu_mem_ctl( wire _T_14 = _T_13 & io_ifu_axi_rready; // @[el2_ifu_mem_ctl.scala 194:65] wire _T_227 = |io_ic_rd_hit; // @[el2_ifu_mem_ctl.scala 284:37] wire _T_228 = ~_T_227; // @[el2_ifu_mem_ctl.scala 284:23] - reg reset_all_tags; // @[el2_ifu_mem_ctl.scala 702:53] + reg reset_all_tags; // @[el2_ifu_mem_ctl.scala 704:53] wire _T_229 = _T_228 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 284:41] wire _T_207 = ~ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 275:48] wire _T_208 = ifc_fetch_req_f & _T_207; // @[el2_ifu_mem_ctl.scala 275:46] @@ -731,26 +839,26 @@ module el2_ifu_mem_ctl( wire _T_233 = _T_232 | scnd_miss_req; // @[el2_ifu_mem_ctl.scala 284:97] wire ic_act_miss_f = _T_233 & _T_209; // @[el2_ifu_mem_ctl.scala 284:114] reg ifu_bus_rvalid_unq_ff; // @[Reg.scala 27:20] - reg bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 549:61] - wire ifu_bus_rvalid_ff = ifu_bus_rvalid_unq_ff & bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 591:49] - wire bus_ifu_wr_en_ff = ifu_bus_rvalid_ff & miss_pending; // @[el2_ifu_mem_ctl.scala 618:41] + reg bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 551:61] + wire ifu_bus_rvalid_ff = ifu_bus_rvalid_unq_ff & bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 593:49] + wire bus_ifu_wr_en_ff = ifu_bus_rvalid_ff & miss_pending; // @[el2_ifu_mem_ctl.scala 620:41] reg uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 311:33] - reg [2:0] bus_data_beat_count; // @[el2_ifu_mem_ctl.scala 599:56] - wire _T_2603 = bus_data_beat_count == 3'h1; // @[el2_ifu_mem_ctl.scala 616:69] - wire _T_2604 = &bus_data_beat_count; // @[el2_ifu_mem_ctl.scala 616:101] - wire bus_last_data_beat = uncacheable_miss_ff ? _T_2603 : _T_2604; // @[el2_ifu_mem_ctl.scala 616:28] - wire _T_2550 = bus_ifu_wr_en_ff & bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 595:68] - wire _T_2551 = ic_act_miss_f | _T_2550; // @[el2_ifu_mem_ctl.scala 595:48] - wire bus_reset_data_beat_cnt = _T_2551 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 595:91] - wire _T_2547 = ~bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 594:50] - wire _T_2548 = bus_ifu_wr_en_ff & _T_2547; // @[el2_ifu_mem_ctl.scala 594:48] - wire _T_2549 = ~io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 594:72] - wire bus_inc_data_beat_cnt = _T_2548 & _T_2549; // @[el2_ifu_mem_ctl.scala 594:70] - wire [2:0] _T_2555 = bus_data_beat_count + 3'h1; // @[el2_ifu_mem_ctl.scala 598:115] + reg [2:0] bus_data_beat_count; // @[el2_ifu_mem_ctl.scala 601:56] + wire _T_2603 = bus_data_beat_count == 3'h1; // @[el2_ifu_mem_ctl.scala 618:69] + wire _T_2604 = &bus_data_beat_count; // @[el2_ifu_mem_ctl.scala 618:101] + wire bus_last_data_beat = uncacheable_miss_ff ? _T_2603 : _T_2604; // @[el2_ifu_mem_ctl.scala 618:28] + wire _T_2550 = bus_ifu_wr_en_ff & bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 597:68] + wire _T_2551 = ic_act_miss_f | _T_2550; // @[el2_ifu_mem_ctl.scala 597:48] + wire bus_reset_data_beat_cnt = _T_2551 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 597:91] + wire _T_2547 = ~bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 596:50] + wire _T_2548 = bus_ifu_wr_en_ff & _T_2547; // @[el2_ifu_mem_ctl.scala 596:48] + wire _T_2549 = ~io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 596:72] + wire bus_inc_data_beat_cnt = _T_2548 & _T_2549; // @[el2_ifu_mem_ctl.scala 596:70] + wire [2:0] _T_2555 = bus_data_beat_count + 3'h1; // @[el2_ifu_mem_ctl.scala 600:115] wire [2:0] _T_2557 = bus_inc_data_beat_cnt ? _T_2555 : 3'h0; // @[Mux.scala 27:72] - wire _T_2552 = ~bus_inc_data_beat_cnt; // @[el2_ifu_mem_ctl.scala 596:32] - wire _T_2553 = ~bus_reset_data_beat_cnt; // @[el2_ifu_mem_ctl.scala 596:57] - wire bus_hold_data_beat_cnt = _T_2552 & _T_2553; // @[el2_ifu_mem_ctl.scala 596:55] + wire _T_2552 = ~bus_inc_data_beat_cnt; // @[el2_ifu_mem_ctl.scala 598:32] + wire _T_2553 = ~bus_reset_data_beat_cnt; // @[el2_ifu_mem_ctl.scala 598:57] + wire bus_hold_data_beat_cnt = _T_2552 & _T_2553; // @[el2_ifu_mem_ctl.scala 598:55] wire [2:0] _T_2558 = bus_hold_data_beat_cnt ? bus_data_beat_count : 3'h0; // @[Mux.scala 27:72] wire [2:0] bus_new_data_beat_count = _T_2557 | _T_2558; // @[Mux.scala 27:72] wire _T_15 = &bus_new_data_beat_count; // @[el2_ifu_mem_ctl.scala 194:112] @@ -762,84 +870,84 @@ module el2_ifu_mem_ctl( wire _T_26 = ic_act_miss_f & _T_317; // @[el2_ifu_mem_ctl.scala 201:43] wire [2:0] _T_28 = _T_26 ? 3'h1 : 3'h2; // @[el2_ifu_mem_ctl.scala 201:27] wire _T_31 = 3'h1 == miss_state; // @[Conditional.scala 37:30] - wire [4:0] byp_fetch_index = ifu_fetch_addr_int_f[4:0]; // @[el2_ifu_mem_ctl.scala 431:45] - wire _T_2122 = byp_fetch_index[4:2] == 3'h0; // @[el2_ifu_mem_ctl.scala 452:127] - reg [7:0] ic_miss_buff_data_valid; // @[el2_ifu_mem_ctl.scala 408:60] + wire [4:0] byp_fetch_index = ifu_fetch_addr_int_f[4:0]; // @[el2_ifu_mem_ctl.scala 433:45] + wire _T_2122 = byp_fetch_index[4:2] == 3'h0; // @[el2_ifu_mem_ctl.scala 454:127] + reg [7:0] ic_miss_buff_data_valid; // @[el2_ifu_mem_ctl.scala 410:60] wire _T_2153 = _T_2122 & ic_miss_buff_data_valid[0]; // @[Mux.scala 27:72] - wire _T_2126 = byp_fetch_index[4:2] == 3'h1; // @[el2_ifu_mem_ctl.scala 452:127] + wire _T_2126 = byp_fetch_index[4:2] == 3'h1; // @[el2_ifu_mem_ctl.scala 454:127] wire _T_2154 = _T_2126 & ic_miss_buff_data_valid[1]; // @[Mux.scala 27:72] wire _T_2161 = _T_2153 | _T_2154; // @[Mux.scala 27:72] - wire _T_2130 = byp_fetch_index[4:2] == 3'h2; // @[el2_ifu_mem_ctl.scala 452:127] + wire _T_2130 = byp_fetch_index[4:2] == 3'h2; // @[el2_ifu_mem_ctl.scala 454:127] wire _T_2155 = _T_2130 & ic_miss_buff_data_valid[2]; // @[Mux.scala 27:72] wire _T_2162 = _T_2161 | _T_2155; // @[Mux.scala 27:72] - wire _T_2134 = byp_fetch_index[4:2] == 3'h3; // @[el2_ifu_mem_ctl.scala 452:127] + wire _T_2134 = byp_fetch_index[4:2] == 3'h3; // @[el2_ifu_mem_ctl.scala 454:127] wire _T_2156 = _T_2134 & ic_miss_buff_data_valid[3]; // @[Mux.scala 27:72] wire _T_2163 = _T_2162 | _T_2156; // @[Mux.scala 27:72] - wire _T_2138 = byp_fetch_index[4:2] == 3'h4; // @[el2_ifu_mem_ctl.scala 452:127] + wire _T_2138 = byp_fetch_index[4:2] == 3'h4; // @[el2_ifu_mem_ctl.scala 454:127] wire _T_2157 = _T_2138 & ic_miss_buff_data_valid[4]; // @[Mux.scala 27:72] wire _T_2164 = _T_2163 | _T_2157; // @[Mux.scala 27:72] - wire _T_2142 = byp_fetch_index[4:2] == 3'h5; // @[el2_ifu_mem_ctl.scala 452:127] + wire _T_2142 = byp_fetch_index[4:2] == 3'h5; // @[el2_ifu_mem_ctl.scala 454:127] wire _T_2158 = _T_2142 & ic_miss_buff_data_valid[5]; // @[Mux.scala 27:72] wire _T_2165 = _T_2164 | _T_2158; // @[Mux.scala 27:72] - wire _T_2146 = byp_fetch_index[4:2] == 3'h6; // @[el2_ifu_mem_ctl.scala 452:127] + wire _T_2146 = byp_fetch_index[4:2] == 3'h6; // @[el2_ifu_mem_ctl.scala 454:127] wire _T_2159 = _T_2146 & ic_miss_buff_data_valid[6]; // @[Mux.scala 27:72] wire _T_2166 = _T_2165 | _T_2159; // @[Mux.scala 27:72] - wire _T_2150 = byp_fetch_index[4:2] == 3'h7; // @[el2_ifu_mem_ctl.scala 452:127] + wire _T_2150 = byp_fetch_index[4:2] == 3'h7; // @[el2_ifu_mem_ctl.scala 454:127] wire _T_2160 = _T_2150 & ic_miss_buff_data_valid[7]; // @[Mux.scala 27:72] wire ic_miss_buff_data_valid_bypass_index = _T_2166 | _T_2160; // @[Mux.scala 27:72] - wire _T_2208 = ~byp_fetch_index[1]; // @[el2_ifu_mem_ctl.scala 454:69] - wire _T_2209 = ic_miss_buff_data_valid_bypass_index & _T_2208; // @[el2_ifu_mem_ctl.scala 454:67] - wire _T_2211 = ~byp_fetch_index[0]; // @[el2_ifu_mem_ctl.scala 454:91] - wire _T_2212 = _T_2209 & _T_2211; // @[el2_ifu_mem_ctl.scala 454:89] - wire _T_2217 = _T_2209 & byp_fetch_index[0]; // @[el2_ifu_mem_ctl.scala 455:65] - wire _T_2218 = _T_2212 | _T_2217; // @[el2_ifu_mem_ctl.scala 454:112] - wire _T_2220 = ic_miss_buff_data_valid_bypass_index & byp_fetch_index[1]; // @[el2_ifu_mem_ctl.scala 456:43] - wire _T_2223 = _T_2220 & _T_2211; // @[el2_ifu_mem_ctl.scala 456:65] - wire _T_2224 = _T_2218 | _T_2223; // @[el2_ifu_mem_ctl.scala 455:88] - wire _T_2228 = _T_2220 & byp_fetch_index[0]; // @[el2_ifu_mem_ctl.scala 457:65] - wire [2:0] byp_fetch_index_inc = ifu_fetch_addr_int_f[4:2] + 3'h1; // @[el2_ifu_mem_ctl.scala 434:75] - wire _T_2168 = byp_fetch_index_inc == 3'h0; // @[el2_ifu_mem_ctl.scala 453:110] + wire _T_2208 = ~byp_fetch_index[1]; // @[el2_ifu_mem_ctl.scala 456:69] + wire _T_2209 = ic_miss_buff_data_valid_bypass_index & _T_2208; // @[el2_ifu_mem_ctl.scala 456:67] + wire _T_2211 = ~byp_fetch_index[0]; // @[el2_ifu_mem_ctl.scala 456:91] + wire _T_2212 = _T_2209 & _T_2211; // @[el2_ifu_mem_ctl.scala 456:89] + wire _T_2217 = _T_2209 & byp_fetch_index[0]; // @[el2_ifu_mem_ctl.scala 457:65] + wire _T_2218 = _T_2212 | _T_2217; // @[el2_ifu_mem_ctl.scala 456:112] + wire _T_2220 = ic_miss_buff_data_valid_bypass_index & byp_fetch_index[1]; // @[el2_ifu_mem_ctl.scala 458:43] + wire _T_2223 = _T_2220 & _T_2211; // @[el2_ifu_mem_ctl.scala 458:65] + wire _T_2224 = _T_2218 | _T_2223; // @[el2_ifu_mem_ctl.scala 457:88] + wire _T_2228 = _T_2220 & byp_fetch_index[0]; // @[el2_ifu_mem_ctl.scala 459:65] + wire [2:0] byp_fetch_index_inc = ifu_fetch_addr_int_f[4:2] + 3'h1; // @[el2_ifu_mem_ctl.scala 436:75] + wire _T_2168 = byp_fetch_index_inc == 3'h0; // @[el2_ifu_mem_ctl.scala 455:110] wire _T_2192 = _T_2168 & ic_miss_buff_data_valid[0]; // @[Mux.scala 27:72] - wire _T_2171 = byp_fetch_index_inc == 3'h1; // @[el2_ifu_mem_ctl.scala 453:110] + wire _T_2171 = byp_fetch_index_inc == 3'h1; // @[el2_ifu_mem_ctl.scala 455:110] wire _T_2193 = _T_2171 & ic_miss_buff_data_valid[1]; // @[Mux.scala 27:72] wire _T_2200 = _T_2192 | _T_2193; // @[Mux.scala 27:72] - wire _T_2174 = byp_fetch_index_inc == 3'h2; // @[el2_ifu_mem_ctl.scala 453:110] + wire _T_2174 = byp_fetch_index_inc == 3'h2; // @[el2_ifu_mem_ctl.scala 455:110] wire _T_2194 = _T_2174 & ic_miss_buff_data_valid[2]; // @[Mux.scala 27:72] wire _T_2201 = _T_2200 | _T_2194; // @[Mux.scala 27:72] - wire _T_2177 = byp_fetch_index_inc == 3'h3; // @[el2_ifu_mem_ctl.scala 453:110] + wire _T_2177 = byp_fetch_index_inc == 3'h3; // @[el2_ifu_mem_ctl.scala 455:110] wire _T_2195 = _T_2177 & ic_miss_buff_data_valid[3]; // @[Mux.scala 27:72] wire _T_2202 = _T_2201 | _T_2195; // @[Mux.scala 27:72] - wire _T_2180 = byp_fetch_index_inc == 3'h4; // @[el2_ifu_mem_ctl.scala 453:110] + wire _T_2180 = byp_fetch_index_inc == 3'h4; // @[el2_ifu_mem_ctl.scala 455:110] wire _T_2196 = _T_2180 & ic_miss_buff_data_valid[4]; // @[Mux.scala 27:72] wire _T_2203 = _T_2202 | _T_2196; // @[Mux.scala 27:72] - wire _T_2183 = byp_fetch_index_inc == 3'h5; // @[el2_ifu_mem_ctl.scala 453:110] + wire _T_2183 = byp_fetch_index_inc == 3'h5; // @[el2_ifu_mem_ctl.scala 455:110] wire _T_2197 = _T_2183 & ic_miss_buff_data_valid[5]; // @[Mux.scala 27:72] wire _T_2204 = _T_2203 | _T_2197; // @[Mux.scala 27:72] - wire _T_2186 = byp_fetch_index_inc == 3'h6; // @[el2_ifu_mem_ctl.scala 453:110] + wire _T_2186 = byp_fetch_index_inc == 3'h6; // @[el2_ifu_mem_ctl.scala 455:110] wire _T_2198 = _T_2186 & ic_miss_buff_data_valid[6]; // @[Mux.scala 27:72] wire _T_2205 = _T_2204 | _T_2198; // @[Mux.scala 27:72] - wire _T_2189 = byp_fetch_index_inc == 3'h7; // @[el2_ifu_mem_ctl.scala 453:110] + wire _T_2189 = byp_fetch_index_inc == 3'h7; // @[el2_ifu_mem_ctl.scala 455:110] wire _T_2199 = _T_2189 & ic_miss_buff_data_valid[7]; // @[Mux.scala 27:72] wire ic_miss_buff_data_valid_inc_bypass_index = _T_2205 | _T_2199; // @[Mux.scala 27:72] - wire _T_2229 = _T_2228 & ic_miss_buff_data_valid_inc_bypass_index; // @[el2_ifu_mem_ctl.scala 457:87] - wire _T_2230 = _T_2224 | _T_2229; // @[el2_ifu_mem_ctl.scala 456:88] - wire _T_2234 = ic_miss_buff_data_valid_bypass_index & _T_2150; // @[el2_ifu_mem_ctl.scala 458:43] - wire miss_buff_hit_unq_f = _T_2230 | _T_2234; // @[el2_ifu_mem_ctl.scala 457:131] - wire _T_2250 = miss_state == 3'h4; // @[el2_ifu_mem_ctl.scala 463:55] - wire _T_2251 = miss_state == 3'h1; // @[el2_ifu_mem_ctl.scala 463:87] - wire _T_2252 = _T_2250 | _T_2251; // @[el2_ifu_mem_ctl.scala 463:74] - wire crit_byp_hit_f = miss_buff_hit_unq_f & _T_2252; // @[el2_ifu_mem_ctl.scala 463:41] - wire _T_2235 = miss_state == 3'h6; // @[el2_ifu_mem_ctl.scala 460:30] + wire _T_2229 = _T_2228 & ic_miss_buff_data_valid_inc_bypass_index; // @[el2_ifu_mem_ctl.scala 459:87] + wire _T_2230 = _T_2224 | _T_2229; // @[el2_ifu_mem_ctl.scala 458:88] + wire _T_2234 = ic_miss_buff_data_valid_bypass_index & _T_2150; // @[el2_ifu_mem_ctl.scala 460:43] + wire miss_buff_hit_unq_f = _T_2230 | _T_2234; // @[el2_ifu_mem_ctl.scala 459:131] + wire _T_2250 = miss_state == 3'h4; // @[el2_ifu_mem_ctl.scala 465:55] + wire _T_2251 = miss_state == 3'h1; // @[el2_ifu_mem_ctl.scala 465:87] + wire _T_2252 = _T_2250 | _T_2251; // @[el2_ifu_mem_ctl.scala 465:74] + wire crit_byp_hit_f = miss_buff_hit_unq_f & _T_2252; // @[el2_ifu_mem_ctl.scala 465:41] + wire _T_2235 = miss_state == 3'h6; // @[el2_ifu_mem_ctl.scala 462:30] reg [30:0] imb_ff; // @[el2_ifu_mem_ctl.scala 312:20] - wire miss_wrap_f = imb_ff[5] != ifu_fetch_addr_int_f[6]; // @[el2_ifu_mem_ctl.scala 451:51] - wire _T_2236 = ~miss_wrap_f; // @[el2_ifu_mem_ctl.scala 460:68] - wire _T_2237 = miss_buff_hit_unq_f & _T_2236; // @[el2_ifu_mem_ctl.scala 460:66] - wire stream_hit_f = _T_2235 & _T_2237; // @[el2_ifu_mem_ctl.scala 460:43] + wire miss_wrap_f = imb_ff[5] != ifu_fetch_addr_int_f[6]; // @[el2_ifu_mem_ctl.scala 453:51] + wire _T_2236 = ~miss_wrap_f; // @[el2_ifu_mem_ctl.scala 462:68] + wire _T_2237 = miss_buff_hit_unq_f & _T_2236; // @[el2_ifu_mem_ctl.scala 462:66] + wire stream_hit_f = _T_2235 & _T_2237; // @[el2_ifu_mem_ctl.scala 462:43] wire _T_215 = crit_byp_hit_f | stream_hit_f; // @[el2_ifu_mem_ctl.scala 279:35] wire _T_216 = _T_215 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 279:52] wire ic_byp_hit_f = _T_216 & miss_pending; // @[el2_ifu_mem_ctl.scala 279:73] - reg last_data_recieved_ff; // @[el2_ifu_mem_ctl.scala 601:58] - wire last_beat = bus_last_data_beat & bus_ifu_wr_en_ff; // @[el2_ifu_mem_ctl.scala 628:35] + reg last_data_recieved_ff; // @[el2_ifu_mem_ctl.scala 603:58] + wire last_beat = bus_last_data_beat & bus_ifu_wr_en_ff; // @[el2_ifu_mem_ctl.scala 630:35] wire _T_32 = bus_ifu_wr_en_ff & last_beat; // @[el2_ifu_mem_ctl.scala 205:113] wire _T_33 = last_data_recieved_ff | _T_32; // @[el2_ifu_mem_ctl.scala 205:93] wire _T_34 = ic_byp_hit_f & _T_33; // @[el2_ifu_mem_ctl.scala 205:67] @@ -877,9 +985,9 @@ module el2_ifu_mem_ctl( wire [2:0] _T_93 = _T_36 ? 3'h0 : _T_92; // @[el2_ifu_mem_ctl.scala 205:27] wire _T_102 = 3'h4 == miss_state; // @[Conditional.scala 37:30] wire _T_106 = 3'h6 == miss_state; // @[Conditional.scala 37:30] - wire _T_2247 = byp_fetch_index[4:1] == 4'h7; // @[el2_ifu_mem_ctl.scala 462:60] - wire _T_2248 = _T_2247 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 462:92] - wire stream_eol_f = _T_2248 & stream_hit_f; // @[el2_ifu_mem_ctl.scala 462:110] + wire _T_2247 = byp_fetch_index[4:1] == 4'h7; // @[el2_ifu_mem_ctl.scala 464:60] + wire _T_2248 = _T_2247 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 464:92] + wire stream_eol_f = _T_2248 & stream_hit_f; // @[el2_ifu_mem_ctl.scala 464:110] wire _T_108 = _T_81 | stream_eol_f; // @[el2_ifu_mem_ctl.scala 220:72] wire _T_111 = _T_108 & _T_56; // @[el2_ifu_mem_ctl.scala 220:87] wire _T_113 = _T_111 & _T_2549; // @[el2_ifu_mem_ctl.scala 220:122] @@ -974,793 +1082,793 @@ module el2_ifu_mem_ctl( wire _T_191 = _T_19 | ic_miss_under_miss_f; // @[el2_ifu_mem_ctl.scala 260:57] wire sel_hold_imb_scnd = _T_191 & _T_174; // @[el2_ifu_mem_ctl.scala 260:81] reg way_status_mb_scnd_ff; // @[el2_ifu_mem_ctl.scala 268:35] - reg [6:0] _T_5128; // @[el2_ifu_mem_ctl.scala 730:14] - wire [5:0] ifu_ic_rw_int_addr_ff = _T_5128[5:0]; // @[el2_ifu_mem_ctl.scala 729:27] - wire [6:0] _GEN_472 = {{1'd0}, ifu_ic_rw_int_addr_ff}; // @[el2_ifu_mem_ctl.scala 726:121] - wire _T_4993 = _GEN_472 == 7'h7f; // @[el2_ifu_mem_ctl.scala 726:121] + reg [6:0] _T_5128; // @[el2_ifu_mem_ctl.scala 732:14] + wire [5:0] ifu_ic_rw_int_addr_ff = _T_5128[5:0]; // @[el2_ifu_mem_ctl.scala 731:27] + wire [6:0] _GEN_472 = {{1'd0}, ifu_ic_rw_int_addr_ff}; // @[el2_ifu_mem_ctl.scala 728:121] + wire _T_4993 = _GEN_472 == 7'h7f; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4995 = _T_4993 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4484; // @[Reg.scala 27:20] - wire way_status_out_127 = _T_4484[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_473 = {{5'd0}, way_status_out_127}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4996 = _T_4995 & _GEN_473; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4989 = _GEN_472 == 7'h7e; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_127 = _T_4484[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_473 = {{5'd0}, way_status_out_127}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4996 = _T_4995 & _GEN_473; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4989 = _GEN_472 == 7'h7e; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4991 = _T_4989 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4480; // @[Reg.scala 27:20] - wire way_status_out_126 = _T_4480[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_475 = {{5'd0}, way_status_out_126}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4992 = _T_4991 & _GEN_475; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4985 = _GEN_472 == 7'h7d; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_126 = _T_4480[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_475 = {{5'd0}, way_status_out_126}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4992 = _T_4991 & _GEN_475; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4985 = _GEN_472 == 7'h7d; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4987 = _T_4985 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4476; // @[Reg.scala 27:20] - wire way_status_out_125 = _T_4476[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_477 = {{5'd0}, way_status_out_125}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4988 = _T_4987 & _GEN_477; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4981 = _GEN_472 == 7'h7c; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_125 = _T_4476[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_477 = {{5'd0}, way_status_out_125}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4988 = _T_4987 & _GEN_477; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4981 = _GEN_472 == 7'h7c; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4983 = _T_4981 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4472; // @[Reg.scala 27:20] - wire way_status_out_124 = _T_4472[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_479 = {{5'd0}, way_status_out_124}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4984 = _T_4983 & _GEN_479; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4977 = _GEN_472 == 7'h7b; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_124 = _T_4472[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_479 = {{5'd0}, way_status_out_124}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4984 = _T_4983 & _GEN_479; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4977 = _GEN_472 == 7'h7b; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4979 = _T_4977 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4468; // @[Reg.scala 27:20] - wire way_status_out_123 = _T_4468[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_481 = {{5'd0}, way_status_out_123}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4980 = _T_4979 & _GEN_481; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4973 = _GEN_472 == 7'h7a; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_123 = _T_4468[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_481 = {{5'd0}, way_status_out_123}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4980 = _T_4979 & _GEN_481; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4973 = _GEN_472 == 7'h7a; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4975 = _T_4973 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4464; // @[Reg.scala 27:20] - wire way_status_out_122 = _T_4464[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_483 = {{5'd0}, way_status_out_122}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4976 = _T_4975 & _GEN_483; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4969 = _GEN_472 == 7'h79; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_122 = _T_4464[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_483 = {{5'd0}, way_status_out_122}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4976 = _T_4975 & _GEN_483; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4969 = _GEN_472 == 7'h79; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4971 = _T_4969 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4460; // @[Reg.scala 27:20] - wire way_status_out_121 = _T_4460[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_485 = {{5'd0}, way_status_out_121}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4972 = _T_4971 & _GEN_485; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4965 = _GEN_472 == 7'h78; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_121 = _T_4460[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_485 = {{5'd0}, way_status_out_121}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4972 = _T_4971 & _GEN_485; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4965 = _GEN_472 == 7'h78; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4967 = _T_4965 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4456; // @[Reg.scala 27:20] - wire way_status_out_120 = _T_4456[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_487 = {{5'd0}, way_status_out_120}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4968 = _T_4967 & _GEN_487; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4961 = _GEN_472 == 7'h77; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_120 = _T_4456[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_487 = {{5'd0}, way_status_out_120}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4968 = _T_4967 & _GEN_487; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4961 = _GEN_472 == 7'h77; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4963 = _T_4961 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4452; // @[Reg.scala 27:20] - wire way_status_out_119 = _T_4452[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_489 = {{5'd0}, way_status_out_119}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4964 = _T_4963 & _GEN_489; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4957 = _GEN_472 == 7'h76; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_119 = _T_4452[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_489 = {{5'd0}, way_status_out_119}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4964 = _T_4963 & _GEN_489; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4957 = _GEN_472 == 7'h76; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4959 = _T_4957 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4448; // @[Reg.scala 27:20] - wire way_status_out_118 = _T_4448[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_491 = {{5'd0}, way_status_out_118}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4960 = _T_4959 & _GEN_491; // @[el2_ifu_mem_ctl.scala 726:130] + wire way_status_out_118 = _T_4448[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_491 = {{5'd0}, way_status_out_118}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4960 = _T_4959 & _GEN_491; // @[el2_ifu_mem_ctl.scala 728:130] wire [59:0] _T_5005 = {_T_4996,_T_4992,_T_4988,_T_4984,_T_4980,_T_4976,_T_4972,_T_4968,_T_4964,_T_4960}; // @[Cat.scala 29:58] - wire _T_4953 = _GEN_472 == 7'h75; // @[el2_ifu_mem_ctl.scala 726:121] + wire _T_4953 = _GEN_472 == 7'h75; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4955 = _T_4953 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4444; // @[Reg.scala 27:20] - wire way_status_out_117 = _T_4444[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_493 = {{5'd0}, way_status_out_117}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4956 = _T_4955 & _GEN_493; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4949 = _GEN_472 == 7'h74; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_117 = _T_4444[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_493 = {{5'd0}, way_status_out_117}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4956 = _T_4955 & _GEN_493; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4949 = _GEN_472 == 7'h74; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4951 = _T_4949 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4440; // @[Reg.scala 27:20] - wire way_status_out_116 = _T_4440[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_495 = {{5'd0}, way_status_out_116}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4952 = _T_4951 & _GEN_495; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4945 = _GEN_472 == 7'h73; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_116 = _T_4440[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_495 = {{5'd0}, way_status_out_116}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4952 = _T_4951 & _GEN_495; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4945 = _GEN_472 == 7'h73; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4947 = _T_4945 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4436; // @[Reg.scala 27:20] - wire way_status_out_115 = _T_4436[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_497 = {{5'd0}, way_status_out_115}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4948 = _T_4947 & _GEN_497; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4941 = _GEN_472 == 7'h72; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_115 = _T_4436[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_497 = {{5'd0}, way_status_out_115}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4948 = _T_4947 & _GEN_497; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4941 = _GEN_472 == 7'h72; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4943 = _T_4941 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4432; // @[Reg.scala 27:20] - wire way_status_out_114 = _T_4432[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_499 = {{5'd0}, way_status_out_114}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4944 = _T_4943 & _GEN_499; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4937 = _GEN_472 == 7'h71; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_114 = _T_4432[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_499 = {{5'd0}, way_status_out_114}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4944 = _T_4943 & _GEN_499; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4937 = _GEN_472 == 7'h71; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4939 = _T_4937 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4428; // @[Reg.scala 27:20] - wire way_status_out_113 = _T_4428[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_501 = {{5'd0}, way_status_out_113}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4940 = _T_4939 & _GEN_501; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4933 = _GEN_472 == 7'h70; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_113 = _T_4428[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_501 = {{5'd0}, way_status_out_113}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4940 = _T_4939 & _GEN_501; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4933 = _GEN_472 == 7'h70; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4935 = _T_4933 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4424; // @[Reg.scala 27:20] - wire way_status_out_112 = _T_4424[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_503 = {{5'd0}, way_status_out_112}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4936 = _T_4935 & _GEN_503; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4929 = _GEN_472 == 7'h6f; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_112 = _T_4424[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_503 = {{5'd0}, way_status_out_112}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4936 = _T_4935 & _GEN_503; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4929 = _GEN_472 == 7'h6f; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4931 = _T_4929 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4420; // @[Reg.scala 27:20] - wire way_status_out_111 = _T_4420[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_505 = {{5'd0}, way_status_out_111}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4932 = _T_4931 & _GEN_505; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4925 = _GEN_472 == 7'h6e; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_111 = _T_4420[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_505 = {{5'd0}, way_status_out_111}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4932 = _T_4931 & _GEN_505; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4925 = _GEN_472 == 7'h6e; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4927 = _T_4925 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4416; // @[Reg.scala 27:20] - wire way_status_out_110 = _T_4416[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_507 = {{5'd0}, way_status_out_110}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4928 = _T_4927 & _GEN_507; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4921 = _GEN_472 == 7'h6d; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_110 = _T_4416[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_507 = {{5'd0}, way_status_out_110}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4928 = _T_4927 & _GEN_507; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4921 = _GEN_472 == 7'h6d; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4923 = _T_4921 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4412; // @[Reg.scala 27:20] - wire way_status_out_109 = _T_4412[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_509 = {{5'd0}, way_status_out_109}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4924 = _T_4923 & _GEN_509; // @[el2_ifu_mem_ctl.scala 726:130] + wire way_status_out_109 = _T_4412[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_509 = {{5'd0}, way_status_out_109}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4924 = _T_4923 & _GEN_509; // @[el2_ifu_mem_ctl.scala 728:130] wire [113:0] _T_5014 = {_T_5005,_T_4956,_T_4952,_T_4948,_T_4944,_T_4940,_T_4936,_T_4932,_T_4928,_T_4924}; // @[Cat.scala 29:58] - wire _T_4917 = _GEN_472 == 7'h6c; // @[el2_ifu_mem_ctl.scala 726:121] + wire _T_4917 = _GEN_472 == 7'h6c; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4919 = _T_4917 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4408; // @[Reg.scala 27:20] - wire way_status_out_108 = _T_4408[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_511 = {{5'd0}, way_status_out_108}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4920 = _T_4919 & _GEN_511; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4913 = _GEN_472 == 7'h6b; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_108 = _T_4408[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_511 = {{5'd0}, way_status_out_108}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4920 = _T_4919 & _GEN_511; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4913 = _GEN_472 == 7'h6b; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4915 = _T_4913 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4404; // @[Reg.scala 27:20] - wire way_status_out_107 = _T_4404[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_513 = {{5'd0}, way_status_out_107}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4916 = _T_4915 & _GEN_513; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4909 = _GEN_472 == 7'h6a; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_107 = _T_4404[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_513 = {{5'd0}, way_status_out_107}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4916 = _T_4915 & _GEN_513; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4909 = _GEN_472 == 7'h6a; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4911 = _T_4909 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4400; // @[Reg.scala 27:20] - wire way_status_out_106 = _T_4400[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_515 = {{5'd0}, way_status_out_106}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4912 = _T_4911 & _GEN_515; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4905 = _GEN_472 == 7'h69; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_106 = _T_4400[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_515 = {{5'd0}, way_status_out_106}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4912 = _T_4911 & _GEN_515; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4905 = _GEN_472 == 7'h69; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4907 = _T_4905 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4396; // @[Reg.scala 27:20] - wire way_status_out_105 = _T_4396[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_517 = {{5'd0}, way_status_out_105}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4908 = _T_4907 & _GEN_517; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4901 = _GEN_472 == 7'h68; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_105 = _T_4396[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_517 = {{5'd0}, way_status_out_105}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4908 = _T_4907 & _GEN_517; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4901 = _GEN_472 == 7'h68; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4903 = _T_4901 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4392; // @[Reg.scala 27:20] - wire way_status_out_104 = _T_4392[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_519 = {{5'd0}, way_status_out_104}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4904 = _T_4903 & _GEN_519; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4897 = _GEN_472 == 7'h67; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_104 = _T_4392[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_519 = {{5'd0}, way_status_out_104}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4904 = _T_4903 & _GEN_519; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4897 = _GEN_472 == 7'h67; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4899 = _T_4897 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4388; // @[Reg.scala 27:20] - wire way_status_out_103 = _T_4388[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_521 = {{5'd0}, way_status_out_103}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4900 = _T_4899 & _GEN_521; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4893 = _GEN_472 == 7'h66; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_103 = _T_4388[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_521 = {{5'd0}, way_status_out_103}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4900 = _T_4899 & _GEN_521; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4893 = _GEN_472 == 7'h66; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4895 = _T_4893 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4384; // @[Reg.scala 27:20] - wire way_status_out_102 = _T_4384[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_523 = {{5'd0}, way_status_out_102}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4896 = _T_4895 & _GEN_523; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4889 = _GEN_472 == 7'h65; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_102 = _T_4384[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_523 = {{5'd0}, way_status_out_102}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4896 = _T_4895 & _GEN_523; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4889 = _GEN_472 == 7'h65; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4891 = _T_4889 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4380; // @[Reg.scala 27:20] - wire way_status_out_101 = _T_4380[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_525 = {{5'd0}, way_status_out_101}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4892 = _T_4891 & _GEN_525; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4885 = _GEN_472 == 7'h64; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_101 = _T_4380[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_525 = {{5'd0}, way_status_out_101}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4892 = _T_4891 & _GEN_525; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4885 = _GEN_472 == 7'h64; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4887 = _T_4885 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4376; // @[Reg.scala 27:20] - wire way_status_out_100 = _T_4376[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_527 = {{5'd0}, way_status_out_100}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4888 = _T_4887 & _GEN_527; // @[el2_ifu_mem_ctl.scala 726:130] + wire way_status_out_100 = _T_4376[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_527 = {{5'd0}, way_status_out_100}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4888 = _T_4887 & _GEN_527; // @[el2_ifu_mem_ctl.scala 728:130] wire [167:0] _T_5023 = {_T_5014,_T_4920,_T_4916,_T_4912,_T_4908,_T_4904,_T_4900,_T_4896,_T_4892,_T_4888}; // @[Cat.scala 29:58] - wire _T_4881 = _GEN_472 == 7'h63; // @[el2_ifu_mem_ctl.scala 726:121] + wire _T_4881 = _GEN_472 == 7'h63; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4883 = _T_4881 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4372; // @[Reg.scala 27:20] - wire way_status_out_99 = _T_4372[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_529 = {{5'd0}, way_status_out_99}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4884 = _T_4883 & _GEN_529; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4877 = _GEN_472 == 7'h62; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_99 = _T_4372[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_529 = {{5'd0}, way_status_out_99}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4884 = _T_4883 & _GEN_529; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4877 = _GEN_472 == 7'h62; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4879 = _T_4877 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4368; // @[Reg.scala 27:20] - wire way_status_out_98 = _T_4368[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_531 = {{5'd0}, way_status_out_98}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4880 = _T_4879 & _GEN_531; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4873 = _GEN_472 == 7'h61; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_98 = _T_4368[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_531 = {{5'd0}, way_status_out_98}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4880 = _T_4879 & _GEN_531; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4873 = _GEN_472 == 7'h61; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4875 = _T_4873 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4364; // @[Reg.scala 27:20] - wire way_status_out_97 = _T_4364[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_533 = {{5'd0}, way_status_out_97}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4876 = _T_4875 & _GEN_533; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4869 = _GEN_472 == 7'h60; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_97 = _T_4364[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_533 = {{5'd0}, way_status_out_97}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4876 = _T_4875 & _GEN_533; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4869 = _GEN_472 == 7'h60; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4871 = _T_4869 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4360; // @[Reg.scala 27:20] - wire way_status_out_96 = _T_4360[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_535 = {{5'd0}, way_status_out_96}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4872 = _T_4871 & _GEN_535; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4865 = _GEN_472 == 7'h5f; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_96 = _T_4360[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_535 = {{5'd0}, way_status_out_96}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4872 = _T_4871 & _GEN_535; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4865 = _GEN_472 == 7'h5f; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4867 = _T_4865 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4356; // @[Reg.scala 27:20] - wire way_status_out_95 = _T_4356[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_537 = {{5'd0}, way_status_out_95}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4868 = _T_4867 & _GEN_537; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4861 = _GEN_472 == 7'h5e; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_95 = _T_4356[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_537 = {{5'd0}, way_status_out_95}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4868 = _T_4867 & _GEN_537; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4861 = _GEN_472 == 7'h5e; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4863 = _T_4861 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4352; // @[Reg.scala 27:20] - wire way_status_out_94 = _T_4352[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_539 = {{5'd0}, way_status_out_94}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4864 = _T_4863 & _GEN_539; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4857 = _GEN_472 == 7'h5d; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_94 = _T_4352[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_539 = {{5'd0}, way_status_out_94}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4864 = _T_4863 & _GEN_539; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4857 = _GEN_472 == 7'h5d; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4859 = _T_4857 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4348; // @[Reg.scala 27:20] - wire way_status_out_93 = _T_4348[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_541 = {{5'd0}, way_status_out_93}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4860 = _T_4859 & _GEN_541; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4853 = _GEN_472 == 7'h5c; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_93 = _T_4348[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_541 = {{5'd0}, way_status_out_93}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4860 = _T_4859 & _GEN_541; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4853 = _GEN_472 == 7'h5c; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4855 = _T_4853 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4344; // @[Reg.scala 27:20] - wire way_status_out_92 = _T_4344[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_543 = {{5'd0}, way_status_out_92}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4856 = _T_4855 & _GEN_543; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4849 = _GEN_472 == 7'h5b; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_92 = _T_4344[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_543 = {{5'd0}, way_status_out_92}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4856 = _T_4855 & _GEN_543; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4849 = _GEN_472 == 7'h5b; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4851 = _T_4849 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4340; // @[Reg.scala 27:20] - wire way_status_out_91 = _T_4340[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_545 = {{5'd0}, way_status_out_91}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4852 = _T_4851 & _GEN_545; // @[el2_ifu_mem_ctl.scala 726:130] + wire way_status_out_91 = _T_4340[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_545 = {{5'd0}, way_status_out_91}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4852 = _T_4851 & _GEN_545; // @[el2_ifu_mem_ctl.scala 728:130] wire [221:0] _T_5032 = {_T_5023,_T_4884,_T_4880,_T_4876,_T_4872,_T_4868,_T_4864,_T_4860,_T_4856,_T_4852}; // @[Cat.scala 29:58] - wire _T_4845 = _GEN_472 == 7'h5a; // @[el2_ifu_mem_ctl.scala 726:121] + wire _T_4845 = _GEN_472 == 7'h5a; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4847 = _T_4845 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4336; // @[Reg.scala 27:20] - wire way_status_out_90 = _T_4336[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_547 = {{5'd0}, way_status_out_90}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4848 = _T_4847 & _GEN_547; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4841 = _GEN_472 == 7'h59; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_90 = _T_4336[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_547 = {{5'd0}, way_status_out_90}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4848 = _T_4847 & _GEN_547; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4841 = _GEN_472 == 7'h59; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4843 = _T_4841 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4332; // @[Reg.scala 27:20] - wire way_status_out_89 = _T_4332[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_549 = {{5'd0}, way_status_out_89}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4844 = _T_4843 & _GEN_549; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4837 = _GEN_472 == 7'h58; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_89 = _T_4332[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_549 = {{5'd0}, way_status_out_89}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4844 = _T_4843 & _GEN_549; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4837 = _GEN_472 == 7'h58; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4839 = _T_4837 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4328; // @[Reg.scala 27:20] - wire way_status_out_88 = _T_4328[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_551 = {{5'd0}, way_status_out_88}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4840 = _T_4839 & _GEN_551; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4833 = _GEN_472 == 7'h57; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_88 = _T_4328[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_551 = {{5'd0}, way_status_out_88}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4840 = _T_4839 & _GEN_551; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4833 = _GEN_472 == 7'h57; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4835 = _T_4833 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4324; // @[Reg.scala 27:20] - wire way_status_out_87 = _T_4324[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_553 = {{5'd0}, way_status_out_87}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4836 = _T_4835 & _GEN_553; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4829 = _GEN_472 == 7'h56; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_87 = _T_4324[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_553 = {{5'd0}, way_status_out_87}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4836 = _T_4835 & _GEN_553; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4829 = _GEN_472 == 7'h56; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4831 = _T_4829 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4320; // @[Reg.scala 27:20] - wire way_status_out_86 = _T_4320[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_555 = {{5'd0}, way_status_out_86}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4832 = _T_4831 & _GEN_555; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4825 = _GEN_472 == 7'h55; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_86 = _T_4320[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_555 = {{5'd0}, way_status_out_86}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4832 = _T_4831 & _GEN_555; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4825 = _GEN_472 == 7'h55; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4827 = _T_4825 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4316; // @[Reg.scala 27:20] - wire way_status_out_85 = _T_4316[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_557 = {{5'd0}, way_status_out_85}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4828 = _T_4827 & _GEN_557; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4821 = _GEN_472 == 7'h54; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_85 = _T_4316[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_557 = {{5'd0}, way_status_out_85}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4828 = _T_4827 & _GEN_557; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4821 = _GEN_472 == 7'h54; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4823 = _T_4821 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4312; // @[Reg.scala 27:20] - wire way_status_out_84 = _T_4312[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_559 = {{5'd0}, way_status_out_84}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4824 = _T_4823 & _GEN_559; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4817 = _GEN_472 == 7'h53; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_84 = _T_4312[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_559 = {{5'd0}, way_status_out_84}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4824 = _T_4823 & _GEN_559; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4817 = _GEN_472 == 7'h53; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4819 = _T_4817 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4308; // @[Reg.scala 27:20] - wire way_status_out_83 = _T_4308[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_561 = {{5'd0}, way_status_out_83}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4820 = _T_4819 & _GEN_561; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4813 = _GEN_472 == 7'h52; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_83 = _T_4308[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_561 = {{5'd0}, way_status_out_83}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4820 = _T_4819 & _GEN_561; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4813 = _GEN_472 == 7'h52; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4815 = _T_4813 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4304; // @[Reg.scala 27:20] - wire way_status_out_82 = _T_4304[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_563 = {{5'd0}, way_status_out_82}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4816 = _T_4815 & _GEN_563; // @[el2_ifu_mem_ctl.scala 726:130] + wire way_status_out_82 = _T_4304[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_563 = {{5'd0}, way_status_out_82}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4816 = _T_4815 & _GEN_563; // @[el2_ifu_mem_ctl.scala 728:130] wire [275:0] _T_5041 = {_T_5032,_T_4848,_T_4844,_T_4840,_T_4836,_T_4832,_T_4828,_T_4824,_T_4820,_T_4816}; // @[Cat.scala 29:58] - wire _T_4809 = _GEN_472 == 7'h51; // @[el2_ifu_mem_ctl.scala 726:121] + wire _T_4809 = _GEN_472 == 7'h51; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4811 = _T_4809 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4300; // @[Reg.scala 27:20] - wire way_status_out_81 = _T_4300[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_565 = {{5'd0}, way_status_out_81}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4812 = _T_4811 & _GEN_565; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4805 = _GEN_472 == 7'h50; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_81 = _T_4300[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_565 = {{5'd0}, way_status_out_81}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4812 = _T_4811 & _GEN_565; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4805 = _GEN_472 == 7'h50; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4807 = _T_4805 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4296; // @[Reg.scala 27:20] - wire way_status_out_80 = _T_4296[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_567 = {{5'd0}, way_status_out_80}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4808 = _T_4807 & _GEN_567; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4801 = _GEN_472 == 7'h4f; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_80 = _T_4296[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_567 = {{5'd0}, way_status_out_80}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4808 = _T_4807 & _GEN_567; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4801 = _GEN_472 == 7'h4f; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4803 = _T_4801 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4292; // @[Reg.scala 27:20] - wire way_status_out_79 = _T_4292[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_569 = {{5'd0}, way_status_out_79}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4804 = _T_4803 & _GEN_569; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4797 = _GEN_472 == 7'h4e; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_79 = _T_4292[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_569 = {{5'd0}, way_status_out_79}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4804 = _T_4803 & _GEN_569; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4797 = _GEN_472 == 7'h4e; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4799 = _T_4797 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4288; // @[Reg.scala 27:20] - wire way_status_out_78 = _T_4288[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_571 = {{5'd0}, way_status_out_78}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4800 = _T_4799 & _GEN_571; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4793 = _GEN_472 == 7'h4d; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_78 = _T_4288[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_571 = {{5'd0}, way_status_out_78}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4800 = _T_4799 & _GEN_571; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4793 = _GEN_472 == 7'h4d; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4795 = _T_4793 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4284; // @[Reg.scala 27:20] - wire way_status_out_77 = _T_4284[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_573 = {{5'd0}, way_status_out_77}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4796 = _T_4795 & _GEN_573; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4789 = _GEN_472 == 7'h4c; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_77 = _T_4284[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_573 = {{5'd0}, way_status_out_77}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4796 = _T_4795 & _GEN_573; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4789 = _GEN_472 == 7'h4c; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4791 = _T_4789 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4280; // @[Reg.scala 27:20] - wire way_status_out_76 = _T_4280[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_575 = {{5'd0}, way_status_out_76}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4792 = _T_4791 & _GEN_575; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4785 = _GEN_472 == 7'h4b; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_76 = _T_4280[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_575 = {{5'd0}, way_status_out_76}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4792 = _T_4791 & _GEN_575; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4785 = _GEN_472 == 7'h4b; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4787 = _T_4785 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4276; // @[Reg.scala 27:20] - wire way_status_out_75 = _T_4276[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_577 = {{5'd0}, way_status_out_75}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4788 = _T_4787 & _GEN_577; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4781 = _GEN_472 == 7'h4a; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_75 = _T_4276[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_577 = {{5'd0}, way_status_out_75}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4788 = _T_4787 & _GEN_577; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4781 = _GEN_472 == 7'h4a; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4783 = _T_4781 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4272; // @[Reg.scala 27:20] - wire way_status_out_74 = _T_4272[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_579 = {{5'd0}, way_status_out_74}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4784 = _T_4783 & _GEN_579; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4777 = _GEN_472 == 7'h49; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_74 = _T_4272[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_579 = {{5'd0}, way_status_out_74}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4784 = _T_4783 & _GEN_579; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4777 = _GEN_472 == 7'h49; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4779 = _T_4777 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4268; // @[Reg.scala 27:20] - wire way_status_out_73 = _T_4268[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_581 = {{5'd0}, way_status_out_73}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4780 = _T_4779 & _GEN_581; // @[el2_ifu_mem_ctl.scala 726:130] + wire way_status_out_73 = _T_4268[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_581 = {{5'd0}, way_status_out_73}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4780 = _T_4779 & _GEN_581; // @[el2_ifu_mem_ctl.scala 728:130] wire [329:0] _T_5050 = {_T_5041,_T_4812,_T_4808,_T_4804,_T_4800,_T_4796,_T_4792,_T_4788,_T_4784,_T_4780}; // @[Cat.scala 29:58] - wire _T_4773 = _GEN_472 == 7'h48; // @[el2_ifu_mem_ctl.scala 726:121] + wire _T_4773 = _GEN_472 == 7'h48; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4775 = _T_4773 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4264; // @[Reg.scala 27:20] - wire way_status_out_72 = _T_4264[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_583 = {{5'd0}, way_status_out_72}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4776 = _T_4775 & _GEN_583; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4769 = _GEN_472 == 7'h47; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_72 = _T_4264[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_583 = {{5'd0}, way_status_out_72}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4776 = _T_4775 & _GEN_583; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4769 = _GEN_472 == 7'h47; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4771 = _T_4769 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4260; // @[Reg.scala 27:20] - wire way_status_out_71 = _T_4260[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_585 = {{5'd0}, way_status_out_71}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4772 = _T_4771 & _GEN_585; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4765 = _GEN_472 == 7'h46; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_71 = _T_4260[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_585 = {{5'd0}, way_status_out_71}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4772 = _T_4771 & _GEN_585; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4765 = _GEN_472 == 7'h46; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4767 = _T_4765 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4256; // @[Reg.scala 27:20] - wire way_status_out_70 = _T_4256[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_587 = {{5'd0}, way_status_out_70}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4768 = _T_4767 & _GEN_587; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4761 = _GEN_472 == 7'h45; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_70 = _T_4256[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_587 = {{5'd0}, way_status_out_70}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4768 = _T_4767 & _GEN_587; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4761 = _GEN_472 == 7'h45; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4763 = _T_4761 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4252; // @[Reg.scala 27:20] - wire way_status_out_69 = _T_4252[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_589 = {{5'd0}, way_status_out_69}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4764 = _T_4763 & _GEN_589; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4757 = _GEN_472 == 7'h44; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_69 = _T_4252[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_589 = {{5'd0}, way_status_out_69}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4764 = _T_4763 & _GEN_589; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4757 = _GEN_472 == 7'h44; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4759 = _T_4757 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4248; // @[Reg.scala 27:20] - wire way_status_out_68 = _T_4248[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_591 = {{5'd0}, way_status_out_68}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4760 = _T_4759 & _GEN_591; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4753 = _GEN_472 == 7'h43; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_68 = _T_4248[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_591 = {{5'd0}, way_status_out_68}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4760 = _T_4759 & _GEN_591; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4753 = _GEN_472 == 7'h43; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4755 = _T_4753 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4244; // @[Reg.scala 27:20] - wire way_status_out_67 = _T_4244[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_593 = {{5'd0}, way_status_out_67}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4756 = _T_4755 & _GEN_593; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4749 = _GEN_472 == 7'h42; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_67 = _T_4244[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_593 = {{5'd0}, way_status_out_67}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4756 = _T_4755 & _GEN_593; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4749 = _GEN_472 == 7'h42; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4751 = _T_4749 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4240; // @[Reg.scala 27:20] - wire way_status_out_66 = _T_4240[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_595 = {{5'd0}, way_status_out_66}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4752 = _T_4751 & _GEN_595; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4745 = _GEN_472 == 7'h41; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_66 = _T_4240[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_595 = {{5'd0}, way_status_out_66}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4752 = _T_4751 & _GEN_595; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4745 = _GEN_472 == 7'h41; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4747 = _T_4745 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4236; // @[Reg.scala 27:20] - wire way_status_out_65 = _T_4236[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_597 = {{5'd0}, way_status_out_65}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4748 = _T_4747 & _GEN_597; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4741 = _GEN_472 == 7'h40; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_65 = _T_4236[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_597 = {{5'd0}, way_status_out_65}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4748 = _T_4747 & _GEN_597; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4741 = _GEN_472 == 7'h40; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4743 = _T_4741 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4232; // @[Reg.scala 27:20] - wire way_status_out_64 = _T_4232[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_599 = {{5'd0}, way_status_out_64}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4744 = _T_4743 & _GEN_599; // @[el2_ifu_mem_ctl.scala 726:130] + wire way_status_out_64 = _T_4232[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_599 = {{5'd0}, way_status_out_64}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4744 = _T_4743 & _GEN_599; // @[el2_ifu_mem_ctl.scala 728:130] wire [383:0] _T_5059 = {_T_5050,_T_4776,_T_4772,_T_4768,_T_4764,_T_4760,_T_4756,_T_4752,_T_4748,_T_4744}; // @[Cat.scala 29:58] - wire _T_4737 = ifu_ic_rw_int_addr_ff == 6'h3f; // @[el2_ifu_mem_ctl.scala 726:121] + wire _T_4737 = ifu_ic_rw_int_addr_ff == 6'h3f; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4739 = _T_4737 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4228; // @[Reg.scala 27:20] - wire way_status_out_63 = _T_4228[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_600 = {{5'd0}, way_status_out_63}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4740 = _T_4739 & _GEN_600; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4733 = ifu_ic_rw_int_addr_ff == 6'h3e; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_63 = _T_4228[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_600 = {{5'd0}, way_status_out_63}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4740 = _T_4739 & _GEN_600; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4733 = ifu_ic_rw_int_addr_ff == 6'h3e; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4735 = _T_4733 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4224; // @[Reg.scala 27:20] - wire way_status_out_62 = _T_4224[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_601 = {{5'd0}, way_status_out_62}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4736 = _T_4735 & _GEN_601; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4729 = ifu_ic_rw_int_addr_ff == 6'h3d; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_62 = _T_4224[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_601 = {{5'd0}, way_status_out_62}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4736 = _T_4735 & _GEN_601; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4729 = ifu_ic_rw_int_addr_ff == 6'h3d; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4731 = _T_4729 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4220; // @[Reg.scala 27:20] - wire way_status_out_61 = _T_4220[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_602 = {{5'd0}, way_status_out_61}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4732 = _T_4731 & _GEN_602; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4725 = ifu_ic_rw_int_addr_ff == 6'h3c; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_61 = _T_4220[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_602 = {{5'd0}, way_status_out_61}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4732 = _T_4731 & _GEN_602; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4725 = ifu_ic_rw_int_addr_ff == 6'h3c; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4727 = _T_4725 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4216; // @[Reg.scala 27:20] - wire way_status_out_60 = _T_4216[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_603 = {{5'd0}, way_status_out_60}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4728 = _T_4727 & _GEN_603; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4721 = ifu_ic_rw_int_addr_ff == 6'h3b; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_60 = _T_4216[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_603 = {{5'd0}, way_status_out_60}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4728 = _T_4727 & _GEN_603; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4721 = ifu_ic_rw_int_addr_ff == 6'h3b; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4723 = _T_4721 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4212; // @[Reg.scala 27:20] - wire way_status_out_59 = _T_4212[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_604 = {{5'd0}, way_status_out_59}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4724 = _T_4723 & _GEN_604; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4717 = ifu_ic_rw_int_addr_ff == 6'h3a; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_59 = _T_4212[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_604 = {{5'd0}, way_status_out_59}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4724 = _T_4723 & _GEN_604; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4717 = ifu_ic_rw_int_addr_ff == 6'h3a; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4719 = _T_4717 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4208; // @[Reg.scala 27:20] - wire way_status_out_58 = _T_4208[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_605 = {{5'd0}, way_status_out_58}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4720 = _T_4719 & _GEN_605; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4713 = ifu_ic_rw_int_addr_ff == 6'h39; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_58 = _T_4208[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_605 = {{5'd0}, way_status_out_58}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4720 = _T_4719 & _GEN_605; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4713 = ifu_ic_rw_int_addr_ff == 6'h39; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4715 = _T_4713 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4204; // @[Reg.scala 27:20] - wire way_status_out_57 = _T_4204[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_606 = {{5'd0}, way_status_out_57}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4716 = _T_4715 & _GEN_606; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4709 = ifu_ic_rw_int_addr_ff == 6'h38; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_57 = _T_4204[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_606 = {{5'd0}, way_status_out_57}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4716 = _T_4715 & _GEN_606; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4709 = ifu_ic_rw_int_addr_ff == 6'h38; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4711 = _T_4709 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4200; // @[Reg.scala 27:20] - wire way_status_out_56 = _T_4200[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_607 = {{5'd0}, way_status_out_56}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4712 = _T_4711 & _GEN_607; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4705 = ifu_ic_rw_int_addr_ff == 6'h37; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_56 = _T_4200[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_607 = {{5'd0}, way_status_out_56}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4712 = _T_4711 & _GEN_607; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4705 = ifu_ic_rw_int_addr_ff == 6'h37; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4707 = _T_4705 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4196; // @[Reg.scala 27:20] - wire way_status_out_55 = _T_4196[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_608 = {{5'd0}, way_status_out_55}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4708 = _T_4707 & _GEN_608; // @[el2_ifu_mem_ctl.scala 726:130] + wire way_status_out_55 = _T_4196[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_608 = {{5'd0}, way_status_out_55}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4708 = _T_4707 & _GEN_608; // @[el2_ifu_mem_ctl.scala 728:130] wire [437:0] _T_5068 = {_T_5059,_T_4740,_T_4736,_T_4732,_T_4728,_T_4724,_T_4720,_T_4716,_T_4712,_T_4708}; // @[Cat.scala 29:58] - wire _T_4701 = ifu_ic_rw_int_addr_ff == 6'h36; // @[el2_ifu_mem_ctl.scala 726:121] + wire _T_4701 = ifu_ic_rw_int_addr_ff == 6'h36; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4703 = _T_4701 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4192; // @[Reg.scala 27:20] - wire way_status_out_54 = _T_4192[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_609 = {{5'd0}, way_status_out_54}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4704 = _T_4703 & _GEN_609; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4697 = ifu_ic_rw_int_addr_ff == 6'h35; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_54 = _T_4192[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_609 = {{5'd0}, way_status_out_54}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4704 = _T_4703 & _GEN_609; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4697 = ifu_ic_rw_int_addr_ff == 6'h35; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4699 = _T_4697 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4188; // @[Reg.scala 27:20] - wire way_status_out_53 = _T_4188[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_610 = {{5'd0}, way_status_out_53}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4700 = _T_4699 & _GEN_610; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4693 = ifu_ic_rw_int_addr_ff == 6'h34; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_53 = _T_4188[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_610 = {{5'd0}, way_status_out_53}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4700 = _T_4699 & _GEN_610; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4693 = ifu_ic_rw_int_addr_ff == 6'h34; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4695 = _T_4693 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4184; // @[Reg.scala 27:20] - wire way_status_out_52 = _T_4184[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_611 = {{5'd0}, way_status_out_52}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4696 = _T_4695 & _GEN_611; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4689 = ifu_ic_rw_int_addr_ff == 6'h33; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_52 = _T_4184[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_611 = {{5'd0}, way_status_out_52}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4696 = _T_4695 & _GEN_611; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4689 = ifu_ic_rw_int_addr_ff == 6'h33; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4691 = _T_4689 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4180; // @[Reg.scala 27:20] - wire way_status_out_51 = _T_4180[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_612 = {{5'd0}, way_status_out_51}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4692 = _T_4691 & _GEN_612; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4685 = ifu_ic_rw_int_addr_ff == 6'h32; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_51 = _T_4180[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_612 = {{5'd0}, way_status_out_51}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4692 = _T_4691 & _GEN_612; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4685 = ifu_ic_rw_int_addr_ff == 6'h32; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4687 = _T_4685 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4176; // @[Reg.scala 27:20] - wire way_status_out_50 = _T_4176[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_613 = {{5'd0}, way_status_out_50}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4688 = _T_4687 & _GEN_613; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4681 = ifu_ic_rw_int_addr_ff == 6'h31; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_50 = _T_4176[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_613 = {{5'd0}, way_status_out_50}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4688 = _T_4687 & _GEN_613; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4681 = ifu_ic_rw_int_addr_ff == 6'h31; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4683 = _T_4681 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4172; // @[Reg.scala 27:20] - wire way_status_out_49 = _T_4172[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_614 = {{5'd0}, way_status_out_49}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4684 = _T_4683 & _GEN_614; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4677 = ifu_ic_rw_int_addr_ff == 6'h30; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_49 = _T_4172[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_614 = {{5'd0}, way_status_out_49}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4684 = _T_4683 & _GEN_614; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4677 = ifu_ic_rw_int_addr_ff == 6'h30; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4679 = _T_4677 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4168; // @[Reg.scala 27:20] - wire way_status_out_48 = _T_4168[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_615 = {{5'd0}, way_status_out_48}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4680 = _T_4679 & _GEN_615; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4673 = ifu_ic_rw_int_addr_ff == 6'h2f; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_48 = _T_4168[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_615 = {{5'd0}, way_status_out_48}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4680 = _T_4679 & _GEN_615; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4673 = ifu_ic_rw_int_addr_ff == 6'h2f; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4675 = _T_4673 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4164; // @[Reg.scala 27:20] - wire way_status_out_47 = _T_4164[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_616 = {{5'd0}, way_status_out_47}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4676 = _T_4675 & _GEN_616; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4669 = ifu_ic_rw_int_addr_ff == 6'h2e; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_47 = _T_4164[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_616 = {{5'd0}, way_status_out_47}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4676 = _T_4675 & _GEN_616; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4669 = ifu_ic_rw_int_addr_ff == 6'h2e; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4671 = _T_4669 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4160; // @[Reg.scala 27:20] - wire way_status_out_46 = _T_4160[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_617 = {{5'd0}, way_status_out_46}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4672 = _T_4671 & _GEN_617; // @[el2_ifu_mem_ctl.scala 726:130] + wire way_status_out_46 = _T_4160[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_617 = {{5'd0}, way_status_out_46}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4672 = _T_4671 & _GEN_617; // @[el2_ifu_mem_ctl.scala 728:130] wire [491:0] _T_5077 = {_T_5068,_T_4704,_T_4700,_T_4696,_T_4692,_T_4688,_T_4684,_T_4680,_T_4676,_T_4672}; // @[Cat.scala 29:58] - wire _T_4665 = ifu_ic_rw_int_addr_ff == 6'h2d; // @[el2_ifu_mem_ctl.scala 726:121] + wire _T_4665 = ifu_ic_rw_int_addr_ff == 6'h2d; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4667 = _T_4665 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4156; // @[Reg.scala 27:20] - wire way_status_out_45 = _T_4156[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_618 = {{5'd0}, way_status_out_45}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4668 = _T_4667 & _GEN_618; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4661 = ifu_ic_rw_int_addr_ff == 6'h2c; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_45 = _T_4156[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_618 = {{5'd0}, way_status_out_45}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4668 = _T_4667 & _GEN_618; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4661 = ifu_ic_rw_int_addr_ff == 6'h2c; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4663 = _T_4661 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4152; // @[Reg.scala 27:20] - wire way_status_out_44 = _T_4152[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_619 = {{5'd0}, way_status_out_44}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4664 = _T_4663 & _GEN_619; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4657 = ifu_ic_rw_int_addr_ff == 6'h2b; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_44 = _T_4152[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_619 = {{5'd0}, way_status_out_44}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4664 = _T_4663 & _GEN_619; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4657 = ifu_ic_rw_int_addr_ff == 6'h2b; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4659 = _T_4657 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4148; // @[Reg.scala 27:20] - wire way_status_out_43 = _T_4148[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_620 = {{5'd0}, way_status_out_43}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4660 = _T_4659 & _GEN_620; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4653 = ifu_ic_rw_int_addr_ff == 6'h2a; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_43 = _T_4148[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_620 = {{5'd0}, way_status_out_43}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4660 = _T_4659 & _GEN_620; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4653 = ifu_ic_rw_int_addr_ff == 6'h2a; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4655 = _T_4653 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4144; // @[Reg.scala 27:20] - wire way_status_out_42 = _T_4144[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_621 = {{5'd0}, way_status_out_42}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4656 = _T_4655 & _GEN_621; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4649 = ifu_ic_rw_int_addr_ff == 6'h29; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_42 = _T_4144[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_621 = {{5'd0}, way_status_out_42}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4656 = _T_4655 & _GEN_621; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4649 = ifu_ic_rw_int_addr_ff == 6'h29; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4651 = _T_4649 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4140; // @[Reg.scala 27:20] - wire way_status_out_41 = _T_4140[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_622 = {{5'd0}, way_status_out_41}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4652 = _T_4651 & _GEN_622; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4645 = ifu_ic_rw_int_addr_ff == 6'h28; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_41 = _T_4140[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_622 = {{5'd0}, way_status_out_41}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4652 = _T_4651 & _GEN_622; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4645 = ifu_ic_rw_int_addr_ff == 6'h28; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4647 = _T_4645 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4136; // @[Reg.scala 27:20] - wire way_status_out_40 = _T_4136[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_623 = {{5'd0}, way_status_out_40}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4648 = _T_4647 & _GEN_623; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4641 = ifu_ic_rw_int_addr_ff == 6'h27; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_40 = _T_4136[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_623 = {{5'd0}, way_status_out_40}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4648 = _T_4647 & _GEN_623; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4641 = ifu_ic_rw_int_addr_ff == 6'h27; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4643 = _T_4641 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4132; // @[Reg.scala 27:20] - wire way_status_out_39 = _T_4132[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_624 = {{5'd0}, way_status_out_39}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4644 = _T_4643 & _GEN_624; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4637 = ifu_ic_rw_int_addr_ff == 6'h26; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_39 = _T_4132[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_624 = {{5'd0}, way_status_out_39}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4644 = _T_4643 & _GEN_624; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4637 = ifu_ic_rw_int_addr_ff == 6'h26; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4639 = _T_4637 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4128; // @[Reg.scala 27:20] - wire way_status_out_38 = _T_4128[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_625 = {{5'd0}, way_status_out_38}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4640 = _T_4639 & _GEN_625; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4633 = ifu_ic_rw_int_addr_ff == 6'h25; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_38 = _T_4128[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_625 = {{5'd0}, way_status_out_38}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4640 = _T_4639 & _GEN_625; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4633 = ifu_ic_rw_int_addr_ff == 6'h25; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4635 = _T_4633 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4124; // @[Reg.scala 27:20] - wire way_status_out_37 = _T_4124[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_626 = {{5'd0}, way_status_out_37}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4636 = _T_4635 & _GEN_626; // @[el2_ifu_mem_ctl.scala 726:130] + wire way_status_out_37 = _T_4124[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_626 = {{5'd0}, way_status_out_37}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4636 = _T_4635 & _GEN_626; // @[el2_ifu_mem_ctl.scala 728:130] wire [545:0] _T_5086 = {_T_5077,_T_4668,_T_4664,_T_4660,_T_4656,_T_4652,_T_4648,_T_4644,_T_4640,_T_4636}; // @[Cat.scala 29:58] - wire _T_4629 = ifu_ic_rw_int_addr_ff == 6'h24; // @[el2_ifu_mem_ctl.scala 726:121] + wire _T_4629 = ifu_ic_rw_int_addr_ff == 6'h24; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4631 = _T_4629 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4120; // @[Reg.scala 27:20] - wire way_status_out_36 = _T_4120[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_627 = {{5'd0}, way_status_out_36}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4632 = _T_4631 & _GEN_627; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4625 = ifu_ic_rw_int_addr_ff == 6'h23; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_36 = _T_4120[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_627 = {{5'd0}, way_status_out_36}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4632 = _T_4631 & _GEN_627; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4625 = ifu_ic_rw_int_addr_ff == 6'h23; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4627 = _T_4625 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4116; // @[Reg.scala 27:20] - wire way_status_out_35 = _T_4116[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_628 = {{5'd0}, way_status_out_35}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4628 = _T_4627 & _GEN_628; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4621 = ifu_ic_rw_int_addr_ff == 6'h22; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_35 = _T_4116[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_628 = {{5'd0}, way_status_out_35}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4628 = _T_4627 & _GEN_628; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4621 = ifu_ic_rw_int_addr_ff == 6'h22; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4623 = _T_4621 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4112; // @[Reg.scala 27:20] - wire way_status_out_34 = _T_4112[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_629 = {{5'd0}, way_status_out_34}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4624 = _T_4623 & _GEN_629; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4617 = ifu_ic_rw_int_addr_ff == 6'h21; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_34 = _T_4112[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_629 = {{5'd0}, way_status_out_34}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4624 = _T_4623 & _GEN_629; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4617 = ifu_ic_rw_int_addr_ff == 6'h21; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4619 = _T_4617 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4108; // @[Reg.scala 27:20] - wire way_status_out_33 = _T_4108[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_630 = {{5'd0}, way_status_out_33}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4620 = _T_4619 & _GEN_630; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4613 = ifu_ic_rw_int_addr_ff == 6'h20; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_33 = _T_4108[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_630 = {{5'd0}, way_status_out_33}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4620 = _T_4619 & _GEN_630; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4613 = ifu_ic_rw_int_addr_ff == 6'h20; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4615 = _T_4613 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4104; // @[Reg.scala 27:20] - wire way_status_out_32 = _T_4104[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_631 = {{5'd0}, way_status_out_32}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4616 = _T_4615 & _GEN_631; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4609 = ifu_ic_rw_int_addr_ff == 6'h1f; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_32 = _T_4104[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_631 = {{5'd0}, way_status_out_32}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4616 = _T_4615 & _GEN_631; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4609 = ifu_ic_rw_int_addr_ff == 6'h1f; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4611 = _T_4609 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4100; // @[Reg.scala 27:20] - wire way_status_out_31 = _T_4100[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_632 = {{5'd0}, way_status_out_31}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4612 = _T_4611 & _GEN_632; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4605 = ifu_ic_rw_int_addr_ff == 6'h1e; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_31 = _T_4100[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_632 = {{5'd0}, way_status_out_31}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4612 = _T_4611 & _GEN_632; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4605 = ifu_ic_rw_int_addr_ff == 6'h1e; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4607 = _T_4605 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4096; // @[Reg.scala 27:20] - wire way_status_out_30 = _T_4096[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_633 = {{5'd0}, way_status_out_30}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4608 = _T_4607 & _GEN_633; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4601 = ifu_ic_rw_int_addr_ff == 6'h1d; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_30 = _T_4096[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_633 = {{5'd0}, way_status_out_30}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4608 = _T_4607 & _GEN_633; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4601 = ifu_ic_rw_int_addr_ff == 6'h1d; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4603 = _T_4601 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4092; // @[Reg.scala 27:20] - wire way_status_out_29 = _T_4092[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_634 = {{5'd0}, way_status_out_29}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4604 = _T_4603 & _GEN_634; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4597 = ifu_ic_rw_int_addr_ff == 6'h1c; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_29 = _T_4092[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_634 = {{5'd0}, way_status_out_29}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4604 = _T_4603 & _GEN_634; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4597 = ifu_ic_rw_int_addr_ff == 6'h1c; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4599 = _T_4597 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4088; // @[Reg.scala 27:20] - wire way_status_out_28 = _T_4088[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_635 = {{5'd0}, way_status_out_28}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4600 = _T_4599 & _GEN_635; // @[el2_ifu_mem_ctl.scala 726:130] + wire way_status_out_28 = _T_4088[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_635 = {{5'd0}, way_status_out_28}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4600 = _T_4599 & _GEN_635; // @[el2_ifu_mem_ctl.scala 728:130] wire [599:0] _T_5095 = {_T_5086,_T_4632,_T_4628,_T_4624,_T_4620,_T_4616,_T_4612,_T_4608,_T_4604,_T_4600}; // @[Cat.scala 29:58] - wire _T_4593 = ifu_ic_rw_int_addr_ff == 6'h1b; // @[el2_ifu_mem_ctl.scala 726:121] + wire _T_4593 = ifu_ic_rw_int_addr_ff == 6'h1b; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4595 = _T_4593 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4084; // @[Reg.scala 27:20] - wire way_status_out_27 = _T_4084[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_636 = {{5'd0}, way_status_out_27}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4596 = _T_4595 & _GEN_636; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4589 = ifu_ic_rw_int_addr_ff == 6'h1a; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_27 = _T_4084[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_636 = {{5'd0}, way_status_out_27}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4596 = _T_4595 & _GEN_636; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4589 = ifu_ic_rw_int_addr_ff == 6'h1a; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4591 = _T_4589 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4080; // @[Reg.scala 27:20] - wire way_status_out_26 = _T_4080[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_637 = {{5'd0}, way_status_out_26}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4592 = _T_4591 & _GEN_637; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4585 = ifu_ic_rw_int_addr_ff == 6'h19; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_26 = _T_4080[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_637 = {{5'd0}, way_status_out_26}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4592 = _T_4591 & _GEN_637; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4585 = ifu_ic_rw_int_addr_ff == 6'h19; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4587 = _T_4585 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4076; // @[Reg.scala 27:20] - wire way_status_out_25 = _T_4076[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_638 = {{5'd0}, way_status_out_25}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4588 = _T_4587 & _GEN_638; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4581 = ifu_ic_rw_int_addr_ff == 6'h18; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_25 = _T_4076[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_638 = {{5'd0}, way_status_out_25}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4588 = _T_4587 & _GEN_638; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4581 = ifu_ic_rw_int_addr_ff == 6'h18; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4583 = _T_4581 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4072; // @[Reg.scala 27:20] - wire way_status_out_24 = _T_4072[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_639 = {{5'd0}, way_status_out_24}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4584 = _T_4583 & _GEN_639; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4577 = ifu_ic_rw_int_addr_ff == 6'h17; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_24 = _T_4072[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_639 = {{5'd0}, way_status_out_24}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4584 = _T_4583 & _GEN_639; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4577 = ifu_ic_rw_int_addr_ff == 6'h17; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4579 = _T_4577 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4068; // @[Reg.scala 27:20] - wire way_status_out_23 = _T_4068[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_640 = {{5'd0}, way_status_out_23}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4580 = _T_4579 & _GEN_640; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4573 = ifu_ic_rw_int_addr_ff == 6'h16; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_23 = _T_4068[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_640 = {{5'd0}, way_status_out_23}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4580 = _T_4579 & _GEN_640; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4573 = ifu_ic_rw_int_addr_ff == 6'h16; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4575 = _T_4573 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4064; // @[Reg.scala 27:20] - wire way_status_out_22 = _T_4064[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_641 = {{5'd0}, way_status_out_22}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4576 = _T_4575 & _GEN_641; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4569 = ifu_ic_rw_int_addr_ff == 6'h15; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_22 = _T_4064[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_641 = {{5'd0}, way_status_out_22}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4576 = _T_4575 & _GEN_641; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4569 = ifu_ic_rw_int_addr_ff == 6'h15; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4571 = _T_4569 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4060; // @[Reg.scala 27:20] - wire way_status_out_21 = _T_4060[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_642 = {{5'd0}, way_status_out_21}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4572 = _T_4571 & _GEN_642; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4565 = ifu_ic_rw_int_addr_ff == 6'h14; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_21 = _T_4060[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_642 = {{5'd0}, way_status_out_21}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4572 = _T_4571 & _GEN_642; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4565 = ifu_ic_rw_int_addr_ff == 6'h14; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4567 = _T_4565 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4056; // @[Reg.scala 27:20] - wire way_status_out_20 = _T_4056[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_643 = {{5'd0}, way_status_out_20}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4568 = _T_4567 & _GEN_643; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4561 = ifu_ic_rw_int_addr_ff == 6'h13; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_20 = _T_4056[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_643 = {{5'd0}, way_status_out_20}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4568 = _T_4567 & _GEN_643; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4561 = ifu_ic_rw_int_addr_ff == 6'h13; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4563 = _T_4561 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4052; // @[Reg.scala 27:20] - wire way_status_out_19 = _T_4052[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_644 = {{5'd0}, way_status_out_19}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4564 = _T_4563 & _GEN_644; // @[el2_ifu_mem_ctl.scala 726:130] + wire way_status_out_19 = _T_4052[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_644 = {{5'd0}, way_status_out_19}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4564 = _T_4563 & _GEN_644; // @[el2_ifu_mem_ctl.scala 728:130] wire [653:0] _T_5104 = {_T_5095,_T_4596,_T_4592,_T_4588,_T_4584,_T_4580,_T_4576,_T_4572,_T_4568,_T_4564}; // @[Cat.scala 29:58] - wire _T_4557 = ifu_ic_rw_int_addr_ff == 6'h12; // @[el2_ifu_mem_ctl.scala 726:121] + wire _T_4557 = ifu_ic_rw_int_addr_ff == 6'h12; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4559 = _T_4557 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4048; // @[Reg.scala 27:20] - wire way_status_out_18 = _T_4048[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_645 = {{5'd0}, way_status_out_18}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4560 = _T_4559 & _GEN_645; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4553 = ifu_ic_rw_int_addr_ff == 6'h11; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_18 = _T_4048[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_645 = {{5'd0}, way_status_out_18}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4560 = _T_4559 & _GEN_645; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4553 = ifu_ic_rw_int_addr_ff == 6'h11; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4555 = _T_4553 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4044; // @[Reg.scala 27:20] - wire way_status_out_17 = _T_4044[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_646 = {{5'd0}, way_status_out_17}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4556 = _T_4555 & _GEN_646; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4549 = ifu_ic_rw_int_addr_ff == 6'h10; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_17 = _T_4044[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_646 = {{5'd0}, way_status_out_17}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4556 = _T_4555 & _GEN_646; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4549 = ifu_ic_rw_int_addr_ff == 6'h10; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4551 = _T_4549 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4040; // @[Reg.scala 27:20] - wire way_status_out_16 = _T_4040[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_647 = {{5'd0}, way_status_out_16}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4552 = _T_4551 & _GEN_647; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4545 = ifu_ic_rw_int_addr_ff == 6'hf; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_16 = _T_4040[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_647 = {{5'd0}, way_status_out_16}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4552 = _T_4551 & _GEN_647; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4545 = ifu_ic_rw_int_addr_ff == 6'hf; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4547 = _T_4545 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4036; // @[Reg.scala 27:20] - wire way_status_out_15 = _T_4036[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_648 = {{5'd0}, way_status_out_15}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4548 = _T_4547 & _GEN_648; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4541 = ifu_ic_rw_int_addr_ff == 6'he; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_15 = _T_4036[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_648 = {{5'd0}, way_status_out_15}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4548 = _T_4547 & _GEN_648; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4541 = ifu_ic_rw_int_addr_ff == 6'he; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4543 = _T_4541 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4032; // @[Reg.scala 27:20] - wire way_status_out_14 = _T_4032[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_649 = {{5'd0}, way_status_out_14}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4544 = _T_4543 & _GEN_649; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4537 = ifu_ic_rw_int_addr_ff == 6'hd; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_14 = _T_4032[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_649 = {{5'd0}, way_status_out_14}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4544 = _T_4543 & _GEN_649; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4537 = ifu_ic_rw_int_addr_ff == 6'hd; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4539 = _T_4537 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4028; // @[Reg.scala 27:20] - wire way_status_out_13 = _T_4028[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_650 = {{5'd0}, way_status_out_13}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4540 = _T_4539 & _GEN_650; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4533 = ifu_ic_rw_int_addr_ff == 6'hc; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_13 = _T_4028[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_650 = {{5'd0}, way_status_out_13}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4540 = _T_4539 & _GEN_650; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4533 = ifu_ic_rw_int_addr_ff == 6'hc; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4535 = _T_4533 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4024; // @[Reg.scala 27:20] - wire way_status_out_12 = _T_4024[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_651 = {{5'd0}, way_status_out_12}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4536 = _T_4535 & _GEN_651; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4529 = ifu_ic_rw_int_addr_ff == 6'hb; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_12 = _T_4024[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_651 = {{5'd0}, way_status_out_12}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4536 = _T_4535 & _GEN_651; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4529 = ifu_ic_rw_int_addr_ff == 6'hb; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4531 = _T_4529 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4020; // @[Reg.scala 27:20] - wire way_status_out_11 = _T_4020[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_652 = {{5'd0}, way_status_out_11}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4532 = _T_4531 & _GEN_652; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4525 = ifu_ic_rw_int_addr_ff == 6'ha; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_11 = _T_4020[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_652 = {{5'd0}, way_status_out_11}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4532 = _T_4531 & _GEN_652; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4525 = ifu_ic_rw_int_addr_ff == 6'ha; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4527 = _T_4525 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4016; // @[Reg.scala 27:20] - wire way_status_out_10 = _T_4016[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_653 = {{5'd0}, way_status_out_10}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4528 = _T_4527 & _GEN_653; // @[el2_ifu_mem_ctl.scala 726:130] + wire way_status_out_10 = _T_4016[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_653 = {{5'd0}, way_status_out_10}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4528 = _T_4527 & _GEN_653; // @[el2_ifu_mem_ctl.scala 728:130] wire [707:0] _T_5113 = {_T_5104,_T_4560,_T_4556,_T_4552,_T_4548,_T_4544,_T_4540,_T_4536,_T_4532,_T_4528}; // @[Cat.scala 29:58] - wire _T_4521 = ifu_ic_rw_int_addr_ff == 6'h9; // @[el2_ifu_mem_ctl.scala 726:121] + wire _T_4521 = ifu_ic_rw_int_addr_ff == 6'h9; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4523 = _T_4521 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4012; // @[Reg.scala 27:20] - wire way_status_out_9 = _T_4012[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_654 = {{5'd0}, way_status_out_9}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4524 = _T_4523 & _GEN_654; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4517 = ifu_ic_rw_int_addr_ff == 6'h8; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_9 = _T_4012[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_654 = {{5'd0}, way_status_out_9}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4524 = _T_4523 & _GEN_654; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4517 = ifu_ic_rw_int_addr_ff == 6'h8; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4519 = _T_4517 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4008; // @[Reg.scala 27:20] - wire way_status_out_8 = _T_4008[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_655 = {{5'd0}, way_status_out_8}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4520 = _T_4519 & _GEN_655; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4513 = ifu_ic_rw_int_addr_ff == 6'h7; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_8 = _T_4008[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_655 = {{5'd0}, way_status_out_8}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4520 = _T_4519 & _GEN_655; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4513 = ifu_ic_rw_int_addr_ff == 6'h7; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4515 = _T_4513 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4004; // @[Reg.scala 27:20] - wire way_status_out_7 = _T_4004[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_656 = {{5'd0}, way_status_out_7}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4516 = _T_4515 & _GEN_656; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4509 = ifu_ic_rw_int_addr_ff == 6'h6; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_7 = _T_4004[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_656 = {{5'd0}, way_status_out_7}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4516 = _T_4515 & _GEN_656; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4509 = ifu_ic_rw_int_addr_ff == 6'h6; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4511 = _T_4509 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4000; // @[Reg.scala 27:20] - wire way_status_out_6 = _T_4000[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_657 = {{5'd0}, way_status_out_6}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4512 = _T_4511 & _GEN_657; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4505 = ifu_ic_rw_int_addr_ff == 6'h5; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_6 = _T_4000[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_657 = {{5'd0}, way_status_out_6}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4512 = _T_4511 & _GEN_657; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4505 = ifu_ic_rw_int_addr_ff == 6'h5; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4507 = _T_4505 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_3996; // @[Reg.scala 27:20] - wire way_status_out_5 = _T_3996[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_658 = {{5'd0}, way_status_out_5}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4508 = _T_4507 & _GEN_658; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4501 = ifu_ic_rw_int_addr_ff == 6'h4; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_5 = _T_3996[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_658 = {{5'd0}, way_status_out_5}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4508 = _T_4507 & _GEN_658; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4501 = ifu_ic_rw_int_addr_ff == 6'h4; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4503 = _T_4501 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_3992; // @[Reg.scala 27:20] - wire way_status_out_4 = _T_3992[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_659 = {{5'd0}, way_status_out_4}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4504 = _T_4503 & _GEN_659; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4497 = ifu_ic_rw_int_addr_ff == 6'h3; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_4 = _T_3992[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_659 = {{5'd0}, way_status_out_4}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4504 = _T_4503 & _GEN_659; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4497 = ifu_ic_rw_int_addr_ff == 6'h3; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4499 = _T_4497 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_3988; // @[Reg.scala 27:20] - wire way_status_out_3 = _T_3988[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_660 = {{5'd0}, way_status_out_3}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4500 = _T_4499 & _GEN_660; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4493 = ifu_ic_rw_int_addr_ff == 6'h2; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_3 = _T_3988[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_660 = {{5'd0}, way_status_out_3}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4500 = _T_4499 & _GEN_660; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4493 = ifu_ic_rw_int_addr_ff == 6'h2; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4495 = _T_4493 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_3984; // @[Reg.scala 27:20] - wire way_status_out_2 = _T_3984[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_661 = {{5'd0}, way_status_out_2}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4496 = _T_4495 & _GEN_661; // @[el2_ifu_mem_ctl.scala 726:130] - wire _T_4489 = ifu_ic_rw_int_addr_ff == 6'h1; // @[el2_ifu_mem_ctl.scala 726:121] + wire way_status_out_2 = _T_3984[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_661 = {{5'd0}, way_status_out_2}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4496 = _T_4495 & _GEN_661; // @[el2_ifu_mem_ctl.scala 728:130] + wire _T_4489 = ifu_ic_rw_int_addr_ff == 6'h1; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4491 = _T_4489 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_3980; // @[Reg.scala 27:20] - wire way_status_out_1 = _T_3980[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_662 = {{5'd0}, way_status_out_1}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4492 = _T_4491 & _GEN_662; // @[el2_ifu_mem_ctl.scala 726:130] + wire way_status_out_1 = _T_3980[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_662 = {{5'd0}, way_status_out_1}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4492 = _T_4491 & _GEN_662; // @[el2_ifu_mem_ctl.scala 728:130] wire [761:0] _T_5122 = {_T_5113,_T_4524,_T_4520,_T_4516,_T_4512,_T_4508,_T_4504,_T_4500,_T_4496,_T_4492}; // @[Cat.scala 29:58] - wire _T_4485 = ifu_ic_rw_int_addr_ff == 6'h0; // @[el2_ifu_mem_ctl.scala 726:121] + wire _T_4485 = ifu_ic_rw_int_addr_ff == 6'h0; // @[el2_ifu_mem_ctl.scala 728:121] wire [5:0] _T_4487 = _T_4485 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_3976; // @[Reg.scala 27:20] - wire way_status_out_0 = _T_3976[0]; // @[el2_ifu_mem_ctl.scala 723:30 el2_ifu_mem_ctl.scala 725:33] - wire [5:0] _GEN_663 = {{5'd0}, way_status_out_0}; // @[el2_ifu_mem_ctl.scala 726:130] - wire [5:0] _T_4488 = _T_4487 & _GEN_663; // @[el2_ifu_mem_ctl.scala 726:130] + wire way_status_out_0 = _T_3976[0]; // @[el2_ifu_mem_ctl.scala 725:30 el2_ifu_mem_ctl.scala 727:33] + wire [5:0] _GEN_663 = {{5'd0}, way_status_out_0}; // @[el2_ifu_mem_ctl.scala 728:130] + wire [5:0] _T_4488 = _T_4487 & _GEN_663; // @[el2_ifu_mem_ctl.scala 728:130] wire [767:0] _T_5123 = {_T_5122,_T_4488}; // @[Cat.scala 29:58] - wire way_status = _T_5123[0]; // @[el2_ifu_mem_ctl.scala 726:16] + wire way_status = _T_5123[0]; // @[el2_ifu_mem_ctl.scala 728:16] wire _T_195 = ~reset_all_tags; // @[el2_ifu_mem_ctl.scala 263:96] reg uncacheable_miss_scnd_ff; // @[el2_ifu_mem_ctl.scala 265:38] reg [30:0] imb_scnd_ff; // @[el2_ifu_mem_ctl.scala 267:25] @@ -1782,29 +1890,29 @@ module el2_ifu_mem_ctl( wire _T_274 = imb_ff[12:6] == imb_scnd_ff[12:6]; // @[el2_ifu_mem_ctl.scala 294:75] wire _T_275 = _T_274 & scnd_miss_req; // @[el2_ifu_mem_ctl.scala 294:127] reg [1:0] ifu_bus_rresp_ff; // @[Reg.scala 27:20] - wire _T_2624 = |ifu_bus_rresp_ff; // @[el2_ifu_mem_ctl.scala 624:48] - wire _T_2625 = _T_2624 & ifu_bus_rvalid_ff; // @[el2_ifu_mem_ctl.scala 624:52] - wire bus_ifu_wr_data_error_ff = _T_2625 & miss_pending; // @[el2_ifu_mem_ctl.scala 624:73] - reg ifu_wr_data_comb_err_ff; // @[el2_ifu_mem_ctl.scala 370:61] - wire ifu_wr_cumulative_err_data = bus_ifu_wr_data_error_ff | ifu_wr_data_comb_err_ff; // @[el2_ifu_mem_ctl.scala 369:55] + wire _T_2624 = |ifu_bus_rresp_ff; // @[el2_ifu_mem_ctl.scala 626:48] + wire _T_2625 = _T_2624 & ifu_bus_rvalid_ff; // @[el2_ifu_mem_ctl.scala 626:52] + wire bus_ifu_wr_data_error_ff = _T_2625 & miss_pending; // @[el2_ifu_mem_ctl.scala 626:73] + reg ifu_wr_data_comb_err_ff; // @[el2_ifu_mem_ctl.scala 372:61] + wire ifu_wr_cumulative_err_data = bus_ifu_wr_data_error_ff | ifu_wr_data_comb_err_ff; // @[el2_ifu_mem_ctl.scala 371:55] wire _T_276 = ~ifu_wr_cumulative_err_data; // @[el2_ifu_mem_ctl.scala 294:145] wire scnd_miss_index_match = _T_275 & _T_276; // @[el2_ifu_mem_ctl.scala 294:143] wire _T_277 = ~scnd_miss_index_match; // @[el2_ifu_mem_ctl.scala 297:47] wire _T_278 = scnd_miss_req & _T_277; // @[el2_ifu_mem_ctl.scala 297:45] wire _T_280 = scnd_miss_req & scnd_miss_index_match; // @[el2_ifu_mem_ctl.scala 298:26] reg way_status_mb_ff; // @[el2_ifu_mem_ctl.scala 317:30] - wire _T_10073 = ~way_status_mb_ff; // @[el2_ifu_mem_ctl.scala 779:33] + wire _T_10073 = ~way_status_mb_ff; // @[el2_ifu_mem_ctl.scala 781:33] reg [1:0] tagv_mb_ff; // @[el2_ifu_mem_ctl.scala 318:24] - wire _T_10075 = _T_10073 & tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 779:51] - wire _T_10077 = _T_10075 & tagv_mb_ff[1]; // @[el2_ifu_mem_ctl.scala 779:67] - wire _T_10079 = ~tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 779:86] - wire replace_way_mb_any_0 = _T_10077 | _T_10079; // @[el2_ifu_mem_ctl.scala 779:84] + wire _T_10075 = _T_10073 & tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 781:51] + wire _T_10077 = _T_10075 & tagv_mb_ff[1]; // @[el2_ifu_mem_ctl.scala 781:67] + wire _T_10079 = ~tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 781:86] + wire replace_way_mb_any_0 = _T_10077 | _T_10079; // @[el2_ifu_mem_ctl.scala 781:84] wire [1:0] _T_287 = scnd_miss_index_match ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire _T_10082 = way_status_mb_ff & tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 780:50] - wire _T_10084 = _T_10082 & tagv_mb_ff[1]; // @[el2_ifu_mem_ctl.scala 780:66] - wire _T_10086 = ~tagv_mb_ff[1]; // @[el2_ifu_mem_ctl.scala 780:85] - wire _T_10088 = _T_10086 & tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 780:100] - wire replace_way_mb_any_1 = _T_10084 | _T_10088; // @[el2_ifu_mem_ctl.scala 780:83] + wire _T_10082 = way_status_mb_ff & tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 782:50] + wire _T_10084 = _T_10082 & tagv_mb_ff[1]; // @[el2_ifu_mem_ctl.scala 782:66] + wire _T_10086 = ~tagv_mb_ff[1]; // @[el2_ifu_mem_ctl.scala 782:85] + wire _T_10088 = _T_10086 & tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 782:100] + wire replace_way_mb_any_1 = _T_10084 | _T_10088; // @[el2_ifu_mem_ctl.scala 782:83] wire [1:0] _T_288 = {replace_way_mb_any_1,replace_way_mb_any_0}; // @[Cat.scala 29:58] wire [1:0] _T_289 = _T_287 & _T_288; // @[el2_ifu_mem_ctl.scala 302:110] wire _T_297 = ~scnd_miss_req_q; // @[el2_ifu_mem_ctl.scala 306:36] @@ -1817,9 +1925,9 @@ module el2_ifu_mem_ctl( wire _T_313 = _T_2250 & flush_final_f; // @[el2_ifu_mem_ctl.scala 320:87] wire _T_314 = ~_T_313; // @[el2_ifu_mem_ctl.scala 320:55] wire _T_315 = io_ifc_fetch_req_bf & _T_314; // @[el2_ifu_mem_ctl.scala 320:53] - wire _T_2242 = ~_T_2237; // @[el2_ifu_mem_ctl.scala 461:46] - wire _T_2243 = _T_2235 & _T_2242; // @[el2_ifu_mem_ctl.scala 461:44] - wire stream_miss_f = _T_2243 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 461:84] + wire _T_2242 = ~_T_2237; // @[el2_ifu_mem_ctl.scala 463:46] + wire _T_2243 = _T_2235 & _T_2242; // @[el2_ifu_mem_ctl.scala 463:44] + wire stream_miss_f = _T_2243 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 463:84] wire _T_316 = ~stream_miss_f; // @[el2_ifu_mem_ctl.scala 320:106] wire ifc_fetch_req_qual_bf = _T_315 & _T_316; // @[el2_ifu_mem_ctl.scala 320:104] reg ifc_region_acc_fault_f; // @[el2_ifu_mem_ctl.scala 326:39] @@ -1827,37 +1935,37 @@ module el2_ifu_mem_ctl( wire [28:0] ifu_ic_req_addr_f = {miss_addr,bus_rd_addr_count}; // @[Cat.scala 29:58] wire _T_323 = _T_239 | _T_2235; // @[el2_ifu_mem_ctl.scala 328:55] wire _T_326 = _T_323 & _T_56; // @[el2_ifu_mem_ctl.scala 328:82] - wire _T_2256 = ~ifu_bus_rid_ff[0]; // @[el2_ifu_mem_ctl.scala 466:55] + wire _T_2256 = ~ifu_bus_rid_ff[0]; // @[el2_ifu_mem_ctl.scala 468:55] wire [2:0] other_tag = {ifu_bus_rid_ff[2:1],_T_2256}; // @[Cat.scala 29:58] - wire _T_2257 = other_tag == 3'h0; // @[el2_ifu_mem_ctl.scala 467:81] + wire _T_2257 = other_tag == 3'h0; // @[el2_ifu_mem_ctl.scala 469:81] wire _T_2281 = _T_2257 & ic_miss_buff_data_valid[0]; // @[Mux.scala 27:72] - wire _T_2260 = other_tag == 3'h1; // @[el2_ifu_mem_ctl.scala 467:81] + wire _T_2260 = other_tag == 3'h1; // @[el2_ifu_mem_ctl.scala 469:81] wire _T_2282 = _T_2260 & ic_miss_buff_data_valid[1]; // @[Mux.scala 27:72] wire _T_2289 = _T_2281 | _T_2282; // @[Mux.scala 27:72] - wire _T_2263 = other_tag == 3'h2; // @[el2_ifu_mem_ctl.scala 467:81] + wire _T_2263 = other_tag == 3'h2; // @[el2_ifu_mem_ctl.scala 469:81] wire _T_2283 = _T_2263 & ic_miss_buff_data_valid[2]; // @[Mux.scala 27:72] wire _T_2290 = _T_2289 | _T_2283; // @[Mux.scala 27:72] - wire _T_2266 = other_tag == 3'h3; // @[el2_ifu_mem_ctl.scala 467:81] + wire _T_2266 = other_tag == 3'h3; // @[el2_ifu_mem_ctl.scala 469:81] wire _T_2284 = _T_2266 & ic_miss_buff_data_valid[3]; // @[Mux.scala 27:72] wire _T_2291 = _T_2290 | _T_2284; // @[Mux.scala 27:72] - wire _T_2269 = other_tag == 3'h4; // @[el2_ifu_mem_ctl.scala 467:81] + wire _T_2269 = other_tag == 3'h4; // @[el2_ifu_mem_ctl.scala 469:81] wire _T_2285 = _T_2269 & ic_miss_buff_data_valid[4]; // @[Mux.scala 27:72] wire _T_2292 = _T_2291 | _T_2285; // @[Mux.scala 27:72] - wire _T_2272 = other_tag == 3'h5; // @[el2_ifu_mem_ctl.scala 467:81] + wire _T_2272 = other_tag == 3'h5; // @[el2_ifu_mem_ctl.scala 469:81] wire _T_2286 = _T_2272 & ic_miss_buff_data_valid[5]; // @[Mux.scala 27:72] wire _T_2293 = _T_2292 | _T_2286; // @[Mux.scala 27:72] - wire _T_2275 = other_tag == 3'h6; // @[el2_ifu_mem_ctl.scala 467:81] + wire _T_2275 = other_tag == 3'h6; // @[el2_ifu_mem_ctl.scala 469:81] wire _T_2287 = _T_2275 & ic_miss_buff_data_valid[6]; // @[Mux.scala 27:72] wire _T_2294 = _T_2293 | _T_2287; // @[Mux.scala 27:72] - wire _T_2278 = other_tag == 3'h7; // @[el2_ifu_mem_ctl.scala 467:81] + wire _T_2278 = other_tag == 3'h7; // @[el2_ifu_mem_ctl.scala 469:81] wire _T_2288 = _T_2278 & ic_miss_buff_data_valid[7]; // @[Mux.scala 27:72] wire second_half_available = _T_2294 | _T_2288; // @[Mux.scala 27:72] - wire write_ic_16_bytes = second_half_available & bus_ifu_wr_en_ff; // @[el2_ifu_mem_ctl.scala 468:46] + wire write_ic_16_bytes = second_half_available & bus_ifu_wr_en_ff; // @[el2_ifu_mem_ctl.scala 470:46] wire _T_330 = miss_pending & write_ic_16_bytes; // @[el2_ifu_mem_ctl.scala 332:35] wire _T_332 = _T_330 & _T_17; // @[el2_ifu_mem_ctl.scala 332:55] - reg ic_act_miss_f_delayed; // @[el2_ifu_mem_ctl.scala 621:61] - wire _T_2618 = ic_act_miss_f_delayed & _T_2251; // @[el2_ifu_mem_ctl.scala 622:53] - wire reset_tag_valid_for_miss = _T_2618 & _T_17; // @[el2_ifu_mem_ctl.scala 622:84] + reg ic_act_miss_f_delayed; // @[el2_ifu_mem_ctl.scala 623:61] + wire _T_2618 = ic_act_miss_f_delayed & _T_2251; // @[el2_ifu_mem_ctl.scala 624:53] + wire reset_tag_valid_for_miss = _T_2618 & _T_17; // @[el2_ifu_mem_ctl.scala 624:84] wire sel_mb_addr = _T_332 | reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 332:79] wire [30:0] _T_336 = {imb_ff[30:5],ic_wr_addr_bits_hi_3,imb_ff[1:0]}; // @[Cat.scala 29:58] wire _T_337 = ~sel_mb_addr; // @[el2_ifu_mem_ctl.scala 334:37] @@ -1865,301 +1973,299 @@ module el2_ifu_mem_ctl( wire [30:0] _T_339 = _T_337 ? io_ifc_fetch_addr_bf : 31'h0; // @[Mux.scala 27:72] wire [30:0] ifu_ic_rw_int_addr = _T_338 | _T_339; // @[Mux.scala 27:72] wire _T_344 = _T_332 & last_beat; // @[el2_ifu_mem_ctl.scala 336:84] - wire _T_2612 = ~_T_2624; // @[el2_ifu_mem_ctl.scala 619:84] - wire _T_2613 = _T_100 & _T_2612; // @[el2_ifu_mem_ctl.scala 619:82] - wire bus_ifu_wr_en_ff_q = _T_2613 & write_ic_16_bytes; // @[el2_ifu_mem_ctl.scala 619:108] + wire _T_2612 = ~_T_2624; // @[el2_ifu_mem_ctl.scala 621:84] + wire _T_2613 = _T_100 & _T_2612; // @[el2_ifu_mem_ctl.scala 621:82] + wire bus_ifu_wr_en_ff_q = _T_2613 & write_ic_16_bytes; // @[el2_ifu_mem_ctl.scala 621:108] wire sel_mb_status_addr = _T_344 & bus_ifu_wr_en_ff_q; // @[el2_ifu_mem_ctl.scala 336:96] wire [30:0] ifu_status_wr_addr = sel_mb_status_addr ? _T_336 : ifu_fetch_addr_int_f; // @[el2_ifu_mem_ctl.scala 337:31] reg [63:0] ifu_bus_rdata_ff; // @[Reg.scala 27:20] - wire [7:0] _T_568 = {ifu_bus_rdata_ff[11],ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[8],ifu_bus_rdata_ff[6],ifu_bus_rdata_ff[4],ifu_bus_rdata_ff[3],ifu_bus_rdata_ff[1],ifu_bus_rdata_ff[0]}; // @[el2_lib.scala 347:27] - wire [16:0] _T_577 = {ifu_bus_rdata_ff[28],ifu_bus_rdata_ff[26],ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[19],ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[15],ifu_bus_rdata_ff[13],_T_568}; // @[el2_lib.scala 347:27] - wire [8:0] _T_585 = {ifu_bus_rdata_ff[46],ifu_bus_rdata_ff[44],ifu_bus_rdata_ff[42],ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[34],ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[30]}; // @[el2_lib.scala 347:27] - wire [17:0] _T_594 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[61],ifu_bus_rdata_ff[59],ifu_bus_rdata_ff[57],ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[48],_T_585}; // @[el2_lib.scala 347:27] - wire [34:0] _T_595 = {_T_594,_T_577}; // @[el2_lib.scala 347:27] - wire _T_596 = ^_T_595; // @[el2_lib.scala 347:34] - wire [7:0] _T_603 = {ifu_bus_rdata_ff[12],ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[9],ifu_bus_rdata_ff[6],ifu_bus_rdata_ff[5],ifu_bus_rdata_ff[3],ifu_bus_rdata_ff[2],ifu_bus_rdata_ff[0]}; // @[el2_lib.scala 347:44] - wire [16:0] _T_612 = {ifu_bus_rdata_ff[28],ifu_bus_rdata_ff[27],ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[20],ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[16],ifu_bus_rdata_ff[13],_T_603}; // @[el2_lib.scala 347:44] - wire [8:0] _T_620 = {ifu_bus_rdata_ff[47],ifu_bus_rdata_ff[44],ifu_bus_rdata_ff[43],ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[35],ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[31]}; // @[el2_lib.scala 347:44] - wire [17:0] _T_629 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[62],ifu_bus_rdata_ff[59],ifu_bus_rdata_ff[58],ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[48],_T_620}; // @[el2_lib.scala 347:44] - wire [34:0] _T_630 = {_T_629,_T_612}; // @[el2_lib.scala 347:44] - wire _T_631 = ^_T_630; // @[el2_lib.scala 347:51] - wire [7:0] _T_638 = {ifu_bus_rdata_ff[14],ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[9],ifu_bus_rdata_ff[8],ifu_bus_rdata_ff[7],ifu_bus_rdata_ff[3],ifu_bus_rdata_ff[2],ifu_bus_rdata_ff[1]}; // @[el2_lib.scala 347:61] - wire [16:0] _T_647 = {ifu_bus_rdata_ff[30],ifu_bus_rdata_ff[29],ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[22],ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[16],ifu_bus_rdata_ff[15],_T_638}; // @[el2_lib.scala 347:61] - wire [8:0] _T_655 = {ifu_bus_rdata_ff[47],ifu_bus_rdata_ff[46],ifu_bus_rdata_ff[45],ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[37],ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[31]}; // @[el2_lib.scala 347:61] - wire [17:0] _T_664 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[62],ifu_bus_rdata_ff[61],ifu_bus_rdata_ff[60],ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[48],_T_655}; // @[el2_lib.scala 347:61] - wire [34:0] _T_665 = {_T_664,_T_647}; // @[el2_lib.scala 347:61] - wire _T_666 = ^_T_665; // @[el2_lib.scala 347:68] - wire [6:0] _T_672 = {ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[9],ifu_bus_rdata_ff[8],ifu_bus_rdata_ff[7],ifu_bus_rdata_ff[6],ifu_bus_rdata_ff[5],ifu_bus_rdata_ff[4]}; // @[el2_lib.scala 347:78] - wire [14:0] _T_680 = {ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[22],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[20],ifu_bus_rdata_ff[19],ifu_bus_rdata_ff[18],_T_672}; // @[el2_lib.scala 347:78] - wire [7:0] _T_687 = {ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[37],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[35],ifu_bus_rdata_ff[34],ifu_bus_rdata_ff[33]}; // @[el2_lib.scala 347:78] - wire [30:0] _T_696 = {ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[49],_T_687,_T_680}; // @[el2_lib.scala 347:78] - wire _T_697 = ^_T_696; // @[el2_lib.scala 347:85] - wire [6:0] _T_703 = {ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[16],ifu_bus_rdata_ff[15],ifu_bus_rdata_ff[14],ifu_bus_rdata_ff[13],ifu_bus_rdata_ff[12],ifu_bus_rdata_ff[11]}; // @[el2_lib.scala 347:95] - wire [14:0] _T_711 = {ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[22],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[20],ifu_bus_rdata_ff[19],ifu_bus_rdata_ff[18],_T_703}; // @[el2_lib.scala 347:95] - wire [7:0] _T_718 = {ifu_bus_rdata_ff[48],ifu_bus_rdata_ff[47],ifu_bus_rdata_ff[46],ifu_bus_rdata_ff[45],ifu_bus_rdata_ff[44],ifu_bus_rdata_ff[43],ifu_bus_rdata_ff[42],ifu_bus_rdata_ff[41]}; // @[el2_lib.scala 347:95] - wire [30:0] _T_727 = {ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[49],_T_718,_T_711}; // @[el2_lib.scala 347:95] - wire _T_728 = ^_T_727; // @[el2_lib.scala 347:102] - wire [6:0] _T_734 = {ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[31],ifu_bus_rdata_ff[30],ifu_bus_rdata_ff[29],ifu_bus_rdata_ff[28],ifu_bus_rdata_ff[27],ifu_bus_rdata_ff[26]}; // @[el2_lib.scala 347:112] - wire [14:0] _T_742 = {ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[37],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[35],ifu_bus_rdata_ff[34],ifu_bus_rdata_ff[33],_T_734}; // @[el2_lib.scala 347:112] - wire [30:0] _T_758 = {ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[49],_T_718,_T_742}; // @[el2_lib.scala 347:112] - wire _T_759 = ^_T_758; // @[el2_lib.scala 347:119] - wire [6:0] _T_765 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[62],ifu_bus_rdata_ff[61],ifu_bus_rdata_ff[60],ifu_bus_rdata_ff[59],ifu_bus_rdata_ff[58],ifu_bus_rdata_ff[57]}; // @[el2_lib.scala 347:129] - wire _T_766 = ^_T_765; // @[el2_lib.scala 347:136] - wire [2:0] _T_768 = {_T_728,_T_759,_T_766}; // @[Cat.scala 29:58] - wire [3:0] _T_771 = {_T_596,_T_631,_T_666,_T_697}; // @[Cat.scala 29:58] + wire [7:0] _T_568 = {ifu_bus_rdata_ff[11],ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[8],ifu_bus_rdata_ff[6],ifu_bus_rdata_ff[4],ifu_bus_rdata_ff[3],ifu_bus_rdata_ff[1],ifu_bus_rdata_ff[0]}; // @[el2_lib.scala 384:27] + wire [16:0] _T_577 = {ifu_bus_rdata_ff[28],ifu_bus_rdata_ff[26],ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[19],ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[15],ifu_bus_rdata_ff[13],_T_568}; // @[el2_lib.scala 384:27] + wire [8:0] _T_585 = {ifu_bus_rdata_ff[46],ifu_bus_rdata_ff[44],ifu_bus_rdata_ff[42],ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[34],ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[30]}; // @[el2_lib.scala 384:27] + wire [17:0] _T_594 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[61],ifu_bus_rdata_ff[59],ifu_bus_rdata_ff[57],ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[48],_T_585}; // @[el2_lib.scala 384:27] + wire [34:0] _T_595 = {_T_594,_T_577}; // @[el2_lib.scala 384:27] + wire _T_596 = ^_T_595; // @[el2_lib.scala 384:34] + wire [7:0] _T_603 = {ifu_bus_rdata_ff[12],ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[9],ifu_bus_rdata_ff[6],ifu_bus_rdata_ff[5],ifu_bus_rdata_ff[3],ifu_bus_rdata_ff[2],ifu_bus_rdata_ff[0]}; // @[el2_lib.scala 384:44] + wire [16:0] _T_612 = {ifu_bus_rdata_ff[28],ifu_bus_rdata_ff[27],ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[20],ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[16],ifu_bus_rdata_ff[13],_T_603}; // @[el2_lib.scala 384:44] + wire [8:0] _T_620 = {ifu_bus_rdata_ff[47],ifu_bus_rdata_ff[44],ifu_bus_rdata_ff[43],ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[35],ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[31]}; // @[el2_lib.scala 384:44] + wire [17:0] _T_629 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[62],ifu_bus_rdata_ff[59],ifu_bus_rdata_ff[58],ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[48],_T_620}; // @[el2_lib.scala 384:44] + wire [34:0] _T_630 = {_T_629,_T_612}; // @[el2_lib.scala 384:44] + wire _T_631 = ^_T_630; // @[el2_lib.scala 384:51] + wire [7:0] _T_638 = {ifu_bus_rdata_ff[14],ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[9],ifu_bus_rdata_ff[8],ifu_bus_rdata_ff[7],ifu_bus_rdata_ff[3],ifu_bus_rdata_ff[2],ifu_bus_rdata_ff[1]}; // @[el2_lib.scala 384:61] + wire [16:0] _T_647 = {ifu_bus_rdata_ff[30],ifu_bus_rdata_ff[29],ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[22],ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[16],ifu_bus_rdata_ff[15],_T_638}; // @[el2_lib.scala 384:61] + wire [8:0] _T_655 = {ifu_bus_rdata_ff[47],ifu_bus_rdata_ff[46],ifu_bus_rdata_ff[45],ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[37],ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[31]}; // @[el2_lib.scala 384:61] + wire [17:0] _T_664 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[62],ifu_bus_rdata_ff[61],ifu_bus_rdata_ff[60],ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[48],_T_655}; // @[el2_lib.scala 384:61] + wire [34:0] _T_665 = {_T_664,_T_647}; // @[el2_lib.scala 384:61] + wire _T_666 = ^_T_665; // @[el2_lib.scala 384:68] + wire [6:0] _T_672 = {ifu_bus_rdata_ff[10],ifu_bus_rdata_ff[9],ifu_bus_rdata_ff[8],ifu_bus_rdata_ff[7],ifu_bus_rdata_ff[6],ifu_bus_rdata_ff[5],ifu_bus_rdata_ff[4]}; // @[el2_lib.scala 384:78] + wire [14:0] _T_680 = {ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[22],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[20],ifu_bus_rdata_ff[19],ifu_bus_rdata_ff[18],_T_672}; // @[el2_lib.scala 384:78] + wire [7:0] _T_687 = {ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[37],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[35],ifu_bus_rdata_ff[34],ifu_bus_rdata_ff[33]}; // @[el2_lib.scala 384:78] + wire [30:0] _T_696 = {ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[49],_T_687,_T_680}; // @[el2_lib.scala 384:78] + wire _T_697 = ^_T_696; // @[el2_lib.scala 384:85] + wire [6:0] _T_703 = {ifu_bus_rdata_ff[17],ifu_bus_rdata_ff[16],ifu_bus_rdata_ff[15],ifu_bus_rdata_ff[14],ifu_bus_rdata_ff[13],ifu_bus_rdata_ff[12],ifu_bus_rdata_ff[11]}; // @[el2_lib.scala 384:95] + wire [14:0] _T_711 = {ifu_bus_rdata_ff[25],ifu_bus_rdata_ff[24],ifu_bus_rdata_ff[23],ifu_bus_rdata_ff[22],ifu_bus_rdata_ff[21],ifu_bus_rdata_ff[20],ifu_bus_rdata_ff[19],ifu_bus_rdata_ff[18],_T_703}; // @[el2_lib.scala 384:95] + wire [7:0] _T_718 = {ifu_bus_rdata_ff[48],ifu_bus_rdata_ff[47],ifu_bus_rdata_ff[46],ifu_bus_rdata_ff[45],ifu_bus_rdata_ff[44],ifu_bus_rdata_ff[43],ifu_bus_rdata_ff[42],ifu_bus_rdata_ff[41]}; // @[el2_lib.scala 384:95] + wire [30:0] _T_727 = {ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[49],_T_718,_T_711}; // @[el2_lib.scala 384:95] + wire _T_728 = ^_T_727; // @[el2_lib.scala 384:102] + wire [6:0] _T_734 = {ifu_bus_rdata_ff[32],ifu_bus_rdata_ff[31],ifu_bus_rdata_ff[30],ifu_bus_rdata_ff[29],ifu_bus_rdata_ff[28],ifu_bus_rdata_ff[27],ifu_bus_rdata_ff[26]}; // @[el2_lib.scala 384:112] + wire [14:0] _T_742 = {ifu_bus_rdata_ff[40],ifu_bus_rdata_ff[39],ifu_bus_rdata_ff[38],ifu_bus_rdata_ff[37],ifu_bus_rdata_ff[36],ifu_bus_rdata_ff[35],ifu_bus_rdata_ff[34],ifu_bus_rdata_ff[33],_T_734}; // @[el2_lib.scala 384:112] + wire [30:0] _T_758 = {ifu_bus_rdata_ff[56],ifu_bus_rdata_ff[55],ifu_bus_rdata_ff[54],ifu_bus_rdata_ff[53],ifu_bus_rdata_ff[52],ifu_bus_rdata_ff[51],ifu_bus_rdata_ff[50],ifu_bus_rdata_ff[49],_T_718,_T_742}; // @[el2_lib.scala 384:112] + wire _T_759 = ^_T_758; // @[el2_lib.scala 384:119] + wire [6:0] _T_765 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[62],ifu_bus_rdata_ff[61],ifu_bus_rdata_ff[60],ifu_bus_rdata_ff[59],ifu_bus_rdata_ff[58],ifu_bus_rdata_ff[57]}; // @[el2_lib.scala 384:129] + wire _T_766 = ^_T_765; // @[el2_lib.scala 384:136] wire [3:0] _T_2297 = {ifu_bus_rid_ff[2:1],_T_2256,1'h1}; // @[Cat.scala 29:58] - wire _T_2298 = _T_2297 == 4'h0; // @[el2_ifu_mem_ctl.scala 469:89] + wire _T_2298 = _T_2297 == 4'h0; // @[el2_ifu_mem_ctl.scala 471:89] reg [31:0] ic_miss_buff_data_0; // @[Reg.scala 27:20] wire [31:0] _T_2345 = _T_2298 ? ic_miss_buff_data_0 : 32'h0; // @[Mux.scala 27:72] - wire _T_2301 = _T_2297 == 4'h1; // @[el2_ifu_mem_ctl.scala 469:89] + wire _T_2301 = _T_2297 == 4'h1; // @[el2_ifu_mem_ctl.scala 471:89] reg [31:0] ic_miss_buff_data_1; // @[Reg.scala 27:20] wire [31:0] _T_2346 = _T_2301 ? ic_miss_buff_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2361 = _T_2345 | _T_2346; // @[Mux.scala 27:72] - wire _T_2304 = _T_2297 == 4'h2; // @[el2_ifu_mem_ctl.scala 469:89] + wire _T_2304 = _T_2297 == 4'h2; // @[el2_ifu_mem_ctl.scala 471:89] reg [31:0] ic_miss_buff_data_2; // @[Reg.scala 27:20] wire [31:0] _T_2347 = _T_2304 ? ic_miss_buff_data_2 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2362 = _T_2361 | _T_2347; // @[Mux.scala 27:72] - wire _T_2307 = _T_2297 == 4'h3; // @[el2_ifu_mem_ctl.scala 469:89] + wire _T_2307 = _T_2297 == 4'h3; // @[el2_ifu_mem_ctl.scala 471:89] reg [31:0] ic_miss_buff_data_3; // @[Reg.scala 27:20] wire [31:0] _T_2348 = _T_2307 ? ic_miss_buff_data_3 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2363 = _T_2362 | _T_2348; // @[Mux.scala 27:72] - wire _T_2310 = _T_2297 == 4'h4; // @[el2_ifu_mem_ctl.scala 469:89] + wire _T_2310 = _T_2297 == 4'h4; // @[el2_ifu_mem_ctl.scala 471:89] reg [31:0] ic_miss_buff_data_4; // @[Reg.scala 27:20] wire [31:0] _T_2349 = _T_2310 ? ic_miss_buff_data_4 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2364 = _T_2363 | _T_2349; // @[Mux.scala 27:72] - wire _T_2313 = _T_2297 == 4'h5; // @[el2_ifu_mem_ctl.scala 469:89] + wire _T_2313 = _T_2297 == 4'h5; // @[el2_ifu_mem_ctl.scala 471:89] reg [31:0] ic_miss_buff_data_5; // @[Reg.scala 27:20] wire [31:0] _T_2350 = _T_2313 ? ic_miss_buff_data_5 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2365 = _T_2364 | _T_2350; // @[Mux.scala 27:72] - wire _T_2316 = _T_2297 == 4'h6; // @[el2_ifu_mem_ctl.scala 469:89] + wire _T_2316 = _T_2297 == 4'h6; // @[el2_ifu_mem_ctl.scala 471:89] reg [31:0] ic_miss_buff_data_6; // @[Reg.scala 27:20] wire [31:0] _T_2351 = _T_2316 ? ic_miss_buff_data_6 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2366 = _T_2365 | _T_2351; // @[Mux.scala 27:72] - wire _T_2319 = _T_2297 == 4'h7; // @[el2_ifu_mem_ctl.scala 469:89] + wire _T_2319 = _T_2297 == 4'h7; // @[el2_ifu_mem_ctl.scala 471:89] reg [31:0] ic_miss_buff_data_7; // @[Reg.scala 27:20] wire [31:0] _T_2352 = _T_2319 ? ic_miss_buff_data_7 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2367 = _T_2366 | _T_2352; // @[Mux.scala 27:72] - wire _T_2322 = _T_2297 == 4'h8; // @[el2_ifu_mem_ctl.scala 469:89] + wire _T_2322 = _T_2297 == 4'h8; // @[el2_ifu_mem_ctl.scala 471:89] reg [31:0] ic_miss_buff_data_8; // @[Reg.scala 27:20] wire [31:0] _T_2353 = _T_2322 ? ic_miss_buff_data_8 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2368 = _T_2367 | _T_2353; // @[Mux.scala 27:72] - wire _T_2325 = _T_2297 == 4'h9; // @[el2_ifu_mem_ctl.scala 469:89] + wire _T_2325 = _T_2297 == 4'h9; // @[el2_ifu_mem_ctl.scala 471:89] reg [31:0] ic_miss_buff_data_9; // @[Reg.scala 27:20] wire [31:0] _T_2354 = _T_2325 ? ic_miss_buff_data_9 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2369 = _T_2368 | _T_2354; // @[Mux.scala 27:72] - wire _T_2328 = _T_2297 == 4'ha; // @[el2_ifu_mem_ctl.scala 469:89] + wire _T_2328 = _T_2297 == 4'ha; // @[el2_ifu_mem_ctl.scala 471:89] reg [31:0] ic_miss_buff_data_10; // @[Reg.scala 27:20] wire [31:0] _T_2355 = _T_2328 ? ic_miss_buff_data_10 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2370 = _T_2369 | _T_2355; // @[Mux.scala 27:72] - wire _T_2331 = _T_2297 == 4'hb; // @[el2_ifu_mem_ctl.scala 469:89] + wire _T_2331 = _T_2297 == 4'hb; // @[el2_ifu_mem_ctl.scala 471:89] reg [31:0] ic_miss_buff_data_11; // @[Reg.scala 27:20] wire [31:0] _T_2356 = _T_2331 ? ic_miss_buff_data_11 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2371 = _T_2370 | _T_2356; // @[Mux.scala 27:72] - wire _T_2334 = _T_2297 == 4'hc; // @[el2_ifu_mem_ctl.scala 469:89] + wire _T_2334 = _T_2297 == 4'hc; // @[el2_ifu_mem_ctl.scala 471:89] reg [31:0] ic_miss_buff_data_12; // @[Reg.scala 27:20] wire [31:0] _T_2357 = _T_2334 ? ic_miss_buff_data_12 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2372 = _T_2371 | _T_2357; // @[Mux.scala 27:72] - wire _T_2337 = _T_2297 == 4'hd; // @[el2_ifu_mem_ctl.scala 469:89] + wire _T_2337 = _T_2297 == 4'hd; // @[el2_ifu_mem_ctl.scala 471:89] reg [31:0] ic_miss_buff_data_13; // @[Reg.scala 27:20] wire [31:0] _T_2358 = _T_2337 ? ic_miss_buff_data_13 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2373 = _T_2372 | _T_2358; // @[Mux.scala 27:72] - wire _T_2340 = _T_2297 == 4'he; // @[el2_ifu_mem_ctl.scala 469:89] + wire _T_2340 = _T_2297 == 4'he; // @[el2_ifu_mem_ctl.scala 471:89] reg [31:0] ic_miss_buff_data_14; // @[Reg.scala 27:20] wire [31:0] _T_2359 = _T_2340 ? ic_miss_buff_data_14 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2374 = _T_2373 | _T_2359; // @[Mux.scala 27:72] - wire _T_2343 = _T_2297 == 4'hf; // @[el2_ifu_mem_ctl.scala 469:89] + wire _T_2343 = _T_2297 == 4'hf; // @[el2_ifu_mem_ctl.scala 471:89] reg [31:0] ic_miss_buff_data_15; // @[Reg.scala 27:20] wire [31:0] _T_2360 = _T_2343 ? ic_miss_buff_data_15 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2375 = _T_2374 | _T_2360; // @[Mux.scala 27:72] wire [3:0] _T_2377 = {ifu_bus_rid_ff[2:1],_T_2256,1'h0}; // @[Cat.scala 29:58] - wire _T_2378 = _T_2377 == 4'h0; // @[el2_ifu_mem_ctl.scala 470:64] + wire _T_2378 = _T_2377 == 4'h0; // @[el2_ifu_mem_ctl.scala 472:64] wire [31:0] _T_2401 = _T_2378 ? ic_miss_buff_data_0 : 32'h0; // @[Mux.scala 27:72] - wire _T_2381 = _T_2377 == 4'h1; // @[el2_ifu_mem_ctl.scala 470:64] + wire _T_2381 = _T_2377 == 4'h1; // @[el2_ifu_mem_ctl.scala 472:64] wire [31:0] _T_2402 = _T_2381 ? ic_miss_buff_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2409 = _T_2401 | _T_2402; // @[Mux.scala 27:72] - wire _T_2384 = _T_2377 == 4'h2; // @[el2_ifu_mem_ctl.scala 470:64] + wire _T_2384 = _T_2377 == 4'h2; // @[el2_ifu_mem_ctl.scala 472:64] wire [31:0] _T_2403 = _T_2384 ? ic_miss_buff_data_2 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2410 = _T_2409 | _T_2403; // @[Mux.scala 27:72] - wire _T_2387 = _T_2377 == 4'h3; // @[el2_ifu_mem_ctl.scala 470:64] + wire _T_2387 = _T_2377 == 4'h3; // @[el2_ifu_mem_ctl.scala 472:64] wire [31:0] _T_2404 = _T_2387 ? ic_miss_buff_data_3 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2411 = _T_2410 | _T_2404; // @[Mux.scala 27:72] - wire _T_2390 = _T_2377 == 4'h4; // @[el2_ifu_mem_ctl.scala 470:64] + wire _T_2390 = _T_2377 == 4'h4; // @[el2_ifu_mem_ctl.scala 472:64] wire [31:0] _T_2405 = _T_2390 ? ic_miss_buff_data_4 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2412 = _T_2411 | _T_2405; // @[Mux.scala 27:72] - wire _T_2393 = _T_2377 == 4'h5; // @[el2_ifu_mem_ctl.scala 470:64] + wire _T_2393 = _T_2377 == 4'h5; // @[el2_ifu_mem_ctl.scala 472:64] wire [31:0] _T_2406 = _T_2393 ? ic_miss_buff_data_5 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2413 = _T_2412 | _T_2406; // @[Mux.scala 27:72] - wire _T_2396 = _T_2377 == 4'h6; // @[el2_ifu_mem_ctl.scala 470:64] + wire _T_2396 = _T_2377 == 4'h6; // @[el2_ifu_mem_ctl.scala 472:64] wire [31:0] _T_2407 = _T_2396 ? ic_miss_buff_data_6 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2414 = _T_2413 | _T_2407; // @[Mux.scala 27:72] - wire _T_2399 = _T_2377 == 4'h7; // @[el2_ifu_mem_ctl.scala 470:64] + wire _T_2399 = _T_2377 == 4'h7; // @[el2_ifu_mem_ctl.scala 472:64] wire [31:0] _T_2408 = _T_2399 ? ic_miss_buff_data_7 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2415 = _T_2414 | _T_2408; // @[Mux.scala 27:72] wire [63:0] ic_miss_buff_half = {_T_2375,_T_2415}; // @[Cat.scala 29:58] - wire [7:0] _T_990 = {ic_miss_buff_half[11],ic_miss_buff_half[10],ic_miss_buff_half[8],ic_miss_buff_half[6],ic_miss_buff_half[4],ic_miss_buff_half[3],ic_miss_buff_half[1],ic_miss_buff_half[0]}; // @[el2_lib.scala 347:27] - wire [16:0] _T_999 = {ic_miss_buff_half[28],ic_miss_buff_half[26],ic_miss_buff_half[25],ic_miss_buff_half[23],ic_miss_buff_half[21],ic_miss_buff_half[19],ic_miss_buff_half[17],ic_miss_buff_half[15],ic_miss_buff_half[13],_T_990}; // @[el2_lib.scala 347:27] - wire [8:0] _T_1007 = {ic_miss_buff_half[46],ic_miss_buff_half[44],ic_miss_buff_half[42],ic_miss_buff_half[40],ic_miss_buff_half[38],ic_miss_buff_half[36],ic_miss_buff_half[34],ic_miss_buff_half[32],ic_miss_buff_half[30]}; // @[el2_lib.scala 347:27] - wire [17:0] _T_1016 = {ic_miss_buff_half[63],ic_miss_buff_half[61],ic_miss_buff_half[59],ic_miss_buff_half[57],ic_miss_buff_half[56],ic_miss_buff_half[54],ic_miss_buff_half[52],ic_miss_buff_half[50],ic_miss_buff_half[48],_T_1007}; // @[el2_lib.scala 347:27] - wire [34:0] _T_1017 = {_T_1016,_T_999}; // @[el2_lib.scala 347:27] - wire _T_1018 = ^_T_1017; // @[el2_lib.scala 347:34] - wire [7:0] _T_1025 = {ic_miss_buff_half[12],ic_miss_buff_half[10],ic_miss_buff_half[9],ic_miss_buff_half[6],ic_miss_buff_half[5],ic_miss_buff_half[3],ic_miss_buff_half[2],ic_miss_buff_half[0]}; // @[el2_lib.scala 347:44] - wire [16:0] _T_1034 = {ic_miss_buff_half[28],ic_miss_buff_half[27],ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[21],ic_miss_buff_half[20],ic_miss_buff_half[17],ic_miss_buff_half[16],ic_miss_buff_half[13],_T_1025}; // @[el2_lib.scala 347:44] - wire [8:0] _T_1042 = {ic_miss_buff_half[47],ic_miss_buff_half[44],ic_miss_buff_half[43],ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[36],ic_miss_buff_half[35],ic_miss_buff_half[32],ic_miss_buff_half[31]}; // @[el2_lib.scala 347:44] - wire [17:0] _T_1051 = {ic_miss_buff_half[63],ic_miss_buff_half[62],ic_miss_buff_half[59],ic_miss_buff_half[58],ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[48],_T_1042}; // @[el2_lib.scala 347:44] - wire [34:0] _T_1052 = {_T_1051,_T_1034}; // @[el2_lib.scala 347:44] - wire _T_1053 = ^_T_1052; // @[el2_lib.scala 347:51] - wire [7:0] _T_1060 = {ic_miss_buff_half[14],ic_miss_buff_half[10],ic_miss_buff_half[9],ic_miss_buff_half[8],ic_miss_buff_half[7],ic_miss_buff_half[3],ic_miss_buff_half[2],ic_miss_buff_half[1]}; // @[el2_lib.scala 347:61] - wire [16:0] _T_1069 = {ic_miss_buff_half[30],ic_miss_buff_half[29],ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[23],ic_miss_buff_half[22],ic_miss_buff_half[17],ic_miss_buff_half[16],ic_miss_buff_half[15],_T_1060}; // @[el2_lib.scala 347:61] - wire [8:0] _T_1077 = {ic_miss_buff_half[47],ic_miss_buff_half[46],ic_miss_buff_half[45],ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[38],ic_miss_buff_half[37],ic_miss_buff_half[32],ic_miss_buff_half[31]}; // @[el2_lib.scala 347:61] - wire [17:0] _T_1086 = {ic_miss_buff_half[63],ic_miss_buff_half[62],ic_miss_buff_half[61],ic_miss_buff_half[60],ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[48],_T_1077}; // @[el2_lib.scala 347:61] - wire [34:0] _T_1087 = {_T_1086,_T_1069}; // @[el2_lib.scala 347:61] - wire _T_1088 = ^_T_1087; // @[el2_lib.scala 347:68] - wire [6:0] _T_1094 = {ic_miss_buff_half[10],ic_miss_buff_half[9],ic_miss_buff_half[8],ic_miss_buff_half[7],ic_miss_buff_half[6],ic_miss_buff_half[5],ic_miss_buff_half[4]}; // @[el2_lib.scala 347:78] - wire [14:0] _T_1102 = {ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[23],ic_miss_buff_half[22],ic_miss_buff_half[21],ic_miss_buff_half[20],ic_miss_buff_half[19],ic_miss_buff_half[18],_T_1094}; // @[el2_lib.scala 347:78] - wire [7:0] _T_1109 = {ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[38],ic_miss_buff_half[37],ic_miss_buff_half[36],ic_miss_buff_half[35],ic_miss_buff_half[34],ic_miss_buff_half[33]}; // @[el2_lib.scala 347:78] - wire [30:0] _T_1118 = {ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[50],ic_miss_buff_half[49],_T_1109,_T_1102}; // @[el2_lib.scala 347:78] - wire _T_1119 = ^_T_1118; // @[el2_lib.scala 347:85] - wire [6:0] _T_1125 = {ic_miss_buff_half[17],ic_miss_buff_half[16],ic_miss_buff_half[15],ic_miss_buff_half[14],ic_miss_buff_half[13],ic_miss_buff_half[12],ic_miss_buff_half[11]}; // @[el2_lib.scala 347:95] - wire [14:0] _T_1133 = {ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[23],ic_miss_buff_half[22],ic_miss_buff_half[21],ic_miss_buff_half[20],ic_miss_buff_half[19],ic_miss_buff_half[18],_T_1125}; // @[el2_lib.scala 347:95] - wire [7:0] _T_1140 = {ic_miss_buff_half[48],ic_miss_buff_half[47],ic_miss_buff_half[46],ic_miss_buff_half[45],ic_miss_buff_half[44],ic_miss_buff_half[43],ic_miss_buff_half[42],ic_miss_buff_half[41]}; // @[el2_lib.scala 347:95] - wire [30:0] _T_1149 = {ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[50],ic_miss_buff_half[49],_T_1140,_T_1133}; // @[el2_lib.scala 347:95] - wire _T_1150 = ^_T_1149; // @[el2_lib.scala 347:102] - wire [6:0] _T_1156 = {ic_miss_buff_half[32],ic_miss_buff_half[31],ic_miss_buff_half[30],ic_miss_buff_half[29],ic_miss_buff_half[28],ic_miss_buff_half[27],ic_miss_buff_half[26]}; // @[el2_lib.scala 347:112] - wire [14:0] _T_1164 = {ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[38],ic_miss_buff_half[37],ic_miss_buff_half[36],ic_miss_buff_half[35],ic_miss_buff_half[34],ic_miss_buff_half[33],_T_1156}; // @[el2_lib.scala 347:112] - wire [30:0] _T_1180 = {ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[50],ic_miss_buff_half[49],_T_1140,_T_1164}; // @[el2_lib.scala 347:112] - wire _T_1181 = ^_T_1180; // @[el2_lib.scala 347:119] - wire [6:0] _T_1187 = {ic_miss_buff_half[63],ic_miss_buff_half[62],ic_miss_buff_half[61],ic_miss_buff_half[60],ic_miss_buff_half[59],ic_miss_buff_half[58],ic_miss_buff_half[57]}; // @[el2_lib.scala 347:129] - wire _T_1188 = ^_T_1187; // @[el2_lib.scala 347:136] + wire [7:0] _T_990 = {ic_miss_buff_half[11],ic_miss_buff_half[10],ic_miss_buff_half[8],ic_miss_buff_half[6],ic_miss_buff_half[4],ic_miss_buff_half[3],ic_miss_buff_half[1],ic_miss_buff_half[0]}; // @[el2_lib.scala 384:27] + wire [16:0] _T_999 = {ic_miss_buff_half[28],ic_miss_buff_half[26],ic_miss_buff_half[25],ic_miss_buff_half[23],ic_miss_buff_half[21],ic_miss_buff_half[19],ic_miss_buff_half[17],ic_miss_buff_half[15],ic_miss_buff_half[13],_T_990}; // @[el2_lib.scala 384:27] + wire [8:0] _T_1007 = {ic_miss_buff_half[46],ic_miss_buff_half[44],ic_miss_buff_half[42],ic_miss_buff_half[40],ic_miss_buff_half[38],ic_miss_buff_half[36],ic_miss_buff_half[34],ic_miss_buff_half[32],ic_miss_buff_half[30]}; // @[el2_lib.scala 384:27] + wire [17:0] _T_1016 = {ic_miss_buff_half[63],ic_miss_buff_half[61],ic_miss_buff_half[59],ic_miss_buff_half[57],ic_miss_buff_half[56],ic_miss_buff_half[54],ic_miss_buff_half[52],ic_miss_buff_half[50],ic_miss_buff_half[48],_T_1007}; // @[el2_lib.scala 384:27] + wire [34:0] _T_1017 = {_T_1016,_T_999}; // @[el2_lib.scala 384:27] + wire _T_1018 = ^_T_1017; // @[el2_lib.scala 384:34] + wire [7:0] _T_1025 = {ic_miss_buff_half[12],ic_miss_buff_half[10],ic_miss_buff_half[9],ic_miss_buff_half[6],ic_miss_buff_half[5],ic_miss_buff_half[3],ic_miss_buff_half[2],ic_miss_buff_half[0]}; // @[el2_lib.scala 384:44] + wire [16:0] _T_1034 = {ic_miss_buff_half[28],ic_miss_buff_half[27],ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[21],ic_miss_buff_half[20],ic_miss_buff_half[17],ic_miss_buff_half[16],ic_miss_buff_half[13],_T_1025}; // @[el2_lib.scala 384:44] + wire [8:0] _T_1042 = {ic_miss_buff_half[47],ic_miss_buff_half[44],ic_miss_buff_half[43],ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[36],ic_miss_buff_half[35],ic_miss_buff_half[32],ic_miss_buff_half[31]}; // @[el2_lib.scala 384:44] + wire [17:0] _T_1051 = {ic_miss_buff_half[63],ic_miss_buff_half[62],ic_miss_buff_half[59],ic_miss_buff_half[58],ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[48],_T_1042}; // @[el2_lib.scala 384:44] + wire [34:0] _T_1052 = {_T_1051,_T_1034}; // @[el2_lib.scala 384:44] + wire _T_1053 = ^_T_1052; // @[el2_lib.scala 384:51] + wire [7:0] _T_1060 = {ic_miss_buff_half[14],ic_miss_buff_half[10],ic_miss_buff_half[9],ic_miss_buff_half[8],ic_miss_buff_half[7],ic_miss_buff_half[3],ic_miss_buff_half[2],ic_miss_buff_half[1]}; // @[el2_lib.scala 384:61] + wire [16:0] _T_1069 = {ic_miss_buff_half[30],ic_miss_buff_half[29],ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[23],ic_miss_buff_half[22],ic_miss_buff_half[17],ic_miss_buff_half[16],ic_miss_buff_half[15],_T_1060}; // @[el2_lib.scala 384:61] + wire [8:0] _T_1077 = {ic_miss_buff_half[47],ic_miss_buff_half[46],ic_miss_buff_half[45],ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[38],ic_miss_buff_half[37],ic_miss_buff_half[32],ic_miss_buff_half[31]}; // @[el2_lib.scala 384:61] + wire [17:0] _T_1086 = {ic_miss_buff_half[63],ic_miss_buff_half[62],ic_miss_buff_half[61],ic_miss_buff_half[60],ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[48],_T_1077}; // @[el2_lib.scala 384:61] + wire [34:0] _T_1087 = {_T_1086,_T_1069}; // @[el2_lib.scala 384:61] + wire _T_1088 = ^_T_1087; // @[el2_lib.scala 384:68] + wire [6:0] _T_1094 = {ic_miss_buff_half[10],ic_miss_buff_half[9],ic_miss_buff_half[8],ic_miss_buff_half[7],ic_miss_buff_half[6],ic_miss_buff_half[5],ic_miss_buff_half[4]}; // @[el2_lib.scala 384:78] + wire [14:0] _T_1102 = {ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[23],ic_miss_buff_half[22],ic_miss_buff_half[21],ic_miss_buff_half[20],ic_miss_buff_half[19],ic_miss_buff_half[18],_T_1094}; // @[el2_lib.scala 384:78] + wire [7:0] _T_1109 = {ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[38],ic_miss_buff_half[37],ic_miss_buff_half[36],ic_miss_buff_half[35],ic_miss_buff_half[34],ic_miss_buff_half[33]}; // @[el2_lib.scala 384:78] + wire [30:0] _T_1118 = {ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[50],ic_miss_buff_half[49],_T_1109,_T_1102}; // @[el2_lib.scala 384:78] + wire _T_1119 = ^_T_1118; // @[el2_lib.scala 384:85] + wire [6:0] _T_1125 = {ic_miss_buff_half[17],ic_miss_buff_half[16],ic_miss_buff_half[15],ic_miss_buff_half[14],ic_miss_buff_half[13],ic_miss_buff_half[12],ic_miss_buff_half[11]}; // @[el2_lib.scala 384:95] + wire [14:0] _T_1133 = {ic_miss_buff_half[25],ic_miss_buff_half[24],ic_miss_buff_half[23],ic_miss_buff_half[22],ic_miss_buff_half[21],ic_miss_buff_half[20],ic_miss_buff_half[19],ic_miss_buff_half[18],_T_1125}; // @[el2_lib.scala 384:95] + wire [7:0] _T_1140 = {ic_miss_buff_half[48],ic_miss_buff_half[47],ic_miss_buff_half[46],ic_miss_buff_half[45],ic_miss_buff_half[44],ic_miss_buff_half[43],ic_miss_buff_half[42],ic_miss_buff_half[41]}; // @[el2_lib.scala 384:95] + wire [30:0] _T_1149 = {ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[50],ic_miss_buff_half[49],_T_1140,_T_1133}; // @[el2_lib.scala 384:95] + wire _T_1150 = ^_T_1149; // @[el2_lib.scala 384:102] + wire [6:0] _T_1156 = {ic_miss_buff_half[32],ic_miss_buff_half[31],ic_miss_buff_half[30],ic_miss_buff_half[29],ic_miss_buff_half[28],ic_miss_buff_half[27],ic_miss_buff_half[26]}; // @[el2_lib.scala 384:112] + wire [14:0] _T_1164 = {ic_miss_buff_half[40],ic_miss_buff_half[39],ic_miss_buff_half[38],ic_miss_buff_half[37],ic_miss_buff_half[36],ic_miss_buff_half[35],ic_miss_buff_half[34],ic_miss_buff_half[33],_T_1156}; // @[el2_lib.scala 384:112] + wire [30:0] _T_1180 = {ic_miss_buff_half[56],ic_miss_buff_half[55],ic_miss_buff_half[54],ic_miss_buff_half[53],ic_miss_buff_half[52],ic_miss_buff_half[51],ic_miss_buff_half[50],ic_miss_buff_half[49],_T_1140,_T_1164}; // @[el2_lib.scala 384:112] + wire _T_1181 = ^_T_1180; // @[el2_lib.scala 384:119] + wire [6:0] _T_1187 = {ic_miss_buff_half[63],ic_miss_buff_half[62],ic_miss_buff_half[61],ic_miss_buff_half[60],ic_miss_buff_half[59],ic_miss_buff_half[58],ic_miss_buff_half[57]}; // @[el2_lib.scala 384:129] + wire _T_1188 = ^_T_1187; // @[el2_lib.scala 384:136] wire [2:0] _T_1190 = {_T_1150,_T_1181,_T_1188}; // @[Cat.scala 29:58] wire [3:0] _T_1193 = {_T_1018,_T_1053,_T_1088,_T_1119}; // @[Cat.scala 29:58] wire [70:0] _T_1235 = {_T_596,_T_631,_T_666,_T_697,_T_728,_T_759,_T_766,ifu_bus_rdata_ff}; // @[Cat.scala 29:58] wire [70:0] _T_1234 = {_T_1018,_T_1053,_T_1088,_T_1119,_T_1150,_T_1181,_T_1188,_T_2375,_T_2415}; // @[Cat.scala 29:58] wire [141:0] _T_1236 = {_T_596,_T_631,_T_666,_T_697,_T_728,_T_759,_T_766,ifu_bus_rdata_ff,_T_1234}; // @[Cat.scala 29:58] wire [141:0] _T_1239 = {_T_1018,_T_1053,_T_1088,_T_1119,_T_1150,_T_1181,_T_1188,_T_2375,_T_2415,_T_1235}; // @[Cat.scala 29:58] - wire [141:0] ic_wr_16bytes_data = ifu_bus_rid_ff[0] ? _T_1236 : _T_1239; // @[el2_ifu_mem_ctl.scala 360:28] - wire _T_1196 = |io_ic_eccerr; // @[el2_ifu_mem_ctl.scala 350:56] - wire _T_1197 = _T_1196 & ic_act_hit_f; // @[el2_ifu_mem_ctl.scala 350:83] - wire [4:0] bypass_index = imb_ff[4:0]; // @[el2_ifu_mem_ctl.scala 416:28] - wire _T_1415 = bypass_index[4:2] == 3'h0; // @[el2_ifu_mem_ctl.scala 418:114] - wire bus_ifu_wr_en = _T_13 & miss_pending; // @[el2_ifu_mem_ctl.scala 617:35] - wire _T_1284 = io_ifu_axi_rid == 3'h0; // @[el2_ifu_mem_ctl.scala 401:91] - wire write_fill_data_0 = bus_ifu_wr_en & _T_1284; // @[el2_ifu_mem_ctl.scala 401:73] - wire _T_1341 = ~ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 407:118] - wire _T_1342 = ic_miss_buff_data_valid[0] & _T_1341; // @[el2_ifu_mem_ctl.scala 407:116] - wire ic_miss_buff_data_valid_in_0 = write_fill_data_0 | _T_1342; // @[el2_ifu_mem_ctl.scala 407:88] + wire [141:0] ic_wr_16bytes_data = ifu_bus_rid_ff[0] ? _T_1236 : _T_1239; // @[el2_ifu_mem_ctl.scala 362:28] + wire _T_1196 = |io_ic_eccerr; // @[el2_ifu_mem_ctl.scala 352:56] + wire _T_1197 = _T_1196 & ic_act_hit_f; // @[el2_ifu_mem_ctl.scala 352:83] + wire [4:0] bypass_index = imb_ff[4:0]; // @[el2_ifu_mem_ctl.scala 418:28] + wire _T_1415 = bypass_index[4:2] == 3'h0; // @[el2_ifu_mem_ctl.scala 420:114] + wire bus_ifu_wr_en = _T_13 & miss_pending; // @[el2_ifu_mem_ctl.scala 619:35] + wire _T_1284 = io_ifu_axi_rid == 3'h0; // @[el2_ifu_mem_ctl.scala 403:91] + wire write_fill_data_0 = bus_ifu_wr_en & _T_1284; // @[el2_ifu_mem_ctl.scala 403:73] + wire _T_1341 = ~ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 409:118] + wire _T_1342 = ic_miss_buff_data_valid[0] & _T_1341; // @[el2_ifu_mem_ctl.scala 409:116] + wire ic_miss_buff_data_valid_in_0 = write_fill_data_0 | _T_1342; // @[el2_ifu_mem_ctl.scala 409:88] wire _T_1438 = _T_1415 & ic_miss_buff_data_valid_in_0; // @[Mux.scala 27:72] - wire _T_1418 = bypass_index[4:2] == 3'h1; // @[el2_ifu_mem_ctl.scala 418:114] - wire _T_1285 = io_ifu_axi_rid == 3'h1; // @[el2_ifu_mem_ctl.scala 401:91] - wire write_fill_data_1 = bus_ifu_wr_en & _T_1285; // @[el2_ifu_mem_ctl.scala 401:73] - wire _T_1345 = ic_miss_buff_data_valid[1] & _T_1341; // @[el2_ifu_mem_ctl.scala 407:116] - wire ic_miss_buff_data_valid_in_1 = write_fill_data_1 | _T_1345; // @[el2_ifu_mem_ctl.scala 407:88] + wire _T_1418 = bypass_index[4:2] == 3'h1; // @[el2_ifu_mem_ctl.scala 420:114] + wire _T_1285 = io_ifu_axi_rid == 3'h1; // @[el2_ifu_mem_ctl.scala 403:91] + wire write_fill_data_1 = bus_ifu_wr_en & _T_1285; // @[el2_ifu_mem_ctl.scala 403:73] + wire _T_1345 = ic_miss_buff_data_valid[1] & _T_1341; // @[el2_ifu_mem_ctl.scala 409:116] + wire ic_miss_buff_data_valid_in_1 = write_fill_data_1 | _T_1345; // @[el2_ifu_mem_ctl.scala 409:88] wire _T_1439 = _T_1418 & ic_miss_buff_data_valid_in_1; // @[Mux.scala 27:72] wire _T_1446 = _T_1438 | _T_1439; // @[Mux.scala 27:72] - wire _T_1421 = bypass_index[4:2] == 3'h2; // @[el2_ifu_mem_ctl.scala 418:114] - wire _T_1286 = io_ifu_axi_rid == 3'h2; // @[el2_ifu_mem_ctl.scala 401:91] - wire write_fill_data_2 = bus_ifu_wr_en & _T_1286; // @[el2_ifu_mem_ctl.scala 401:73] - wire _T_1348 = ic_miss_buff_data_valid[2] & _T_1341; // @[el2_ifu_mem_ctl.scala 407:116] - wire ic_miss_buff_data_valid_in_2 = write_fill_data_2 | _T_1348; // @[el2_ifu_mem_ctl.scala 407:88] + wire _T_1421 = bypass_index[4:2] == 3'h2; // @[el2_ifu_mem_ctl.scala 420:114] + wire _T_1286 = io_ifu_axi_rid == 3'h2; // @[el2_ifu_mem_ctl.scala 403:91] + wire write_fill_data_2 = bus_ifu_wr_en & _T_1286; // @[el2_ifu_mem_ctl.scala 403:73] + wire _T_1348 = ic_miss_buff_data_valid[2] & _T_1341; // @[el2_ifu_mem_ctl.scala 409:116] + wire ic_miss_buff_data_valid_in_2 = write_fill_data_2 | _T_1348; // @[el2_ifu_mem_ctl.scala 409:88] wire _T_1440 = _T_1421 & ic_miss_buff_data_valid_in_2; // @[Mux.scala 27:72] wire _T_1447 = _T_1446 | _T_1440; // @[Mux.scala 27:72] - wire _T_1424 = bypass_index[4:2] == 3'h3; // @[el2_ifu_mem_ctl.scala 418:114] - wire _T_1287 = io_ifu_axi_rid == 3'h3; // @[el2_ifu_mem_ctl.scala 401:91] - wire write_fill_data_3 = bus_ifu_wr_en & _T_1287; // @[el2_ifu_mem_ctl.scala 401:73] - wire _T_1351 = ic_miss_buff_data_valid[3] & _T_1341; // @[el2_ifu_mem_ctl.scala 407:116] - wire ic_miss_buff_data_valid_in_3 = write_fill_data_3 | _T_1351; // @[el2_ifu_mem_ctl.scala 407:88] + wire _T_1424 = bypass_index[4:2] == 3'h3; // @[el2_ifu_mem_ctl.scala 420:114] + wire _T_1287 = io_ifu_axi_rid == 3'h3; // @[el2_ifu_mem_ctl.scala 403:91] + wire write_fill_data_3 = bus_ifu_wr_en & _T_1287; // @[el2_ifu_mem_ctl.scala 403:73] + wire _T_1351 = ic_miss_buff_data_valid[3] & _T_1341; // @[el2_ifu_mem_ctl.scala 409:116] + wire ic_miss_buff_data_valid_in_3 = write_fill_data_3 | _T_1351; // @[el2_ifu_mem_ctl.scala 409:88] wire _T_1441 = _T_1424 & ic_miss_buff_data_valid_in_3; // @[Mux.scala 27:72] wire _T_1448 = _T_1447 | _T_1441; // @[Mux.scala 27:72] - wire _T_1427 = bypass_index[4:2] == 3'h4; // @[el2_ifu_mem_ctl.scala 418:114] - wire _T_1288 = io_ifu_axi_rid == 3'h4; // @[el2_ifu_mem_ctl.scala 401:91] - wire write_fill_data_4 = bus_ifu_wr_en & _T_1288; // @[el2_ifu_mem_ctl.scala 401:73] - wire _T_1354 = ic_miss_buff_data_valid[4] & _T_1341; // @[el2_ifu_mem_ctl.scala 407:116] - wire ic_miss_buff_data_valid_in_4 = write_fill_data_4 | _T_1354; // @[el2_ifu_mem_ctl.scala 407:88] + wire _T_1427 = bypass_index[4:2] == 3'h4; // @[el2_ifu_mem_ctl.scala 420:114] + wire _T_1288 = io_ifu_axi_rid == 3'h4; // @[el2_ifu_mem_ctl.scala 403:91] + wire write_fill_data_4 = bus_ifu_wr_en & _T_1288; // @[el2_ifu_mem_ctl.scala 403:73] + wire _T_1354 = ic_miss_buff_data_valid[4] & _T_1341; // @[el2_ifu_mem_ctl.scala 409:116] + wire ic_miss_buff_data_valid_in_4 = write_fill_data_4 | _T_1354; // @[el2_ifu_mem_ctl.scala 409:88] wire _T_1442 = _T_1427 & ic_miss_buff_data_valid_in_4; // @[Mux.scala 27:72] wire _T_1449 = _T_1448 | _T_1442; // @[Mux.scala 27:72] - wire _T_1430 = bypass_index[4:2] == 3'h5; // @[el2_ifu_mem_ctl.scala 418:114] - wire _T_1289 = io_ifu_axi_rid == 3'h5; // @[el2_ifu_mem_ctl.scala 401:91] - wire write_fill_data_5 = bus_ifu_wr_en & _T_1289; // @[el2_ifu_mem_ctl.scala 401:73] - wire _T_1357 = ic_miss_buff_data_valid[5] & _T_1341; // @[el2_ifu_mem_ctl.scala 407:116] - wire ic_miss_buff_data_valid_in_5 = write_fill_data_5 | _T_1357; // @[el2_ifu_mem_ctl.scala 407:88] + wire _T_1430 = bypass_index[4:2] == 3'h5; // @[el2_ifu_mem_ctl.scala 420:114] + wire _T_1289 = io_ifu_axi_rid == 3'h5; // @[el2_ifu_mem_ctl.scala 403:91] + wire write_fill_data_5 = bus_ifu_wr_en & _T_1289; // @[el2_ifu_mem_ctl.scala 403:73] + wire _T_1357 = ic_miss_buff_data_valid[5] & _T_1341; // @[el2_ifu_mem_ctl.scala 409:116] + wire ic_miss_buff_data_valid_in_5 = write_fill_data_5 | _T_1357; // @[el2_ifu_mem_ctl.scala 409:88] wire _T_1443 = _T_1430 & ic_miss_buff_data_valid_in_5; // @[Mux.scala 27:72] wire _T_1450 = _T_1449 | _T_1443; // @[Mux.scala 27:72] - wire _T_1433 = bypass_index[4:2] == 3'h6; // @[el2_ifu_mem_ctl.scala 418:114] - wire _T_1290 = io_ifu_axi_rid == 3'h6; // @[el2_ifu_mem_ctl.scala 401:91] - wire write_fill_data_6 = bus_ifu_wr_en & _T_1290; // @[el2_ifu_mem_ctl.scala 401:73] - wire _T_1360 = ic_miss_buff_data_valid[6] & _T_1341; // @[el2_ifu_mem_ctl.scala 407:116] - wire ic_miss_buff_data_valid_in_6 = write_fill_data_6 | _T_1360; // @[el2_ifu_mem_ctl.scala 407:88] + wire _T_1433 = bypass_index[4:2] == 3'h6; // @[el2_ifu_mem_ctl.scala 420:114] + wire _T_1290 = io_ifu_axi_rid == 3'h6; // @[el2_ifu_mem_ctl.scala 403:91] + wire write_fill_data_6 = bus_ifu_wr_en & _T_1290; // @[el2_ifu_mem_ctl.scala 403:73] + wire _T_1360 = ic_miss_buff_data_valid[6] & _T_1341; // @[el2_ifu_mem_ctl.scala 409:116] + wire ic_miss_buff_data_valid_in_6 = write_fill_data_6 | _T_1360; // @[el2_ifu_mem_ctl.scala 409:88] wire _T_1444 = _T_1433 & ic_miss_buff_data_valid_in_6; // @[Mux.scala 27:72] wire _T_1451 = _T_1450 | _T_1444; // @[Mux.scala 27:72] - wire _T_1436 = bypass_index[4:2] == 3'h7; // @[el2_ifu_mem_ctl.scala 418:114] - wire _T_1291 = io_ifu_axi_rid == 3'h7; // @[el2_ifu_mem_ctl.scala 401:91] - wire write_fill_data_7 = bus_ifu_wr_en & _T_1291; // @[el2_ifu_mem_ctl.scala 401:73] - wire _T_1363 = ic_miss_buff_data_valid[7] & _T_1341; // @[el2_ifu_mem_ctl.scala 407:116] - wire ic_miss_buff_data_valid_in_7 = write_fill_data_7 | _T_1363; // @[el2_ifu_mem_ctl.scala 407:88] + wire _T_1436 = bypass_index[4:2] == 3'h7; // @[el2_ifu_mem_ctl.scala 420:114] + wire _T_1291 = io_ifu_axi_rid == 3'h7; // @[el2_ifu_mem_ctl.scala 403:91] + wire write_fill_data_7 = bus_ifu_wr_en & _T_1291; // @[el2_ifu_mem_ctl.scala 403:73] + wire _T_1363 = ic_miss_buff_data_valid[7] & _T_1341; // @[el2_ifu_mem_ctl.scala 409:116] + wire ic_miss_buff_data_valid_in_7 = write_fill_data_7 | _T_1363; // @[el2_ifu_mem_ctl.scala 409:88] wire _T_1445 = _T_1436 & ic_miss_buff_data_valid_in_7; // @[Mux.scala 27:72] wire bypass_valid_value_check = _T_1451 | _T_1445; // @[Mux.scala 27:72] - wire _T_1454 = ~bypass_index[1]; // @[el2_ifu_mem_ctl.scala 419:58] - wire _T_1455 = bypass_valid_value_check & _T_1454; // @[el2_ifu_mem_ctl.scala 419:56] - wire _T_1457 = ~bypass_index[0]; // @[el2_ifu_mem_ctl.scala 419:77] - wire _T_1458 = _T_1455 & _T_1457; // @[el2_ifu_mem_ctl.scala 419:75] - wire _T_1463 = _T_1455 & bypass_index[0]; // @[el2_ifu_mem_ctl.scala 420:75] - wire _T_1464 = _T_1458 | _T_1463; // @[el2_ifu_mem_ctl.scala 419:95] - wire _T_1466 = bypass_valid_value_check & bypass_index[1]; // @[el2_ifu_mem_ctl.scala 421:56] - wire _T_1469 = _T_1466 & _T_1457; // @[el2_ifu_mem_ctl.scala 421:74] - wire _T_1470 = _T_1464 | _T_1469; // @[el2_ifu_mem_ctl.scala 420:94] - wire _T_1474 = _T_1466 & bypass_index[0]; // @[el2_ifu_mem_ctl.scala 422:51] - wire [2:0] bypass_index_5_3_inc = bypass_index[4:2] + 3'h1; // @[el2_ifu_mem_ctl.scala 417:70] - wire _T_1475 = bypass_index_5_3_inc == 3'h0; // @[el2_ifu_mem_ctl.scala 422:132] + wire _T_1454 = ~bypass_index[1]; // @[el2_ifu_mem_ctl.scala 421:58] + wire _T_1455 = bypass_valid_value_check & _T_1454; // @[el2_ifu_mem_ctl.scala 421:56] + wire _T_1457 = ~bypass_index[0]; // @[el2_ifu_mem_ctl.scala 421:77] + wire _T_1458 = _T_1455 & _T_1457; // @[el2_ifu_mem_ctl.scala 421:75] + wire _T_1463 = _T_1455 & bypass_index[0]; // @[el2_ifu_mem_ctl.scala 422:75] + wire _T_1464 = _T_1458 | _T_1463; // @[el2_ifu_mem_ctl.scala 421:95] + wire _T_1466 = bypass_valid_value_check & bypass_index[1]; // @[el2_ifu_mem_ctl.scala 423:56] + wire _T_1469 = _T_1466 & _T_1457; // @[el2_ifu_mem_ctl.scala 423:74] + wire _T_1470 = _T_1464 | _T_1469; // @[el2_ifu_mem_ctl.scala 422:94] + wire _T_1474 = _T_1466 & bypass_index[0]; // @[el2_ifu_mem_ctl.scala 424:51] + wire [2:0] bypass_index_5_3_inc = bypass_index[4:2] + 3'h1; // @[el2_ifu_mem_ctl.scala 419:70] + wire _T_1475 = bypass_index_5_3_inc == 3'h0; // @[el2_ifu_mem_ctl.scala 424:132] wire _T_1491 = _T_1475 & ic_miss_buff_data_valid_in_0; // @[Mux.scala 27:72] - wire _T_1477 = bypass_index_5_3_inc == 3'h1; // @[el2_ifu_mem_ctl.scala 422:132] + wire _T_1477 = bypass_index_5_3_inc == 3'h1; // @[el2_ifu_mem_ctl.scala 424:132] wire _T_1492 = _T_1477 & ic_miss_buff_data_valid_in_1; // @[Mux.scala 27:72] wire _T_1499 = _T_1491 | _T_1492; // @[Mux.scala 27:72] - wire _T_1479 = bypass_index_5_3_inc == 3'h2; // @[el2_ifu_mem_ctl.scala 422:132] + wire _T_1479 = bypass_index_5_3_inc == 3'h2; // @[el2_ifu_mem_ctl.scala 424:132] wire _T_1493 = _T_1479 & ic_miss_buff_data_valid_in_2; // @[Mux.scala 27:72] wire _T_1500 = _T_1499 | _T_1493; // @[Mux.scala 27:72] - wire _T_1481 = bypass_index_5_3_inc == 3'h3; // @[el2_ifu_mem_ctl.scala 422:132] + wire _T_1481 = bypass_index_5_3_inc == 3'h3; // @[el2_ifu_mem_ctl.scala 424:132] wire _T_1494 = _T_1481 & ic_miss_buff_data_valid_in_3; // @[Mux.scala 27:72] wire _T_1501 = _T_1500 | _T_1494; // @[Mux.scala 27:72] - wire _T_1483 = bypass_index_5_3_inc == 3'h4; // @[el2_ifu_mem_ctl.scala 422:132] + wire _T_1483 = bypass_index_5_3_inc == 3'h4; // @[el2_ifu_mem_ctl.scala 424:132] wire _T_1495 = _T_1483 & ic_miss_buff_data_valid_in_4; // @[Mux.scala 27:72] wire _T_1502 = _T_1501 | _T_1495; // @[Mux.scala 27:72] - wire _T_1485 = bypass_index_5_3_inc == 3'h5; // @[el2_ifu_mem_ctl.scala 422:132] + wire _T_1485 = bypass_index_5_3_inc == 3'h5; // @[el2_ifu_mem_ctl.scala 424:132] wire _T_1496 = _T_1485 & ic_miss_buff_data_valid_in_5; // @[Mux.scala 27:72] wire _T_1503 = _T_1502 | _T_1496; // @[Mux.scala 27:72] - wire _T_1487 = bypass_index_5_3_inc == 3'h6; // @[el2_ifu_mem_ctl.scala 422:132] + wire _T_1487 = bypass_index_5_3_inc == 3'h6; // @[el2_ifu_mem_ctl.scala 424:132] wire _T_1497 = _T_1487 & ic_miss_buff_data_valid_in_6; // @[Mux.scala 27:72] wire _T_1504 = _T_1503 | _T_1497; // @[Mux.scala 27:72] - wire _T_1489 = bypass_index_5_3_inc == 3'h7; // @[el2_ifu_mem_ctl.scala 422:132] + wire _T_1489 = bypass_index_5_3_inc == 3'h7; // @[el2_ifu_mem_ctl.scala 424:132] wire _T_1498 = _T_1489 & ic_miss_buff_data_valid_in_7; // @[Mux.scala 27:72] wire _T_1505 = _T_1504 | _T_1498; // @[Mux.scala 27:72] - wire _T_1507 = _T_1474 & _T_1505; // @[el2_ifu_mem_ctl.scala 422:69] - wire _T_1508 = _T_1470 | _T_1507; // @[el2_ifu_mem_ctl.scala 421:94] - wire [4:0] _GEN_664 = {{2'd0}, bypass_index[4:2]}; // @[el2_ifu_mem_ctl.scala 423:95] - wire _T_1511 = _GEN_664 == 5'h1f; // @[el2_ifu_mem_ctl.scala 423:95] - wire _T_1512 = bypass_valid_value_check & _T_1511; // @[el2_ifu_mem_ctl.scala 423:56] - wire bypass_data_ready_in = _T_1508 | _T_1512; // @[el2_ifu_mem_ctl.scala 422:181] - wire _T_1513 = bypass_data_ready_in & crit_wd_byp_ok_ff; // @[el2_ifu_mem_ctl.scala 427:53] - wire _T_1514 = _T_1513 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 427:73] - wire _T_1516 = _T_1514 & _T_317; // @[el2_ifu_mem_ctl.scala 427:96] - wire _T_1518 = _T_1516 & _T_58; // @[el2_ifu_mem_ctl.scala 427:118] - wire _T_1520 = crit_wd_byp_ok_ff & _T_17; // @[el2_ifu_mem_ctl.scala 428:73] - wire _T_1522 = _T_1520 & _T_317; // @[el2_ifu_mem_ctl.scala 428:96] - wire _T_1524 = _T_1522 & _T_58; // @[el2_ifu_mem_ctl.scala 428:118] - wire _T_1525 = _T_1518 | _T_1524; // @[el2_ifu_mem_ctl.scala 427:143] - reg ic_crit_wd_rdy_new_ff; // @[el2_ifu_mem_ctl.scala 430:58] - wire _T_1526 = ic_crit_wd_rdy_new_ff & crit_wd_byp_ok_ff; // @[el2_ifu_mem_ctl.scala 429:54] - wire _T_1527 = ~fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 429:76] - wire _T_1528 = _T_1526 & _T_1527; // @[el2_ifu_mem_ctl.scala 429:74] - wire _T_1530 = _T_1528 & _T_317; // @[el2_ifu_mem_ctl.scala 429:96] - wire ic_crit_wd_rdy_new_in = _T_1525 | _T_1530; // @[el2_ifu_mem_ctl.scala 428:143] - wire ic_crit_wd_rdy = ic_crit_wd_rdy_new_in | ic_crit_wd_rdy_new_ff; // @[el2_ifu_mem_ctl.scala 627:43] - wire _T_1251 = ic_crit_wd_rdy | _T_2235; // @[el2_ifu_mem_ctl.scala 374:38] - wire _T_1253 = _T_1251 | _T_2251; // @[el2_ifu_mem_ctl.scala 374:64] - wire _T_1254 = ~_T_1253; // @[el2_ifu_mem_ctl.scala 374:21] - wire _T_1255 = ~fetch_req_iccm_f; // @[el2_ifu_mem_ctl.scala 374:98] - wire sel_ic_data = _T_1254 & _T_1255; // @[el2_ifu_mem_ctl.scala 374:96] - wire _T_2418 = io_ic_tag_perr & sel_ic_data; // @[el2_ifu_mem_ctl.scala 472:44] - wire _T_1624 = ifu_fetch_addr_int_f[1] & ifu_fetch_addr_int_f[0]; // @[el2_ifu_mem_ctl.scala 441:31] - reg [7:0] ic_miss_buff_data_error; // @[el2_ifu_mem_ctl.scala 413:60] + wire _T_1507 = _T_1474 & _T_1505; // @[el2_ifu_mem_ctl.scala 424:69] + wire _T_1508 = _T_1470 | _T_1507; // @[el2_ifu_mem_ctl.scala 423:94] + wire [4:0] _GEN_664 = {{2'd0}, bypass_index[4:2]}; // @[el2_ifu_mem_ctl.scala 425:95] + wire _T_1511 = _GEN_664 == 5'h1f; // @[el2_ifu_mem_ctl.scala 425:95] + wire _T_1512 = bypass_valid_value_check & _T_1511; // @[el2_ifu_mem_ctl.scala 425:56] + wire bypass_data_ready_in = _T_1508 | _T_1512; // @[el2_ifu_mem_ctl.scala 424:181] + wire _T_1513 = bypass_data_ready_in & crit_wd_byp_ok_ff; // @[el2_ifu_mem_ctl.scala 429:53] + wire _T_1514 = _T_1513 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 429:73] + wire _T_1516 = _T_1514 & _T_317; // @[el2_ifu_mem_ctl.scala 429:96] + wire _T_1518 = _T_1516 & _T_58; // @[el2_ifu_mem_ctl.scala 429:118] + wire _T_1520 = crit_wd_byp_ok_ff & _T_17; // @[el2_ifu_mem_ctl.scala 430:73] + wire _T_1522 = _T_1520 & _T_317; // @[el2_ifu_mem_ctl.scala 430:96] + wire _T_1524 = _T_1522 & _T_58; // @[el2_ifu_mem_ctl.scala 430:118] + wire _T_1525 = _T_1518 | _T_1524; // @[el2_ifu_mem_ctl.scala 429:143] + reg ic_crit_wd_rdy_new_ff; // @[el2_ifu_mem_ctl.scala 432:58] + wire _T_1526 = ic_crit_wd_rdy_new_ff & crit_wd_byp_ok_ff; // @[el2_ifu_mem_ctl.scala 431:54] + wire _T_1527 = ~fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 431:76] + wire _T_1528 = _T_1526 & _T_1527; // @[el2_ifu_mem_ctl.scala 431:74] + wire _T_1530 = _T_1528 & _T_317; // @[el2_ifu_mem_ctl.scala 431:96] + wire ic_crit_wd_rdy_new_in = _T_1525 | _T_1530; // @[el2_ifu_mem_ctl.scala 430:143] + wire ic_crit_wd_rdy = ic_crit_wd_rdy_new_in | ic_crit_wd_rdy_new_ff; // @[el2_ifu_mem_ctl.scala 629:43] + wire _T_1251 = ic_crit_wd_rdy | _T_2235; // @[el2_ifu_mem_ctl.scala 376:38] + wire _T_1253 = _T_1251 | _T_2251; // @[el2_ifu_mem_ctl.scala 376:64] + wire _T_1254 = ~_T_1253; // @[el2_ifu_mem_ctl.scala 376:21] + wire _T_1255 = ~fetch_req_iccm_f; // @[el2_ifu_mem_ctl.scala 376:98] + wire sel_ic_data = _T_1254 & _T_1255; // @[el2_ifu_mem_ctl.scala 376:96] + wire _T_2418 = io_ic_tag_perr & sel_ic_data; // @[el2_ifu_mem_ctl.scala 474:44] + wire _T_1624 = ifu_fetch_addr_int_f[1] & ifu_fetch_addr_int_f[0]; // @[el2_ifu_mem_ctl.scala 443:31] + reg [7:0] ic_miss_buff_data_error; // @[el2_ifu_mem_ctl.scala 415:60] wire _T_1568 = _T_1415 & ic_miss_buff_data_error[0]; // @[Mux.scala 27:72] wire _T_1569 = _T_1418 & ic_miss_buff_data_error[1]; // @[Mux.scala 27:72] wire _T_1576 = _T_1568 | _T_1569; // @[Mux.scala 27:72] @@ -2190,986 +2296,986 @@ module el2_ifu_mem_ctl( wire _T_1620 = _T_1619 | _T_1613; // @[Mux.scala 27:72] wire _T_1614 = _T_2189 & ic_miss_buff_data_error[7]; // @[Mux.scala 27:72] wire ic_miss_buff_data_error_bypass_inc = _T_1620 | _T_1614; // @[Mux.scala 27:72] - wire _T_1625 = ic_miss_buff_data_error_bypass | ic_miss_buff_data_error_bypass_inc; // @[el2_ifu_mem_ctl.scala 443:70] - wire ifu_byp_data_err_new = _T_1624 ? ic_miss_buff_data_error_bypass : _T_1625; // @[el2_ifu_mem_ctl.scala 441:56] - wire ifc_bus_acc_fault_f = ic_byp_hit_f & ifu_byp_data_err_new; // @[el2_ifu_mem_ctl.scala 385:42] - wire _T_2419 = ifc_region_acc_fault_final_f | ifc_bus_acc_fault_f; // @[el2_ifu_mem_ctl.scala 472:91] - wire _T_2420 = ~_T_2419; // @[el2_ifu_mem_ctl.scala 472:60] - wire ic_rd_parity_final_err = _T_2418 & _T_2420; // @[el2_ifu_mem_ctl.scala 472:58] + wire _T_1625 = ic_miss_buff_data_error_bypass | ic_miss_buff_data_error_bypass_inc; // @[el2_ifu_mem_ctl.scala 445:70] + wire ifu_byp_data_err_new = _T_1624 ? ic_miss_buff_data_error_bypass : _T_1625; // @[el2_ifu_mem_ctl.scala 443:56] + wire ifc_bus_acc_fault_f = ic_byp_hit_f & ifu_byp_data_err_new; // @[el2_ifu_mem_ctl.scala 387:42] + wire _T_2419 = ifc_region_acc_fault_final_f | ifc_bus_acc_fault_f; // @[el2_ifu_mem_ctl.scala 474:91] + wire _T_2420 = ~_T_2419; // @[el2_ifu_mem_ctl.scala 474:60] + wire ic_rd_parity_final_err = _T_2418 & _T_2420; // @[el2_ifu_mem_ctl.scala 474:58] reg ic_debug_ict_array_sel_ff; // @[Reg.scala 27:20] reg ic_tag_valid_out_1_0; // @[Reg.scala 27:20] - wire _T_9691 = _T_4485 ? 1'h0 : ic_tag_valid_out_1_0; // @[el2_ifu_mem_ctl.scala 754:10] + wire _T_9691 = _T_4485 ? 1'h0 : ic_tag_valid_out_1_0; // @[el2_ifu_mem_ctl.scala 756:10] reg ic_tag_valid_out_1_1; // @[Reg.scala 27:20] - wire _T_9693 = _T_4489 ? 1'h0 : ic_tag_valid_out_1_1; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9946 = _T_9691 | _T_9693; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9693 = _T_4489 ? 1'h0 : ic_tag_valid_out_1_1; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9946 = _T_9691 | _T_9693; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_2; // @[Reg.scala 27:20] - wire _T_9695 = _T_4493 ? 1'h0 : ic_tag_valid_out_1_2; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9947 = _T_9946 | _T_9695; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9695 = _T_4493 ? 1'h0 : ic_tag_valid_out_1_2; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9947 = _T_9946 | _T_9695; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_3; // @[Reg.scala 27:20] - wire _T_9697 = _T_4497 ? 1'h0 : ic_tag_valid_out_1_3; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9948 = _T_9947 | _T_9697; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9697 = _T_4497 ? 1'h0 : ic_tag_valid_out_1_3; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9948 = _T_9947 | _T_9697; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_4; // @[Reg.scala 27:20] - wire _T_9699 = _T_4501 ? 1'h0 : ic_tag_valid_out_1_4; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9949 = _T_9948 | _T_9699; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9699 = _T_4501 ? 1'h0 : ic_tag_valid_out_1_4; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9949 = _T_9948 | _T_9699; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_5; // @[Reg.scala 27:20] - wire _T_9701 = _T_4505 ? 1'h0 : ic_tag_valid_out_1_5; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9950 = _T_9949 | _T_9701; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9701 = _T_4505 ? 1'h0 : ic_tag_valid_out_1_5; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9950 = _T_9949 | _T_9701; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_6; // @[Reg.scala 27:20] - wire _T_9703 = _T_4509 ? 1'h0 : ic_tag_valid_out_1_6; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9951 = _T_9950 | _T_9703; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9703 = _T_4509 ? 1'h0 : ic_tag_valid_out_1_6; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9951 = _T_9950 | _T_9703; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_7; // @[Reg.scala 27:20] - wire _T_9705 = _T_4513 ? 1'h0 : ic_tag_valid_out_1_7; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9952 = _T_9951 | _T_9705; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9705 = _T_4513 ? 1'h0 : ic_tag_valid_out_1_7; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9952 = _T_9951 | _T_9705; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_8; // @[Reg.scala 27:20] - wire _T_9707 = _T_4517 ? 1'h0 : ic_tag_valid_out_1_8; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9953 = _T_9952 | _T_9707; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9707 = _T_4517 ? 1'h0 : ic_tag_valid_out_1_8; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9953 = _T_9952 | _T_9707; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_9; // @[Reg.scala 27:20] - wire _T_9709 = _T_4521 ? 1'h0 : ic_tag_valid_out_1_9; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9954 = _T_9953 | _T_9709; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9709 = _T_4521 ? 1'h0 : ic_tag_valid_out_1_9; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9954 = _T_9953 | _T_9709; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_10; // @[Reg.scala 27:20] - wire _T_9711 = _T_4525 ? 1'h0 : ic_tag_valid_out_1_10; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9955 = _T_9954 | _T_9711; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9711 = _T_4525 ? 1'h0 : ic_tag_valid_out_1_10; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9955 = _T_9954 | _T_9711; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_11; // @[Reg.scala 27:20] - wire _T_9713 = _T_4529 ? 1'h0 : ic_tag_valid_out_1_11; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9956 = _T_9955 | _T_9713; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9713 = _T_4529 ? 1'h0 : ic_tag_valid_out_1_11; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9956 = _T_9955 | _T_9713; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_12; // @[Reg.scala 27:20] - wire _T_9715 = _T_4533 ? 1'h0 : ic_tag_valid_out_1_12; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9957 = _T_9956 | _T_9715; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9715 = _T_4533 ? 1'h0 : ic_tag_valid_out_1_12; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9957 = _T_9956 | _T_9715; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_13; // @[Reg.scala 27:20] - wire _T_9717 = _T_4537 ? 1'h0 : ic_tag_valid_out_1_13; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9958 = _T_9957 | _T_9717; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9717 = _T_4537 ? 1'h0 : ic_tag_valid_out_1_13; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9958 = _T_9957 | _T_9717; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_14; // @[Reg.scala 27:20] - wire _T_9719 = _T_4541 ? 1'h0 : ic_tag_valid_out_1_14; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9959 = _T_9958 | _T_9719; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9719 = _T_4541 ? 1'h0 : ic_tag_valid_out_1_14; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9959 = _T_9958 | _T_9719; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_15; // @[Reg.scala 27:20] - wire _T_9721 = _T_4545 ? 1'h0 : ic_tag_valid_out_1_15; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9960 = _T_9959 | _T_9721; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9721 = _T_4545 ? 1'h0 : ic_tag_valid_out_1_15; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9960 = _T_9959 | _T_9721; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_16; // @[Reg.scala 27:20] - wire _T_9723 = _T_4549 ? 1'h0 : ic_tag_valid_out_1_16; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9961 = _T_9960 | _T_9723; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9723 = _T_4549 ? 1'h0 : ic_tag_valid_out_1_16; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9961 = _T_9960 | _T_9723; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_17; // @[Reg.scala 27:20] - wire _T_9725 = _T_4553 ? 1'h0 : ic_tag_valid_out_1_17; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9962 = _T_9961 | _T_9725; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9725 = _T_4553 ? 1'h0 : ic_tag_valid_out_1_17; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9962 = _T_9961 | _T_9725; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_18; // @[Reg.scala 27:20] - wire _T_9727 = _T_4557 ? 1'h0 : ic_tag_valid_out_1_18; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9963 = _T_9962 | _T_9727; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9727 = _T_4557 ? 1'h0 : ic_tag_valid_out_1_18; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9963 = _T_9962 | _T_9727; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_19; // @[Reg.scala 27:20] - wire _T_9729 = _T_4561 ? 1'h0 : ic_tag_valid_out_1_19; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9964 = _T_9963 | _T_9729; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9729 = _T_4561 ? 1'h0 : ic_tag_valid_out_1_19; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9964 = _T_9963 | _T_9729; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_20; // @[Reg.scala 27:20] - wire _T_9731 = _T_4565 ? 1'h0 : ic_tag_valid_out_1_20; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9965 = _T_9964 | _T_9731; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9731 = _T_4565 ? 1'h0 : ic_tag_valid_out_1_20; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9965 = _T_9964 | _T_9731; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_21; // @[Reg.scala 27:20] - wire _T_9733 = _T_4569 ? 1'h0 : ic_tag_valid_out_1_21; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9966 = _T_9965 | _T_9733; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9733 = _T_4569 ? 1'h0 : ic_tag_valid_out_1_21; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9966 = _T_9965 | _T_9733; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_22; // @[Reg.scala 27:20] - wire _T_9735 = _T_4573 ? 1'h0 : ic_tag_valid_out_1_22; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9967 = _T_9966 | _T_9735; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9735 = _T_4573 ? 1'h0 : ic_tag_valid_out_1_22; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9967 = _T_9966 | _T_9735; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_23; // @[Reg.scala 27:20] - wire _T_9737 = _T_4577 ? 1'h0 : ic_tag_valid_out_1_23; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9968 = _T_9967 | _T_9737; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9737 = _T_4577 ? 1'h0 : ic_tag_valid_out_1_23; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9968 = _T_9967 | _T_9737; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_24; // @[Reg.scala 27:20] - wire _T_9739 = _T_4581 ? 1'h0 : ic_tag_valid_out_1_24; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9969 = _T_9968 | _T_9739; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9739 = _T_4581 ? 1'h0 : ic_tag_valid_out_1_24; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9969 = _T_9968 | _T_9739; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_25; // @[Reg.scala 27:20] - wire _T_9741 = _T_4585 ? 1'h0 : ic_tag_valid_out_1_25; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9970 = _T_9969 | _T_9741; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9741 = _T_4585 ? 1'h0 : ic_tag_valid_out_1_25; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9970 = _T_9969 | _T_9741; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_26; // @[Reg.scala 27:20] - wire _T_9743 = _T_4589 ? 1'h0 : ic_tag_valid_out_1_26; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9971 = _T_9970 | _T_9743; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9743 = _T_4589 ? 1'h0 : ic_tag_valid_out_1_26; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9971 = _T_9970 | _T_9743; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_27; // @[Reg.scala 27:20] - wire _T_9745 = _T_4593 ? 1'h0 : ic_tag_valid_out_1_27; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9972 = _T_9971 | _T_9745; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9745 = _T_4593 ? 1'h0 : ic_tag_valid_out_1_27; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9972 = _T_9971 | _T_9745; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_28; // @[Reg.scala 27:20] - wire _T_9747 = _T_4597 ? 1'h0 : ic_tag_valid_out_1_28; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9973 = _T_9972 | _T_9747; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9747 = _T_4597 ? 1'h0 : ic_tag_valid_out_1_28; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9973 = _T_9972 | _T_9747; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_29; // @[Reg.scala 27:20] - wire _T_9749 = _T_4601 ? 1'h0 : ic_tag_valid_out_1_29; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9974 = _T_9973 | _T_9749; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9749 = _T_4601 ? 1'h0 : ic_tag_valid_out_1_29; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9974 = _T_9973 | _T_9749; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_30; // @[Reg.scala 27:20] - wire _T_9751 = _T_4605 ? 1'h0 : ic_tag_valid_out_1_30; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9975 = _T_9974 | _T_9751; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9751 = _T_4605 ? 1'h0 : ic_tag_valid_out_1_30; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9975 = _T_9974 | _T_9751; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_31; // @[Reg.scala 27:20] - wire _T_9753 = _T_4609 ? 1'h0 : ic_tag_valid_out_1_31; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9976 = _T_9975 | _T_9753; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9753 = _T_4609 ? 1'h0 : ic_tag_valid_out_1_31; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9976 = _T_9975 | _T_9753; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_32; // @[Reg.scala 27:20] - wire _T_9755 = _T_4613 ? 1'h0 : ic_tag_valid_out_1_32; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9977 = _T_9976 | _T_9755; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9755 = _T_4613 ? 1'h0 : ic_tag_valid_out_1_32; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9977 = _T_9976 | _T_9755; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_33; // @[Reg.scala 27:20] - wire _T_9757 = _T_4617 ? 1'h0 : ic_tag_valid_out_1_33; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9978 = _T_9977 | _T_9757; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9757 = _T_4617 ? 1'h0 : ic_tag_valid_out_1_33; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9978 = _T_9977 | _T_9757; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_34; // @[Reg.scala 27:20] - wire _T_9759 = _T_4621 ? 1'h0 : ic_tag_valid_out_1_34; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9979 = _T_9978 | _T_9759; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9759 = _T_4621 ? 1'h0 : ic_tag_valid_out_1_34; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9979 = _T_9978 | _T_9759; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_35; // @[Reg.scala 27:20] - wire _T_9761 = _T_4625 ? 1'h0 : ic_tag_valid_out_1_35; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9980 = _T_9979 | _T_9761; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9761 = _T_4625 ? 1'h0 : ic_tag_valid_out_1_35; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9980 = _T_9979 | _T_9761; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_36; // @[Reg.scala 27:20] - wire _T_9763 = _T_4629 ? 1'h0 : ic_tag_valid_out_1_36; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9981 = _T_9980 | _T_9763; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9763 = _T_4629 ? 1'h0 : ic_tag_valid_out_1_36; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9981 = _T_9980 | _T_9763; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_37; // @[Reg.scala 27:20] - wire _T_9765 = _T_4633 ? 1'h0 : ic_tag_valid_out_1_37; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9982 = _T_9981 | _T_9765; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9765 = _T_4633 ? 1'h0 : ic_tag_valid_out_1_37; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9982 = _T_9981 | _T_9765; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_38; // @[Reg.scala 27:20] - wire _T_9767 = _T_4637 ? 1'h0 : ic_tag_valid_out_1_38; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9983 = _T_9982 | _T_9767; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9767 = _T_4637 ? 1'h0 : ic_tag_valid_out_1_38; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9983 = _T_9982 | _T_9767; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_39; // @[Reg.scala 27:20] - wire _T_9769 = _T_4641 ? 1'h0 : ic_tag_valid_out_1_39; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9984 = _T_9983 | _T_9769; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9769 = _T_4641 ? 1'h0 : ic_tag_valid_out_1_39; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9984 = _T_9983 | _T_9769; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_40; // @[Reg.scala 27:20] - wire _T_9771 = _T_4645 ? 1'h0 : ic_tag_valid_out_1_40; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9985 = _T_9984 | _T_9771; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9771 = _T_4645 ? 1'h0 : ic_tag_valid_out_1_40; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9985 = _T_9984 | _T_9771; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_41; // @[Reg.scala 27:20] - wire _T_9773 = _T_4649 ? 1'h0 : ic_tag_valid_out_1_41; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9986 = _T_9985 | _T_9773; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9773 = _T_4649 ? 1'h0 : ic_tag_valid_out_1_41; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9986 = _T_9985 | _T_9773; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_42; // @[Reg.scala 27:20] - wire _T_9775 = _T_4653 ? 1'h0 : ic_tag_valid_out_1_42; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9987 = _T_9986 | _T_9775; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9775 = _T_4653 ? 1'h0 : ic_tag_valid_out_1_42; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9987 = _T_9986 | _T_9775; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_43; // @[Reg.scala 27:20] - wire _T_9777 = _T_4657 ? 1'h0 : ic_tag_valid_out_1_43; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9988 = _T_9987 | _T_9777; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9777 = _T_4657 ? 1'h0 : ic_tag_valid_out_1_43; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9988 = _T_9987 | _T_9777; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_44; // @[Reg.scala 27:20] - wire _T_9779 = _T_4661 ? 1'h0 : ic_tag_valid_out_1_44; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9989 = _T_9988 | _T_9779; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9779 = _T_4661 ? 1'h0 : ic_tag_valid_out_1_44; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9989 = _T_9988 | _T_9779; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_45; // @[Reg.scala 27:20] - wire _T_9781 = _T_4665 ? 1'h0 : ic_tag_valid_out_1_45; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9990 = _T_9989 | _T_9781; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9781 = _T_4665 ? 1'h0 : ic_tag_valid_out_1_45; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9990 = _T_9989 | _T_9781; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_46; // @[Reg.scala 27:20] - wire _T_9783 = _T_4669 ? 1'h0 : ic_tag_valid_out_1_46; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9991 = _T_9990 | _T_9783; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9783 = _T_4669 ? 1'h0 : ic_tag_valid_out_1_46; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9991 = _T_9990 | _T_9783; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_47; // @[Reg.scala 27:20] - wire _T_9785 = _T_4673 ? 1'h0 : ic_tag_valid_out_1_47; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9992 = _T_9991 | _T_9785; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9785 = _T_4673 ? 1'h0 : ic_tag_valid_out_1_47; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9992 = _T_9991 | _T_9785; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_48; // @[Reg.scala 27:20] - wire _T_9787 = _T_4677 ? 1'h0 : ic_tag_valid_out_1_48; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9993 = _T_9992 | _T_9787; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9787 = _T_4677 ? 1'h0 : ic_tag_valid_out_1_48; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9993 = _T_9992 | _T_9787; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_49; // @[Reg.scala 27:20] - wire _T_9789 = _T_4681 ? 1'h0 : ic_tag_valid_out_1_49; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9994 = _T_9993 | _T_9789; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9789 = _T_4681 ? 1'h0 : ic_tag_valid_out_1_49; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9994 = _T_9993 | _T_9789; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_50; // @[Reg.scala 27:20] - wire _T_9791 = _T_4685 ? 1'h0 : ic_tag_valid_out_1_50; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9995 = _T_9994 | _T_9791; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9791 = _T_4685 ? 1'h0 : ic_tag_valid_out_1_50; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9995 = _T_9994 | _T_9791; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_51; // @[Reg.scala 27:20] - wire _T_9793 = _T_4689 ? 1'h0 : ic_tag_valid_out_1_51; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9996 = _T_9995 | _T_9793; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9793 = _T_4689 ? 1'h0 : ic_tag_valid_out_1_51; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9996 = _T_9995 | _T_9793; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_52; // @[Reg.scala 27:20] - wire _T_9795 = _T_4693 ? 1'h0 : ic_tag_valid_out_1_52; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9997 = _T_9996 | _T_9795; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9795 = _T_4693 ? 1'h0 : ic_tag_valid_out_1_52; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9997 = _T_9996 | _T_9795; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_53; // @[Reg.scala 27:20] - wire _T_9797 = _T_4697 ? 1'h0 : ic_tag_valid_out_1_53; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9998 = _T_9997 | _T_9797; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9797 = _T_4697 ? 1'h0 : ic_tag_valid_out_1_53; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9998 = _T_9997 | _T_9797; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_54; // @[Reg.scala 27:20] - wire _T_9799 = _T_4701 ? 1'h0 : ic_tag_valid_out_1_54; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9999 = _T_9998 | _T_9799; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9799 = _T_4701 ? 1'h0 : ic_tag_valid_out_1_54; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9999 = _T_9998 | _T_9799; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_55; // @[Reg.scala 27:20] - wire _T_9801 = _T_4705 ? 1'h0 : ic_tag_valid_out_1_55; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10000 = _T_9999 | _T_9801; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9801 = _T_4705 ? 1'h0 : ic_tag_valid_out_1_55; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10000 = _T_9999 | _T_9801; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_56; // @[Reg.scala 27:20] - wire _T_9803 = _T_4709 ? 1'h0 : ic_tag_valid_out_1_56; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10001 = _T_10000 | _T_9803; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9803 = _T_4709 ? 1'h0 : ic_tag_valid_out_1_56; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10001 = _T_10000 | _T_9803; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_57; // @[Reg.scala 27:20] - wire _T_9805 = _T_4713 ? 1'h0 : ic_tag_valid_out_1_57; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10002 = _T_10001 | _T_9805; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9805 = _T_4713 ? 1'h0 : ic_tag_valid_out_1_57; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10002 = _T_10001 | _T_9805; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_58; // @[Reg.scala 27:20] - wire _T_9807 = _T_4717 ? 1'h0 : ic_tag_valid_out_1_58; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10003 = _T_10002 | _T_9807; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9807 = _T_4717 ? 1'h0 : ic_tag_valid_out_1_58; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10003 = _T_10002 | _T_9807; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_59; // @[Reg.scala 27:20] - wire _T_9809 = _T_4721 ? 1'h0 : ic_tag_valid_out_1_59; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10004 = _T_10003 | _T_9809; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9809 = _T_4721 ? 1'h0 : ic_tag_valid_out_1_59; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10004 = _T_10003 | _T_9809; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_60; // @[Reg.scala 27:20] - wire _T_9811 = _T_4725 ? 1'h0 : ic_tag_valid_out_1_60; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10005 = _T_10004 | _T_9811; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9811 = _T_4725 ? 1'h0 : ic_tag_valid_out_1_60; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10005 = _T_10004 | _T_9811; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_61; // @[Reg.scala 27:20] - wire _T_9813 = _T_4729 ? 1'h0 : ic_tag_valid_out_1_61; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10006 = _T_10005 | _T_9813; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9813 = _T_4729 ? 1'h0 : ic_tag_valid_out_1_61; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10006 = _T_10005 | _T_9813; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_62; // @[Reg.scala 27:20] - wire _T_9815 = _T_4733 ? 1'h0 : ic_tag_valid_out_1_62; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10007 = _T_10006 | _T_9815; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9815 = _T_4733 ? 1'h0 : ic_tag_valid_out_1_62; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10007 = _T_10006 | _T_9815; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_63; // @[Reg.scala 27:20] - wire _T_9817 = _T_4737 ? 1'h0 : ic_tag_valid_out_1_63; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10008 = _T_10007 | _T_9817; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9817 = _T_4737 ? 1'h0 : ic_tag_valid_out_1_63; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10008 = _T_10007 | _T_9817; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_64; // @[Reg.scala 27:20] - wire _T_9819 = _T_4741 ? 1'h0 : ic_tag_valid_out_1_64; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10009 = _T_10008 | _T_9819; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9819 = _T_4741 ? 1'h0 : ic_tag_valid_out_1_64; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10009 = _T_10008 | _T_9819; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_65; // @[Reg.scala 27:20] - wire _T_9821 = _T_4745 ? 1'h0 : ic_tag_valid_out_1_65; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10010 = _T_10009 | _T_9821; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9821 = _T_4745 ? 1'h0 : ic_tag_valid_out_1_65; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10010 = _T_10009 | _T_9821; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_66; // @[Reg.scala 27:20] - wire _T_9823 = _T_4749 ? 1'h0 : ic_tag_valid_out_1_66; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10011 = _T_10010 | _T_9823; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9823 = _T_4749 ? 1'h0 : ic_tag_valid_out_1_66; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10011 = _T_10010 | _T_9823; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_67; // @[Reg.scala 27:20] - wire _T_9825 = _T_4753 ? 1'h0 : ic_tag_valid_out_1_67; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10012 = _T_10011 | _T_9825; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9825 = _T_4753 ? 1'h0 : ic_tag_valid_out_1_67; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10012 = _T_10011 | _T_9825; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_68; // @[Reg.scala 27:20] - wire _T_9827 = _T_4757 ? 1'h0 : ic_tag_valid_out_1_68; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10013 = _T_10012 | _T_9827; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9827 = _T_4757 ? 1'h0 : ic_tag_valid_out_1_68; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10013 = _T_10012 | _T_9827; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_69; // @[Reg.scala 27:20] - wire _T_9829 = _T_4761 ? 1'h0 : ic_tag_valid_out_1_69; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10014 = _T_10013 | _T_9829; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9829 = _T_4761 ? 1'h0 : ic_tag_valid_out_1_69; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10014 = _T_10013 | _T_9829; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_70; // @[Reg.scala 27:20] - wire _T_9831 = _T_4765 ? 1'h0 : ic_tag_valid_out_1_70; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10015 = _T_10014 | _T_9831; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9831 = _T_4765 ? 1'h0 : ic_tag_valid_out_1_70; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10015 = _T_10014 | _T_9831; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_71; // @[Reg.scala 27:20] - wire _T_9833 = _T_4769 ? 1'h0 : ic_tag_valid_out_1_71; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10016 = _T_10015 | _T_9833; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9833 = _T_4769 ? 1'h0 : ic_tag_valid_out_1_71; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10016 = _T_10015 | _T_9833; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_72; // @[Reg.scala 27:20] - wire _T_9835 = _T_4773 ? 1'h0 : ic_tag_valid_out_1_72; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10017 = _T_10016 | _T_9835; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9835 = _T_4773 ? 1'h0 : ic_tag_valid_out_1_72; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10017 = _T_10016 | _T_9835; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_73; // @[Reg.scala 27:20] - wire _T_9837 = _T_4777 ? 1'h0 : ic_tag_valid_out_1_73; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10018 = _T_10017 | _T_9837; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9837 = _T_4777 ? 1'h0 : ic_tag_valid_out_1_73; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10018 = _T_10017 | _T_9837; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_74; // @[Reg.scala 27:20] - wire _T_9839 = _T_4781 ? 1'h0 : ic_tag_valid_out_1_74; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10019 = _T_10018 | _T_9839; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9839 = _T_4781 ? 1'h0 : ic_tag_valid_out_1_74; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10019 = _T_10018 | _T_9839; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_75; // @[Reg.scala 27:20] - wire _T_9841 = _T_4785 ? 1'h0 : ic_tag_valid_out_1_75; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10020 = _T_10019 | _T_9841; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9841 = _T_4785 ? 1'h0 : ic_tag_valid_out_1_75; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10020 = _T_10019 | _T_9841; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_76; // @[Reg.scala 27:20] - wire _T_9843 = _T_4789 ? 1'h0 : ic_tag_valid_out_1_76; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10021 = _T_10020 | _T_9843; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9843 = _T_4789 ? 1'h0 : ic_tag_valid_out_1_76; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10021 = _T_10020 | _T_9843; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_77; // @[Reg.scala 27:20] - wire _T_9845 = _T_4793 ? 1'h0 : ic_tag_valid_out_1_77; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10022 = _T_10021 | _T_9845; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9845 = _T_4793 ? 1'h0 : ic_tag_valid_out_1_77; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10022 = _T_10021 | _T_9845; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_78; // @[Reg.scala 27:20] - wire _T_9847 = _T_4797 ? 1'h0 : ic_tag_valid_out_1_78; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10023 = _T_10022 | _T_9847; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9847 = _T_4797 ? 1'h0 : ic_tag_valid_out_1_78; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10023 = _T_10022 | _T_9847; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_79; // @[Reg.scala 27:20] - wire _T_9849 = _T_4801 ? 1'h0 : ic_tag_valid_out_1_79; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10024 = _T_10023 | _T_9849; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9849 = _T_4801 ? 1'h0 : ic_tag_valid_out_1_79; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10024 = _T_10023 | _T_9849; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_80; // @[Reg.scala 27:20] - wire _T_9851 = _T_4805 ? 1'h0 : ic_tag_valid_out_1_80; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10025 = _T_10024 | _T_9851; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9851 = _T_4805 ? 1'h0 : ic_tag_valid_out_1_80; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10025 = _T_10024 | _T_9851; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_81; // @[Reg.scala 27:20] - wire _T_9853 = _T_4809 ? 1'h0 : ic_tag_valid_out_1_81; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10026 = _T_10025 | _T_9853; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9853 = _T_4809 ? 1'h0 : ic_tag_valid_out_1_81; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10026 = _T_10025 | _T_9853; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_82; // @[Reg.scala 27:20] - wire _T_9855 = _T_4813 ? 1'h0 : ic_tag_valid_out_1_82; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10027 = _T_10026 | _T_9855; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9855 = _T_4813 ? 1'h0 : ic_tag_valid_out_1_82; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10027 = _T_10026 | _T_9855; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_83; // @[Reg.scala 27:20] - wire _T_9857 = _T_4817 ? 1'h0 : ic_tag_valid_out_1_83; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10028 = _T_10027 | _T_9857; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9857 = _T_4817 ? 1'h0 : ic_tag_valid_out_1_83; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10028 = _T_10027 | _T_9857; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_84; // @[Reg.scala 27:20] - wire _T_9859 = _T_4821 ? 1'h0 : ic_tag_valid_out_1_84; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10029 = _T_10028 | _T_9859; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9859 = _T_4821 ? 1'h0 : ic_tag_valid_out_1_84; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10029 = _T_10028 | _T_9859; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_85; // @[Reg.scala 27:20] - wire _T_9861 = _T_4825 ? 1'h0 : ic_tag_valid_out_1_85; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10030 = _T_10029 | _T_9861; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9861 = _T_4825 ? 1'h0 : ic_tag_valid_out_1_85; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10030 = _T_10029 | _T_9861; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_86; // @[Reg.scala 27:20] - wire _T_9863 = _T_4829 ? 1'h0 : ic_tag_valid_out_1_86; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10031 = _T_10030 | _T_9863; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9863 = _T_4829 ? 1'h0 : ic_tag_valid_out_1_86; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10031 = _T_10030 | _T_9863; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_87; // @[Reg.scala 27:20] - wire _T_9865 = _T_4833 ? 1'h0 : ic_tag_valid_out_1_87; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10032 = _T_10031 | _T_9865; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9865 = _T_4833 ? 1'h0 : ic_tag_valid_out_1_87; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10032 = _T_10031 | _T_9865; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_88; // @[Reg.scala 27:20] - wire _T_9867 = _T_4837 ? 1'h0 : ic_tag_valid_out_1_88; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10033 = _T_10032 | _T_9867; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9867 = _T_4837 ? 1'h0 : ic_tag_valid_out_1_88; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10033 = _T_10032 | _T_9867; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_89; // @[Reg.scala 27:20] - wire _T_9869 = _T_4841 ? 1'h0 : ic_tag_valid_out_1_89; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10034 = _T_10033 | _T_9869; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9869 = _T_4841 ? 1'h0 : ic_tag_valid_out_1_89; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10034 = _T_10033 | _T_9869; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_90; // @[Reg.scala 27:20] - wire _T_9871 = _T_4845 ? 1'h0 : ic_tag_valid_out_1_90; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10035 = _T_10034 | _T_9871; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9871 = _T_4845 ? 1'h0 : ic_tag_valid_out_1_90; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10035 = _T_10034 | _T_9871; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_91; // @[Reg.scala 27:20] - wire _T_9873 = _T_4849 ? 1'h0 : ic_tag_valid_out_1_91; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10036 = _T_10035 | _T_9873; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9873 = _T_4849 ? 1'h0 : ic_tag_valid_out_1_91; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10036 = _T_10035 | _T_9873; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_92; // @[Reg.scala 27:20] - wire _T_9875 = _T_4853 ? 1'h0 : ic_tag_valid_out_1_92; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10037 = _T_10036 | _T_9875; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9875 = _T_4853 ? 1'h0 : ic_tag_valid_out_1_92; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10037 = _T_10036 | _T_9875; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_93; // @[Reg.scala 27:20] - wire _T_9877 = _T_4857 ? 1'h0 : ic_tag_valid_out_1_93; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10038 = _T_10037 | _T_9877; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9877 = _T_4857 ? 1'h0 : ic_tag_valid_out_1_93; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10038 = _T_10037 | _T_9877; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_94; // @[Reg.scala 27:20] - wire _T_9879 = _T_4861 ? 1'h0 : ic_tag_valid_out_1_94; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10039 = _T_10038 | _T_9879; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9879 = _T_4861 ? 1'h0 : ic_tag_valid_out_1_94; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10039 = _T_10038 | _T_9879; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_95; // @[Reg.scala 27:20] - wire _T_9881 = _T_4865 ? 1'h0 : ic_tag_valid_out_1_95; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10040 = _T_10039 | _T_9881; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9881 = _T_4865 ? 1'h0 : ic_tag_valid_out_1_95; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10040 = _T_10039 | _T_9881; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_96; // @[Reg.scala 27:20] - wire _T_9883 = _T_4869 ? 1'h0 : ic_tag_valid_out_1_96; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10041 = _T_10040 | _T_9883; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9883 = _T_4869 ? 1'h0 : ic_tag_valid_out_1_96; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10041 = _T_10040 | _T_9883; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_97; // @[Reg.scala 27:20] - wire _T_9885 = _T_4873 ? 1'h0 : ic_tag_valid_out_1_97; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10042 = _T_10041 | _T_9885; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9885 = _T_4873 ? 1'h0 : ic_tag_valid_out_1_97; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10042 = _T_10041 | _T_9885; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_98; // @[Reg.scala 27:20] - wire _T_9887 = _T_4877 ? 1'h0 : ic_tag_valid_out_1_98; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10043 = _T_10042 | _T_9887; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9887 = _T_4877 ? 1'h0 : ic_tag_valid_out_1_98; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10043 = _T_10042 | _T_9887; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_99; // @[Reg.scala 27:20] - wire _T_9889 = _T_4881 ? 1'h0 : ic_tag_valid_out_1_99; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10044 = _T_10043 | _T_9889; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9889 = _T_4881 ? 1'h0 : ic_tag_valid_out_1_99; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10044 = _T_10043 | _T_9889; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_100; // @[Reg.scala 27:20] - wire _T_9891 = _T_4885 ? 1'h0 : ic_tag_valid_out_1_100; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10045 = _T_10044 | _T_9891; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9891 = _T_4885 ? 1'h0 : ic_tag_valid_out_1_100; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10045 = _T_10044 | _T_9891; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_101; // @[Reg.scala 27:20] - wire _T_9893 = _T_4889 ? 1'h0 : ic_tag_valid_out_1_101; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10046 = _T_10045 | _T_9893; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9893 = _T_4889 ? 1'h0 : ic_tag_valid_out_1_101; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10046 = _T_10045 | _T_9893; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_102; // @[Reg.scala 27:20] - wire _T_9895 = _T_4893 ? 1'h0 : ic_tag_valid_out_1_102; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10047 = _T_10046 | _T_9895; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9895 = _T_4893 ? 1'h0 : ic_tag_valid_out_1_102; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10047 = _T_10046 | _T_9895; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_103; // @[Reg.scala 27:20] - wire _T_9897 = _T_4897 ? 1'h0 : ic_tag_valid_out_1_103; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10048 = _T_10047 | _T_9897; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9897 = _T_4897 ? 1'h0 : ic_tag_valid_out_1_103; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10048 = _T_10047 | _T_9897; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_104; // @[Reg.scala 27:20] - wire _T_9899 = _T_4901 ? 1'h0 : ic_tag_valid_out_1_104; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10049 = _T_10048 | _T_9899; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9899 = _T_4901 ? 1'h0 : ic_tag_valid_out_1_104; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10049 = _T_10048 | _T_9899; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_105; // @[Reg.scala 27:20] - wire _T_9901 = _T_4905 ? 1'h0 : ic_tag_valid_out_1_105; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10050 = _T_10049 | _T_9901; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9901 = _T_4905 ? 1'h0 : ic_tag_valid_out_1_105; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10050 = _T_10049 | _T_9901; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_106; // @[Reg.scala 27:20] - wire _T_9903 = _T_4909 ? 1'h0 : ic_tag_valid_out_1_106; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10051 = _T_10050 | _T_9903; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9903 = _T_4909 ? 1'h0 : ic_tag_valid_out_1_106; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10051 = _T_10050 | _T_9903; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_107; // @[Reg.scala 27:20] - wire _T_9905 = _T_4913 ? 1'h0 : ic_tag_valid_out_1_107; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10052 = _T_10051 | _T_9905; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9905 = _T_4913 ? 1'h0 : ic_tag_valid_out_1_107; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10052 = _T_10051 | _T_9905; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_108; // @[Reg.scala 27:20] - wire _T_9907 = _T_4917 ? 1'h0 : ic_tag_valid_out_1_108; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10053 = _T_10052 | _T_9907; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9907 = _T_4917 ? 1'h0 : ic_tag_valid_out_1_108; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10053 = _T_10052 | _T_9907; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_109; // @[Reg.scala 27:20] - wire _T_9909 = _T_4921 ? 1'h0 : ic_tag_valid_out_1_109; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10054 = _T_10053 | _T_9909; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9909 = _T_4921 ? 1'h0 : ic_tag_valid_out_1_109; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10054 = _T_10053 | _T_9909; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_110; // @[Reg.scala 27:20] - wire _T_9911 = _T_4925 ? 1'h0 : ic_tag_valid_out_1_110; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10055 = _T_10054 | _T_9911; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9911 = _T_4925 ? 1'h0 : ic_tag_valid_out_1_110; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10055 = _T_10054 | _T_9911; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_111; // @[Reg.scala 27:20] - wire _T_9913 = _T_4929 ? 1'h0 : ic_tag_valid_out_1_111; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10056 = _T_10055 | _T_9913; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9913 = _T_4929 ? 1'h0 : ic_tag_valid_out_1_111; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10056 = _T_10055 | _T_9913; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_112; // @[Reg.scala 27:20] - wire _T_9915 = _T_4933 ? 1'h0 : ic_tag_valid_out_1_112; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10057 = _T_10056 | _T_9915; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9915 = _T_4933 ? 1'h0 : ic_tag_valid_out_1_112; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10057 = _T_10056 | _T_9915; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_113; // @[Reg.scala 27:20] - wire _T_9917 = _T_4937 ? 1'h0 : ic_tag_valid_out_1_113; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10058 = _T_10057 | _T_9917; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9917 = _T_4937 ? 1'h0 : ic_tag_valid_out_1_113; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10058 = _T_10057 | _T_9917; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_114; // @[Reg.scala 27:20] - wire _T_9919 = _T_4941 ? 1'h0 : ic_tag_valid_out_1_114; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10059 = _T_10058 | _T_9919; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9919 = _T_4941 ? 1'h0 : ic_tag_valid_out_1_114; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10059 = _T_10058 | _T_9919; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_115; // @[Reg.scala 27:20] - wire _T_9921 = _T_4945 ? 1'h0 : ic_tag_valid_out_1_115; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10060 = _T_10059 | _T_9921; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9921 = _T_4945 ? 1'h0 : ic_tag_valid_out_1_115; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10060 = _T_10059 | _T_9921; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_116; // @[Reg.scala 27:20] - wire _T_9923 = _T_4949 ? 1'h0 : ic_tag_valid_out_1_116; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10061 = _T_10060 | _T_9923; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9923 = _T_4949 ? 1'h0 : ic_tag_valid_out_1_116; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10061 = _T_10060 | _T_9923; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_117; // @[Reg.scala 27:20] - wire _T_9925 = _T_4953 ? 1'h0 : ic_tag_valid_out_1_117; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10062 = _T_10061 | _T_9925; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9925 = _T_4953 ? 1'h0 : ic_tag_valid_out_1_117; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10062 = _T_10061 | _T_9925; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_118; // @[Reg.scala 27:20] - wire _T_9927 = _T_4957 ? 1'h0 : ic_tag_valid_out_1_118; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10063 = _T_10062 | _T_9927; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9927 = _T_4957 ? 1'h0 : ic_tag_valid_out_1_118; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10063 = _T_10062 | _T_9927; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_119; // @[Reg.scala 27:20] - wire _T_9929 = _T_4961 ? 1'h0 : ic_tag_valid_out_1_119; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10064 = _T_10063 | _T_9929; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9929 = _T_4961 ? 1'h0 : ic_tag_valid_out_1_119; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10064 = _T_10063 | _T_9929; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_120; // @[Reg.scala 27:20] - wire _T_9931 = _T_4965 ? 1'h0 : ic_tag_valid_out_1_120; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10065 = _T_10064 | _T_9931; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9931 = _T_4965 ? 1'h0 : ic_tag_valid_out_1_120; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10065 = _T_10064 | _T_9931; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_121; // @[Reg.scala 27:20] - wire _T_9933 = _T_4969 ? 1'h0 : ic_tag_valid_out_1_121; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10066 = _T_10065 | _T_9933; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9933 = _T_4969 ? 1'h0 : ic_tag_valid_out_1_121; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10066 = _T_10065 | _T_9933; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_122; // @[Reg.scala 27:20] - wire _T_9935 = _T_4973 ? 1'h0 : ic_tag_valid_out_1_122; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10067 = _T_10066 | _T_9935; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9935 = _T_4973 ? 1'h0 : ic_tag_valid_out_1_122; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10067 = _T_10066 | _T_9935; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_123; // @[Reg.scala 27:20] - wire _T_9937 = _T_4977 ? 1'h0 : ic_tag_valid_out_1_123; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10068 = _T_10067 | _T_9937; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9937 = _T_4977 ? 1'h0 : ic_tag_valid_out_1_123; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10068 = _T_10067 | _T_9937; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_124; // @[Reg.scala 27:20] - wire _T_9939 = _T_4981 ? 1'h0 : ic_tag_valid_out_1_124; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10069 = _T_10068 | _T_9939; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9939 = _T_4981 ? 1'h0 : ic_tag_valid_out_1_124; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10069 = _T_10068 | _T_9939; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_125; // @[Reg.scala 27:20] - wire _T_9941 = _T_4985 ? 1'h0 : ic_tag_valid_out_1_125; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10070 = _T_10069 | _T_9941; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9941 = _T_4985 ? 1'h0 : ic_tag_valid_out_1_125; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10070 = _T_10069 | _T_9941; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_126; // @[Reg.scala 27:20] - wire _T_9943 = _T_4989 ? 1'h0 : ic_tag_valid_out_1_126; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10071 = _T_10070 | _T_9943; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9943 = _T_4989 ? 1'h0 : ic_tag_valid_out_1_126; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10071 = _T_10070 | _T_9943; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_1_127; // @[Reg.scala 27:20] - wire _T_9945 = _T_4993 ? 1'h0 : ic_tag_valid_out_1_127; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_10072 = _T_10071 | _T_9945; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9945 = _T_4993 ? 1'h0 : ic_tag_valid_out_1_127; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_10072 = _T_10071 | _T_9945; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_0; // @[Reg.scala 27:20] - wire _T_9308 = _T_4485 ? 1'h0 : ic_tag_valid_out_0_0; // @[el2_ifu_mem_ctl.scala 754:10] + wire _T_9308 = _T_4485 ? 1'h0 : ic_tag_valid_out_0_0; // @[el2_ifu_mem_ctl.scala 756:10] reg ic_tag_valid_out_0_1; // @[Reg.scala 27:20] - wire _T_9310 = _T_4489 ? 1'h0 : ic_tag_valid_out_0_1; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9563 = _T_9308 | _T_9310; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9310 = _T_4489 ? 1'h0 : ic_tag_valid_out_0_1; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9563 = _T_9308 | _T_9310; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_2; // @[Reg.scala 27:20] - wire _T_9312 = _T_4493 ? 1'h0 : ic_tag_valid_out_0_2; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9564 = _T_9563 | _T_9312; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9312 = _T_4493 ? 1'h0 : ic_tag_valid_out_0_2; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9564 = _T_9563 | _T_9312; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_3; // @[Reg.scala 27:20] - wire _T_9314 = _T_4497 ? 1'h0 : ic_tag_valid_out_0_3; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9565 = _T_9564 | _T_9314; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9314 = _T_4497 ? 1'h0 : ic_tag_valid_out_0_3; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9565 = _T_9564 | _T_9314; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_4; // @[Reg.scala 27:20] - wire _T_9316 = _T_4501 ? 1'h0 : ic_tag_valid_out_0_4; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9566 = _T_9565 | _T_9316; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9316 = _T_4501 ? 1'h0 : ic_tag_valid_out_0_4; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9566 = _T_9565 | _T_9316; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_5; // @[Reg.scala 27:20] - wire _T_9318 = _T_4505 ? 1'h0 : ic_tag_valid_out_0_5; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9567 = _T_9566 | _T_9318; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9318 = _T_4505 ? 1'h0 : ic_tag_valid_out_0_5; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9567 = _T_9566 | _T_9318; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_6; // @[Reg.scala 27:20] - wire _T_9320 = _T_4509 ? 1'h0 : ic_tag_valid_out_0_6; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9568 = _T_9567 | _T_9320; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9320 = _T_4509 ? 1'h0 : ic_tag_valid_out_0_6; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9568 = _T_9567 | _T_9320; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_7; // @[Reg.scala 27:20] - wire _T_9322 = _T_4513 ? 1'h0 : ic_tag_valid_out_0_7; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9569 = _T_9568 | _T_9322; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9322 = _T_4513 ? 1'h0 : ic_tag_valid_out_0_7; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9569 = _T_9568 | _T_9322; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_8; // @[Reg.scala 27:20] - wire _T_9324 = _T_4517 ? 1'h0 : ic_tag_valid_out_0_8; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9570 = _T_9569 | _T_9324; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9324 = _T_4517 ? 1'h0 : ic_tag_valid_out_0_8; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9570 = _T_9569 | _T_9324; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_9; // @[Reg.scala 27:20] - wire _T_9326 = _T_4521 ? 1'h0 : ic_tag_valid_out_0_9; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9571 = _T_9570 | _T_9326; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9326 = _T_4521 ? 1'h0 : ic_tag_valid_out_0_9; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9571 = _T_9570 | _T_9326; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_10; // @[Reg.scala 27:20] - wire _T_9328 = _T_4525 ? 1'h0 : ic_tag_valid_out_0_10; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9572 = _T_9571 | _T_9328; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9328 = _T_4525 ? 1'h0 : ic_tag_valid_out_0_10; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9572 = _T_9571 | _T_9328; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_11; // @[Reg.scala 27:20] - wire _T_9330 = _T_4529 ? 1'h0 : ic_tag_valid_out_0_11; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9573 = _T_9572 | _T_9330; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9330 = _T_4529 ? 1'h0 : ic_tag_valid_out_0_11; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9573 = _T_9572 | _T_9330; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_12; // @[Reg.scala 27:20] - wire _T_9332 = _T_4533 ? 1'h0 : ic_tag_valid_out_0_12; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9574 = _T_9573 | _T_9332; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9332 = _T_4533 ? 1'h0 : ic_tag_valid_out_0_12; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9574 = _T_9573 | _T_9332; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_13; // @[Reg.scala 27:20] - wire _T_9334 = _T_4537 ? 1'h0 : ic_tag_valid_out_0_13; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9575 = _T_9574 | _T_9334; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9334 = _T_4537 ? 1'h0 : ic_tag_valid_out_0_13; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9575 = _T_9574 | _T_9334; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_14; // @[Reg.scala 27:20] - wire _T_9336 = _T_4541 ? 1'h0 : ic_tag_valid_out_0_14; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9576 = _T_9575 | _T_9336; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9336 = _T_4541 ? 1'h0 : ic_tag_valid_out_0_14; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9576 = _T_9575 | _T_9336; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_15; // @[Reg.scala 27:20] - wire _T_9338 = _T_4545 ? 1'h0 : ic_tag_valid_out_0_15; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9577 = _T_9576 | _T_9338; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9338 = _T_4545 ? 1'h0 : ic_tag_valid_out_0_15; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9577 = _T_9576 | _T_9338; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_16; // @[Reg.scala 27:20] - wire _T_9340 = _T_4549 ? 1'h0 : ic_tag_valid_out_0_16; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9578 = _T_9577 | _T_9340; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9340 = _T_4549 ? 1'h0 : ic_tag_valid_out_0_16; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9578 = _T_9577 | _T_9340; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_17; // @[Reg.scala 27:20] - wire _T_9342 = _T_4553 ? 1'h0 : ic_tag_valid_out_0_17; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9579 = _T_9578 | _T_9342; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9342 = _T_4553 ? 1'h0 : ic_tag_valid_out_0_17; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9579 = _T_9578 | _T_9342; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_18; // @[Reg.scala 27:20] - wire _T_9344 = _T_4557 ? 1'h0 : ic_tag_valid_out_0_18; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9580 = _T_9579 | _T_9344; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9344 = _T_4557 ? 1'h0 : ic_tag_valid_out_0_18; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9580 = _T_9579 | _T_9344; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_19; // @[Reg.scala 27:20] - wire _T_9346 = _T_4561 ? 1'h0 : ic_tag_valid_out_0_19; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9581 = _T_9580 | _T_9346; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9346 = _T_4561 ? 1'h0 : ic_tag_valid_out_0_19; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9581 = _T_9580 | _T_9346; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_20; // @[Reg.scala 27:20] - wire _T_9348 = _T_4565 ? 1'h0 : ic_tag_valid_out_0_20; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9582 = _T_9581 | _T_9348; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9348 = _T_4565 ? 1'h0 : ic_tag_valid_out_0_20; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9582 = _T_9581 | _T_9348; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_21; // @[Reg.scala 27:20] - wire _T_9350 = _T_4569 ? 1'h0 : ic_tag_valid_out_0_21; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9583 = _T_9582 | _T_9350; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9350 = _T_4569 ? 1'h0 : ic_tag_valid_out_0_21; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9583 = _T_9582 | _T_9350; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_22; // @[Reg.scala 27:20] - wire _T_9352 = _T_4573 ? 1'h0 : ic_tag_valid_out_0_22; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9584 = _T_9583 | _T_9352; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9352 = _T_4573 ? 1'h0 : ic_tag_valid_out_0_22; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9584 = _T_9583 | _T_9352; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_23; // @[Reg.scala 27:20] - wire _T_9354 = _T_4577 ? 1'h0 : ic_tag_valid_out_0_23; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9585 = _T_9584 | _T_9354; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9354 = _T_4577 ? 1'h0 : ic_tag_valid_out_0_23; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9585 = _T_9584 | _T_9354; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_24; // @[Reg.scala 27:20] - wire _T_9356 = _T_4581 ? 1'h0 : ic_tag_valid_out_0_24; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9586 = _T_9585 | _T_9356; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9356 = _T_4581 ? 1'h0 : ic_tag_valid_out_0_24; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9586 = _T_9585 | _T_9356; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_25; // @[Reg.scala 27:20] - wire _T_9358 = _T_4585 ? 1'h0 : ic_tag_valid_out_0_25; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9587 = _T_9586 | _T_9358; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9358 = _T_4585 ? 1'h0 : ic_tag_valid_out_0_25; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9587 = _T_9586 | _T_9358; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_26; // @[Reg.scala 27:20] - wire _T_9360 = _T_4589 ? 1'h0 : ic_tag_valid_out_0_26; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9588 = _T_9587 | _T_9360; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9360 = _T_4589 ? 1'h0 : ic_tag_valid_out_0_26; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9588 = _T_9587 | _T_9360; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_27; // @[Reg.scala 27:20] - wire _T_9362 = _T_4593 ? 1'h0 : ic_tag_valid_out_0_27; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9589 = _T_9588 | _T_9362; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9362 = _T_4593 ? 1'h0 : ic_tag_valid_out_0_27; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9589 = _T_9588 | _T_9362; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_28; // @[Reg.scala 27:20] - wire _T_9364 = _T_4597 ? 1'h0 : ic_tag_valid_out_0_28; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9590 = _T_9589 | _T_9364; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9364 = _T_4597 ? 1'h0 : ic_tag_valid_out_0_28; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9590 = _T_9589 | _T_9364; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_29; // @[Reg.scala 27:20] - wire _T_9366 = _T_4601 ? 1'h0 : ic_tag_valid_out_0_29; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9591 = _T_9590 | _T_9366; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9366 = _T_4601 ? 1'h0 : ic_tag_valid_out_0_29; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9591 = _T_9590 | _T_9366; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_30; // @[Reg.scala 27:20] - wire _T_9368 = _T_4605 ? 1'h0 : ic_tag_valid_out_0_30; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9592 = _T_9591 | _T_9368; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9368 = _T_4605 ? 1'h0 : ic_tag_valid_out_0_30; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9592 = _T_9591 | _T_9368; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_31; // @[Reg.scala 27:20] - wire _T_9370 = _T_4609 ? 1'h0 : ic_tag_valid_out_0_31; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9593 = _T_9592 | _T_9370; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9370 = _T_4609 ? 1'h0 : ic_tag_valid_out_0_31; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9593 = _T_9592 | _T_9370; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_32; // @[Reg.scala 27:20] - wire _T_9372 = _T_4613 ? 1'h0 : ic_tag_valid_out_0_32; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9594 = _T_9593 | _T_9372; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9372 = _T_4613 ? 1'h0 : ic_tag_valid_out_0_32; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9594 = _T_9593 | _T_9372; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_33; // @[Reg.scala 27:20] - wire _T_9374 = _T_4617 ? 1'h0 : ic_tag_valid_out_0_33; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9595 = _T_9594 | _T_9374; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9374 = _T_4617 ? 1'h0 : ic_tag_valid_out_0_33; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9595 = _T_9594 | _T_9374; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_34; // @[Reg.scala 27:20] - wire _T_9376 = _T_4621 ? 1'h0 : ic_tag_valid_out_0_34; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9596 = _T_9595 | _T_9376; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9376 = _T_4621 ? 1'h0 : ic_tag_valid_out_0_34; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9596 = _T_9595 | _T_9376; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_35; // @[Reg.scala 27:20] - wire _T_9378 = _T_4625 ? 1'h0 : ic_tag_valid_out_0_35; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9597 = _T_9596 | _T_9378; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9378 = _T_4625 ? 1'h0 : ic_tag_valid_out_0_35; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9597 = _T_9596 | _T_9378; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_36; // @[Reg.scala 27:20] - wire _T_9380 = _T_4629 ? 1'h0 : ic_tag_valid_out_0_36; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9598 = _T_9597 | _T_9380; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9380 = _T_4629 ? 1'h0 : ic_tag_valid_out_0_36; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9598 = _T_9597 | _T_9380; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_37; // @[Reg.scala 27:20] - wire _T_9382 = _T_4633 ? 1'h0 : ic_tag_valid_out_0_37; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9599 = _T_9598 | _T_9382; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9382 = _T_4633 ? 1'h0 : ic_tag_valid_out_0_37; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9599 = _T_9598 | _T_9382; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_38; // @[Reg.scala 27:20] - wire _T_9384 = _T_4637 ? 1'h0 : ic_tag_valid_out_0_38; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9600 = _T_9599 | _T_9384; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9384 = _T_4637 ? 1'h0 : ic_tag_valid_out_0_38; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9600 = _T_9599 | _T_9384; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_39; // @[Reg.scala 27:20] - wire _T_9386 = _T_4641 ? 1'h0 : ic_tag_valid_out_0_39; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9601 = _T_9600 | _T_9386; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9386 = _T_4641 ? 1'h0 : ic_tag_valid_out_0_39; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9601 = _T_9600 | _T_9386; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_40; // @[Reg.scala 27:20] - wire _T_9388 = _T_4645 ? 1'h0 : ic_tag_valid_out_0_40; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9602 = _T_9601 | _T_9388; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9388 = _T_4645 ? 1'h0 : ic_tag_valid_out_0_40; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9602 = _T_9601 | _T_9388; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_41; // @[Reg.scala 27:20] - wire _T_9390 = _T_4649 ? 1'h0 : ic_tag_valid_out_0_41; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9603 = _T_9602 | _T_9390; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9390 = _T_4649 ? 1'h0 : ic_tag_valid_out_0_41; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9603 = _T_9602 | _T_9390; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_42; // @[Reg.scala 27:20] - wire _T_9392 = _T_4653 ? 1'h0 : ic_tag_valid_out_0_42; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9604 = _T_9603 | _T_9392; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9392 = _T_4653 ? 1'h0 : ic_tag_valid_out_0_42; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9604 = _T_9603 | _T_9392; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_43; // @[Reg.scala 27:20] - wire _T_9394 = _T_4657 ? 1'h0 : ic_tag_valid_out_0_43; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9605 = _T_9604 | _T_9394; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9394 = _T_4657 ? 1'h0 : ic_tag_valid_out_0_43; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9605 = _T_9604 | _T_9394; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_44; // @[Reg.scala 27:20] - wire _T_9396 = _T_4661 ? 1'h0 : ic_tag_valid_out_0_44; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9606 = _T_9605 | _T_9396; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9396 = _T_4661 ? 1'h0 : ic_tag_valid_out_0_44; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9606 = _T_9605 | _T_9396; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_45; // @[Reg.scala 27:20] - wire _T_9398 = _T_4665 ? 1'h0 : ic_tag_valid_out_0_45; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9607 = _T_9606 | _T_9398; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9398 = _T_4665 ? 1'h0 : ic_tag_valid_out_0_45; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9607 = _T_9606 | _T_9398; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_46; // @[Reg.scala 27:20] - wire _T_9400 = _T_4669 ? 1'h0 : ic_tag_valid_out_0_46; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9608 = _T_9607 | _T_9400; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9400 = _T_4669 ? 1'h0 : ic_tag_valid_out_0_46; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9608 = _T_9607 | _T_9400; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_47; // @[Reg.scala 27:20] - wire _T_9402 = _T_4673 ? 1'h0 : ic_tag_valid_out_0_47; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9609 = _T_9608 | _T_9402; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9402 = _T_4673 ? 1'h0 : ic_tag_valid_out_0_47; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9609 = _T_9608 | _T_9402; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_48; // @[Reg.scala 27:20] - wire _T_9404 = _T_4677 ? 1'h0 : ic_tag_valid_out_0_48; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9610 = _T_9609 | _T_9404; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9404 = _T_4677 ? 1'h0 : ic_tag_valid_out_0_48; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9610 = _T_9609 | _T_9404; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_49; // @[Reg.scala 27:20] - wire _T_9406 = _T_4681 ? 1'h0 : ic_tag_valid_out_0_49; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9611 = _T_9610 | _T_9406; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9406 = _T_4681 ? 1'h0 : ic_tag_valid_out_0_49; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9611 = _T_9610 | _T_9406; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_50; // @[Reg.scala 27:20] - wire _T_9408 = _T_4685 ? 1'h0 : ic_tag_valid_out_0_50; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9612 = _T_9611 | _T_9408; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9408 = _T_4685 ? 1'h0 : ic_tag_valid_out_0_50; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9612 = _T_9611 | _T_9408; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_51; // @[Reg.scala 27:20] - wire _T_9410 = _T_4689 ? 1'h0 : ic_tag_valid_out_0_51; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9613 = _T_9612 | _T_9410; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9410 = _T_4689 ? 1'h0 : ic_tag_valid_out_0_51; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9613 = _T_9612 | _T_9410; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_52; // @[Reg.scala 27:20] - wire _T_9412 = _T_4693 ? 1'h0 : ic_tag_valid_out_0_52; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9614 = _T_9613 | _T_9412; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9412 = _T_4693 ? 1'h0 : ic_tag_valid_out_0_52; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9614 = _T_9613 | _T_9412; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_53; // @[Reg.scala 27:20] - wire _T_9414 = _T_4697 ? 1'h0 : ic_tag_valid_out_0_53; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9615 = _T_9614 | _T_9414; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9414 = _T_4697 ? 1'h0 : ic_tag_valid_out_0_53; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9615 = _T_9614 | _T_9414; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_54; // @[Reg.scala 27:20] - wire _T_9416 = _T_4701 ? 1'h0 : ic_tag_valid_out_0_54; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9616 = _T_9615 | _T_9416; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9416 = _T_4701 ? 1'h0 : ic_tag_valid_out_0_54; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9616 = _T_9615 | _T_9416; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_55; // @[Reg.scala 27:20] - wire _T_9418 = _T_4705 ? 1'h0 : ic_tag_valid_out_0_55; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9617 = _T_9616 | _T_9418; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9418 = _T_4705 ? 1'h0 : ic_tag_valid_out_0_55; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9617 = _T_9616 | _T_9418; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_56; // @[Reg.scala 27:20] - wire _T_9420 = _T_4709 ? 1'h0 : ic_tag_valid_out_0_56; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9618 = _T_9617 | _T_9420; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9420 = _T_4709 ? 1'h0 : ic_tag_valid_out_0_56; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9618 = _T_9617 | _T_9420; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_57; // @[Reg.scala 27:20] - wire _T_9422 = _T_4713 ? 1'h0 : ic_tag_valid_out_0_57; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9619 = _T_9618 | _T_9422; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9422 = _T_4713 ? 1'h0 : ic_tag_valid_out_0_57; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9619 = _T_9618 | _T_9422; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_58; // @[Reg.scala 27:20] - wire _T_9424 = _T_4717 ? 1'h0 : ic_tag_valid_out_0_58; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9620 = _T_9619 | _T_9424; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9424 = _T_4717 ? 1'h0 : ic_tag_valid_out_0_58; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9620 = _T_9619 | _T_9424; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_59; // @[Reg.scala 27:20] - wire _T_9426 = _T_4721 ? 1'h0 : ic_tag_valid_out_0_59; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9621 = _T_9620 | _T_9426; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9426 = _T_4721 ? 1'h0 : ic_tag_valid_out_0_59; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9621 = _T_9620 | _T_9426; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_60; // @[Reg.scala 27:20] - wire _T_9428 = _T_4725 ? 1'h0 : ic_tag_valid_out_0_60; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9622 = _T_9621 | _T_9428; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9428 = _T_4725 ? 1'h0 : ic_tag_valid_out_0_60; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9622 = _T_9621 | _T_9428; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_61; // @[Reg.scala 27:20] - wire _T_9430 = _T_4729 ? 1'h0 : ic_tag_valid_out_0_61; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9623 = _T_9622 | _T_9430; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9430 = _T_4729 ? 1'h0 : ic_tag_valid_out_0_61; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9623 = _T_9622 | _T_9430; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_62; // @[Reg.scala 27:20] - wire _T_9432 = _T_4733 ? 1'h0 : ic_tag_valid_out_0_62; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9624 = _T_9623 | _T_9432; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9432 = _T_4733 ? 1'h0 : ic_tag_valid_out_0_62; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9624 = _T_9623 | _T_9432; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_63; // @[Reg.scala 27:20] - wire _T_9434 = _T_4737 ? 1'h0 : ic_tag_valid_out_0_63; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9625 = _T_9624 | _T_9434; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9434 = _T_4737 ? 1'h0 : ic_tag_valid_out_0_63; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9625 = _T_9624 | _T_9434; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_64; // @[Reg.scala 27:20] - wire _T_9436 = _T_4741 ? 1'h0 : ic_tag_valid_out_0_64; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9626 = _T_9625 | _T_9436; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9436 = _T_4741 ? 1'h0 : ic_tag_valid_out_0_64; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9626 = _T_9625 | _T_9436; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_65; // @[Reg.scala 27:20] - wire _T_9438 = _T_4745 ? 1'h0 : ic_tag_valid_out_0_65; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9627 = _T_9626 | _T_9438; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9438 = _T_4745 ? 1'h0 : ic_tag_valid_out_0_65; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9627 = _T_9626 | _T_9438; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_66; // @[Reg.scala 27:20] - wire _T_9440 = _T_4749 ? 1'h0 : ic_tag_valid_out_0_66; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9628 = _T_9627 | _T_9440; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9440 = _T_4749 ? 1'h0 : ic_tag_valid_out_0_66; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9628 = _T_9627 | _T_9440; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_67; // @[Reg.scala 27:20] - wire _T_9442 = _T_4753 ? 1'h0 : ic_tag_valid_out_0_67; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9629 = _T_9628 | _T_9442; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9442 = _T_4753 ? 1'h0 : ic_tag_valid_out_0_67; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9629 = _T_9628 | _T_9442; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_68; // @[Reg.scala 27:20] - wire _T_9444 = _T_4757 ? 1'h0 : ic_tag_valid_out_0_68; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9630 = _T_9629 | _T_9444; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9444 = _T_4757 ? 1'h0 : ic_tag_valid_out_0_68; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9630 = _T_9629 | _T_9444; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_69; // @[Reg.scala 27:20] - wire _T_9446 = _T_4761 ? 1'h0 : ic_tag_valid_out_0_69; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9631 = _T_9630 | _T_9446; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9446 = _T_4761 ? 1'h0 : ic_tag_valid_out_0_69; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9631 = _T_9630 | _T_9446; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_70; // @[Reg.scala 27:20] - wire _T_9448 = _T_4765 ? 1'h0 : ic_tag_valid_out_0_70; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9632 = _T_9631 | _T_9448; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9448 = _T_4765 ? 1'h0 : ic_tag_valid_out_0_70; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9632 = _T_9631 | _T_9448; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_71; // @[Reg.scala 27:20] - wire _T_9450 = _T_4769 ? 1'h0 : ic_tag_valid_out_0_71; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9633 = _T_9632 | _T_9450; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9450 = _T_4769 ? 1'h0 : ic_tag_valid_out_0_71; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9633 = _T_9632 | _T_9450; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_72; // @[Reg.scala 27:20] - wire _T_9452 = _T_4773 ? 1'h0 : ic_tag_valid_out_0_72; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9634 = _T_9633 | _T_9452; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9452 = _T_4773 ? 1'h0 : ic_tag_valid_out_0_72; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9634 = _T_9633 | _T_9452; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_73; // @[Reg.scala 27:20] - wire _T_9454 = _T_4777 ? 1'h0 : ic_tag_valid_out_0_73; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9635 = _T_9634 | _T_9454; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9454 = _T_4777 ? 1'h0 : ic_tag_valid_out_0_73; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9635 = _T_9634 | _T_9454; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_74; // @[Reg.scala 27:20] - wire _T_9456 = _T_4781 ? 1'h0 : ic_tag_valid_out_0_74; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9636 = _T_9635 | _T_9456; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9456 = _T_4781 ? 1'h0 : ic_tag_valid_out_0_74; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9636 = _T_9635 | _T_9456; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_75; // @[Reg.scala 27:20] - wire _T_9458 = _T_4785 ? 1'h0 : ic_tag_valid_out_0_75; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9637 = _T_9636 | _T_9458; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9458 = _T_4785 ? 1'h0 : ic_tag_valid_out_0_75; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9637 = _T_9636 | _T_9458; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_76; // @[Reg.scala 27:20] - wire _T_9460 = _T_4789 ? 1'h0 : ic_tag_valid_out_0_76; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9638 = _T_9637 | _T_9460; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9460 = _T_4789 ? 1'h0 : ic_tag_valid_out_0_76; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9638 = _T_9637 | _T_9460; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_77; // @[Reg.scala 27:20] - wire _T_9462 = _T_4793 ? 1'h0 : ic_tag_valid_out_0_77; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9639 = _T_9638 | _T_9462; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9462 = _T_4793 ? 1'h0 : ic_tag_valid_out_0_77; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9639 = _T_9638 | _T_9462; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_78; // @[Reg.scala 27:20] - wire _T_9464 = _T_4797 ? 1'h0 : ic_tag_valid_out_0_78; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9640 = _T_9639 | _T_9464; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9464 = _T_4797 ? 1'h0 : ic_tag_valid_out_0_78; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9640 = _T_9639 | _T_9464; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_79; // @[Reg.scala 27:20] - wire _T_9466 = _T_4801 ? 1'h0 : ic_tag_valid_out_0_79; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9641 = _T_9640 | _T_9466; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9466 = _T_4801 ? 1'h0 : ic_tag_valid_out_0_79; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9641 = _T_9640 | _T_9466; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_80; // @[Reg.scala 27:20] - wire _T_9468 = _T_4805 ? 1'h0 : ic_tag_valid_out_0_80; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9642 = _T_9641 | _T_9468; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9468 = _T_4805 ? 1'h0 : ic_tag_valid_out_0_80; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9642 = _T_9641 | _T_9468; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_81; // @[Reg.scala 27:20] - wire _T_9470 = _T_4809 ? 1'h0 : ic_tag_valid_out_0_81; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9643 = _T_9642 | _T_9470; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9470 = _T_4809 ? 1'h0 : ic_tag_valid_out_0_81; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9643 = _T_9642 | _T_9470; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_82; // @[Reg.scala 27:20] - wire _T_9472 = _T_4813 ? 1'h0 : ic_tag_valid_out_0_82; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9644 = _T_9643 | _T_9472; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9472 = _T_4813 ? 1'h0 : ic_tag_valid_out_0_82; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9644 = _T_9643 | _T_9472; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_83; // @[Reg.scala 27:20] - wire _T_9474 = _T_4817 ? 1'h0 : ic_tag_valid_out_0_83; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9645 = _T_9644 | _T_9474; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9474 = _T_4817 ? 1'h0 : ic_tag_valid_out_0_83; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9645 = _T_9644 | _T_9474; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_84; // @[Reg.scala 27:20] - wire _T_9476 = _T_4821 ? 1'h0 : ic_tag_valid_out_0_84; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9646 = _T_9645 | _T_9476; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9476 = _T_4821 ? 1'h0 : ic_tag_valid_out_0_84; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9646 = _T_9645 | _T_9476; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_85; // @[Reg.scala 27:20] - wire _T_9478 = _T_4825 ? 1'h0 : ic_tag_valid_out_0_85; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9647 = _T_9646 | _T_9478; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9478 = _T_4825 ? 1'h0 : ic_tag_valid_out_0_85; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9647 = _T_9646 | _T_9478; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_86; // @[Reg.scala 27:20] - wire _T_9480 = _T_4829 ? 1'h0 : ic_tag_valid_out_0_86; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9648 = _T_9647 | _T_9480; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9480 = _T_4829 ? 1'h0 : ic_tag_valid_out_0_86; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9648 = _T_9647 | _T_9480; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_87; // @[Reg.scala 27:20] - wire _T_9482 = _T_4833 ? 1'h0 : ic_tag_valid_out_0_87; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9649 = _T_9648 | _T_9482; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9482 = _T_4833 ? 1'h0 : ic_tag_valid_out_0_87; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9649 = _T_9648 | _T_9482; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_88; // @[Reg.scala 27:20] - wire _T_9484 = _T_4837 ? 1'h0 : ic_tag_valid_out_0_88; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9650 = _T_9649 | _T_9484; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9484 = _T_4837 ? 1'h0 : ic_tag_valid_out_0_88; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9650 = _T_9649 | _T_9484; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_89; // @[Reg.scala 27:20] - wire _T_9486 = _T_4841 ? 1'h0 : ic_tag_valid_out_0_89; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9651 = _T_9650 | _T_9486; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9486 = _T_4841 ? 1'h0 : ic_tag_valid_out_0_89; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9651 = _T_9650 | _T_9486; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_90; // @[Reg.scala 27:20] - wire _T_9488 = _T_4845 ? 1'h0 : ic_tag_valid_out_0_90; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9652 = _T_9651 | _T_9488; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9488 = _T_4845 ? 1'h0 : ic_tag_valid_out_0_90; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9652 = _T_9651 | _T_9488; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_91; // @[Reg.scala 27:20] - wire _T_9490 = _T_4849 ? 1'h0 : ic_tag_valid_out_0_91; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9653 = _T_9652 | _T_9490; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9490 = _T_4849 ? 1'h0 : ic_tag_valid_out_0_91; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9653 = _T_9652 | _T_9490; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_92; // @[Reg.scala 27:20] - wire _T_9492 = _T_4853 ? 1'h0 : ic_tag_valid_out_0_92; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9654 = _T_9653 | _T_9492; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9492 = _T_4853 ? 1'h0 : ic_tag_valid_out_0_92; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9654 = _T_9653 | _T_9492; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_93; // @[Reg.scala 27:20] - wire _T_9494 = _T_4857 ? 1'h0 : ic_tag_valid_out_0_93; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9655 = _T_9654 | _T_9494; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9494 = _T_4857 ? 1'h0 : ic_tag_valid_out_0_93; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9655 = _T_9654 | _T_9494; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_94; // @[Reg.scala 27:20] - wire _T_9496 = _T_4861 ? 1'h0 : ic_tag_valid_out_0_94; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9656 = _T_9655 | _T_9496; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9496 = _T_4861 ? 1'h0 : ic_tag_valid_out_0_94; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9656 = _T_9655 | _T_9496; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_95; // @[Reg.scala 27:20] - wire _T_9498 = _T_4865 ? 1'h0 : ic_tag_valid_out_0_95; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9657 = _T_9656 | _T_9498; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9498 = _T_4865 ? 1'h0 : ic_tag_valid_out_0_95; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9657 = _T_9656 | _T_9498; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_96; // @[Reg.scala 27:20] - wire _T_9500 = _T_4869 ? 1'h0 : ic_tag_valid_out_0_96; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9658 = _T_9657 | _T_9500; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9500 = _T_4869 ? 1'h0 : ic_tag_valid_out_0_96; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9658 = _T_9657 | _T_9500; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_97; // @[Reg.scala 27:20] - wire _T_9502 = _T_4873 ? 1'h0 : ic_tag_valid_out_0_97; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9659 = _T_9658 | _T_9502; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9502 = _T_4873 ? 1'h0 : ic_tag_valid_out_0_97; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9659 = _T_9658 | _T_9502; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_98; // @[Reg.scala 27:20] - wire _T_9504 = _T_4877 ? 1'h0 : ic_tag_valid_out_0_98; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9660 = _T_9659 | _T_9504; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9504 = _T_4877 ? 1'h0 : ic_tag_valid_out_0_98; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9660 = _T_9659 | _T_9504; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_99; // @[Reg.scala 27:20] - wire _T_9506 = _T_4881 ? 1'h0 : ic_tag_valid_out_0_99; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9661 = _T_9660 | _T_9506; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9506 = _T_4881 ? 1'h0 : ic_tag_valid_out_0_99; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9661 = _T_9660 | _T_9506; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_100; // @[Reg.scala 27:20] - wire _T_9508 = _T_4885 ? 1'h0 : ic_tag_valid_out_0_100; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9662 = _T_9661 | _T_9508; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9508 = _T_4885 ? 1'h0 : ic_tag_valid_out_0_100; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9662 = _T_9661 | _T_9508; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_101; // @[Reg.scala 27:20] - wire _T_9510 = _T_4889 ? 1'h0 : ic_tag_valid_out_0_101; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9663 = _T_9662 | _T_9510; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9510 = _T_4889 ? 1'h0 : ic_tag_valid_out_0_101; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9663 = _T_9662 | _T_9510; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_102; // @[Reg.scala 27:20] - wire _T_9512 = _T_4893 ? 1'h0 : ic_tag_valid_out_0_102; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9664 = _T_9663 | _T_9512; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9512 = _T_4893 ? 1'h0 : ic_tag_valid_out_0_102; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9664 = _T_9663 | _T_9512; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_103; // @[Reg.scala 27:20] - wire _T_9514 = _T_4897 ? 1'h0 : ic_tag_valid_out_0_103; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9665 = _T_9664 | _T_9514; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9514 = _T_4897 ? 1'h0 : ic_tag_valid_out_0_103; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9665 = _T_9664 | _T_9514; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_104; // @[Reg.scala 27:20] - wire _T_9516 = _T_4901 ? 1'h0 : ic_tag_valid_out_0_104; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9666 = _T_9665 | _T_9516; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9516 = _T_4901 ? 1'h0 : ic_tag_valid_out_0_104; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9666 = _T_9665 | _T_9516; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_105; // @[Reg.scala 27:20] - wire _T_9518 = _T_4905 ? 1'h0 : ic_tag_valid_out_0_105; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9667 = _T_9666 | _T_9518; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9518 = _T_4905 ? 1'h0 : ic_tag_valid_out_0_105; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9667 = _T_9666 | _T_9518; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_106; // @[Reg.scala 27:20] - wire _T_9520 = _T_4909 ? 1'h0 : ic_tag_valid_out_0_106; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9668 = _T_9667 | _T_9520; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9520 = _T_4909 ? 1'h0 : ic_tag_valid_out_0_106; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9668 = _T_9667 | _T_9520; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_107; // @[Reg.scala 27:20] - wire _T_9522 = _T_4913 ? 1'h0 : ic_tag_valid_out_0_107; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9669 = _T_9668 | _T_9522; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9522 = _T_4913 ? 1'h0 : ic_tag_valid_out_0_107; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9669 = _T_9668 | _T_9522; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_108; // @[Reg.scala 27:20] - wire _T_9524 = _T_4917 ? 1'h0 : ic_tag_valid_out_0_108; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9670 = _T_9669 | _T_9524; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9524 = _T_4917 ? 1'h0 : ic_tag_valid_out_0_108; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9670 = _T_9669 | _T_9524; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_109; // @[Reg.scala 27:20] - wire _T_9526 = _T_4921 ? 1'h0 : ic_tag_valid_out_0_109; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9671 = _T_9670 | _T_9526; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9526 = _T_4921 ? 1'h0 : ic_tag_valid_out_0_109; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9671 = _T_9670 | _T_9526; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_110; // @[Reg.scala 27:20] - wire _T_9528 = _T_4925 ? 1'h0 : ic_tag_valid_out_0_110; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9672 = _T_9671 | _T_9528; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9528 = _T_4925 ? 1'h0 : ic_tag_valid_out_0_110; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9672 = _T_9671 | _T_9528; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_111; // @[Reg.scala 27:20] - wire _T_9530 = _T_4929 ? 1'h0 : ic_tag_valid_out_0_111; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9673 = _T_9672 | _T_9530; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9530 = _T_4929 ? 1'h0 : ic_tag_valid_out_0_111; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9673 = _T_9672 | _T_9530; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_112; // @[Reg.scala 27:20] - wire _T_9532 = _T_4933 ? 1'h0 : ic_tag_valid_out_0_112; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9674 = _T_9673 | _T_9532; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9532 = _T_4933 ? 1'h0 : ic_tag_valid_out_0_112; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9674 = _T_9673 | _T_9532; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_113; // @[Reg.scala 27:20] - wire _T_9534 = _T_4937 ? 1'h0 : ic_tag_valid_out_0_113; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9675 = _T_9674 | _T_9534; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9534 = _T_4937 ? 1'h0 : ic_tag_valid_out_0_113; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9675 = _T_9674 | _T_9534; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_114; // @[Reg.scala 27:20] - wire _T_9536 = _T_4941 ? 1'h0 : ic_tag_valid_out_0_114; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9676 = _T_9675 | _T_9536; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9536 = _T_4941 ? 1'h0 : ic_tag_valid_out_0_114; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9676 = _T_9675 | _T_9536; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_115; // @[Reg.scala 27:20] - wire _T_9538 = _T_4945 ? 1'h0 : ic_tag_valid_out_0_115; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9677 = _T_9676 | _T_9538; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9538 = _T_4945 ? 1'h0 : ic_tag_valid_out_0_115; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9677 = _T_9676 | _T_9538; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_116; // @[Reg.scala 27:20] - wire _T_9540 = _T_4949 ? 1'h0 : ic_tag_valid_out_0_116; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9678 = _T_9677 | _T_9540; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9540 = _T_4949 ? 1'h0 : ic_tag_valid_out_0_116; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9678 = _T_9677 | _T_9540; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_117; // @[Reg.scala 27:20] - wire _T_9542 = _T_4953 ? 1'h0 : ic_tag_valid_out_0_117; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9679 = _T_9678 | _T_9542; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9542 = _T_4953 ? 1'h0 : ic_tag_valid_out_0_117; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9679 = _T_9678 | _T_9542; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_118; // @[Reg.scala 27:20] - wire _T_9544 = _T_4957 ? 1'h0 : ic_tag_valid_out_0_118; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9680 = _T_9679 | _T_9544; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9544 = _T_4957 ? 1'h0 : ic_tag_valid_out_0_118; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9680 = _T_9679 | _T_9544; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_119; // @[Reg.scala 27:20] - wire _T_9546 = _T_4961 ? 1'h0 : ic_tag_valid_out_0_119; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9681 = _T_9680 | _T_9546; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9546 = _T_4961 ? 1'h0 : ic_tag_valid_out_0_119; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9681 = _T_9680 | _T_9546; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_120; // @[Reg.scala 27:20] - wire _T_9548 = _T_4965 ? 1'h0 : ic_tag_valid_out_0_120; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9682 = _T_9681 | _T_9548; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9548 = _T_4965 ? 1'h0 : ic_tag_valid_out_0_120; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9682 = _T_9681 | _T_9548; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_121; // @[Reg.scala 27:20] - wire _T_9550 = _T_4969 ? 1'h0 : ic_tag_valid_out_0_121; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9683 = _T_9682 | _T_9550; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9550 = _T_4969 ? 1'h0 : ic_tag_valid_out_0_121; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9683 = _T_9682 | _T_9550; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_122; // @[Reg.scala 27:20] - wire _T_9552 = _T_4973 ? 1'h0 : ic_tag_valid_out_0_122; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9684 = _T_9683 | _T_9552; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9552 = _T_4973 ? 1'h0 : ic_tag_valid_out_0_122; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9684 = _T_9683 | _T_9552; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_123; // @[Reg.scala 27:20] - wire _T_9554 = _T_4977 ? 1'h0 : ic_tag_valid_out_0_123; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9685 = _T_9684 | _T_9554; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9554 = _T_4977 ? 1'h0 : ic_tag_valid_out_0_123; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9685 = _T_9684 | _T_9554; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_124; // @[Reg.scala 27:20] - wire _T_9556 = _T_4981 ? 1'h0 : ic_tag_valid_out_0_124; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9686 = _T_9685 | _T_9556; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9556 = _T_4981 ? 1'h0 : ic_tag_valid_out_0_124; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9686 = _T_9685 | _T_9556; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_125; // @[Reg.scala 27:20] - wire _T_9558 = _T_4985 ? 1'h0 : ic_tag_valid_out_0_125; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9687 = _T_9686 | _T_9558; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9558 = _T_4985 ? 1'h0 : ic_tag_valid_out_0_125; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9687 = _T_9686 | _T_9558; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_126; // @[Reg.scala 27:20] - wire _T_9560 = _T_4989 ? 1'h0 : ic_tag_valid_out_0_126; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9688 = _T_9687 | _T_9560; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9560 = _T_4989 ? 1'h0 : ic_tag_valid_out_0_126; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9688 = _T_9687 | _T_9560; // @[el2_ifu_mem_ctl.scala 756:91] reg ic_tag_valid_out_0_127; // @[Reg.scala 27:20] - wire _T_9562 = _T_4993 ? 1'h0 : ic_tag_valid_out_0_127; // @[el2_ifu_mem_ctl.scala 754:10] - wire _T_9689 = _T_9688 | _T_9562; // @[el2_ifu_mem_ctl.scala 754:91] + wire _T_9562 = _T_4993 ? 1'h0 : ic_tag_valid_out_0_127; // @[el2_ifu_mem_ctl.scala 756:10] + wire _T_9689 = _T_9688 | _T_9562; // @[el2_ifu_mem_ctl.scala 756:91] wire [1:0] ic_tag_valid_unq = {_T_10072,_T_9689}; // @[Cat.scala 29:58] reg [1:0] ic_debug_way_ff; // @[Reg.scala 27:20] - reg ic_debug_rd_en_ff; // @[el2_ifu_mem_ctl.scala 827:54] + reg ic_debug_rd_en_ff; // @[el2_ifu_mem_ctl.scala 829:54] wire [1:0] _T_10111 = ic_debug_rd_en_ff ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_10112 = ic_debug_way_ff & _T_10111; // @[el2_ifu_mem_ctl.scala 808:67] - wire [1:0] _T_10113 = ic_tag_valid_unq & _T_10112; // @[el2_ifu_mem_ctl.scala 808:48] - wire ic_debug_tag_val_rd_out = |_T_10113; // @[el2_ifu_mem_ctl.scala 808:115] + wire [1:0] _T_10112 = ic_debug_way_ff & _T_10111; // @[el2_ifu_mem_ctl.scala 810:67] + wire [1:0] _T_10113 = ic_tag_valid_unq & _T_10112; // @[el2_ifu_mem_ctl.scala 810:48] + wire ic_debug_tag_val_rd_out = |_T_10113; // @[el2_ifu_mem_ctl.scala 810:115] wire [65:0] _T_1208 = {2'h0,io_ictag_debug_rd_data[25:21],32'h0,io_ictag_debug_rd_data[20:0],1'h0,way_status,3'h0,ic_debug_tag_val_rd_out}; // @[Cat.scala 29:58] - reg [70:0] _T_1209; // @[el2_ifu_mem_ctl.scala 356:37] - wire ifu_wr_cumulative_err = ifu_wr_cumulative_err_data & _T_2553; // @[el2_ifu_mem_ctl.scala 368:80] - wire _T_1249 = ~ifu_byp_data_err_new; // @[el2_ifu_mem_ctl.scala 373:98] - wire sel_byp_data = _T_1253 & _T_1249; // @[el2_ifu_mem_ctl.scala 373:96] + reg [70:0] _T_1209; // @[el2_ifu_mem_ctl.scala 358:37] + wire ifu_wr_cumulative_err = ifu_wr_cumulative_err_data & _T_2553; // @[el2_ifu_mem_ctl.scala 370:80] + wire _T_1249 = ~ifu_byp_data_err_new; // @[el2_ifu_mem_ctl.scala 375:98] + wire sel_byp_data = _T_1253 & _T_1249; // @[el2_ifu_mem_ctl.scala 375:96] wire [63:0] _T_1260 = fetch_req_iccm_f ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire [63:0] _T_1261 = _T_1260 & io_iccm_rd_data; // @[el2_ifu_mem_ctl.scala 380:64] + wire [63:0] _T_1261 = _T_1260 & io_iccm_rd_data; // @[el2_ifu_mem_ctl.scala 382:64] wire [63:0] _T_1263 = sel_byp_data ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire _T_2115 = ~ifu_fetch_addr_int_f[0]; // @[el2_ifu_mem_ctl.scala 449:31] + wire _T_2115 = ~ifu_fetch_addr_int_f[0]; // @[el2_ifu_mem_ctl.scala 451:31] wire [3:0] byp_fetch_index_inc_0 = {byp_fetch_index_inc,1'h0}; // @[Cat.scala 29:58] - wire _T_1629 = byp_fetch_index_inc_0 == 4'h0; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1629 = byp_fetch_index_inc_0 == 4'h0; // @[el2_ifu_mem_ctl.scala 448:73] wire [15:0] _T_1677 = _T_1629 ? ic_miss_buff_data_0[15:0] : 16'h0; // @[Mux.scala 27:72] - wire _T_1632 = byp_fetch_index_inc_0 == 4'h1; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1632 = byp_fetch_index_inc_0 == 4'h1; // @[el2_ifu_mem_ctl.scala 448:73] wire [15:0] _T_1678 = _T_1632 ? ic_miss_buff_data_1[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1693 = _T_1677 | _T_1678; // @[Mux.scala 27:72] - wire _T_1635 = byp_fetch_index_inc_0 == 4'h2; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1635 = byp_fetch_index_inc_0 == 4'h2; // @[el2_ifu_mem_ctl.scala 448:73] wire [15:0] _T_1679 = _T_1635 ? ic_miss_buff_data_2[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1694 = _T_1693 | _T_1679; // @[Mux.scala 27:72] - wire _T_1638 = byp_fetch_index_inc_0 == 4'h3; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1638 = byp_fetch_index_inc_0 == 4'h3; // @[el2_ifu_mem_ctl.scala 448:73] wire [15:0] _T_1680 = _T_1638 ? ic_miss_buff_data_3[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1695 = _T_1694 | _T_1680; // @[Mux.scala 27:72] - wire _T_1641 = byp_fetch_index_inc_0 == 4'h4; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1641 = byp_fetch_index_inc_0 == 4'h4; // @[el2_ifu_mem_ctl.scala 448:73] wire [15:0] _T_1681 = _T_1641 ? ic_miss_buff_data_4[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1696 = _T_1695 | _T_1681; // @[Mux.scala 27:72] - wire _T_1644 = byp_fetch_index_inc_0 == 4'h5; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1644 = byp_fetch_index_inc_0 == 4'h5; // @[el2_ifu_mem_ctl.scala 448:73] wire [15:0] _T_1682 = _T_1644 ? ic_miss_buff_data_5[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1697 = _T_1696 | _T_1682; // @[Mux.scala 27:72] - wire _T_1647 = byp_fetch_index_inc_0 == 4'h6; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1647 = byp_fetch_index_inc_0 == 4'h6; // @[el2_ifu_mem_ctl.scala 448:73] wire [15:0] _T_1683 = _T_1647 ? ic_miss_buff_data_6[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1698 = _T_1697 | _T_1683; // @[Mux.scala 27:72] - wire _T_1650 = byp_fetch_index_inc_0 == 4'h7; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1650 = byp_fetch_index_inc_0 == 4'h7; // @[el2_ifu_mem_ctl.scala 448:73] wire [15:0] _T_1684 = _T_1650 ? ic_miss_buff_data_7[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1699 = _T_1698 | _T_1684; // @[Mux.scala 27:72] - wire _T_1653 = byp_fetch_index_inc_0 == 4'h8; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1653 = byp_fetch_index_inc_0 == 4'h8; // @[el2_ifu_mem_ctl.scala 448:73] wire [15:0] _T_1685 = _T_1653 ? ic_miss_buff_data_8[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1700 = _T_1699 | _T_1685; // @[Mux.scala 27:72] - wire _T_1656 = byp_fetch_index_inc_0 == 4'h9; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1656 = byp_fetch_index_inc_0 == 4'h9; // @[el2_ifu_mem_ctl.scala 448:73] wire [15:0] _T_1686 = _T_1656 ? ic_miss_buff_data_9[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1701 = _T_1700 | _T_1686; // @[Mux.scala 27:72] - wire _T_1659 = byp_fetch_index_inc_0 == 4'ha; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1659 = byp_fetch_index_inc_0 == 4'ha; // @[el2_ifu_mem_ctl.scala 448:73] wire [15:0] _T_1687 = _T_1659 ? ic_miss_buff_data_10[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1702 = _T_1701 | _T_1687; // @[Mux.scala 27:72] - wire _T_1662 = byp_fetch_index_inc_0 == 4'hb; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1662 = byp_fetch_index_inc_0 == 4'hb; // @[el2_ifu_mem_ctl.scala 448:73] wire [15:0] _T_1688 = _T_1662 ? ic_miss_buff_data_11[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1703 = _T_1702 | _T_1688; // @[Mux.scala 27:72] - wire _T_1665 = byp_fetch_index_inc_0 == 4'hc; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1665 = byp_fetch_index_inc_0 == 4'hc; // @[el2_ifu_mem_ctl.scala 448:73] wire [15:0] _T_1689 = _T_1665 ? ic_miss_buff_data_12[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1704 = _T_1703 | _T_1689; // @[Mux.scala 27:72] - wire _T_1668 = byp_fetch_index_inc_0 == 4'hd; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1668 = byp_fetch_index_inc_0 == 4'hd; // @[el2_ifu_mem_ctl.scala 448:73] wire [15:0] _T_1690 = _T_1668 ? ic_miss_buff_data_13[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1705 = _T_1704 | _T_1690; // @[Mux.scala 27:72] - wire _T_1671 = byp_fetch_index_inc_0 == 4'he; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1671 = byp_fetch_index_inc_0 == 4'he; // @[el2_ifu_mem_ctl.scala 448:73] wire [15:0] _T_1691 = _T_1671 ? ic_miss_buff_data_14[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1706 = _T_1705 | _T_1691; // @[Mux.scala 27:72] - wire _T_1674 = byp_fetch_index_inc_0 == 4'hf; // @[el2_ifu_mem_ctl.scala 446:73] + wire _T_1674 = byp_fetch_index_inc_0 == 4'hf; // @[el2_ifu_mem_ctl.scala 448:73] wire [15:0] _T_1692 = _T_1674 ? ic_miss_buff_data_15[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1707 = _T_1706 | _T_1692; // @[Mux.scala 27:72] wire [3:0] byp_fetch_index_1 = {ifu_fetch_addr_int_f[4:2],1'h1}; // @[Cat.scala 29:58] - wire _T_1709 = byp_fetch_index_1 == 4'h0; // @[el2_ifu_mem_ctl.scala 446:179] + wire _T_1709 = byp_fetch_index_1 == 4'h0; // @[el2_ifu_mem_ctl.scala 448:179] wire [31:0] _T_1757 = _T_1709 ? ic_miss_buff_data_0 : 32'h0; // @[Mux.scala 27:72] - wire _T_1712 = byp_fetch_index_1 == 4'h1; // @[el2_ifu_mem_ctl.scala 446:179] + wire _T_1712 = byp_fetch_index_1 == 4'h1; // @[el2_ifu_mem_ctl.scala 448:179] wire [31:0] _T_1758 = _T_1712 ? ic_miss_buff_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1773 = _T_1757 | _T_1758; // @[Mux.scala 27:72] - wire _T_1715 = byp_fetch_index_1 == 4'h2; // @[el2_ifu_mem_ctl.scala 446:179] + wire _T_1715 = byp_fetch_index_1 == 4'h2; // @[el2_ifu_mem_ctl.scala 448:179] wire [31:0] _T_1759 = _T_1715 ? ic_miss_buff_data_2 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1774 = _T_1773 | _T_1759; // @[Mux.scala 27:72] - wire _T_1718 = byp_fetch_index_1 == 4'h3; // @[el2_ifu_mem_ctl.scala 446:179] + wire _T_1718 = byp_fetch_index_1 == 4'h3; // @[el2_ifu_mem_ctl.scala 448:179] wire [31:0] _T_1760 = _T_1718 ? ic_miss_buff_data_3 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1775 = _T_1774 | _T_1760; // @[Mux.scala 27:72] - wire _T_1721 = byp_fetch_index_1 == 4'h4; // @[el2_ifu_mem_ctl.scala 446:179] + wire _T_1721 = byp_fetch_index_1 == 4'h4; // @[el2_ifu_mem_ctl.scala 448:179] wire [31:0] _T_1761 = _T_1721 ? ic_miss_buff_data_4 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1776 = _T_1775 | _T_1761; // @[Mux.scala 27:72] - wire _T_1724 = byp_fetch_index_1 == 4'h5; // @[el2_ifu_mem_ctl.scala 446:179] + wire _T_1724 = byp_fetch_index_1 == 4'h5; // @[el2_ifu_mem_ctl.scala 448:179] wire [31:0] _T_1762 = _T_1724 ? ic_miss_buff_data_5 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1777 = _T_1776 | _T_1762; // @[Mux.scala 27:72] - wire _T_1727 = byp_fetch_index_1 == 4'h6; // @[el2_ifu_mem_ctl.scala 446:179] + wire _T_1727 = byp_fetch_index_1 == 4'h6; // @[el2_ifu_mem_ctl.scala 448:179] wire [31:0] _T_1763 = _T_1727 ? ic_miss_buff_data_6 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1778 = _T_1777 | _T_1763; // @[Mux.scala 27:72] - wire _T_1730 = byp_fetch_index_1 == 4'h7; // @[el2_ifu_mem_ctl.scala 446:179] + wire _T_1730 = byp_fetch_index_1 == 4'h7; // @[el2_ifu_mem_ctl.scala 448:179] wire [31:0] _T_1764 = _T_1730 ? ic_miss_buff_data_7 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1779 = _T_1778 | _T_1764; // @[Mux.scala 27:72] - wire _T_1733 = byp_fetch_index_1 == 4'h8; // @[el2_ifu_mem_ctl.scala 446:179] + wire _T_1733 = byp_fetch_index_1 == 4'h8; // @[el2_ifu_mem_ctl.scala 448:179] wire [31:0] _T_1765 = _T_1733 ? ic_miss_buff_data_8 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1780 = _T_1779 | _T_1765; // @[Mux.scala 27:72] - wire _T_1736 = byp_fetch_index_1 == 4'h9; // @[el2_ifu_mem_ctl.scala 446:179] + wire _T_1736 = byp_fetch_index_1 == 4'h9; // @[el2_ifu_mem_ctl.scala 448:179] wire [31:0] _T_1766 = _T_1736 ? ic_miss_buff_data_9 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1781 = _T_1780 | _T_1766; // @[Mux.scala 27:72] - wire _T_1739 = byp_fetch_index_1 == 4'ha; // @[el2_ifu_mem_ctl.scala 446:179] + wire _T_1739 = byp_fetch_index_1 == 4'ha; // @[el2_ifu_mem_ctl.scala 448:179] wire [31:0] _T_1767 = _T_1739 ? ic_miss_buff_data_10 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1782 = _T_1781 | _T_1767; // @[Mux.scala 27:72] - wire _T_1742 = byp_fetch_index_1 == 4'hb; // @[el2_ifu_mem_ctl.scala 446:179] + wire _T_1742 = byp_fetch_index_1 == 4'hb; // @[el2_ifu_mem_ctl.scala 448:179] wire [31:0] _T_1768 = _T_1742 ? ic_miss_buff_data_11 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1783 = _T_1782 | _T_1768; // @[Mux.scala 27:72] - wire _T_1745 = byp_fetch_index_1 == 4'hc; // @[el2_ifu_mem_ctl.scala 446:179] + wire _T_1745 = byp_fetch_index_1 == 4'hc; // @[el2_ifu_mem_ctl.scala 448:179] wire [31:0] _T_1769 = _T_1745 ? ic_miss_buff_data_12 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1784 = _T_1783 | _T_1769; // @[Mux.scala 27:72] - wire _T_1748 = byp_fetch_index_1 == 4'hd; // @[el2_ifu_mem_ctl.scala 446:179] + wire _T_1748 = byp_fetch_index_1 == 4'hd; // @[el2_ifu_mem_ctl.scala 448:179] wire [31:0] _T_1770 = _T_1748 ? ic_miss_buff_data_13 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1785 = _T_1784 | _T_1770; // @[Mux.scala 27:72] - wire _T_1751 = byp_fetch_index_1 == 4'he; // @[el2_ifu_mem_ctl.scala 446:179] + wire _T_1751 = byp_fetch_index_1 == 4'he; // @[el2_ifu_mem_ctl.scala 448:179] wire [31:0] _T_1771 = _T_1751 ? ic_miss_buff_data_14 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1786 = _T_1785 | _T_1771; // @[Mux.scala 27:72] - wire _T_1754 = byp_fetch_index_1 == 4'hf; // @[el2_ifu_mem_ctl.scala 446:179] + wire _T_1754 = byp_fetch_index_1 == 4'hf; // @[el2_ifu_mem_ctl.scala 448:179] wire [31:0] _T_1772 = _T_1754 ? ic_miss_buff_data_15 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1787 = _T_1786 | _T_1772; // @[Mux.scala 27:72] wire [3:0] byp_fetch_index_0 = {ifu_fetch_addr_int_f[4:2],1'h0}; // @[Cat.scala 29:58] - wire _T_1789 = byp_fetch_index_0 == 4'h0; // @[el2_ifu_mem_ctl.scala 446:285] + wire _T_1789 = byp_fetch_index_0 == 4'h0; // @[el2_ifu_mem_ctl.scala 448:285] wire [31:0] _T_1837 = _T_1789 ? ic_miss_buff_data_0 : 32'h0; // @[Mux.scala 27:72] - wire _T_1792 = byp_fetch_index_0 == 4'h1; // @[el2_ifu_mem_ctl.scala 446:285] + wire _T_1792 = byp_fetch_index_0 == 4'h1; // @[el2_ifu_mem_ctl.scala 448:285] wire [31:0] _T_1838 = _T_1792 ? ic_miss_buff_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1853 = _T_1837 | _T_1838; // @[Mux.scala 27:72] - wire _T_1795 = byp_fetch_index_0 == 4'h2; // @[el2_ifu_mem_ctl.scala 446:285] + wire _T_1795 = byp_fetch_index_0 == 4'h2; // @[el2_ifu_mem_ctl.scala 448:285] wire [31:0] _T_1839 = _T_1795 ? ic_miss_buff_data_2 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1854 = _T_1853 | _T_1839; // @[Mux.scala 27:72] - wire _T_1798 = byp_fetch_index_0 == 4'h3; // @[el2_ifu_mem_ctl.scala 446:285] + wire _T_1798 = byp_fetch_index_0 == 4'h3; // @[el2_ifu_mem_ctl.scala 448:285] wire [31:0] _T_1840 = _T_1798 ? ic_miss_buff_data_3 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1855 = _T_1854 | _T_1840; // @[Mux.scala 27:72] - wire _T_1801 = byp_fetch_index_0 == 4'h4; // @[el2_ifu_mem_ctl.scala 446:285] + wire _T_1801 = byp_fetch_index_0 == 4'h4; // @[el2_ifu_mem_ctl.scala 448:285] wire [31:0] _T_1841 = _T_1801 ? ic_miss_buff_data_4 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1856 = _T_1855 | _T_1841; // @[Mux.scala 27:72] - wire _T_1804 = byp_fetch_index_0 == 4'h5; // @[el2_ifu_mem_ctl.scala 446:285] + wire _T_1804 = byp_fetch_index_0 == 4'h5; // @[el2_ifu_mem_ctl.scala 448:285] wire [31:0] _T_1842 = _T_1804 ? ic_miss_buff_data_5 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1857 = _T_1856 | _T_1842; // @[Mux.scala 27:72] - wire _T_1807 = byp_fetch_index_0 == 4'h6; // @[el2_ifu_mem_ctl.scala 446:285] + wire _T_1807 = byp_fetch_index_0 == 4'h6; // @[el2_ifu_mem_ctl.scala 448:285] wire [31:0] _T_1843 = _T_1807 ? ic_miss_buff_data_6 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1858 = _T_1857 | _T_1843; // @[Mux.scala 27:72] - wire _T_1810 = byp_fetch_index_0 == 4'h7; // @[el2_ifu_mem_ctl.scala 446:285] + wire _T_1810 = byp_fetch_index_0 == 4'h7; // @[el2_ifu_mem_ctl.scala 448:285] wire [31:0] _T_1844 = _T_1810 ? ic_miss_buff_data_7 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1859 = _T_1858 | _T_1844; // @[Mux.scala 27:72] - wire _T_1813 = byp_fetch_index_0 == 4'h8; // @[el2_ifu_mem_ctl.scala 446:285] + wire _T_1813 = byp_fetch_index_0 == 4'h8; // @[el2_ifu_mem_ctl.scala 448:285] wire [31:0] _T_1845 = _T_1813 ? ic_miss_buff_data_8 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1860 = _T_1859 | _T_1845; // @[Mux.scala 27:72] - wire _T_1816 = byp_fetch_index_0 == 4'h9; // @[el2_ifu_mem_ctl.scala 446:285] + wire _T_1816 = byp_fetch_index_0 == 4'h9; // @[el2_ifu_mem_ctl.scala 448:285] wire [31:0] _T_1846 = _T_1816 ? ic_miss_buff_data_9 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1861 = _T_1860 | _T_1846; // @[Mux.scala 27:72] - wire _T_1819 = byp_fetch_index_0 == 4'ha; // @[el2_ifu_mem_ctl.scala 446:285] + wire _T_1819 = byp_fetch_index_0 == 4'ha; // @[el2_ifu_mem_ctl.scala 448:285] wire [31:0] _T_1847 = _T_1819 ? ic_miss_buff_data_10 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1862 = _T_1861 | _T_1847; // @[Mux.scala 27:72] - wire _T_1822 = byp_fetch_index_0 == 4'hb; // @[el2_ifu_mem_ctl.scala 446:285] + wire _T_1822 = byp_fetch_index_0 == 4'hb; // @[el2_ifu_mem_ctl.scala 448:285] wire [31:0] _T_1848 = _T_1822 ? ic_miss_buff_data_11 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1863 = _T_1862 | _T_1848; // @[Mux.scala 27:72] - wire _T_1825 = byp_fetch_index_0 == 4'hc; // @[el2_ifu_mem_ctl.scala 446:285] + wire _T_1825 = byp_fetch_index_0 == 4'hc; // @[el2_ifu_mem_ctl.scala 448:285] wire [31:0] _T_1849 = _T_1825 ? ic_miss_buff_data_12 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1864 = _T_1863 | _T_1849; // @[Mux.scala 27:72] - wire _T_1828 = byp_fetch_index_0 == 4'hd; // @[el2_ifu_mem_ctl.scala 446:285] + wire _T_1828 = byp_fetch_index_0 == 4'hd; // @[el2_ifu_mem_ctl.scala 448:285] wire [31:0] _T_1850 = _T_1828 ? ic_miss_buff_data_13 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1865 = _T_1864 | _T_1850; // @[Mux.scala 27:72] - wire _T_1831 = byp_fetch_index_0 == 4'he; // @[el2_ifu_mem_ctl.scala 446:285] + wire _T_1831 = byp_fetch_index_0 == 4'he; // @[el2_ifu_mem_ctl.scala 448:285] wire [31:0] _T_1851 = _T_1831 ? ic_miss_buff_data_14 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1866 = _T_1865 | _T_1851; // @[Mux.scala 27:72] - wire _T_1834 = byp_fetch_index_0 == 4'hf; // @[el2_ifu_mem_ctl.scala 446:285] + wire _T_1834 = byp_fetch_index_0 == 4'hf; // @[el2_ifu_mem_ctl.scala 448:285] wire [31:0] _T_1852 = _T_1834 ? ic_miss_buff_data_15 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1867 = _T_1866 | _T_1852; // @[Mux.scala 27:72] wire [79:0] _T_1870 = {_T_1707,_T_1787,_T_1867}; // @[Cat.scala 29:58] wire [3:0] byp_fetch_index_inc_1 = {byp_fetch_index_inc,1'h1}; // @[Cat.scala 29:58] - wire _T_1871 = byp_fetch_index_inc_1 == 4'h0; // @[el2_ifu_mem_ctl.scala 447:73] + wire _T_1871 = byp_fetch_index_inc_1 == 4'h0; // @[el2_ifu_mem_ctl.scala 449:73] wire [15:0] _T_1919 = _T_1871 ? ic_miss_buff_data_0[15:0] : 16'h0; // @[Mux.scala 27:72] - wire _T_1874 = byp_fetch_index_inc_1 == 4'h1; // @[el2_ifu_mem_ctl.scala 447:73] + wire _T_1874 = byp_fetch_index_inc_1 == 4'h1; // @[el2_ifu_mem_ctl.scala 449:73] wire [15:0] _T_1920 = _T_1874 ? ic_miss_buff_data_1[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1935 = _T_1919 | _T_1920; // @[Mux.scala 27:72] - wire _T_1877 = byp_fetch_index_inc_1 == 4'h2; // @[el2_ifu_mem_ctl.scala 447:73] + wire _T_1877 = byp_fetch_index_inc_1 == 4'h2; // @[el2_ifu_mem_ctl.scala 449:73] wire [15:0] _T_1921 = _T_1877 ? ic_miss_buff_data_2[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1936 = _T_1935 | _T_1921; // @[Mux.scala 27:72] - wire _T_1880 = byp_fetch_index_inc_1 == 4'h3; // @[el2_ifu_mem_ctl.scala 447:73] + wire _T_1880 = byp_fetch_index_inc_1 == 4'h3; // @[el2_ifu_mem_ctl.scala 449:73] wire [15:0] _T_1922 = _T_1880 ? ic_miss_buff_data_3[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1937 = _T_1936 | _T_1922; // @[Mux.scala 27:72] - wire _T_1883 = byp_fetch_index_inc_1 == 4'h4; // @[el2_ifu_mem_ctl.scala 447:73] + wire _T_1883 = byp_fetch_index_inc_1 == 4'h4; // @[el2_ifu_mem_ctl.scala 449:73] wire [15:0] _T_1923 = _T_1883 ? ic_miss_buff_data_4[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1938 = _T_1937 | _T_1923; // @[Mux.scala 27:72] - wire _T_1886 = byp_fetch_index_inc_1 == 4'h5; // @[el2_ifu_mem_ctl.scala 447:73] + wire _T_1886 = byp_fetch_index_inc_1 == 4'h5; // @[el2_ifu_mem_ctl.scala 449:73] wire [15:0] _T_1924 = _T_1886 ? ic_miss_buff_data_5[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1939 = _T_1938 | _T_1924; // @[Mux.scala 27:72] - wire _T_1889 = byp_fetch_index_inc_1 == 4'h6; // @[el2_ifu_mem_ctl.scala 447:73] + wire _T_1889 = byp_fetch_index_inc_1 == 4'h6; // @[el2_ifu_mem_ctl.scala 449:73] wire [15:0] _T_1925 = _T_1889 ? ic_miss_buff_data_6[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1940 = _T_1939 | _T_1925; // @[Mux.scala 27:72] - wire _T_1892 = byp_fetch_index_inc_1 == 4'h7; // @[el2_ifu_mem_ctl.scala 447:73] + wire _T_1892 = byp_fetch_index_inc_1 == 4'h7; // @[el2_ifu_mem_ctl.scala 449:73] wire [15:0] _T_1926 = _T_1892 ? ic_miss_buff_data_7[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1941 = _T_1940 | _T_1926; // @[Mux.scala 27:72] - wire _T_1895 = byp_fetch_index_inc_1 == 4'h8; // @[el2_ifu_mem_ctl.scala 447:73] + wire _T_1895 = byp_fetch_index_inc_1 == 4'h8; // @[el2_ifu_mem_ctl.scala 449:73] wire [15:0] _T_1927 = _T_1895 ? ic_miss_buff_data_8[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1942 = _T_1941 | _T_1927; // @[Mux.scala 27:72] - wire _T_1898 = byp_fetch_index_inc_1 == 4'h9; // @[el2_ifu_mem_ctl.scala 447:73] + wire _T_1898 = byp_fetch_index_inc_1 == 4'h9; // @[el2_ifu_mem_ctl.scala 449:73] wire [15:0] _T_1928 = _T_1898 ? ic_miss_buff_data_9[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1943 = _T_1942 | _T_1928; // @[Mux.scala 27:72] - wire _T_1901 = byp_fetch_index_inc_1 == 4'ha; // @[el2_ifu_mem_ctl.scala 447:73] + wire _T_1901 = byp_fetch_index_inc_1 == 4'ha; // @[el2_ifu_mem_ctl.scala 449:73] wire [15:0] _T_1929 = _T_1901 ? ic_miss_buff_data_10[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1944 = _T_1943 | _T_1929; // @[Mux.scala 27:72] - wire _T_1904 = byp_fetch_index_inc_1 == 4'hb; // @[el2_ifu_mem_ctl.scala 447:73] + wire _T_1904 = byp_fetch_index_inc_1 == 4'hb; // @[el2_ifu_mem_ctl.scala 449:73] wire [15:0] _T_1930 = _T_1904 ? ic_miss_buff_data_11[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1945 = _T_1944 | _T_1930; // @[Mux.scala 27:72] - wire _T_1907 = byp_fetch_index_inc_1 == 4'hc; // @[el2_ifu_mem_ctl.scala 447:73] + wire _T_1907 = byp_fetch_index_inc_1 == 4'hc; // @[el2_ifu_mem_ctl.scala 449:73] wire [15:0] _T_1931 = _T_1907 ? ic_miss_buff_data_12[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1946 = _T_1945 | _T_1931; // @[Mux.scala 27:72] - wire _T_1910 = byp_fetch_index_inc_1 == 4'hd; // @[el2_ifu_mem_ctl.scala 447:73] + wire _T_1910 = byp_fetch_index_inc_1 == 4'hd; // @[el2_ifu_mem_ctl.scala 449:73] wire [15:0] _T_1932 = _T_1910 ? ic_miss_buff_data_13[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1947 = _T_1946 | _T_1932; // @[Mux.scala 27:72] - wire _T_1913 = byp_fetch_index_inc_1 == 4'he; // @[el2_ifu_mem_ctl.scala 447:73] + wire _T_1913 = byp_fetch_index_inc_1 == 4'he; // @[el2_ifu_mem_ctl.scala 449:73] wire [15:0] _T_1933 = _T_1913 ? ic_miss_buff_data_14[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1948 = _T_1947 | _T_1933; // @[Mux.scala 27:72] - wire _T_1916 = byp_fetch_index_inc_1 == 4'hf; // @[el2_ifu_mem_ctl.scala 447:73] + wire _T_1916 = byp_fetch_index_inc_1 == 4'hf; // @[el2_ifu_mem_ctl.scala 449:73] wire [15:0] _T_1934 = _T_1916 ? ic_miss_buff_data_15[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1949 = _T_1948 | _T_1934; // @[Mux.scala 27:72] wire [31:0] _T_1999 = _T_1629 ? ic_miss_buff_data_0 : 32'h0; // @[Mux.scala 27:72] @@ -3204,43 +3310,43 @@ module el2_ifu_mem_ctl( wire [31:0] _T_2014 = _T_1674 ? ic_miss_buff_data_15 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2029 = _T_2028 | _T_2014; // @[Mux.scala 27:72] wire [79:0] _T_2112 = {_T_1949,_T_2029,_T_1787}; // @[Cat.scala 29:58] - wire [79:0] ic_byp_data_only_pre_new = _T_2115 ? _T_1870 : _T_2112; // @[el2_ifu_mem_ctl.scala 445:37] + wire [79:0] ic_byp_data_only_pre_new = _T_2115 ? _T_1870 : _T_2112; // @[el2_ifu_mem_ctl.scala 447:37] wire [79:0] _T_2117 = {16'h0,ic_byp_data_only_pre_new[79:16]}; // @[Cat.scala 29:58] - wire [79:0] ic_byp_data_only_new = _T_2115 ? ic_byp_data_only_pre_new : _T_2117; // @[el2_ifu_mem_ctl.scala 449:30] - wire [79:0] _GEN_793 = {{16'd0}, _T_1263}; // @[el2_ifu_mem_ctl.scala 380:109] - wire [79:0] _T_1264 = _GEN_793 & ic_byp_data_only_new; // @[el2_ifu_mem_ctl.scala 380:109] - wire [79:0] _GEN_794 = {{16'd0}, _T_1261}; // @[el2_ifu_mem_ctl.scala 380:83] - wire [79:0] ic_premux_data = _GEN_794 | _T_1264; // @[el2_ifu_mem_ctl.scala 380:83] - wire fetch_req_f_qual = io_ic_hit_f & _T_317; // @[el2_ifu_mem_ctl.scala 387:38] - wire [1:0] _T_1273 = ifc_region_acc_fault_f ? 2'h2 : 2'h0; // @[el2_ifu_mem_ctl.scala 391:8] + wire [79:0] ic_byp_data_only_new = _T_2115 ? ic_byp_data_only_pre_new : _T_2117; // @[el2_ifu_mem_ctl.scala 451:30] + wire [79:0] _GEN_793 = {{16'd0}, _T_1263}; // @[el2_ifu_mem_ctl.scala 382:109] + wire [79:0] _T_1264 = _GEN_793 & ic_byp_data_only_new; // @[el2_ifu_mem_ctl.scala 382:109] + wire [79:0] _GEN_794 = {{16'd0}, _T_1261}; // @[el2_ifu_mem_ctl.scala 382:83] + wire [79:0] ic_premux_data = _GEN_794 | _T_1264; // @[el2_ifu_mem_ctl.scala 382:83] + wire fetch_req_f_qual = io_ic_hit_f & _T_317; // @[el2_ifu_mem_ctl.scala 389:38] + wire [1:0] _T_1273 = ifc_region_acc_fault_f ? 2'h2 : 2'h0; // @[el2_ifu_mem_ctl.scala 393:8] wire [7:0] _T_1370 = {ic_miss_buff_data_valid_in_7,ic_miss_buff_data_valid_in_6,ic_miss_buff_data_valid_in_5,ic_miss_buff_data_valid_in_4,ic_miss_buff_data_valid_in_3,ic_miss_buff_data_valid_in_2,ic_miss_buff_data_valid_in_1,ic_miss_buff_data_valid_in_0}; // @[Cat.scala 29:58] - wire _T_1375 = ic_miss_buff_data_error[0] & _T_1341; // @[el2_ifu_mem_ctl.scala 412:32] - wire _T_2621 = |io_ifu_axi_rresp; // @[el2_ifu_mem_ctl.scala 623:47] - wire _T_2622 = _T_2621 & _T_13; // @[el2_ifu_mem_ctl.scala 623:50] - wire bus_ifu_wr_data_error = _T_2622 & miss_pending; // @[el2_ifu_mem_ctl.scala 623:68] - wire ic_miss_buff_data_error_in_0 = write_fill_data_0 ? bus_ifu_wr_data_error : _T_1375; // @[el2_ifu_mem_ctl.scala 411:72] - wire _T_1379 = ic_miss_buff_data_error[1] & _T_1341; // @[el2_ifu_mem_ctl.scala 412:32] - wire ic_miss_buff_data_error_in_1 = write_fill_data_1 ? bus_ifu_wr_data_error : _T_1379; // @[el2_ifu_mem_ctl.scala 411:72] - wire _T_1383 = ic_miss_buff_data_error[2] & _T_1341; // @[el2_ifu_mem_ctl.scala 412:32] - wire ic_miss_buff_data_error_in_2 = write_fill_data_2 ? bus_ifu_wr_data_error : _T_1383; // @[el2_ifu_mem_ctl.scala 411:72] - wire _T_1387 = ic_miss_buff_data_error[3] & _T_1341; // @[el2_ifu_mem_ctl.scala 412:32] - wire ic_miss_buff_data_error_in_3 = write_fill_data_3 ? bus_ifu_wr_data_error : _T_1387; // @[el2_ifu_mem_ctl.scala 411:72] - wire _T_1391 = ic_miss_buff_data_error[4] & _T_1341; // @[el2_ifu_mem_ctl.scala 412:32] - wire ic_miss_buff_data_error_in_4 = write_fill_data_4 ? bus_ifu_wr_data_error : _T_1391; // @[el2_ifu_mem_ctl.scala 411:72] - wire _T_1395 = ic_miss_buff_data_error[5] & _T_1341; // @[el2_ifu_mem_ctl.scala 412:32] - wire ic_miss_buff_data_error_in_5 = write_fill_data_5 ? bus_ifu_wr_data_error : _T_1395; // @[el2_ifu_mem_ctl.scala 411:72] - wire _T_1399 = ic_miss_buff_data_error[6] & _T_1341; // @[el2_ifu_mem_ctl.scala 412:32] - wire ic_miss_buff_data_error_in_6 = write_fill_data_6 ? bus_ifu_wr_data_error : _T_1399; // @[el2_ifu_mem_ctl.scala 411:72] - wire _T_1403 = ic_miss_buff_data_error[7] & _T_1341; // @[el2_ifu_mem_ctl.scala 412:32] - wire ic_miss_buff_data_error_in_7 = write_fill_data_7 ? bus_ifu_wr_data_error : _T_1403; // @[el2_ifu_mem_ctl.scala 411:72] + wire _T_1375 = ic_miss_buff_data_error[0] & _T_1341; // @[el2_ifu_mem_ctl.scala 414:32] + wire _T_2621 = |io_ifu_axi_rresp; // @[el2_ifu_mem_ctl.scala 625:47] + wire _T_2622 = _T_2621 & _T_13; // @[el2_ifu_mem_ctl.scala 625:50] + wire bus_ifu_wr_data_error = _T_2622 & miss_pending; // @[el2_ifu_mem_ctl.scala 625:68] + wire ic_miss_buff_data_error_in_0 = write_fill_data_0 ? bus_ifu_wr_data_error : _T_1375; // @[el2_ifu_mem_ctl.scala 413:72] + wire _T_1379 = ic_miss_buff_data_error[1] & _T_1341; // @[el2_ifu_mem_ctl.scala 414:32] + wire ic_miss_buff_data_error_in_1 = write_fill_data_1 ? bus_ifu_wr_data_error : _T_1379; // @[el2_ifu_mem_ctl.scala 413:72] + wire _T_1383 = ic_miss_buff_data_error[2] & _T_1341; // @[el2_ifu_mem_ctl.scala 414:32] + wire ic_miss_buff_data_error_in_2 = write_fill_data_2 ? bus_ifu_wr_data_error : _T_1383; // @[el2_ifu_mem_ctl.scala 413:72] + wire _T_1387 = ic_miss_buff_data_error[3] & _T_1341; // @[el2_ifu_mem_ctl.scala 414:32] + wire ic_miss_buff_data_error_in_3 = write_fill_data_3 ? bus_ifu_wr_data_error : _T_1387; // @[el2_ifu_mem_ctl.scala 413:72] + wire _T_1391 = ic_miss_buff_data_error[4] & _T_1341; // @[el2_ifu_mem_ctl.scala 414:32] + wire ic_miss_buff_data_error_in_4 = write_fill_data_4 ? bus_ifu_wr_data_error : _T_1391; // @[el2_ifu_mem_ctl.scala 413:72] + wire _T_1395 = ic_miss_buff_data_error[5] & _T_1341; // @[el2_ifu_mem_ctl.scala 414:32] + wire ic_miss_buff_data_error_in_5 = write_fill_data_5 ? bus_ifu_wr_data_error : _T_1395; // @[el2_ifu_mem_ctl.scala 413:72] + wire _T_1399 = ic_miss_buff_data_error[6] & _T_1341; // @[el2_ifu_mem_ctl.scala 414:32] + wire ic_miss_buff_data_error_in_6 = write_fill_data_6 ? bus_ifu_wr_data_error : _T_1399; // @[el2_ifu_mem_ctl.scala 413:72] + wire _T_1403 = ic_miss_buff_data_error[7] & _T_1341; // @[el2_ifu_mem_ctl.scala 414:32] + wire ic_miss_buff_data_error_in_7 = write_fill_data_7 ? bus_ifu_wr_data_error : _T_1403; // @[el2_ifu_mem_ctl.scala 413:72] wire [7:0] _T_1410 = {ic_miss_buff_data_error_in_7,ic_miss_buff_data_error_in_6,ic_miss_buff_data_error_in_5,ic_miss_buff_data_error_in_4,ic_miss_buff_data_error_in_3,ic_miss_buff_data_error_in_2,ic_miss_buff_data_error_in_1,ic_miss_buff_data_error_in_0}; // @[Cat.scala 29:58] reg [5:0] perr_ic_index_ff; // @[Reg.scala 27:20] wire _T_2427 = 3'h0 == perr_state; // @[Conditional.scala 37:30] - wire _T_2435 = _T_6 & _T_317; // @[el2_ifu_mem_ctl.scala 492:65] - wire _T_2436 = _T_2435 | io_iccm_dma_sb_error; // @[el2_ifu_mem_ctl.scala 492:88] - wire _T_2438 = _T_2436 & _T_2549; // @[el2_ifu_mem_ctl.scala 492:112] + wire _T_2435 = _T_6 & _T_317; // @[el2_ifu_mem_ctl.scala 494:65] + wire _T_2436 = _T_2435 | io_iccm_dma_sb_error; // @[el2_ifu_mem_ctl.scala 494:88] + wire _T_2438 = _T_2436 & _T_2549; // @[el2_ifu_mem_ctl.scala 494:112] wire _T_2439 = 3'h1 == perr_state; // @[Conditional.scala 37:30] - wire _T_2440 = io_dec_tlu_flush_lower_wb | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 497:50] + wire _T_2440 = io_dec_tlu_flush_lower_wb | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 499:50] wire _T_2442 = 3'h2 == perr_state; // @[Conditional.scala 37:30] wire _T_2448 = 3'h4 == perr_state; // @[Conditional.scala 37:30] wire _T_2450 = 3'h3 == perr_state; // @[Conditional.scala 37:30] @@ -3249,28 +3355,28 @@ module el2_ifu_mem_ctl( wire _GEN_42 = _T_2439 ? _T_2440 : _GEN_40; // @[Conditional.scala 39:67] wire perr_state_en = _T_2427 ? _T_2438 : _GEN_42; // @[Conditional.scala 40:58] wire perr_sb_write_status = _T_2427 & perr_state_en; // @[Conditional.scala 40:58] - wire _T_2441 = io_dec_tlu_flush_lower_wb & io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 498:56] + wire _T_2441 = io_dec_tlu_flush_lower_wb & io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 500:56] wire _GEN_43 = _T_2439 & _T_2441; // @[Conditional.scala 39:67] wire perr_sel_invalidate = _T_2427 ? 1'h0 : _GEN_43; // @[Conditional.scala 40:58] wire [1:0] perr_err_inv_way = perr_sel_invalidate ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - reg dma_sb_err_state_ff; // @[el2_ifu_mem_ctl.scala 483:58] - wire _T_2424 = ~dma_sb_err_state_ff; // @[el2_ifu_mem_ctl.scala 482:49] - wire _T_2429 = io_ic_error_start & _T_317; // @[el2_ifu_mem_ctl.scala 491:87] - wire _T_2443 = io_dec_tlu_flush_err_wb & io_dec_tlu_flush_lower_wb; // @[el2_ifu_mem_ctl.scala 501:54] - wire _T_2444 = _T_2443 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 501:84] - wire _T_2453 = perr_state == 3'h2; // @[el2_ifu_mem_ctl.scala 522:66] - wire _T_2454 = io_dec_tlu_flush_err_wb & _T_2453; // @[el2_ifu_mem_ctl.scala 522:52] - wire _T_2456 = _T_2454 & _T_2549; // @[el2_ifu_mem_ctl.scala 522:81] - wire _T_2458 = io_dec_tlu_flush_lower_wb | io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 525:59] - wire _T_2459 = _T_2458 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 525:86] - wire _T_2473 = _T_2458 | io_ifu_fetch_val[0]; // @[el2_ifu_mem_ctl.scala 528:81] - wire _T_2474 = _T_2473 | ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 528:103] - wire _T_2475 = _T_2474 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 528:126] - wire _T_2495 = _T_2473 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 535:103] - wire _T_2502 = ~io_dec_tlu_flush_err_wb; // @[el2_ifu_mem_ctl.scala 540:62] - wire _T_2503 = io_dec_tlu_flush_lower_wb & _T_2502; // @[el2_ifu_mem_ctl.scala 540:60] - wire _T_2504 = _T_2503 | io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 540:88] - wire _T_2505 = _T_2504 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 540:115] + reg dma_sb_err_state_ff; // @[el2_ifu_mem_ctl.scala 485:58] + wire _T_2424 = ~dma_sb_err_state_ff; // @[el2_ifu_mem_ctl.scala 484:49] + wire _T_2429 = io_ic_error_start & _T_317; // @[el2_ifu_mem_ctl.scala 493:87] + wire _T_2443 = io_dec_tlu_flush_err_wb & io_dec_tlu_flush_lower_wb; // @[el2_ifu_mem_ctl.scala 503:54] + wire _T_2444 = _T_2443 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 503:84] + wire _T_2453 = perr_state == 3'h2; // @[el2_ifu_mem_ctl.scala 524:66] + wire _T_2454 = io_dec_tlu_flush_err_wb & _T_2453; // @[el2_ifu_mem_ctl.scala 524:52] + wire _T_2456 = _T_2454 & _T_2549; // @[el2_ifu_mem_ctl.scala 524:81] + wire _T_2458 = io_dec_tlu_flush_lower_wb | io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 527:59] + wire _T_2459 = _T_2458 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 527:86] + wire _T_2473 = _T_2458 | io_ifu_fetch_val[0]; // @[el2_ifu_mem_ctl.scala 530:81] + wire _T_2474 = _T_2473 | ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 530:103] + wire _T_2475 = _T_2474 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 530:126] + wire _T_2495 = _T_2473 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 537:103] + wire _T_2502 = ~io_dec_tlu_flush_err_wb; // @[el2_ifu_mem_ctl.scala 542:62] + wire _T_2503 = io_dec_tlu_flush_lower_wb & _T_2502; // @[el2_ifu_mem_ctl.scala 542:60] + wire _T_2504 = _T_2503 | io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 542:88] + wire _T_2505 = _T_2504 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 542:115] wire _GEN_50 = _T_2501 & _T_2459; // @[Conditional.scala 39:67] wire _GEN_53 = _T_2484 ? _T_2495 : _GEN_50; // @[Conditional.scala 39:67] wire _GEN_55 = _T_2484 | _T_2501; // @[Conditional.scala 39:67] @@ -3278,65 +3384,65 @@ module el2_ifu_mem_ctl( wire _GEN_59 = _T_2457 | _GEN_55; // @[Conditional.scala 39:67] wire err_stop_state_en = _T_2452 ? _T_2456 : _GEN_57; // @[Conditional.scala 40:58] reg ifu_bus_cmd_valid; // @[Reg.scala 27:20] - wire _T_2517 = ic_act_miss_f | ifu_bus_cmd_valid; // @[el2_ifu_mem_ctl.scala 557:64] - wire _T_2519 = _T_2517 & _T_2549; // @[el2_ifu_mem_ctl.scala 557:85] + wire _T_2517 = ic_act_miss_f | ifu_bus_cmd_valid; // @[el2_ifu_mem_ctl.scala 559:64] + wire _T_2519 = _T_2517 & _T_2549; // @[el2_ifu_mem_ctl.scala 559:85] reg [2:0] bus_cmd_beat_count; // @[Reg.scala 27:20] - wire _T_2521 = bus_cmd_beat_count == 3'h7; // @[el2_ifu_mem_ctl.scala 557:133] - wire _T_2522 = _T_2521 & ifu_bus_cmd_valid; // @[el2_ifu_mem_ctl.scala 557:164] - wire _T_2523 = _T_2522 & io_ifu_axi_arready; // @[el2_ifu_mem_ctl.scala 557:184] - wire _T_2524 = _T_2523 & miss_pending; // @[el2_ifu_mem_ctl.scala 557:204] - wire _T_2525 = ~_T_2524; // @[el2_ifu_mem_ctl.scala 557:112] - wire ifc_bus_ic_req_ff_in = _T_2519 & _T_2525; // @[el2_ifu_mem_ctl.scala 557:110] - wire _T_2526 = io_ifu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 558:80] - wire ifu_bus_arready = io_ifu_axi_arready & io_ifu_bus_clk_en; // @[el2_ifu_mem_ctl.scala 589:45] - wire _T_2543 = io_ifu_axi_arvalid & ifu_bus_arready; // @[el2_ifu_mem_ctl.scala 592:35] - wire _T_2544 = _T_2543 & miss_pending; // @[el2_ifu_mem_ctl.scala 592:53] - wire bus_cmd_sent = _T_2544 & _T_2549; // @[el2_ifu_mem_ctl.scala 592:68] + wire _T_2521 = bus_cmd_beat_count == 3'h7; // @[el2_ifu_mem_ctl.scala 559:133] + wire _T_2522 = _T_2521 & ifu_bus_cmd_valid; // @[el2_ifu_mem_ctl.scala 559:164] + wire _T_2523 = _T_2522 & io_ifu_axi_arready; // @[el2_ifu_mem_ctl.scala 559:184] + wire _T_2524 = _T_2523 & miss_pending; // @[el2_ifu_mem_ctl.scala 559:204] + wire _T_2525 = ~_T_2524; // @[el2_ifu_mem_ctl.scala 559:112] + wire ifc_bus_ic_req_ff_in = _T_2519 & _T_2525; // @[el2_ifu_mem_ctl.scala 559:110] + wire _T_2526 = io_ifu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 560:80] + wire ifu_bus_arready = io_ifu_axi_arready & io_ifu_bus_clk_en; // @[el2_ifu_mem_ctl.scala 591:45] + wire _T_2543 = io_ifu_axi_arvalid & ifu_bus_arready; // @[el2_ifu_mem_ctl.scala 594:35] + wire _T_2544 = _T_2543 & miss_pending; // @[el2_ifu_mem_ctl.scala 594:53] + wire bus_cmd_sent = _T_2544 & _T_2549; // @[el2_ifu_mem_ctl.scala 594:68] wire [2:0] _T_2534 = ifu_bus_cmd_valid ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_2536 = {miss_addr,bus_rd_addr_count,3'h0}; // @[Cat.scala 29:58] wire [31:0] _T_2538 = ifu_bus_cmd_valid ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] reg ifu_bus_arready_unq_ff; // @[Reg.scala 27:20] reg ifu_bus_arvalid_ff; // @[Reg.scala 27:20] - wire ifu_bus_arready_ff = ifu_bus_arready_unq_ff & bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 590:51] - wire _T_2564 = ~scnd_miss_req; // @[el2_ifu_mem_ctl.scala 600:73] - wire _T_2565 = _T_2550 & _T_2564; // @[el2_ifu_mem_ctl.scala 600:71] - wire _T_2567 = last_data_recieved_ff & _T_1341; // @[el2_ifu_mem_ctl.scala 600:114] - wire last_data_recieved_in = _T_2565 | _T_2567; // @[el2_ifu_mem_ctl.scala 600:89] - wire [2:0] _T_2573 = bus_rd_addr_count + 3'h1; // @[el2_ifu_mem_ctl.scala 605:45] - wire _T_2576 = io_ifu_bus_clk_en | ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 606:81] - wire _T_2577 = _T_2576 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 606:97] - wire _T_2579 = ifu_bus_cmd_valid & io_ifu_axi_arready; // @[el2_ifu_mem_ctl.scala 608:48] - wire _T_2580 = _T_2579 & miss_pending; // @[el2_ifu_mem_ctl.scala 608:68] - wire bus_inc_cmd_beat_cnt = _T_2580 & _T_2549; // @[el2_ifu_mem_ctl.scala 608:83] - wire bus_reset_cmd_beat_cnt_secondlast = ic_act_miss_f & uncacheable_miss_in; // @[el2_ifu_mem_ctl.scala 610:57] - wire _T_2584 = ~bus_inc_cmd_beat_cnt; // @[el2_ifu_mem_ctl.scala 611:31] - wire _T_2585 = ic_act_miss_f | scnd_miss_req; // @[el2_ifu_mem_ctl.scala 611:71] - wire _T_2586 = _T_2585 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 611:87] - wire _T_2587 = ~_T_2586; // @[el2_ifu_mem_ctl.scala 611:55] - wire bus_hold_cmd_beat_cnt = _T_2584 & _T_2587; // @[el2_ifu_mem_ctl.scala 611:53] - wire _T_2588 = bus_inc_cmd_beat_cnt | ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 612:46] - wire bus_cmd_beat_en = _T_2588 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 612:62] - wire [2:0] _T_2591 = bus_cmd_beat_count + 3'h1; // @[el2_ifu_mem_ctl.scala 614:46] + wire ifu_bus_arready_ff = ifu_bus_arready_unq_ff & bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 592:51] + wire _T_2564 = ~scnd_miss_req; // @[el2_ifu_mem_ctl.scala 602:73] + wire _T_2565 = _T_2550 & _T_2564; // @[el2_ifu_mem_ctl.scala 602:71] + wire _T_2567 = last_data_recieved_ff & _T_1341; // @[el2_ifu_mem_ctl.scala 602:114] + wire last_data_recieved_in = _T_2565 | _T_2567; // @[el2_ifu_mem_ctl.scala 602:89] + wire [2:0] _T_2573 = bus_rd_addr_count + 3'h1; // @[el2_ifu_mem_ctl.scala 607:45] + wire _T_2576 = io_ifu_bus_clk_en | ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 608:81] + wire _T_2577 = _T_2576 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 608:97] + wire _T_2579 = ifu_bus_cmd_valid & io_ifu_axi_arready; // @[el2_ifu_mem_ctl.scala 610:48] + wire _T_2580 = _T_2579 & miss_pending; // @[el2_ifu_mem_ctl.scala 610:68] + wire bus_inc_cmd_beat_cnt = _T_2580 & _T_2549; // @[el2_ifu_mem_ctl.scala 610:83] + wire bus_reset_cmd_beat_cnt_secondlast = ic_act_miss_f & uncacheable_miss_in; // @[el2_ifu_mem_ctl.scala 612:57] + wire _T_2584 = ~bus_inc_cmd_beat_cnt; // @[el2_ifu_mem_ctl.scala 613:31] + wire _T_2585 = ic_act_miss_f | scnd_miss_req; // @[el2_ifu_mem_ctl.scala 613:71] + wire _T_2586 = _T_2585 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 613:87] + wire _T_2587 = ~_T_2586; // @[el2_ifu_mem_ctl.scala 613:55] + wire bus_hold_cmd_beat_cnt = _T_2584 & _T_2587; // @[el2_ifu_mem_ctl.scala 613:53] + wire _T_2588 = bus_inc_cmd_beat_cnt | ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 614:46] + wire bus_cmd_beat_en = _T_2588 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 614:62] + wire [2:0] _T_2591 = bus_cmd_beat_count + 3'h1; // @[el2_ifu_mem_ctl.scala 616:46] wire [2:0] _T_2593 = bus_reset_cmd_beat_cnt_secondlast ? 3'h6 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_2594 = bus_inc_cmd_beat_cnt ? _T_2591 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_2595 = bus_hold_cmd_beat_cnt ? bus_cmd_beat_count : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_2597 = _T_2593 | _T_2594; // @[Mux.scala 27:72] wire [2:0] bus_new_cmd_beat_count = _T_2597 | _T_2595; // @[Mux.scala 27:72] - wire _T_2601 = _T_2577 & bus_cmd_beat_en; // @[el2_ifu_mem_ctl.scala 615:125] - reg ifc_dma_access_ok_prev; // @[el2_ifu_mem_ctl.scala 626:62] - wire _T_2629 = ~iccm_correct_ecc; // @[el2_ifu_mem_ctl.scala 631:50] - wire _T_2630 = io_ifc_dma_access_ok & _T_2629; // @[el2_ifu_mem_ctl.scala 631:47] - wire _T_2631 = ~io_iccm_dma_sb_error; // @[el2_ifu_mem_ctl.scala 631:70] - wire ifc_dma_access_ok_d = _T_2630 & _T_2631; // @[el2_ifu_mem_ctl.scala 631:68] - wire _T_2635 = _T_2630 & ifc_dma_access_ok_prev; // @[el2_ifu_mem_ctl.scala 632:72] - wire _T_2636 = perr_state == 3'h0; // @[el2_ifu_mem_ctl.scala 632:111] - wire _T_2637 = _T_2635 & _T_2636; // @[el2_ifu_mem_ctl.scala 632:97] - wire ifc_dma_access_q_ok = _T_2637 & _T_2631; // @[el2_ifu_mem_ctl.scala 632:127] - wire _T_2640 = ifc_dma_access_q_ok & io_dma_iccm_req; // @[el2_ifu_mem_ctl.scala 635:40] - wire _T_2641 = _T_2640 & io_dma_mem_write; // @[el2_ifu_mem_ctl.scala 635:58] - wire _T_2644 = ~io_dma_mem_write; // @[el2_ifu_mem_ctl.scala 636:60] - wire _T_2645 = _T_2640 & _T_2644; // @[el2_ifu_mem_ctl.scala 636:58] - wire _T_2646 = io_ifc_iccm_access_bf & io_ifc_fetch_req_bf; // @[el2_ifu_mem_ctl.scala 636:104] + wire _T_2601 = _T_2577 & bus_cmd_beat_en; // @[el2_ifu_mem_ctl.scala 617:125] + reg ifc_dma_access_ok_prev; // @[el2_ifu_mem_ctl.scala 628:62] + wire _T_2629 = ~iccm_correct_ecc; // @[el2_ifu_mem_ctl.scala 633:50] + wire _T_2630 = io_ifc_dma_access_ok & _T_2629; // @[el2_ifu_mem_ctl.scala 633:47] + wire _T_2631 = ~io_iccm_dma_sb_error; // @[el2_ifu_mem_ctl.scala 633:70] + wire ifc_dma_access_ok_d = _T_2630 & _T_2631; // @[el2_ifu_mem_ctl.scala 633:68] + wire _T_2635 = _T_2630 & ifc_dma_access_ok_prev; // @[el2_ifu_mem_ctl.scala 634:72] + wire _T_2636 = perr_state == 3'h0; // @[el2_ifu_mem_ctl.scala 634:111] + wire _T_2637 = _T_2635 & _T_2636; // @[el2_ifu_mem_ctl.scala 634:97] + wire ifc_dma_access_q_ok = _T_2637 & _T_2631; // @[el2_ifu_mem_ctl.scala 634:127] + wire _T_2640 = ifc_dma_access_q_ok & io_dma_iccm_req; // @[el2_ifu_mem_ctl.scala 637:40] + wire _T_2641 = _T_2640 & io_dma_mem_write; // @[el2_ifu_mem_ctl.scala 637:58] + wire _T_2644 = ~io_dma_mem_write; // @[el2_ifu_mem_ctl.scala 638:60] + wire _T_2645 = _T_2640 & _T_2644; // @[el2_ifu_mem_ctl.scala 638:58] + wire _T_2646 = io_ifc_iccm_access_bf & io_ifc_fetch_req_bf; // @[el2_ifu_mem_ctl.scala 638:104] wire [2:0] _T_2651 = io_dma_iccm_req ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] wire [8:0] _T_2757 = {io_dma_mem_wdata[48],io_dma_mem_wdata[46],io_dma_mem_wdata[44],io_dma_mem_wdata[42],io_dma_mem_wdata[40],io_dma_mem_wdata[38],io_dma_mem_wdata[37],io_dma_mem_wdata[35],io_dma_mem_wdata[33]}; // @[el2_lib.scala 268:22] wire [17:0] _T_2766 = {io_dma_mem_wdata[63],io_dma_mem_wdata[62],io_dma_mem_wdata[60],io_dma_mem_wdata[59],io_dma_mem_wdata[57],io_dma_mem_wdata[55],io_dma_mem_wdata[53],io_dma_mem_wdata[52],io_dma_mem_wdata[50],_T_2757}; // @[el2_lib.scala 268:22] @@ -3380,12 +3486,12 @@ module el2_ifu_mem_ctl( wire _T_3043 = _T_3041 ^ _T_3042; // @[el2_lib.scala 269:18] wire [6:0] _T_3044 = {_T_3043,_T_2963,_T_2981,_T_2999,_T_3014,_T_3029,_T_3035}; // @[Cat.scala 29:58] wire [13:0] dma_mem_ecc = {_T_2847,_T_2767,_T_2785,_T_2803,_T_2818,_T_2833,_T_2839,_T_3044}; // @[Cat.scala 29:58] - wire _T_3046 = ~_T_2640; // @[el2_ifu_mem_ctl.scala 641:45] - wire _T_3047 = iccm_correct_ecc & _T_3046; // @[el2_ifu_mem_ctl.scala 641:43] + wire _T_3046 = ~_T_2640; // @[el2_ifu_mem_ctl.scala 643:45] + wire _T_3047 = iccm_correct_ecc & _T_3046; // @[el2_ifu_mem_ctl.scala 643:43] reg [38:0] iccm_ecc_corr_data_ff; // @[Reg.scala 27:20] wire [77:0] _T_3048 = {iccm_ecc_corr_data_ff,iccm_ecc_corr_data_ff}; // @[Cat.scala 29:58] wire [77:0] _T_3055 = {dma_mem_ecc[13:7],io_dma_mem_wdata[63:32],dma_mem_ecc[6:0],io_dma_mem_wdata[31:0]}; // @[Cat.scala 29:58] - reg [1:0] dma_mem_addr_ff; // @[el2_ifu_mem_ctl.scala 655:53] + reg [1:0] dma_mem_addr_ff; // @[el2_ifu_mem_ctl.scala 657:53] wire _T_3387 = _T_3299[5:0] == 6'h27; // @[el2_lib.scala 307:41] wire _T_3385 = _T_3299[5:0] == 6'h26; // @[el2_lib.scala 307:41] wire _T_3383 = _T_3299[5:0] == 6'h25; // @[el2_lib.scala 307:41] @@ -3484,1494 +3590,1498 @@ module el2_ifu_mem_ctl( wire [38:0] _T_3833 = _T_3832 ^ _T_3793; // @[el2_lib.scala 310:76] wire [38:0] _T_3834 = _T_3688 ? _T_3833 : _T_3793; // @[el2_lib.scala 310:31] wire [31:0] iccm_corrected_data_1 = {_T_3834[37:32],_T_3834[30:16],_T_3834[14:8],_T_3834[6:4],_T_3834[2]}; // @[Cat.scala 29:58] - wire [31:0] iccm_dma_rdata_1_muxed = dma_mem_addr_ff[0] ? iccm_corrected_data_0 : iccm_corrected_data_1; // @[el2_ifu_mem_ctl.scala 647:35] + wire [31:0] iccm_dma_rdata_1_muxed = dma_mem_addr_ff[0] ? iccm_corrected_data_0 : iccm_corrected_data_1; // @[el2_ifu_mem_ctl.scala 649:35] wire _T_3307 = ~_T_3299[6]; // @[el2_lib.scala 303:55] wire _T_3308 = _T_3301 & _T_3307; // @[el2_lib.scala 303:53] wire _T_3692 = ~_T_3684[6]; // @[el2_lib.scala 303:55] wire _T_3693 = _T_3686 & _T_3692; // @[el2_lib.scala 303:53] wire [1:0] iccm_double_ecc_error = {_T_3308,_T_3693}; // @[Cat.scala 29:58] - wire iccm_dma_ecc_error_in = |iccm_double_ecc_error; // @[el2_ifu_mem_ctl.scala 649:53] + wire iccm_dma_ecc_error_in = |iccm_double_ecc_error; // @[el2_ifu_mem_ctl.scala 651:53] wire [63:0] _T_3059 = {io_dma_mem_addr,io_dma_mem_addr}; // @[Cat.scala 29:58] wire [63:0] _T_3060 = {iccm_dma_rdata_1_muxed,_T_3449[37:32],_T_3449[30:16],_T_3449[14:8],_T_3449[6:4],_T_3449[2]}; // @[Cat.scala 29:58] - reg [2:0] dma_mem_tag_ff; // @[el2_ifu_mem_ctl.scala 651:54] - reg [2:0] iccm_dma_rtag; // @[el2_ifu_mem_ctl.scala 652:69] - reg iccm_dma_rvalid; // @[el2_ifu_mem_ctl.scala 657:71] - reg [63:0] iccm_dma_rdata; // @[el2_ifu_mem_ctl.scala 661:70] - wire _T_3065 = _T_2640 & _T_2629; // @[el2_ifu_mem_ctl.scala 664:65] - wire _T_3068 = _T_3046 & iccm_correct_ecc; // @[el2_ifu_mem_ctl.scala 665:50] + reg [2:0] dma_mem_tag_ff; // @[el2_ifu_mem_ctl.scala 653:54] + reg [2:0] iccm_dma_rtag; // @[el2_ifu_mem_ctl.scala 654:69] + reg iccm_dma_rvalid; // @[el2_ifu_mem_ctl.scala 659:71] + reg [63:0] iccm_dma_rdata; // @[el2_ifu_mem_ctl.scala 663:70] + wire _T_3065 = _T_2640 & _T_2629; // @[el2_ifu_mem_ctl.scala 666:65] + wire _T_3068 = _T_3046 & iccm_correct_ecc; // @[el2_ifu_mem_ctl.scala 667:50] reg [13:0] iccm_ecc_corr_index_ff; // @[Reg.scala 27:20] wire [14:0] _T_3069 = {iccm_ecc_corr_index_ff,1'h0}; // @[Cat.scala 29:58] - wire [15:0] _T_3071 = _T_3068 ? {{1'd0}, _T_3069} : io_ifc_fetch_addr_bf[15:0]; // @[el2_ifu_mem_ctl.scala 665:8] - wire [31:0] _T_3072 = _T_3065 ? io_dma_mem_addr : {{16'd0}, _T_3071}; // @[el2_ifu_mem_ctl.scala 664:25] + wire [15:0] _T_3071 = _T_3068 ? {{1'd0}, _T_3069} : io_ifc_fetch_addr_bf[15:0]; // @[el2_ifu_mem_ctl.scala 667:8] + wire [31:0] _T_3072 = _T_3065 ? io_dma_mem_addr : {{16'd0}, _T_3071}; // @[el2_ifu_mem_ctl.scala 666:25] wire _T_3461 = _T_3299 == 7'h40; // @[el2_lib.scala 313:62] wire _T_3462 = _T_3449[38] ^ _T_3461; // @[el2_lib.scala 313:44] wire [6:0] iccm_corrected_ecc_0 = {_T_3462,_T_3449[31],_T_3449[15],_T_3449[7],_T_3449[3],_T_3449[1:0]}; // @[Cat.scala 29:58] wire _T_3846 = _T_3684 == 7'h40; // @[el2_lib.scala 313:62] wire _T_3847 = _T_3834[38] ^ _T_3846; // @[el2_lib.scala 313:44] wire [6:0] iccm_corrected_ecc_1 = {_T_3847,_T_3834[31],_T_3834[15],_T_3834[7],_T_3834[3],_T_3834[1:0]}; // @[Cat.scala 29:58] - wire _T_3863 = _T_3 & ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 677:58] - wire [31:0] iccm_corrected_data_f_mux = iccm_single_ecc_error[0] ? iccm_corrected_data_0 : iccm_corrected_data_1; // @[el2_ifu_mem_ctl.scala 679:38] - wire [6:0] iccm_corrected_ecc_f_mux = iccm_single_ecc_error[0] ? iccm_corrected_ecc_0 : iccm_corrected_ecc_1; // @[el2_ifu_mem_ctl.scala 680:37] - reg iccm_rd_ecc_single_err_ff; // @[el2_ifu_mem_ctl.scala 688:62] - wire _T_3871 = ~iccm_rd_ecc_single_err_ff; // @[el2_ifu_mem_ctl.scala 682:76] - wire _T_3872 = io_iccm_rd_ecc_single_err & _T_3871; // @[el2_ifu_mem_ctl.scala 682:74] - wire _T_3874 = _T_3872 & _T_317; // @[el2_ifu_mem_ctl.scala 682:104] - wire iccm_ecc_write_status = _T_3874 | io_iccm_dma_sb_error; // @[el2_ifu_mem_ctl.scala 682:127] - wire _T_3875 = io_iccm_rd_ecc_single_err | iccm_rd_ecc_single_err_ff; // @[el2_ifu_mem_ctl.scala 683:67] - wire iccm_rd_ecc_single_err_hold_in = _T_3875 & _T_317; // @[el2_ifu_mem_ctl.scala 683:96] - reg [13:0] iccm_rw_addr_f; // @[el2_ifu_mem_ctl.scala 687:51] - wire [13:0] _T_3880 = iccm_rw_addr_f + 14'h1; // @[el2_ifu_mem_ctl.scala 686:102] + wire _T_3863 = _T_3 & ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 679:58] + wire [31:0] iccm_corrected_data_f_mux = iccm_single_ecc_error[0] ? iccm_corrected_data_0 : iccm_corrected_data_1; // @[el2_ifu_mem_ctl.scala 681:38] + wire [6:0] iccm_corrected_ecc_f_mux = iccm_single_ecc_error[0] ? iccm_corrected_ecc_0 : iccm_corrected_ecc_1; // @[el2_ifu_mem_ctl.scala 682:37] + reg iccm_rd_ecc_single_err_ff; // @[el2_ifu_mem_ctl.scala 690:62] + wire _T_3871 = ~iccm_rd_ecc_single_err_ff; // @[el2_ifu_mem_ctl.scala 684:76] + wire _T_3872 = io_iccm_rd_ecc_single_err & _T_3871; // @[el2_ifu_mem_ctl.scala 684:74] + wire _T_3874 = _T_3872 & _T_317; // @[el2_ifu_mem_ctl.scala 684:104] + wire iccm_ecc_write_status = _T_3874 | io_iccm_dma_sb_error; // @[el2_ifu_mem_ctl.scala 684:127] + wire _T_3875 = io_iccm_rd_ecc_single_err | iccm_rd_ecc_single_err_ff; // @[el2_ifu_mem_ctl.scala 685:67] + wire iccm_rd_ecc_single_err_hold_in = _T_3875 & _T_317; // @[el2_ifu_mem_ctl.scala 685:96] + reg [13:0] iccm_rw_addr_f; // @[el2_ifu_mem_ctl.scala 689:51] + wire [13:0] _T_3880 = iccm_rw_addr_f + 14'h1; // @[el2_ifu_mem_ctl.scala 688:102] wire [38:0] _T_3884 = {iccm_corrected_ecc_f_mux,iccm_corrected_data_f_mux}; // @[Cat.scala 29:58] - wire _T_3889 = ~io_ifc_fetch_uncacheable_bf; // @[el2_ifu_mem_ctl.scala 691:41] - wire _T_3890 = io_ifc_fetch_req_bf & _T_3889; // @[el2_ifu_mem_ctl.scala 691:39] - wire _T_3891 = ~io_ifc_iccm_access_bf; // @[el2_ifu_mem_ctl.scala 691:72] - wire _T_3892 = _T_3890 & _T_3891; // @[el2_ifu_mem_ctl.scala 691:70] - wire _T_3894 = ~miss_state_en; // @[el2_ifu_mem_ctl.scala 692:34] - wire _T_3895 = _T_2235 & _T_3894; // @[el2_ifu_mem_ctl.scala 692:32] - wire _T_3898 = _T_2251 & _T_3894; // @[el2_ifu_mem_ctl.scala 693:37] - wire _T_3899 = _T_3895 | _T_3898; // @[el2_ifu_mem_ctl.scala 692:88] - wire _T_3900 = miss_state == 3'h7; // @[el2_ifu_mem_ctl.scala 694:19] - wire _T_3902 = _T_3900 & _T_3894; // @[el2_ifu_mem_ctl.scala 694:41] - wire _T_3903 = _T_3899 | _T_3902; // @[el2_ifu_mem_ctl.scala 693:88] - wire _T_3904 = miss_state == 3'h3; // @[el2_ifu_mem_ctl.scala 695:19] - wire _T_3906 = _T_3904 & _T_3894; // @[el2_ifu_mem_ctl.scala 695:35] - wire _T_3907 = _T_3903 | _T_3906; // @[el2_ifu_mem_ctl.scala 694:88] - wire _T_3910 = _T_2250 & _T_3894; // @[el2_ifu_mem_ctl.scala 696:38] - wire _T_3911 = _T_3907 | _T_3910; // @[el2_ifu_mem_ctl.scala 695:88] - wire _T_3913 = _T_2251 & miss_state_en; // @[el2_ifu_mem_ctl.scala 697:37] - wire _T_3914 = miss_nxtstate == 3'h3; // @[el2_ifu_mem_ctl.scala 697:71] - wire _T_3915 = _T_3913 & _T_3914; // @[el2_ifu_mem_ctl.scala 697:54] - wire _T_3916 = _T_3911 | _T_3915; // @[el2_ifu_mem_ctl.scala 696:57] - wire _T_3917 = ~_T_3916; // @[el2_ifu_mem_ctl.scala 692:5] - wire _T_3918 = _T_3892 & _T_3917; // @[el2_ifu_mem_ctl.scala 691:96] - wire _T_3919 = io_ifc_fetch_req_bf & io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 698:28] - wire _T_3921 = _T_3919 & _T_3889; // @[el2_ifu_mem_ctl.scala 698:50] - wire _T_3923 = _T_3921 & _T_3891; // @[el2_ifu_mem_ctl.scala 698:81] - wire _T_3932 = ~_T_108; // @[el2_ifu_mem_ctl.scala 701:106] - wire _T_3933 = _T_2235 & _T_3932; // @[el2_ifu_mem_ctl.scala 701:104] - wire _T_3934 = _T_2251 | _T_3933; // @[el2_ifu_mem_ctl.scala 701:77] - wire _T_3938 = ~_T_51; // @[el2_ifu_mem_ctl.scala 701:172] - wire _T_3939 = _T_3934 & _T_3938; // @[el2_ifu_mem_ctl.scala 701:170] - wire _T_3940 = ~_T_3939; // @[el2_ifu_mem_ctl.scala 701:44] - wire _T_3944 = reset_ic_in | reset_ic_ff; // @[el2_ifu_mem_ctl.scala 704:64] - wire _T_3945 = ~_T_3944; // @[el2_ifu_mem_ctl.scala 704:50] - wire _T_3946 = _T_276 & _T_3945; // @[el2_ifu_mem_ctl.scala 704:48] - wire _T_3947 = ~reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 704:81] - wire ic_valid = _T_3946 & _T_3947; // @[el2_ifu_mem_ctl.scala 704:79] - wire _T_3949 = debug_c1_clken & io_ic_debug_tag_array; // @[el2_ifu_mem_ctl.scala 705:82] - reg [6:0] ifu_status_wr_addr_ff; // @[el2_ifu_mem_ctl.scala 708:14] - wire _T_3952 = io_ic_debug_wr_en & io_ic_debug_tag_array; // @[el2_ifu_mem_ctl.scala 711:74] - wire _T_10094 = bus_ifu_wr_en_ff_q & last_beat; // @[el2_ifu_mem_ctl.scala 785:45] - wire way_status_wr_en = _T_10094 | ic_act_hit_f; // @[el2_ifu_mem_ctl.scala 785:58] - wire way_status_wr_en_w_debug = way_status_wr_en | _T_3952; // @[el2_ifu_mem_ctl.scala 711:53] - reg way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 713:14] - wire [2:0] _T_3956 = {{2'd0}, io_ic_debug_wr_data[4]}; // @[el2_ifu_mem_ctl.scala 717:10] - wire way_status_hit_new = io_ic_rd_hit[0]; // @[el2_ifu_mem_ctl.scala 781:41] - wire way_status_new = _T_10094 ? replace_way_mb_any_0 : way_status_hit_new; // @[el2_ifu_mem_ctl.scala 784:26] - reg [2:0] way_status_new_ff; // @[el2_ifu_mem_ctl.scala 719:14] - wire way_status_clken_0 = ifu_status_wr_addr_ff[6:3] == 4'h0; // @[el2_ifu_mem_ctl.scala 721:132] - wire way_status_clken_1 = ifu_status_wr_addr_ff[6:3] == 4'h1; // @[el2_ifu_mem_ctl.scala 721:132] - wire way_status_clken_2 = ifu_status_wr_addr_ff[6:3] == 4'h2; // @[el2_ifu_mem_ctl.scala 721:132] - wire way_status_clken_3 = ifu_status_wr_addr_ff[6:3] == 4'h3; // @[el2_ifu_mem_ctl.scala 721:132] - wire way_status_clken_4 = ifu_status_wr_addr_ff[6:3] == 4'h4; // @[el2_ifu_mem_ctl.scala 721:132] - wire way_status_clken_5 = ifu_status_wr_addr_ff[6:3] == 4'h5; // @[el2_ifu_mem_ctl.scala 721:132] - wire way_status_clken_6 = ifu_status_wr_addr_ff[6:3] == 4'h6; // @[el2_ifu_mem_ctl.scala 721:132] - wire way_status_clken_7 = ifu_status_wr_addr_ff[6:3] == 4'h7; // @[el2_ifu_mem_ctl.scala 721:132] - wire way_status_clken_8 = ifu_status_wr_addr_ff[6:3] == 4'h8; // @[el2_ifu_mem_ctl.scala 721:132] - wire way_status_clken_9 = ifu_status_wr_addr_ff[6:3] == 4'h9; // @[el2_ifu_mem_ctl.scala 721:132] - wire way_status_clken_10 = ifu_status_wr_addr_ff[6:3] == 4'ha; // @[el2_ifu_mem_ctl.scala 721:132] - wire way_status_clken_11 = ifu_status_wr_addr_ff[6:3] == 4'hb; // @[el2_ifu_mem_ctl.scala 721:132] - wire way_status_clken_12 = ifu_status_wr_addr_ff[6:3] == 4'hc; // @[el2_ifu_mem_ctl.scala 721:132] - wire way_status_clken_13 = ifu_status_wr_addr_ff[6:3] == 4'hd; // @[el2_ifu_mem_ctl.scala 721:132] - wire way_status_clken_14 = ifu_status_wr_addr_ff[6:3] == 4'he; // @[el2_ifu_mem_ctl.scala 721:132] - wire way_status_clken_15 = ifu_status_wr_addr_ff[6:3] == 4'hf; // @[el2_ifu_mem_ctl.scala 721:132] - wire _T_3973 = ifu_status_wr_addr_ff == 7'h0; // @[el2_ifu_mem_ctl.scala 725:93] - wire _T_3974 = _T_3973 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 725:102] - wire _T_3975 = _T_3974 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_3977 = ifu_status_wr_addr_ff == 7'h1; // @[el2_ifu_mem_ctl.scala 725:93] - wire _T_3978 = _T_3977 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 725:102] - wire _T_3979 = _T_3978 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_3981 = ifu_status_wr_addr_ff == 7'h2; // @[el2_ifu_mem_ctl.scala 725:93] - wire _T_3982 = _T_3981 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 725:102] - wire _T_3983 = _T_3982 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_3985 = ifu_status_wr_addr_ff == 7'h3; // @[el2_ifu_mem_ctl.scala 725:93] - wire _T_3986 = _T_3985 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 725:102] - wire _T_3987 = _T_3986 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_3989 = ifu_status_wr_addr_ff == 7'h4; // @[el2_ifu_mem_ctl.scala 725:93] - wire _T_3990 = _T_3989 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 725:102] - wire _T_3991 = _T_3990 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_3993 = ifu_status_wr_addr_ff == 7'h5; // @[el2_ifu_mem_ctl.scala 725:93] - wire _T_3994 = _T_3993 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 725:102] - wire _T_3995 = _T_3994 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_3997 = ifu_status_wr_addr_ff == 7'h6; // @[el2_ifu_mem_ctl.scala 725:93] - wire _T_3998 = _T_3997 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 725:102] - wire _T_3999 = _T_3998 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4001 = ifu_status_wr_addr_ff == 7'h7; // @[el2_ifu_mem_ctl.scala 725:93] - wire _T_4002 = _T_4001 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 725:102] - wire _T_4003 = _T_4002 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4007 = _T_3974 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4011 = _T_3978 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4015 = _T_3982 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4019 = _T_3986 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4023 = _T_3990 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4027 = _T_3994 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4031 = _T_3998 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4035 = _T_4002 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4039 = _T_3974 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4043 = _T_3978 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4047 = _T_3982 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4051 = _T_3986 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4055 = _T_3990 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4059 = _T_3994 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4063 = _T_3998 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4067 = _T_4002 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4071 = _T_3974 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4075 = _T_3978 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4079 = _T_3982 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4083 = _T_3986 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4087 = _T_3990 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4091 = _T_3994 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4095 = _T_3998 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4099 = _T_4002 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4103 = _T_3974 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4107 = _T_3978 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4111 = _T_3982 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4115 = _T_3986 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4119 = _T_3990 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4123 = _T_3994 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4127 = _T_3998 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4131 = _T_4002 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4135 = _T_3974 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4139 = _T_3978 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4143 = _T_3982 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4147 = _T_3986 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4151 = _T_3990 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4155 = _T_3994 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4159 = _T_3998 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4163 = _T_4002 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4167 = _T_3974 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4171 = _T_3978 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4175 = _T_3982 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4179 = _T_3986 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4183 = _T_3990 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4187 = _T_3994 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4191 = _T_3998 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4195 = _T_4002 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4199 = _T_3974 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4203 = _T_3978 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4207 = _T_3982 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4211 = _T_3986 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4215 = _T_3990 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4219 = _T_3994 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4223 = _T_3998 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4227 = _T_4002 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4231 = _T_3974 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4235 = _T_3978 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4239 = _T_3982 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4243 = _T_3986 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4247 = _T_3990 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4251 = _T_3994 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4255 = _T_3998 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4259 = _T_4002 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4263 = _T_3974 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4267 = _T_3978 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4271 = _T_3982 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4275 = _T_3986 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4279 = _T_3990 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4283 = _T_3994 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4287 = _T_3998 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4291 = _T_4002 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4295 = _T_3974 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4299 = _T_3978 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4303 = _T_3982 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4307 = _T_3986 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4311 = _T_3990 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4315 = _T_3994 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4319 = _T_3998 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4323 = _T_4002 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4327 = _T_3974 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4331 = _T_3978 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4335 = _T_3982 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4339 = _T_3986 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4343 = _T_3990 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4347 = _T_3994 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4351 = _T_3998 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4355 = _T_4002 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4359 = _T_3974 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4363 = _T_3978 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4367 = _T_3982 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4371 = _T_3986 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4375 = _T_3990 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4379 = _T_3994 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4383 = _T_3998 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4387 = _T_4002 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4391 = _T_3974 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4395 = _T_3978 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4399 = _T_3982 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4403 = _T_3986 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4407 = _T_3990 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4411 = _T_3994 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4415 = _T_3998 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4419 = _T_4002 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4423 = _T_3974 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4427 = _T_3978 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4431 = _T_3982 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4435 = _T_3986 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4439 = _T_3990 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4443 = _T_3994 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4447 = _T_3998 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4451 = _T_4002 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4455 = _T_3974 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4459 = _T_3978 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4463 = _T_3982 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4467 = _T_3986 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4471 = _T_3990 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4475 = _T_3994 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4479 = _T_3998 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_4483 = _T_4002 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 725:124] - wire _T_10100 = _T_100 & replace_way_mb_any_1; // @[el2_ifu_mem_ctl.scala 788:84] - wire _T_10101 = _T_10100 & miss_pending; // @[el2_ifu_mem_ctl.scala 788:108] - wire bus_wren_last_1 = _T_10101 & bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 788:123] - wire wren_reset_miss_1 = replace_way_mb_any_1 & reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 789:84] - wire _T_10103 = bus_wren_last_1 | wren_reset_miss_1; // @[el2_ifu_mem_ctl.scala 790:73] - wire _T_10098 = _T_100 & replace_way_mb_any_0; // @[el2_ifu_mem_ctl.scala 788:84] - wire _T_10099 = _T_10098 & miss_pending; // @[el2_ifu_mem_ctl.scala 788:108] - wire bus_wren_last_0 = _T_10099 & bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 788:123] - wire wren_reset_miss_0 = replace_way_mb_any_0 & reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 789:84] - wire _T_10102 = bus_wren_last_0 | wren_reset_miss_0; // @[el2_ifu_mem_ctl.scala 790:73] + wire _T_3889 = ~io_ifc_fetch_uncacheable_bf; // @[el2_ifu_mem_ctl.scala 693:41] + wire _T_3890 = io_ifc_fetch_req_bf & _T_3889; // @[el2_ifu_mem_ctl.scala 693:39] + wire _T_3891 = ~io_ifc_iccm_access_bf; // @[el2_ifu_mem_ctl.scala 693:72] + wire _T_3892 = _T_3890 & _T_3891; // @[el2_ifu_mem_ctl.scala 693:70] + wire _T_3894 = ~miss_state_en; // @[el2_ifu_mem_ctl.scala 694:34] + wire _T_3895 = _T_2235 & _T_3894; // @[el2_ifu_mem_ctl.scala 694:32] + wire _T_3898 = _T_2251 & _T_3894; // @[el2_ifu_mem_ctl.scala 695:37] + wire _T_3899 = _T_3895 | _T_3898; // @[el2_ifu_mem_ctl.scala 694:88] + wire _T_3900 = miss_state == 3'h7; // @[el2_ifu_mem_ctl.scala 696:19] + wire _T_3902 = _T_3900 & _T_3894; // @[el2_ifu_mem_ctl.scala 696:41] + wire _T_3903 = _T_3899 | _T_3902; // @[el2_ifu_mem_ctl.scala 695:88] + wire _T_3904 = miss_state == 3'h3; // @[el2_ifu_mem_ctl.scala 697:19] + wire _T_3906 = _T_3904 & _T_3894; // @[el2_ifu_mem_ctl.scala 697:35] + wire _T_3907 = _T_3903 | _T_3906; // @[el2_ifu_mem_ctl.scala 696:88] + wire _T_3910 = _T_2250 & _T_3894; // @[el2_ifu_mem_ctl.scala 698:38] + wire _T_3911 = _T_3907 | _T_3910; // @[el2_ifu_mem_ctl.scala 697:88] + wire _T_3913 = _T_2251 & miss_state_en; // @[el2_ifu_mem_ctl.scala 699:37] + wire _T_3914 = miss_nxtstate == 3'h3; // @[el2_ifu_mem_ctl.scala 699:71] + wire _T_3915 = _T_3913 & _T_3914; // @[el2_ifu_mem_ctl.scala 699:54] + wire _T_3916 = _T_3911 | _T_3915; // @[el2_ifu_mem_ctl.scala 698:57] + wire _T_3917 = ~_T_3916; // @[el2_ifu_mem_ctl.scala 694:5] + wire _T_3918 = _T_3892 & _T_3917; // @[el2_ifu_mem_ctl.scala 693:96] + wire _T_3919 = io_ifc_fetch_req_bf & io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 700:28] + wire _T_3921 = _T_3919 & _T_3889; // @[el2_ifu_mem_ctl.scala 700:50] + wire _T_3923 = _T_3921 & _T_3891; // @[el2_ifu_mem_ctl.scala 700:81] + wire _T_3932 = ~_T_108; // @[el2_ifu_mem_ctl.scala 703:106] + wire _T_3933 = _T_2235 & _T_3932; // @[el2_ifu_mem_ctl.scala 703:104] + wire _T_3934 = _T_2251 | _T_3933; // @[el2_ifu_mem_ctl.scala 703:77] + wire _T_3938 = ~_T_51; // @[el2_ifu_mem_ctl.scala 703:172] + wire _T_3939 = _T_3934 & _T_3938; // @[el2_ifu_mem_ctl.scala 703:170] + wire _T_3940 = ~_T_3939; // @[el2_ifu_mem_ctl.scala 703:44] + wire _T_3944 = reset_ic_in | reset_ic_ff; // @[el2_ifu_mem_ctl.scala 706:64] + wire _T_3945 = ~_T_3944; // @[el2_ifu_mem_ctl.scala 706:50] + wire _T_3946 = _T_276 & _T_3945; // @[el2_ifu_mem_ctl.scala 706:48] + wire _T_3947 = ~reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 706:81] + wire ic_valid = _T_3946 & _T_3947; // @[el2_ifu_mem_ctl.scala 706:79] + wire _T_3949 = debug_c1_clken & io_ic_debug_tag_array; // @[el2_ifu_mem_ctl.scala 707:82] + reg [6:0] ifu_status_wr_addr_ff; // @[el2_ifu_mem_ctl.scala 710:14] + wire _T_3952 = io_ic_debug_wr_en & io_ic_debug_tag_array; // @[el2_ifu_mem_ctl.scala 713:74] + wire _T_10094 = bus_ifu_wr_en_ff_q & last_beat; // @[el2_ifu_mem_ctl.scala 787:45] + wire way_status_wr_en = _T_10094 | ic_act_hit_f; // @[el2_ifu_mem_ctl.scala 787:58] + wire way_status_wr_en_w_debug = way_status_wr_en | _T_3952; // @[el2_ifu_mem_ctl.scala 713:53] + reg way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 715:14] + wire [2:0] _T_3956 = {{2'd0}, io_ic_debug_wr_data[4]}; // @[el2_ifu_mem_ctl.scala 719:10] + wire way_status_hit_new = io_ic_rd_hit[0]; // @[el2_ifu_mem_ctl.scala 783:41] + wire way_status_new = _T_10094 ? replace_way_mb_any_0 : way_status_hit_new; // @[el2_ifu_mem_ctl.scala 786:26] + reg [2:0] way_status_new_ff; // @[el2_ifu_mem_ctl.scala 721:14] + wire way_status_clken_0 = ifu_status_wr_addr_ff[6:3] == 4'h0; // @[el2_ifu_mem_ctl.scala 723:132] + wire way_status_clken_1 = ifu_status_wr_addr_ff[6:3] == 4'h1; // @[el2_ifu_mem_ctl.scala 723:132] + wire way_status_clken_2 = ifu_status_wr_addr_ff[6:3] == 4'h2; // @[el2_ifu_mem_ctl.scala 723:132] + wire way_status_clken_3 = ifu_status_wr_addr_ff[6:3] == 4'h3; // @[el2_ifu_mem_ctl.scala 723:132] + wire way_status_clken_4 = ifu_status_wr_addr_ff[6:3] == 4'h4; // @[el2_ifu_mem_ctl.scala 723:132] + wire way_status_clken_5 = ifu_status_wr_addr_ff[6:3] == 4'h5; // @[el2_ifu_mem_ctl.scala 723:132] + wire way_status_clken_6 = ifu_status_wr_addr_ff[6:3] == 4'h6; // @[el2_ifu_mem_ctl.scala 723:132] + wire way_status_clken_7 = ifu_status_wr_addr_ff[6:3] == 4'h7; // @[el2_ifu_mem_ctl.scala 723:132] + wire way_status_clken_8 = ifu_status_wr_addr_ff[6:3] == 4'h8; // @[el2_ifu_mem_ctl.scala 723:132] + wire way_status_clken_9 = ifu_status_wr_addr_ff[6:3] == 4'h9; // @[el2_ifu_mem_ctl.scala 723:132] + wire way_status_clken_10 = ifu_status_wr_addr_ff[6:3] == 4'ha; // @[el2_ifu_mem_ctl.scala 723:132] + wire way_status_clken_11 = ifu_status_wr_addr_ff[6:3] == 4'hb; // @[el2_ifu_mem_ctl.scala 723:132] + wire way_status_clken_12 = ifu_status_wr_addr_ff[6:3] == 4'hc; // @[el2_ifu_mem_ctl.scala 723:132] + wire way_status_clken_13 = ifu_status_wr_addr_ff[6:3] == 4'hd; // @[el2_ifu_mem_ctl.scala 723:132] + wire way_status_clken_14 = ifu_status_wr_addr_ff[6:3] == 4'he; // @[el2_ifu_mem_ctl.scala 723:132] + wire way_status_clken_15 = ifu_status_wr_addr_ff[6:3] == 4'hf; // @[el2_ifu_mem_ctl.scala 723:132] + wire _T_3973 = ifu_status_wr_addr_ff == 7'h0; // @[el2_ifu_mem_ctl.scala 727:93] + wire _T_3974 = _T_3973 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 727:102] + wire _T_3975 = _T_3974 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_3977 = ifu_status_wr_addr_ff == 7'h1; // @[el2_ifu_mem_ctl.scala 727:93] + wire _T_3978 = _T_3977 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 727:102] + wire _T_3979 = _T_3978 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_3981 = ifu_status_wr_addr_ff == 7'h2; // @[el2_ifu_mem_ctl.scala 727:93] + wire _T_3982 = _T_3981 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 727:102] + wire _T_3983 = _T_3982 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_3985 = ifu_status_wr_addr_ff == 7'h3; // @[el2_ifu_mem_ctl.scala 727:93] + wire _T_3986 = _T_3985 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 727:102] + wire _T_3987 = _T_3986 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_3989 = ifu_status_wr_addr_ff == 7'h4; // @[el2_ifu_mem_ctl.scala 727:93] + wire _T_3990 = _T_3989 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 727:102] + wire _T_3991 = _T_3990 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_3993 = ifu_status_wr_addr_ff == 7'h5; // @[el2_ifu_mem_ctl.scala 727:93] + wire _T_3994 = _T_3993 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 727:102] + wire _T_3995 = _T_3994 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_3997 = ifu_status_wr_addr_ff == 7'h6; // @[el2_ifu_mem_ctl.scala 727:93] + wire _T_3998 = _T_3997 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 727:102] + wire _T_3999 = _T_3998 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4001 = ifu_status_wr_addr_ff == 7'h7; // @[el2_ifu_mem_ctl.scala 727:93] + wire _T_4002 = _T_4001 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 727:102] + wire _T_4003 = _T_4002 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4007 = _T_3974 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4011 = _T_3978 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4015 = _T_3982 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4019 = _T_3986 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4023 = _T_3990 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4027 = _T_3994 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4031 = _T_3998 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4035 = _T_4002 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4039 = _T_3974 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4043 = _T_3978 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4047 = _T_3982 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4051 = _T_3986 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4055 = _T_3990 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4059 = _T_3994 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4063 = _T_3998 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4067 = _T_4002 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4071 = _T_3974 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4075 = _T_3978 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4079 = _T_3982 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4083 = _T_3986 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4087 = _T_3990 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4091 = _T_3994 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4095 = _T_3998 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4099 = _T_4002 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4103 = _T_3974 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4107 = _T_3978 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4111 = _T_3982 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4115 = _T_3986 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4119 = _T_3990 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4123 = _T_3994 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4127 = _T_3998 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4131 = _T_4002 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4135 = _T_3974 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4139 = _T_3978 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4143 = _T_3982 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4147 = _T_3986 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4151 = _T_3990 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4155 = _T_3994 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4159 = _T_3998 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4163 = _T_4002 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4167 = _T_3974 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4171 = _T_3978 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4175 = _T_3982 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4179 = _T_3986 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4183 = _T_3990 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4187 = _T_3994 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4191 = _T_3998 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4195 = _T_4002 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4199 = _T_3974 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4203 = _T_3978 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4207 = _T_3982 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4211 = _T_3986 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4215 = _T_3990 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4219 = _T_3994 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4223 = _T_3998 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4227 = _T_4002 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4231 = _T_3974 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4235 = _T_3978 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4239 = _T_3982 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4243 = _T_3986 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4247 = _T_3990 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4251 = _T_3994 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4255 = _T_3998 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4259 = _T_4002 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4263 = _T_3974 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4267 = _T_3978 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4271 = _T_3982 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4275 = _T_3986 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4279 = _T_3990 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4283 = _T_3994 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4287 = _T_3998 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4291 = _T_4002 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4295 = _T_3974 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4299 = _T_3978 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4303 = _T_3982 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4307 = _T_3986 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4311 = _T_3990 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4315 = _T_3994 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4319 = _T_3998 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4323 = _T_4002 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4327 = _T_3974 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4331 = _T_3978 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4335 = _T_3982 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4339 = _T_3986 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4343 = _T_3990 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4347 = _T_3994 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4351 = _T_3998 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4355 = _T_4002 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4359 = _T_3974 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4363 = _T_3978 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4367 = _T_3982 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4371 = _T_3986 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4375 = _T_3990 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4379 = _T_3994 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4383 = _T_3998 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4387 = _T_4002 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4391 = _T_3974 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4395 = _T_3978 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4399 = _T_3982 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4403 = _T_3986 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4407 = _T_3990 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4411 = _T_3994 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4415 = _T_3998 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4419 = _T_4002 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4423 = _T_3974 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4427 = _T_3978 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4431 = _T_3982 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4435 = _T_3986 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4439 = _T_3990 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4443 = _T_3994 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4447 = _T_3998 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4451 = _T_4002 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4455 = _T_3974 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4459 = _T_3978 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4463 = _T_3982 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4467 = _T_3986 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4471 = _T_3990 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4475 = _T_3994 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4479 = _T_3998 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_4483 = _T_4002 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 727:124] + wire _T_10100 = _T_100 & replace_way_mb_any_1; // @[el2_ifu_mem_ctl.scala 790:84] + wire _T_10101 = _T_10100 & miss_pending; // @[el2_ifu_mem_ctl.scala 790:108] + wire bus_wren_last_1 = _T_10101 & bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 790:123] + wire wren_reset_miss_1 = replace_way_mb_any_1 & reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 791:84] + wire _T_10103 = bus_wren_last_1 | wren_reset_miss_1; // @[el2_ifu_mem_ctl.scala 792:73] + wire _T_10098 = _T_100 & replace_way_mb_any_0; // @[el2_ifu_mem_ctl.scala 790:84] + wire _T_10099 = _T_10098 & miss_pending; // @[el2_ifu_mem_ctl.scala 790:108] + wire bus_wren_last_0 = _T_10099 & bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 790:123] + wire wren_reset_miss_0 = replace_way_mb_any_0 & reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 791:84] + wire _T_10102 = bus_wren_last_0 | wren_reset_miss_0; // @[el2_ifu_mem_ctl.scala 792:73] wire [1:0] ifu_tag_wren = {_T_10103,_T_10102}; // @[Cat.scala 29:58] wire [1:0] _T_10137 = _T_3952 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] ic_debug_tag_wr_en = _T_10137 & io_ic_debug_way; // @[el2_ifu_mem_ctl.scala 823:90] - wire [1:0] ifu_tag_wren_w_debug = ifu_tag_wren | ic_debug_tag_wr_en; // @[el2_ifu_mem_ctl.scala 734:45] - reg [1:0] ifu_tag_wren_ff; // @[el2_ifu_mem_ctl.scala 736:14] - reg ic_valid_ff; // @[el2_ifu_mem_ctl.scala 740:14] - wire _T_5132 = ifu_ic_rw_int_addr_ff[5:4] == 2'h0; // @[el2_ifu_mem_ctl.scala 744:82] - wire _T_5134 = _T_5132 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 744:91] - wire _T_5136 = perr_ic_index_ff[5:4] == 2'h0; // @[el2_ifu_mem_ctl.scala 745:74] - wire _T_5138 = _T_5136 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 745:83] - wire _T_5139 = _T_5134 | _T_5138; // @[el2_ifu_mem_ctl.scala 744:113] - wire _T_5140 = _T_5139 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 745:106] - wire _T_5144 = _T_5132 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 744:91] - wire _T_5148 = _T_5136 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 745:83] - wire _T_5149 = _T_5144 | _T_5148; // @[el2_ifu_mem_ctl.scala 744:113] - wire _T_5150 = _T_5149 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 745:106] + wire [1:0] ic_debug_tag_wr_en = _T_10137 & io_ic_debug_way; // @[el2_ifu_mem_ctl.scala 825:90] + wire [1:0] ifu_tag_wren_w_debug = ifu_tag_wren | ic_debug_tag_wr_en; // @[el2_ifu_mem_ctl.scala 736:45] + reg [1:0] ifu_tag_wren_ff; // @[el2_ifu_mem_ctl.scala 738:14] + reg ic_valid_ff; // @[el2_ifu_mem_ctl.scala 742:14] + wire _T_5132 = ifu_ic_rw_int_addr_ff[5:4] == 2'h0; // @[el2_ifu_mem_ctl.scala 746:82] + wire _T_5134 = _T_5132 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 746:91] + wire _T_5136 = perr_ic_index_ff[5:4] == 2'h0; // @[el2_ifu_mem_ctl.scala 747:74] + wire _T_5138 = _T_5136 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 747:83] + wire _T_5139 = _T_5134 | _T_5138; // @[el2_ifu_mem_ctl.scala 746:113] + wire _T_5140 = _T_5139 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 747:106] + wire _T_5144 = _T_5132 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 746:91] + wire _T_5148 = _T_5136 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 747:83] + wire _T_5149 = _T_5144 | _T_5148; // @[el2_ifu_mem_ctl.scala 746:113] + wire _T_5150 = _T_5149 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 747:106] wire [1:0] tag_valid_clken_0 = {_T_5140,_T_5150}; // @[Cat.scala 29:58] - wire _T_5152 = ifu_ic_rw_int_addr_ff[5:4] == 2'h1; // @[el2_ifu_mem_ctl.scala 744:82] - wire _T_5154 = _T_5152 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 744:91] - wire _T_5156 = perr_ic_index_ff[5:4] == 2'h1; // @[el2_ifu_mem_ctl.scala 745:74] - wire _T_5158 = _T_5156 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 745:83] - wire _T_5159 = _T_5154 | _T_5158; // @[el2_ifu_mem_ctl.scala 744:113] - wire _T_5160 = _T_5159 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 745:106] - wire _T_5164 = _T_5152 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 744:91] - wire _T_5168 = _T_5156 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 745:83] - wire _T_5169 = _T_5164 | _T_5168; // @[el2_ifu_mem_ctl.scala 744:113] - wire _T_5170 = _T_5169 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 745:106] + wire _T_5152 = ifu_ic_rw_int_addr_ff[5:4] == 2'h1; // @[el2_ifu_mem_ctl.scala 746:82] + wire _T_5154 = _T_5152 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 746:91] + wire _T_5156 = perr_ic_index_ff[5:4] == 2'h1; // @[el2_ifu_mem_ctl.scala 747:74] + wire _T_5158 = _T_5156 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 747:83] + wire _T_5159 = _T_5154 | _T_5158; // @[el2_ifu_mem_ctl.scala 746:113] + wire _T_5160 = _T_5159 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 747:106] + wire _T_5164 = _T_5152 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 746:91] + wire _T_5168 = _T_5156 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 747:83] + wire _T_5169 = _T_5164 | _T_5168; // @[el2_ifu_mem_ctl.scala 746:113] + wire _T_5170 = _T_5169 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 747:106] wire [1:0] tag_valid_clken_1 = {_T_5160,_T_5170}; // @[Cat.scala 29:58] - wire _T_5172 = ifu_ic_rw_int_addr_ff[5:4] == 2'h2; // @[el2_ifu_mem_ctl.scala 744:82] - wire _T_5174 = _T_5172 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 744:91] - wire _T_5176 = perr_ic_index_ff[5:4] == 2'h2; // @[el2_ifu_mem_ctl.scala 745:74] - wire _T_5178 = _T_5176 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 745:83] - wire _T_5179 = _T_5174 | _T_5178; // @[el2_ifu_mem_ctl.scala 744:113] - wire _T_5180 = _T_5179 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 745:106] - wire _T_5184 = _T_5172 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 744:91] - wire _T_5188 = _T_5176 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 745:83] - wire _T_5189 = _T_5184 | _T_5188; // @[el2_ifu_mem_ctl.scala 744:113] - wire _T_5190 = _T_5189 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 745:106] + wire _T_5172 = ifu_ic_rw_int_addr_ff[5:4] == 2'h2; // @[el2_ifu_mem_ctl.scala 746:82] + wire _T_5174 = _T_5172 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 746:91] + wire _T_5176 = perr_ic_index_ff[5:4] == 2'h2; // @[el2_ifu_mem_ctl.scala 747:74] + wire _T_5178 = _T_5176 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 747:83] + wire _T_5179 = _T_5174 | _T_5178; // @[el2_ifu_mem_ctl.scala 746:113] + wire _T_5180 = _T_5179 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 747:106] + wire _T_5184 = _T_5172 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 746:91] + wire _T_5188 = _T_5176 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 747:83] + wire _T_5189 = _T_5184 | _T_5188; // @[el2_ifu_mem_ctl.scala 746:113] + wire _T_5190 = _T_5189 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 747:106] wire [1:0] tag_valid_clken_2 = {_T_5180,_T_5190}; // @[Cat.scala 29:58] - wire _T_5192 = ifu_ic_rw_int_addr_ff[5:4] == 2'h3; // @[el2_ifu_mem_ctl.scala 744:82] - wire _T_5194 = _T_5192 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 744:91] - wire _T_5196 = perr_ic_index_ff[5:4] == 2'h3; // @[el2_ifu_mem_ctl.scala 745:74] - wire _T_5198 = _T_5196 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 745:83] - wire _T_5199 = _T_5194 | _T_5198; // @[el2_ifu_mem_ctl.scala 744:113] - wire _T_5200 = _T_5199 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 745:106] - wire _T_5204 = _T_5192 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 744:91] - wire _T_5208 = _T_5196 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 745:83] - wire _T_5209 = _T_5204 | _T_5208; // @[el2_ifu_mem_ctl.scala 744:113] - wire _T_5210 = _T_5209 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 745:106] + wire _T_5192 = ifu_ic_rw_int_addr_ff[5:4] == 2'h3; // @[el2_ifu_mem_ctl.scala 746:82] + wire _T_5194 = _T_5192 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 746:91] + wire _T_5196 = perr_ic_index_ff[5:4] == 2'h3; // @[el2_ifu_mem_ctl.scala 747:74] + wire _T_5198 = _T_5196 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 747:83] + wire _T_5199 = _T_5194 | _T_5198; // @[el2_ifu_mem_ctl.scala 746:113] + wire _T_5200 = _T_5199 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 747:106] + wire _T_5204 = _T_5192 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 746:91] + wire _T_5208 = _T_5196 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 747:83] + wire _T_5209 = _T_5204 | _T_5208; // @[el2_ifu_mem_ctl.scala 746:113] + wire _T_5210 = _T_5209 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 747:106] wire [1:0] tag_valid_clken_3 = {_T_5200,_T_5210}; // @[Cat.scala 29:58] - wire _T_5213 = ic_valid_ff & _T_195; // @[el2_ifu_mem_ctl.scala 750:64] - wire _T_5214 = ~perr_sel_invalidate; // @[el2_ifu_mem_ctl.scala 750:91] - wire _T_5215 = _T_5213 & _T_5214; // @[el2_ifu_mem_ctl.scala 750:89] - wire _T_5218 = _T_4485 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5219 = perr_ic_index_ff == 6'h0; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5221 = _T_5219 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5223 = _T_5221 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5224 = _T_5218 | _T_5223; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5234 = _T_4489 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5235 = perr_ic_index_ff == 6'h1; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5237 = _T_5235 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5239 = _T_5237 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5240 = _T_5234 | _T_5239; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5250 = _T_4493 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5251 = perr_ic_index_ff == 6'h2; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5253 = _T_5251 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5255 = _T_5253 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5256 = _T_5250 | _T_5255; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5266 = _T_4497 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5267 = perr_ic_index_ff == 6'h3; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5269 = _T_5267 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5271 = _T_5269 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5272 = _T_5266 | _T_5271; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5282 = _T_4501 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5283 = perr_ic_index_ff == 6'h4; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5285 = _T_5283 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5287 = _T_5285 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5288 = _T_5282 | _T_5287; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5298 = _T_4505 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5299 = perr_ic_index_ff == 6'h5; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5301 = _T_5299 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5303 = _T_5301 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5304 = _T_5298 | _T_5303; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5314 = _T_4509 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5315 = perr_ic_index_ff == 6'h6; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5317 = _T_5315 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5319 = _T_5317 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5320 = _T_5314 | _T_5319; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5330 = _T_4513 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5331 = perr_ic_index_ff == 6'h7; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5333 = _T_5331 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5335 = _T_5333 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5336 = _T_5330 | _T_5335; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5346 = _T_4517 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5347 = perr_ic_index_ff == 6'h8; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5349 = _T_5347 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5351 = _T_5349 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5352 = _T_5346 | _T_5351; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5362 = _T_4521 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5363 = perr_ic_index_ff == 6'h9; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5365 = _T_5363 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5367 = _T_5365 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5368 = _T_5362 | _T_5367; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5378 = _T_4525 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5379 = perr_ic_index_ff == 6'ha; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5381 = _T_5379 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5383 = _T_5381 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5384 = _T_5378 | _T_5383; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5394 = _T_4529 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5395 = perr_ic_index_ff == 6'hb; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5397 = _T_5395 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5399 = _T_5397 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5400 = _T_5394 | _T_5399; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5410 = _T_4533 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5411 = perr_ic_index_ff == 6'hc; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5413 = _T_5411 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5415 = _T_5413 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5416 = _T_5410 | _T_5415; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5426 = _T_4537 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5427 = perr_ic_index_ff == 6'hd; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5429 = _T_5427 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5431 = _T_5429 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5432 = _T_5426 | _T_5431; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5442 = _T_4541 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5443 = perr_ic_index_ff == 6'he; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5445 = _T_5443 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5447 = _T_5445 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5448 = _T_5442 | _T_5447; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5458 = _T_4545 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5459 = perr_ic_index_ff == 6'hf; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5461 = _T_5459 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5463 = _T_5461 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5464 = _T_5458 | _T_5463; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5474 = _T_4549 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5475 = perr_ic_index_ff == 6'h10; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5477 = _T_5475 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5479 = _T_5477 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5480 = _T_5474 | _T_5479; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5490 = _T_4553 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5491 = perr_ic_index_ff == 6'h11; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5493 = _T_5491 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5495 = _T_5493 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5496 = _T_5490 | _T_5495; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5506 = _T_4557 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5507 = perr_ic_index_ff == 6'h12; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5509 = _T_5507 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5511 = _T_5509 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5512 = _T_5506 | _T_5511; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5522 = _T_4561 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5523 = perr_ic_index_ff == 6'h13; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5525 = _T_5523 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5527 = _T_5525 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5528 = _T_5522 | _T_5527; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5538 = _T_4565 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5539 = perr_ic_index_ff == 6'h14; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5541 = _T_5539 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5543 = _T_5541 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5544 = _T_5538 | _T_5543; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5554 = _T_4569 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5555 = perr_ic_index_ff == 6'h15; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5557 = _T_5555 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5559 = _T_5557 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5560 = _T_5554 | _T_5559; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5570 = _T_4573 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5571 = perr_ic_index_ff == 6'h16; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5573 = _T_5571 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5575 = _T_5573 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5576 = _T_5570 | _T_5575; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5586 = _T_4577 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5587 = perr_ic_index_ff == 6'h17; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5589 = _T_5587 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5591 = _T_5589 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5592 = _T_5586 | _T_5591; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5602 = _T_4581 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5603 = perr_ic_index_ff == 6'h18; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5605 = _T_5603 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5607 = _T_5605 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5608 = _T_5602 | _T_5607; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5618 = _T_4585 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5619 = perr_ic_index_ff == 6'h19; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5621 = _T_5619 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5623 = _T_5621 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5624 = _T_5618 | _T_5623; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5634 = _T_4589 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5635 = perr_ic_index_ff == 6'h1a; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5637 = _T_5635 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5639 = _T_5637 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5640 = _T_5634 | _T_5639; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5650 = _T_4593 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5651 = perr_ic_index_ff == 6'h1b; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5653 = _T_5651 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5655 = _T_5653 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5656 = _T_5650 | _T_5655; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5666 = _T_4597 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5667 = perr_ic_index_ff == 6'h1c; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5669 = _T_5667 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5671 = _T_5669 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5672 = _T_5666 | _T_5671; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5682 = _T_4601 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5683 = perr_ic_index_ff == 6'h1d; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5685 = _T_5683 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5687 = _T_5685 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5688 = _T_5682 | _T_5687; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5698 = _T_4605 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5699 = perr_ic_index_ff == 6'h1e; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5701 = _T_5699 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5703 = _T_5701 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5704 = _T_5698 | _T_5703; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5714 = _T_4609 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5715 = perr_ic_index_ff == 6'h1f; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_5717 = _T_5715 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5719 = _T_5717 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5720 = _T_5714 | _T_5719; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5730 = _T_4485 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5733 = _T_5219 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5735 = _T_5733 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5736 = _T_5730 | _T_5735; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5746 = _T_4489 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5749 = _T_5235 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5751 = _T_5749 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5752 = _T_5746 | _T_5751; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5762 = _T_4493 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5765 = _T_5251 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5767 = _T_5765 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5768 = _T_5762 | _T_5767; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5778 = _T_4497 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5781 = _T_5267 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5783 = _T_5781 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5784 = _T_5778 | _T_5783; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5794 = _T_4501 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5797 = _T_5283 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5799 = _T_5797 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5800 = _T_5794 | _T_5799; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5810 = _T_4505 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5813 = _T_5299 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5815 = _T_5813 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5816 = _T_5810 | _T_5815; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5826 = _T_4509 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5829 = _T_5315 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5831 = _T_5829 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5832 = _T_5826 | _T_5831; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5842 = _T_4513 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5845 = _T_5331 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5847 = _T_5845 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5848 = _T_5842 | _T_5847; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5858 = _T_4517 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5861 = _T_5347 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5863 = _T_5861 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5864 = _T_5858 | _T_5863; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5874 = _T_4521 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5877 = _T_5363 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5879 = _T_5877 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5880 = _T_5874 | _T_5879; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5890 = _T_4525 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5893 = _T_5379 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5895 = _T_5893 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5896 = _T_5890 | _T_5895; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5906 = _T_4529 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5909 = _T_5395 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5911 = _T_5909 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5912 = _T_5906 | _T_5911; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5922 = _T_4533 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5925 = _T_5411 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5927 = _T_5925 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5928 = _T_5922 | _T_5927; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5938 = _T_4537 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5941 = _T_5427 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5943 = _T_5941 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5944 = _T_5938 | _T_5943; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5954 = _T_4541 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5957 = _T_5443 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5959 = _T_5957 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5960 = _T_5954 | _T_5959; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5970 = _T_4545 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5973 = _T_5459 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5975 = _T_5973 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5976 = _T_5970 | _T_5975; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_5986 = _T_4549 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_5989 = _T_5475 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_5991 = _T_5989 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_5992 = _T_5986 | _T_5991; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6002 = _T_4553 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6005 = _T_5491 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6007 = _T_6005 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6008 = _T_6002 | _T_6007; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6018 = _T_4557 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6021 = _T_5507 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6023 = _T_6021 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6024 = _T_6018 | _T_6023; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6034 = _T_4561 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6037 = _T_5523 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6039 = _T_6037 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6040 = _T_6034 | _T_6039; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6050 = _T_4565 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6053 = _T_5539 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6055 = _T_6053 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6056 = _T_6050 | _T_6055; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6066 = _T_4569 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6069 = _T_5555 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6071 = _T_6069 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6072 = _T_6066 | _T_6071; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6082 = _T_4573 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6085 = _T_5571 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6087 = _T_6085 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6088 = _T_6082 | _T_6087; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6098 = _T_4577 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6101 = _T_5587 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6103 = _T_6101 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6104 = _T_6098 | _T_6103; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6114 = _T_4581 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6117 = _T_5603 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6119 = _T_6117 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6120 = _T_6114 | _T_6119; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6130 = _T_4585 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6133 = _T_5619 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6135 = _T_6133 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6136 = _T_6130 | _T_6135; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6146 = _T_4589 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6149 = _T_5635 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6151 = _T_6149 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6152 = _T_6146 | _T_6151; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6162 = _T_4593 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6165 = _T_5651 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6167 = _T_6165 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6168 = _T_6162 | _T_6167; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6178 = _T_4597 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6181 = _T_5667 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6183 = _T_6181 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6184 = _T_6178 | _T_6183; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6194 = _T_4601 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6197 = _T_5683 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6199 = _T_6197 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6200 = _T_6194 | _T_6199; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6210 = _T_4605 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6213 = _T_5699 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6215 = _T_6213 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6216 = _T_6210 | _T_6215; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6226 = _T_4609 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6229 = _T_5715 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6231 = _T_6229 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6232 = _T_6226 | _T_6231; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6242 = _T_4613 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6243 = perr_ic_index_ff == 6'h20; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6245 = _T_6243 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6247 = _T_6245 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6248 = _T_6242 | _T_6247; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6258 = _T_4617 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6259 = perr_ic_index_ff == 6'h21; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6261 = _T_6259 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6263 = _T_6261 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6264 = _T_6258 | _T_6263; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6274 = _T_4621 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6275 = perr_ic_index_ff == 6'h22; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6277 = _T_6275 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6279 = _T_6277 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6280 = _T_6274 | _T_6279; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6290 = _T_4625 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6291 = perr_ic_index_ff == 6'h23; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6293 = _T_6291 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6295 = _T_6293 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6296 = _T_6290 | _T_6295; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6306 = _T_4629 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6307 = perr_ic_index_ff == 6'h24; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6309 = _T_6307 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6311 = _T_6309 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6312 = _T_6306 | _T_6311; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6322 = _T_4633 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6323 = perr_ic_index_ff == 6'h25; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6325 = _T_6323 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6327 = _T_6325 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6328 = _T_6322 | _T_6327; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6338 = _T_4637 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6339 = perr_ic_index_ff == 6'h26; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6341 = _T_6339 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6343 = _T_6341 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6344 = _T_6338 | _T_6343; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6354 = _T_4641 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6355 = perr_ic_index_ff == 6'h27; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6357 = _T_6355 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6359 = _T_6357 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6360 = _T_6354 | _T_6359; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6370 = _T_4645 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6371 = perr_ic_index_ff == 6'h28; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6373 = _T_6371 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6375 = _T_6373 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6376 = _T_6370 | _T_6375; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6386 = _T_4649 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6387 = perr_ic_index_ff == 6'h29; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6389 = _T_6387 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6391 = _T_6389 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6392 = _T_6386 | _T_6391; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6402 = _T_4653 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6403 = perr_ic_index_ff == 6'h2a; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6405 = _T_6403 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6407 = _T_6405 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6408 = _T_6402 | _T_6407; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6418 = _T_4657 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6419 = perr_ic_index_ff == 6'h2b; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6421 = _T_6419 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6423 = _T_6421 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6424 = _T_6418 | _T_6423; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6434 = _T_4661 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6435 = perr_ic_index_ff == 6'h2c; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6437 = _T_6435 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6439 = _T_6437 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6440 = _T_6434 | _T_6439; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6450 = _T_4665 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6451 = perr_ic_index_ff == 6'h2d; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6453 = _T_6451 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6455 = _T_6453 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6456 = _T_6450 | _T_6455; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6466 = _T_4669 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6467 = perr_ic_index_ff == 6'h2e; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6469 = _T_6467 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6471 = _T_6469 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6472 = _T_6466 | _T_6471; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6482 = _T_4673 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6483 = perr_ic_index_ff == 6'h2f; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6485 = _T_6483 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6487 = _T_6485 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6488 = _T_6482 | _T_6487; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6498 = _T_4677 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6499 = perr_ic_index_ff == 6'h30; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6501 = _T_6499 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6503 = _T_6501 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6504 = _T_6498 | _T_6503; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6514 = _T_4681 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6515 = perr_ic_index_ff == 6'h31; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6517 = _T_6515 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6519 = _T_6517 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6520 = _T_6514 | _T_6519; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6530 = _T_4685 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6531 = perr_ic_index_ff == 6'h32; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6533 = _T_6531 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6535 = _T_6533 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6536 = _T_6530 | _T_6535; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6546 = _T_4689 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6547 = perr_ic_index_ff == 6'h33; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6549 = _T_6547 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6551 = _T_6549 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6552 = _T_6546 | _T_6551; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6562 = _T_4693 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6563 = perr_ic_index_ff == 6'h34; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6565 = _T_6563 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6567 = _T_6565 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6568 = _T_6562 | _T_6567; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6578 = _T_4697 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6579 = perr_ic_index_ff == 6'h35; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6581 = _T_6579 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6583 = _T_6581 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6584 = _T_6578 | _T_6583; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6594 = _T_4701 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6595 = perr_ic_index_ff == 6'h36; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6597 = _T_6595 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6599 = _T_6597 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6600 = _T_6594 | _T_6599; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6610 = _T_4705 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6611 = perr_ic_index_ff == 6'h37; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6613 = _T_6611 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6615 = _T_6613 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6616 = _T_6610 | _T_6615; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6626 = _T_4709 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6627 = perr_ic_index_ff == 6'h38; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6629 = _T_6627 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6631 = _T_6629 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6632 = _T_6626 | _T_6631; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6642 = _T_4713 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6643 = perr_ic_index_ff == 6'h39; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6645 = _T_6643 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6647 = _T_6645 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6648 = _T_6642 | _T_6647; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6658 = _T_4717 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6659 = perr_ic_index_ff == 6'h3a; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6661 = _T_6659 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6663 = _T_6661 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6664 = _T_6658 | _T_6663; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6674 = _T_4721 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6675 = perr_ic_index_ff == 6'h3b; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6677 = _T_6675 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6679 = _T_6677 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6680 = _T_6674 | _T_6679; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6690 = _T_4725 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6691 = perr_ic_index_ff == 6'h3c; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6693 = _T_6691 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6695 = _T_6693 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6696 = _T_6690 | _T_6695; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6706 = _T_4729 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6707 = perr_ic_index_ff == 6'h3d; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6709 = _T_6707 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6711 = _T_6709 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6712 = _T_6706 | _T_6711; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6722 = _T_4733 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6723 = perr_ic_index_ff == 6'h3e; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6725 = _T_6723 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6727 = _T_6725 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6728 = _T_6722 | _T_6727; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6738 = _T_4737 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6739 = perr_ic_index_ff == 6'h3f; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_6741 = _T_6739 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6743 = _T_6741 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6744 = _T_6738 | _T_6743; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6754 = _T_4613 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6757 = _T_6243 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6759 = _T_6757 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6760 = _T_6754 | _T_6759; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6770 = _T_4617 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6773 = _T_6259 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6775 = _T_6773 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6776 = _T_6770 | _T_6775; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6786 = _T_4621 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6789 = _T_6275 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6791 = _T_6789 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6792 = _T_6786 | _T_6791; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6802 = _T_4625 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6805 = _T_6291 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6807 = _T_6805 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6808 = _T_6802 | _T_6807; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6818 = _T_4629 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6821 = _T_6307 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6823 = _T_6821 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6824 = _T_6818 | _T_6823; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6834 = _T_4633 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6837 = _T_6323 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6839 = _T_6837 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6840 = _T_6834 | _T_6839; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6850 = _T_4637 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6853 = _T_6339 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6855 = _T_6853 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6856 = _T_6850 | _T_6855; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6866 = _T_4641 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6869 = _T_6355 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6871 = _T_6869 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6872 = _T_6866 | _T_6871; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6882 = _T_4645 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6885 = _T_6371 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6887 = _T_6885 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6888 = _T_6882 | _T_6887; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6898 = _T_4649 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6901 = _T_6387 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6903 = _T_6901 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6904 = _T_6898 | _T_6903; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6914 = _T_4653 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6917 = _T_6403 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6919 = _T_6917 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6920 = _T_6914 | _T_6919; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6930 = _T_4657 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6933 = _T_6419 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6935 = _T_6933 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6936 = _T_6930 | _T_6935; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6946 = _T_4661 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6949 = _T_6435 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6951 = _T_6949 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6952 = _T_6946 | _T_6951; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6962 = _T_4665 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6965 = _T_6451 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6967 = _T_6965 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6968 = _T_6962 | _T_6967; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6978 = _T_4669 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6981 = _T_6467 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6983 = _T_6981 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_6984 = _T_6978 | _T_6983; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_6994 = _T_4673 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_6997 = _T_6483 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_6999 = _T_6997 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7000 = _T_6994 | _T_6999; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7010 = _T_4677 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7013 = _T_6499 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7015 = _T_7013 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7016 = _T_7010 | _T_7015; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7026 = _T_4681 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7029 = _T_6515 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7031 = _T_7029 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7032 = _T_7026 | _T_7031; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7042 = _T_4685 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7045 = _T_6531 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7047 = _T_7045 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7048 = _T_7042 | _T_7047; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7058 = _T_4689 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7061 = _T_6547 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7063 = _T_7061 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7064 = _T_7058 | _T_7063; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7074 = _T_4693 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7077 = _T_6563 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7079 = _T_7077 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7080 = _T_7074 | _T_7079; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7090 = _T_4697 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7093 = _T_6579 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7095 = _T_7093 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7096 = _T_7090 | _T_7095; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7106 = _T_4701 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7109 = _T_6595 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7111 = _T_7109 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7112 = _T_7106 | _T_7111; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7122 = _T_4705 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7125 = _T_6611 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7127 = _T_7125 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7128 = _T_7122 | _T_7127; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7138 = _T_4709 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7141 = _T_6627 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7143 = _T_7141 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7144 = _T_7138 | _T_7143; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7154 = _T_4713 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7157 = _T_6643 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7159 = _T_7157 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7160 = _T_7154 | _T_7159; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7170 = _T_4717 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7173 = _T_6659 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7175 = _T_7173 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7176 = _T_7170 | _T_7175; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7186 = _T_4721 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7189 = _T_6675 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7191 = _T_7189 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7192 = _T_7186 | _T_7191; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7202 = _T_4725 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7205 = _T_6691 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7207 = _T_7205 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7208 = _T_7202 | _T_7207; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7218 = _T_4729 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7221 = _T_6707 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7223 = _T_7221 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7224 = _T_7218 | _T_7223; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7234 = _T_4733 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7237 = _T_6723 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7239 = _T_7237 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7240 = _T_7234 | _T_7239; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7250 = _T_4737 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7253 = _T_6739 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7255 = _T_7253 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7256 = _T_7250 | _T_7255; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7266 = _T_4741 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire [6:0] _GEN_796 = {{1'd0}, perr_ic_index_ff}; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7267 = _GEN_796 == 7'h40; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7269 = _T_7267 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7271 = _T_7269 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7272 = _T_7266 | _T_7271; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7282 = _T_4745 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7283 = _GEN_796 == 7'h41; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7285 = _T_7283 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7287 = _T_7285 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7288 = _T_7282 | _T_7287; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7298 = _T_4749 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7299 = _GEN_796 == 7'h42; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7301 = _T_7299 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7303 = _T_7301 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7304 = _T_7298 | _T_7303; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7314 = _T_4753 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7315 = _GEN_796 == 7'h43; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7317 = _T_7315 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7319 = _T_7317 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7320 = _T_7314 | _T_7319; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7330 = _T_4757 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7331 = _GEN_796 == 7'h44; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7333 = _T_7331 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7335 = _T_7333 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7336 = _T_7330 | _T_7335; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7346 = _T_4761 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7347 = _GEN_796 == 7'h45; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7349 = _T_7347 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7351 = _T_7349 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7352 = _T_7346 | _T_7351; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7362 = _T_4765 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7363 = _GEN_796 == 7'h46; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7365 = _T_7363 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7367 = _T_7365 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7368 = _T_7362 | _T_7367; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7378 = _T_4769 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7379 = _GEN_796 == 7'h47; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7381 = _T_7379 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7383 = _T_7381 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7384 = _T_7378 | _T_7383; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7394 = _T_4773 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7395 = _GEN_796 == 7'h48; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7397 = _T_7395 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7399 = _T_7397 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7400 = _T_7394 | _T_7399; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7410 = _T_4777 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7411 = _GEN_796 == 7'h49; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7413 = _T_7411 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7415 = _T_7413 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7416 = _T_7410 | _T_7415; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7426 = _T_4781 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7427 = _GEN_796 == 7'h4a; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7429 = _T_7427 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7431 = _T_7429 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7432 = _T_7426 | _T_7431; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7442 = _T_4785 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7443 = _GEN_796 == 7'h4b; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7445 = _T_7443 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7447 = _T_7445 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7448 = _T_7442 | _T_7447; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7458 = _T_4789 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7459 = _GEN_796 == 7'h4c; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7461 = _T_7459 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7463 = _T_7461 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7464 = _T_7458 | _T_7463; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7474 = _T_4793 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7475 = _GEN_796 == 7'h4d; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7477 = _T_7475 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7479 = _T_7477 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7480 = _T_7474 | _T_7479; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7490 = _T_4797 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7491 = _GEN_796 == 7'h4e; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7493 = _T_7491 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7495 = _T_7493 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7496 = _T_7490 | _T_7495; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7506 = _T_4801 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7507 = _GEN_796 == 7'h4f; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7509 = _T_7507 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7511 = _T_7509 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7512 = _T_7506 | _T_7511; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7522 = _T_4805 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7523 = _GEN_796 == 7'h50; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7525 = _T_7523 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7527 = _T_7525 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7528 = _T_7522 | _T_7527; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7538 = _T_4809 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7539 = _GEN_796 == 7'h51; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7541 = _T_7539 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7543 = _T_7541 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7544 = _T_7538 | _T_7543; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7554 = _T_4813 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7555 = _GEN_796 == 7'h52; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7557 = _T_7555 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7559 = _T_7557 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7560 = _T_7554 | _T_7559; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7570 = _T_4817 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7571 = _GEN_796 == 7'h53; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7573 = _T_7571 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7575 = _T_7573 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7576 = _T_7570 | _T_7575; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7586 = _T_4821 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7587 = _GEN_796 == 7'h54; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7589 = _T_7587 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7591 = _T_7589 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7592 = _T_7586 | _T_7591; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7602 = _T_4825 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7603 = _GEN_796 == 7'h55; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7605 = _T_7603 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7607 = _T_7605 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7608 = _T_7602 | _T_7607; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7618 = _T_4829 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7619 = _GEN_796 == 7'h56; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7621 = _T_7619 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7623 = _T_7621 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7624 = _T_7618 | _T_7623; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7634 = _T_4833 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7635 = _GEN_796 == 7'h57; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7637 = _T_7635 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7639 = _T_7637 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7640 = _T_7634 | _T_7639; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7650 = _T_4837 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7651 = _GEN_796 == 7'h58; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7653 = _T_7651 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7655 = _T_7653 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7656 = _T_7650 | _T_7655; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7666 = _T_4841 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7667 = _GEN_796 == 7'h59; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7669 = _T_7667 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7671 = _T_7669 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7672 = _T_7666 | _T_7671; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7682 = _T_4845 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7683 = _GEN_796 == 7'h5a; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7685 = _T_7683 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7687 = _T_7685 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7688 = _T_7682 | _T_7687; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7698 = _T_4849 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7699 = _GEN_796 == 7'h5b; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7701 = _T_7699 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7703 = _T_7701 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7704 = _T_7698 | _T_7703; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7714 = _T_4853 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7715 = _GEN_796 == 7'h5c; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7717 = _T_7715 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7719 = _T_7717 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7720 = _T_7714 | _T_7719; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7730 = _T_4857 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7731 = _GEN_796 == 7'h5d; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7733 = _T_7731 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7735 = _T_7733 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7736 = _T_7730 | _T_7735; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7746 = _T_4861 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7747 = _GEN_796 == 7'h5e; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7749 = _T_7747 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7751 = _T_7749 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7752 = _T_7746 | _T_7751; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7762 = _T_4865 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7763 = _GEN_796 == 7'h5f; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_7765 = _T_7763 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7767 = _T_7765 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7768 = _T_7762 | _T_7767; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7778 = _T_4741 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7781 = _T_7267 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7783 = _T_7781 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7784 = _T_7778 | _T_7783; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7794 = _T_4745 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7797 = _T_7283 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7799 = _T_7797 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7800 = _T_7794 | _T_7799; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7810 = _T_4749 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7813 = _T_7299 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7815 = _T_7813 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7816 = _T_7810 | _T_7815; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7826 = _T_4753 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7829 = _T_7315 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7831 = _T_7829 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7832 = _T_7826 | _T_7831; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7842 = _T_4757 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7845 = _T_7331 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7847 = _T_7845 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7848 = _T_7842 | _T_7847; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7858 = _T_4761 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7861 = _T_7347 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7863 = _T_7861 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7864 = _T_7858 | _T_7863; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7874 = _T_4765 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7877 = _T_7363 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7879 = _T_7877 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7880 = _T_7874 | _T_7879; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7890 = _T_4769 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7893 = _T_7379 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7895 = _T_7893 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7896 = _T_7890 | _T_7895; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7906 = _T_4773 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7909 = _T_7395 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7911 = _T_7909 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7912 = _T_7906 | _T_7911; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7922 = _T_4777 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7925 = _T_7411 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7927 = _T_7925 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7928 = _T_7922 | _T_7927; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7938 = _T_4781 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7941 = _T_7427 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7943 = _T_7941 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7944 = _T_7938 | _T_7943; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7954 = _T_4785 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7957 = _T_7443 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7959 = _T_7957 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7960 = _T_7954 | _T_7959; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7970 = _T_4789 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7973 = _T_7459 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7975 = _T_7973 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7976 = _T_7970 | _T_7975; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_7986 = _T_4793 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_7989 = _T_7475 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_7991 = _T_7989 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_7992 = _T_7986 | _T_7991; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8002 = _T_4797 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8005 = _T_7491 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8007 = _T_8005 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8008 = _T_8002 | _T_8007; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8018 = _T_4801 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8021 = _T_7507 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8023 = _T_8021 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8024 = _T_8018 | _T_8023; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8034 = _T_4805 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8037 = _T_7523 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8039 = _T_8037 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8040 = _T_8034 | _T_8039; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8050 = _T_4809 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8053 = _T_7539 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8055 = _T_8053 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8056 = _T_8050 | _T_8055; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8066 = _T_4813 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8069 = _T_7555 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8071 = _T_8069 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8072 = _T_8066 | _T_8071; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8082 = _T_4817 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8085 = _T_7571 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8087 = _T_8085 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8088 = _T_8082 | _T_8087; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8098 = _T_4821 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8101 = _T_7587 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8103 = _T_8101 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8104 = _T_8098 | _T_8103; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8114 = _T_4825 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8117 = _T_7603 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8119 = _T_8117 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8120 = _T_8114 | _T_8119; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8130 = _T_4829 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8133 = _T_7619 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8135 = _T_8133 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8136 = _T_8130 | _T_8135; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8146 = _T_4833 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8149 = _T_7635 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8151 = _T_8149 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8152 = _T_8146 | _T_8151; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8162 = _T_4837 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8165 = _T_7651 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8167 = _T_8165 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8168 = _T_8162 | _T_8167; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8178 = _T_4841 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8181 = _T_7667 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8183 = _T_8181 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8184 = _T_8178 | _T_8183; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8194 = _T_4845 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8197 = _T_7683 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8199 = _T_8197 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8200 = _T_8194 | _T_8199; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8210 = _T_4849 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8213 = _T_7699 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8215 = _T_8213 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8216 = _T_8210 | _T_8215; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8226 = _T_4853 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8229 = _T_7715 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8231 = _T_8229 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8232 = _T_8226 | _T_8231; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8242 = _T_4857 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8245 = _T_7731 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8247 = _T_8245 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8248 = _T_8242 | _T_8247; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8258 = _T_4861 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8261 = _T_7747 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8263 = _T_8261 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8264 = _T_8258 | _T_8263; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8274 = _T_4865 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8277 = _T_7763 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8279 = _T_8277 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8280 = _T_8274 | _T_8279; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8290 = _T_4869 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8291 = _GEN_796 == 7'h60; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8293 = _T_8291 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8295 = _T_8293 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8296 = _T_8290 | _T_8295; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8306 = _T_4873 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8307 = _GEN_796 == 7'h61; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8309 = _T_8307 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8311 = _T_8309 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8312 = _T_8306 | _T_8311; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8322 = _T_4877 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8323 = _GEN_796 == 7'h62; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8325 = _T_8323 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8327 = _T_8325 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8328 = _T_8322 | _T_8327; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8338 = _T_4881 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8339 = _GEN_796 == 7'h63; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8341 = _T_8339 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8343 = _T_8341 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8344 = _T_8338 | _T_8343; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8354 = _T_4885 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8355 = _GEN_796 == 7'h64; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8357 = _T_8355 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8359 = _T_8357 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8360 = _T_8354 | _T_8359; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8370 = _T_4889 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8371 = _GEN_796 == 7'h65; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8373 = _T_8371 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8375 = _T_8373 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8376 = _T_8370 | _T_8375; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8386 = _T_4893 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8387 = _GEN_796 == 7'h66; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8389 = _T_8387 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8391 = _T_8389 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8392 = _T_8386 | _T_8391; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8402 = _T_4897 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8403 = _GEN_796 == 7'h67; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8405 = _T_8403 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8407 = _T_8405 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8408 = _T_8402 | _T_8407; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8418 = _T_4901 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8419 = _GEN_796 == 7'h68; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8421 = _T_8419 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8423 = _T_8421 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8424 = _T_8418 | _T_8423; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8434 = _T_4905 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8435 = _GEN_796 == 7'h69; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8437 = _T_8435 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8439 = _T_8437 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8440 = _T_8434 | _T_8439; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8450 = _T_4909 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8451 = _GEN_796 == 7'h6a; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8453 = _T_8451 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8455 = _T_8453 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8456 = _T_8450 | _T_8455; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8466 = _T_4913 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8467 = _GEN_796 == 7'h6b; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8469 = _T_8467 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8471 = _T_8469 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8472 = _T_8466 | _T_8471; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8482 = _T_4917 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8483 = _GEN_796 == 7'h6c; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8485 = _T_8483 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8487 = _T_8485 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8488 = _T_8482 | _T_8487; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8498 = _T_4921 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8499 = _GEN_796 == 7'h6d; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8501 = _T_8499 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8503 = _T_8501 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8504 = _T_8498 | _T_8503; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8514 = _T_4925 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8515 = _GEN_796 == 7'h6e; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8517 = _T_8515 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8519 = _T_8517 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8520 = _T_8514 | _T_8519; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8530 = _T_4929 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8531 = _GEN_796 == 7'h6f; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8533 = _T_8531 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8535 = _T_8533 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8536 = _T_8530 | _T_8535; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8546 = _T_4933 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8547 = _GEN_796 == 7'h70; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8549 = _T_8547 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8551 = _T_8549 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8552 = _T_8546 | _T_8551; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8562 = _T_4937 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8563 = _GEN_796 == 7'h71; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8565 = _T_8563 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8567 = _T_8565 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8568 = _T_8562 | _T_8567; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8578 = _T_4941 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8579 = _GEN_796 == 7'h72; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8581 = _T_8579 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8583 = _T_8581 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8584 = _T_8578 | _T_8583; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8594 = _T_4945 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8595 = _GEN_796 == 7'h73; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8597 = _T_8595 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8599 = _T_8597 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8600 = _T_8594 | _T_8599; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8610 = _T_4949 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8611 = _GEN_796 == 7'h74; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8613 = _T_8611 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8615 = _T_8613 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8616 = _T_8610 | _T_8615; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8626 = _T_4953 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8627 = _GEN_796 == 7'h75; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8629 = _T_8627 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8631 = _T_8629 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8632 = _T_8626 | _T_8631; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8642 = _T_4957 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8643 = _GEN_796 == 7'h76; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8645 = _T_8643 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8647 = _T_8645 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8648 = _T_8642 | _T_8647; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8658 = _T_4961 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8659 = _GEN_796 == 7'h77; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8661 = _T_8659 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8663 = _T_8661 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8664 = _T_8658 | _T_8663; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8674 = _T_4965 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8675 = _GEN_796 == 7'h78; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8677 = _T_8675 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8679 = _T_8677 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8680 = _T_8674 | _T_8679; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8690 = _T_4969 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8691 = _GEN_796 == 7'h79; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8693 = _T_8691 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8695 = _T_8693 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8696 = _T_8690 | _T_8695; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8706 = _T_4973 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8707 = _GEN_796 == 7'h7a; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8709 = _T_8707 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8711 = _T_8709 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8712 = _T_8706 | _T_8711; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8722 = _T_4977 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8723 = _GEN_796 == 7'h7b; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8725 = _T_8723 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8727 = _T_8725 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8728 = _T_8722 | _T_8727; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8738 = _T_4981 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8739 = _GEN_796 == 7'h7c; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8741 = _T_8739 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8743 = _T_8741 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8744 = _T_8738 | _T_8743; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8754 = _T_4985 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8755 = _GEN_796 == 7'h7d; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8757 = _T_8755 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8759 = _T_8757 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8760 = _T_8754 | _T_8759; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8770 = _T_4989 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8771 = _GEN_796 == 7'h7e; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8773 = _T_8771 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8775 = _T_8773 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8776 = _T_8770 | _T_8775; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8786 = _T_4993 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8787 = _GEN_796 == 7'h7f; // @[el2_ifu_mem_ctl.scala 751:101] - wire _T_8789 = _T_8787 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8791 = _T_8789 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8792 = _T_8786 | _T_8791; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8802 = _T_4869 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8805 = _T_8291 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8807 = _T_8805 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8808 = _T_8802 | _T_8807; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8818 = _T_4873 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8821 = _T_8307 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8823 = _T_8821 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8824 = _T_8818 | _T_8823; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8834 = _T_4877 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8837 = _T_8323 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8839 = _T_8837 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8840 = _T_8834 | _T_8839; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8850 = _T_4881 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8853 = _T_8339 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8855 = _T_8853 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8856 = _T_8850 | _T_8855; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8866 = _T_4885 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8869 = _T_8355 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8871 = _T_8869 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8872 = _T_8866 | _T_8871; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8882 = _T_4889 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8885 = _T_8371 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8887 = _T_8885 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8888 = _T_8882 | _T_8887; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8898 = _T_4893 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8901 = _T_8387 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8903 = _T_8901 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8904 = _T_8898 | _T_8903; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8914 = _T_4897 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8917 = _T_8403 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8919 = _T_8917 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8920 = _T_8914 | _T_8919; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8930 = _T_4901 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8933 = _T_8419 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8935 = _T_8933 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8936 = _T_8930 | _T_8935; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8946 = _T_4905 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8949 = _T_8435 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8951 = _T_8949 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8952 = _T_8946 | _T_8951; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8962 = _T_4909 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8965 = _T_8451 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8967 = _T_8965 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8968 = _T_8962 | _T_8967; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8978 = _T_4913 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8981 = _T_8467 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8983 = _T_8981 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_8984 = _T_8978 | _T_8983; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_8994 = _T_4917 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_8997 = _T_8483 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_8999 = _T_8997 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_9000 = _T_8994 | _T_8999; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_9010 = _T_4921 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_9013 = _T_8499 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_9015 = _T_9013 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_9016 = _T_9010 | _T_9015; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_9026 = _T_4925 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_9029 = _T_8515 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_9031 = _T_9029 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_9032 = _T_9026 | _T_9031; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_9042 = _T_4929 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_9045 = _T_8531 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_9047 = _T_9045 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_9048 = _T_9042 | _T_9047; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_9058 = _T_4933 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_9061 = _T_8547 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_9063 = _T_9061 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_9064 = _T_9058 | _T_9063; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_9074 = _T_4937 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_9077 = _T_8563 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_9079 = _T_9077 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_9080 = _T_9074 | _T_9079; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_9090 = _T_4941 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_9093 = _T_8579 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_9095 = _T_9093 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_9096 = _T_9090 | _T_9095; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_9106 = _T_4945 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_9109 = _T_8595 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_9111 = _T_9109 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_9112 = _T_9106 | _T_9111; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_9122 = _T_4949 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_9125 = _T_8611 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_9127 = _T_9125 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_9128 = _T_9122 | _T_9127; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_9138 = _T_4953 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_9141 = _T_8627 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_9143 = _T_9141 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_9144 = _T_9138 | _T_9143; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_9154 = _T_4957 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_9157 = _T_8643 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_9159 = _T_9157 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_9160 = _T_9154 | _T_9159; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_9170 = _T_4961 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_9173 = _T_8659 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_9175 = _T_9173 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_9176 = _T_9170 | _T_9175; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_9186 = _T_4965 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_9189 = _T_8675 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_9191 = _T_9189 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_9192 = _T_9186 | _T_9191; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_9202 = _T_4969 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_9205 = _T_8691 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_9207 = _T_9205 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_9208 = _T_9202 | _T_9207; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_9218 = _T_4973 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_9221 = _T_8707 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_9223 = _T_9221 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_9224 = _T_9218 | _T_9223; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_9234 = _T_4977 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_9237 = _T_8723 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_9239 = _T_9237 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_9240 = _T_9234 | _T_9239; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_9250 = _T_4981 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_9253 = _T_8739 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_9255 = _T_9253 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_9256 = _T_9250 | _T_9255; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_9266 = _T_4985 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_9269 = _T_8755 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_9271 = _T_9269 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_9272 = _T_9266 | _T_9271; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_9282 = _T_4989 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_9285 = _T_8771 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_9287 = _T_9285 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_9288 = _T_9282 | _T_9287; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_9298 = _T_4993 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:58] - wire _T_9301 = _T_8787 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 751:123] - wire _T_9303 = _T_9301 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 751:144] - wire _T_9304 = _T_9298 | _T_9303; // @[el2_ifu_mem_ctl.scala 751:80] - wire _T_10105 = ~fetch_uncacheable_ff; // @[el2_ifu_mem_ctl.scala 805:63] - wire _T_10106 = _T_10105 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 805:85] + wire _T_5213 = ic_valid_ff & _T_195; // @[el2_ifu_mem_ctl.scala 752:64] + wire _T_5214 = ~perr_sel_invalidate; // @[el2_ifu_mem_ctl.scala 752:91] + wire _T_5215 = _T_5213 & _T_5214; // @[el2_ifu_mem_ctl.scala 752:89] + wire _T_5218 = _T_4485 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5219 = perr_ic_index_ff == 6'h0; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5221 = _T_5219 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5223 = _T_5221 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5224 = _T_5218 | _T_5223; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5234 = _T_4489 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5235 = perr_ic_index_ff == 6'h1; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5237 = _T_5235 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5239 = _T_5237 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5240 = _T_5234 | _T_5239; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5250 = _T_4493 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5251 = perr_ic_index_ff == 6'h2; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5253 = _T_5251 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5255 = _T_5253 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5256 = _T_5250 | _T_5255; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5266 = _T_4497 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5267 = perr_ic_index_ff == 6'h3; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5269 = _T_5267 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5271 = _T_5269 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5272 = _T_5266 | _T_5271; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5282 = _T_4501 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5283 = perr_ic_index_ff == 6'h4; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5285 = _T_5283 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5287 = _T_5285 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5288 = _T_5282 | _T_5287; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5298 = _T_4505 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5299 = perr_ic_index_ff == 6'h5; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5301 = _T_5299 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5303 = _T_5301 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5304 = _T_5298 | _T_5303; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5314 = _T_4509 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5315 = perr_ic_index_ff == 6'h6; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5317 = _T_5315 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5319 = _T_5317 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5320 = _T_5314 | _T_5319; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5330 = _T_4513 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5331 = perr_ic_index_ff == 6'h7; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5333 = _T_5331 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5335 = _T_5333 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5336 = _T_5330 | _T_5335; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5346 = _T_4517 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5347 = perr_ic_index_ff == 6'h8; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5349 = _T_5347 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5351 = _T_5349 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5352 = _T_5346 | _T_5351; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5362 = _T_4521 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5363 = perr_ic_index_ff == 6'h9; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5365 = _T_5363 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5367 = _T_5365 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5368 = _T_5362 | _T_5367; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5378 = _T_4525 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5379 = perr_ic_index_ff == 6'ha; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5381 = _T_5379 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5383 = _T_5381 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5384 = _T_5378 | _T_5383; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5394 = _T_4529 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5395 = perr_ic_index_ff == 6'hb; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5397 = _T_5395 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5399 = _T_5397 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5400 = _T_5394 | _T_5399; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5410 = _T_4533 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5411 = perr_ic_index_ff == 6'hc; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5413 = _T_5411 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5415 = _T_5413 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5416 = _T_5410 | _T_5415; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5426 = _T_4537 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5427 = perr_ic_index_ff == 6'hd; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5429 = _T_5427 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5431 = _T_5429 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5432 = _T_5426 | _T_5431; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5442 = _T_4541 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5443 = perr_ic_index_ff == 6'he; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5445 = _T_5443 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5447 = _T_5445 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5448 = _T_5442 | _T_5447; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5458 = _T_4545 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5459 = perr_ic_index_ff == 6'hf; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5461 = _T_5459 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5463 = _T_5461 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5464 = _T_5458 | _T_5463; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5474 = _T_4549 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5475 = perr_ic_index_ff == 6'h10; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5477 = _T_5475 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5479 = _T_5477 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5480 = _T_5474 | _T_5479; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5490 = _T_4553 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5491 = perr_ic_index_ff == 6'h11; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5493 = _T_5491 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5495 = _T_5493 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5496 = _T_5490 | _T_5495; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5506 = _T_4557 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5507 = perr_ic_index_ff == 6'h12; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5509 = _T_5507 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5511 = _T_5509 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5512 = _T_5506 | _T_5511; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5522 = _T_4561 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5523 = perr_ic_index_ff == 6'h13; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5525 = _T_5523 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5527 = _T_5525 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5528 = _T_5522 | _T_5527; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5538 = _T_4565 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5539 = perr_ic_index_ff == 6'h14; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5541 = _T_5539 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5543 = _T_5541 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5544 = _T_5538 | _T_5543; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5554 = _T_4569 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5555 = perr_ic_index_ff == 6'h15; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5557 = _T_5555 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5559 = _T_5557 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5560 = _T_5554 | _T_5559; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5570 = _T_4573 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5571 = perr_ic_index_ff == 6'h16; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5573 = _T_5571 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5575 = _T_5573 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5576 = _T_5570 | _T_5575; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5586 = _T_4577 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5587 = perr_ic_index_ff == 6'h17; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5589 = _T_5587 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5591 = _T_5589 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5592 = _T_5586 | _T_5591; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5602 = _T_4581 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5603 = perr_ic_index_ff == 6'h18; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5605 = _T_5603 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5607 = _T_5605 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5608 = _T_5602 | _T_5607; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5618 = _T_4585 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5619 = perr_ic_index_ff == 6'h19; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5621 = _T_5619 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5623 = _T_5621 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5624 = _T_5618 | _T_5623; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5634 = _T_4589 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5635 = perr_ic_index_ff == 6'h1a; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5637 = _T_5635 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5639 = _T_5637 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5640 = _T_5634 | _T_5639; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5650 = _T_4593 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5651 = perr_ic_index_ff == 6'h1b; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5653 = _T_5651 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5655 = _T_5653 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5656 = _T_5650 | _T_5655; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5666 = _T_4597 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5667 = perr_ic_index_ff == 6'h1c; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5669 = _T_5667 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5671 = _T_5669 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5672 = _T_5666 | _T_5671; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5682 = _T_4601 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5683 = perr_ic_index_ff == 6'h1d; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5685 = _T_5683 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5687 = _T_5685 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5688 = _T_5682 | _T_5687; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5698 = _T_4605 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5699 = perr_ic_index_ff == 6'h1e; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5701 = _T_5699 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5703 = _T_5701 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5704 = _T_5698 | _T_5703; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5714 = _T_4609 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5715 = perr_ic_index_ff == 6'h1f; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_5717 = _T_5715 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5719 = _T_5717 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5720 = _T_5714 | _T_5719; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5730 = _T_4485 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5733 = _T_5219 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5735 = _T_5733 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5736 = _T_5730 | _T_5735; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5746 = _T_4489 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5749 = _T_5235 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5751 = _T_5749 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5752 = _T_5746 | _T_5751; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5762 = _T_4493 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5765 = _T_5251 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5767 = _T_5765 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5768 = _T_5762 | _T_5767; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5778 = _T_4497 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5781 = _T_5267 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5783 = _T_5781 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5784 = _T_5778 | _T_5783; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5794 = _T_4501 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5797 = _T_5283 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5799 = _T_5797 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5800 = _T_5794 | _T_5799; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5810 = _T_4505 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5813 = _T_5299 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5815 = _T_5813 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5816 = _T_5810 | _T_5815; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5826 = _T_4509 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5829 = _T_5315 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5831 = _T_5829 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5832 = _T_5826 | _T_5831; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5842 = _T_4513 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5845 = _T_5331 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5847 = _T_5845 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5848 = _T_5842 | _T_5847; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5858 = _T_4517 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5861 = _T_5347 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5863 = _T_5861 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5864 = _T_5858 | _T_5863; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5874 = _T_4521 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5877 = _T_5363 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5879 = _T_5877 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5880 = _T_5874 | _T_5879; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5890 = _T_4525 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5893 = _T_5379 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5895 = _T_5893 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5896 = _T_5890 | _T_5895; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5906 = _T_4529 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5909 = _T_5395 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5911 = _T_5909 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5912 = _T_5906 | _T_5911; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5922 = _T_4533 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5925 = _T_5411 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5927 = _T_5925 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5928 = _T_5922 | _T_5927; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5938 = _T_4537 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5941 = _T_5427 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5943 = _T_5941 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5944 = _T_5938 | _T_5943; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5954 = _T_4541 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5957 = _T_5443 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5959 = _T_5957 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5960 = _T_5954 | _T_5959; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5970 = _T_4545 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5973 = _T_5459 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5975 = _T_5973 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5976 = _T_5970 | _T_5975; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_5986 = _T_4549 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_5989 = _T_5475 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_5991 = _T_5989 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_5992 = _T_5986 | _T_5991; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6002 = _T_4553 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6005 = _T_5491 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6007 = _T_6005 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6008 = _T_6002 | _T_6007; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6018 = _T_4557 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6021 = _T_5507 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6023 = _T_6021 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6024 = _T_6018 | _T_6023; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6034 = _T_4561 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6037 = _T_5523 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6039 = _T_6037 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6040 = _T_6034 | _T_6039; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6050 = _T_4565 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6053 = _T_5539 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6055 = _T_6053 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6056 = _T_6050 | _T_6055; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6066 = _T_4569 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6069 = _T_5555 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6071 = _T_6069 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6072 = _T_6066 | _T_6071; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6082 = _T_4573 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6085 = _T_5571 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6087 = _T_6085 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6088 = _T_6082 | _T_6087; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6098 = _T_4577 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6101 = _T_5587 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6103 = _T_6101 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6104 = _T_6098 | _T_6103; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6114 = _T_4581 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6117 = _T_5603 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6119 = _T_6117 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6120 = _T_6114 | _T_6119; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6130 = _T_4585 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6133 = _T_5619 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6135 = _T_6133 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6136 = _T_6130 | _T_6135; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6146 = _T_4589 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6149 = _T_5635 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6151 = _T_6149 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6152 = _T_6146 | _T_6151; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6162 = _T_4593 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6165 = _T_5651 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6167 = _T_6165 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6168 = _T_6162 | _T_6167; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6178 = _T_4597 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6181 = _T_5667 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6183 = _T_6181 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6184 = _T_6178 | _T_6183; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6194 = _T_4601 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6197 = _T_5683 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6199 = _T_6197 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6200 = _T_6194 | _T_6199; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6210 = _T_4605 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6213 = _T_5699 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6215 = _T_6213 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6216 = _T_6210 | _T_6215; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6226 = _T_4609 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6229 = _T_5715 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6231 = _T_6229 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6232 = _T_6226 | _T_6231; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6242 = _T_4613 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6243 = perr_ic_index_ff == 6'h20; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6245 = _T_6243 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6247 = _T_6245 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6248 = _T_6242 | _T_6247; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6258 = _T_4617 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6259 = perr_ic_index_ff == 6'h21; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6261 = _T_6259 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6263 = _T_6261 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6264 = _T_6258 | _T_6263; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6274 = _T_4621 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6275 = perr_ic_index_ff == 6'h22; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6277 = _T_6275 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6279 = _T_6277 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6280 = _T_6274 | _T_6279; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6290 = _T_4625 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6291 = perr_ic_index_ff == 6'h23; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6293 = _T_6291 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6295 = _T_6293 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6296 = _T_6290 | _T_6295; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6306 = _T_4629 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6307 = perr_ic_index_ff == 6'h24; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6309 = _T_6307 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6311 = _T_6309 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6312 = _T_6306 | _T_6311; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6322 = _T_4633 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6323 = perr_ic_index_ff == 6'h25; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6325 = _T_6323 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6327 = _T_6325 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6328 = _T_6322 | _T_6327; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6338 = _T_4637 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6339 = perr_ic_index_ff == 6'h26; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6341 = _T_6339 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6343 = _T_6341 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6344 = _T_6338 | _T_6343; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6354 = _T_4641 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6355 = perr_ic_index_ff == 6'h27; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6357 = _T_6355 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6359 = _T_6357 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6360 = _T_6354 | _T_6359; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6370 = _T_4645 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6371 = perr_ic_index_ff == 6'h28; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6373 = _T_6371 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6375 = _T_6373 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6376 = _T_6370 | _T_6375; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6386 = _T_4649 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6387 = perr_ic_index_ff == 6'h29; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6389 = _T_6387 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6391 = _T_6389 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6392 = _T_6386 | _T_6391; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6402 = _T_4653 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6403 = perr_ic_index_ff == 6'h2a; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6405 = _T_6403 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6407 = _T_6405 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6408 = _T_6402 | _T_6407; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6418 = _T_4657 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6419 = perr_ic_index_ff == 6'h2b; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6421 = _T_6419 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6423 = _T_6421 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6424 = _T_6418 | _T_6423; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6434 = _T_4661 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6435 = perr_ic_index_ff == 6'h2c; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6437 = _T_6435 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6439 = _T_6437 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6440 = _T_6434 | _T_6439; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6450 = _T_4665 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6451 = perr_ic_index_ff == 6'h2d; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6453 = _T_6451 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6455 = _T_6453 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6456 = _T_6450 | _T_6455; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6466 = _T_4669 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6467 = perr_ic_index_ff == 6'h2e; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6469 = _T_6467 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6471 = _T_6469 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6472 = _T_6466 | _T_6471; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6482 = _T_4673 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6483 = perr_ic_index_ff == 6'h2f; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6485 = _T_6483 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6487 = _T_6485 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6488 = _T_6482 | _T_6487; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6498 = _T_4677 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6499 = perr_ic_index_ff == 6'h30; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6501 = _T_6499 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6503 = _T_6501 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6504 = _T_6498 | _T_6503; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6514 = _T_4681 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6515 = perr_ic_index_ff == 6'h31; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6517 = _T_6515 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6519 = _T_6517 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6520 = _T_6514 | _T_6519; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6530 = _T_4685 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6531 = perr_ic_index_ff == 6'h32; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6533 = _T_6531 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6535 = _T_6533 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6536 = _T_6530 | _T_6535; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6546 = _T_4689 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6547 = perr_ic_index_ff == 6'h33; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6549 = _T_6547 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6551 = _T_6549 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6552 = _T_6546 | _T_6551; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6562 = _T_4693 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6563 = perr_ic_index_ff == 6'h34; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6565 = _T_6563 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6567 = _T_6565 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6568 = _T_6562 | _T_6567; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6578 = _T_4697 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6579 = perr_ic_index_ff == 6'h35; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6581 = _T_6579 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6583 = _T_6581 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6584 = _T_6578 | _T_6583; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6594 = _T_4701 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6595 = perr_ic_index_ff == 6'h36; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6597 = _T_6595 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6599 = _T_6597 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6600 = _T_6594 | _T_6599; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6610 = _T_4705 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6611 = perr_ic_index_ff == 6'h37; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6613 = _T_6611 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6615 = _T_6613 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6616 = _T_6610 | _T_6615; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6626 = _T_4709 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6627 = perr_ic_index_ff == 6'h38; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6629 = _T_6627 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6631 = _T_6629 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6632 = _T_6626 | _T_6631; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6642 = _T_4713 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6643 = perr_ic_index_ff == 6'h39; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6645 = _T_6643 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6647 = _T_6645 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6648 = _T_6642 | _T_6647; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6658 = _T_4717 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6659 = perr_ic_index_ff == 6'h3a; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6661 = _T_6659 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6663 = _T_6661 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6664 = _T_6658 | _T_6663; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6674 = _T_4721 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6675 = perr_ic_index_ff == 6'h3b; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6677 = _T_6675 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6679 = _T_6677 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6680 = _T_6674 | _T_6679; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6690 = _T_4725 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6691 = perr_ic_index_ff == 6'h3c; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6693 = _T_6691 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6695 = _T_6693 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6696 = _T_6690 | _T_6695; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6706 = _T_4729 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6707 = perr_ic_index_ff == 6'h3d; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6709 = _T_6707 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6711 = _T_6709 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6712 = _T_6706 | _T_6711; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6722 = _T_4733 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6723 = perr_ic_index_ff == 6'h3e; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6725 = _T_6723 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6727 = _T_6725 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6728 = _T_6722 | _T_6727; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6738 = _T_4737 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6739 = perr_ic_index_ff == 6'h3f; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_6741 = _T_6739 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6743 = _T_6741 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6744 = _T_6738 | _T_6743; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6754 = _T_4613 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6757 = _T_6243 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6759 = _T_6757 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6760 = _T_6754 | _T_6759; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6770 = _T_4617 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6773 = _T_6259 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6775 = _T_6773 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6776 = _T_6770 | _T_6775; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6786 = _T_4621 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6789 = _T_6275 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6791 = _T_6789 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6792 = _T_6786 | _T_6791; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6802 = _T_4625 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6805 = _T_6291 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6807 = _T_6805 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6808 = _T_6802 | _T_6807; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6818 = _T_4629 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6821 = _T_6307 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6823 = _T_6821 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6824 = _T_6818 | _T_6823; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6834 = _T_4633 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6837 = _T_6323 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6839 = _T_6837 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6840 = _T_6834 | _T_6839; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6850 = _T_4637 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6853 = _T_6339 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6855 = _T_6853 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6856 = _T_6850 | _T_6855; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6866 = _T_4641 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6869 = _T_6355 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6871 = _T_6869 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6872 = _T_6866 | _T_6871; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6882 = _T_4645 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6885 = _T_6371 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6887 = _T_6885 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6888 = _T_6882 | _T_6887; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6898 = _T_4649 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6901 = _T_6387 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6903 = _T_6901 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6904 = _T_6898 | _T_6903; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6914 = _T_4653 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6917 = _T_6403 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6919 = _T_6917 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6920 = _T_6914 | _T_6919; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6930 = _T_4657 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6933 = _T_6419 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6935 = _T_6933 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6936 = _T_6930 | _T_6935; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6946 = _T_4661 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6949 = _T_6435 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6951 = _T_6949 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6952 = _T_6946 | _T_6951; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6962 = _T_4665 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6965 = _T_6451 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6967 = _T_6965 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6968 = _T_6962 | _T_6967; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6978 = _T_4669 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6981 = _T_6467 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6983 = _T_6981 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_6984 = _T_6978 | _T_6983; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_6994 = _T_4673 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_6997 = _T_6483 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_6999 = _T_6997 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7000 = _T_6994 | _T_6999; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7010 = _T_4677 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7013 = _T_6499 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7015 = _T_7013 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7016 = _T_7010 | _T_7015; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7026 = _T_4681 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7029 = _T_6515 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7031 = _T_7029 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7032 = _T_7026 | _T_7031; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7042 = _T_4685 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7045 = _T_6531 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7047 = _T_7045 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7048 = _T_7042 | _T_7047; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7058 = _T_4689 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7061 = _T_6547 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7063 = _T_7061 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7064 = _T_7058 | _T_7063; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7074 = _T_4693 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7077 = _T_6563 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7079 = _T_7077 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7080 = _T_7074 | _T_7079; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7090 = _T_4697 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7093 = _T_6579 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7095 = _T_7093 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7096 = _T_7090 | _T_7095; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7106 = _T_4701 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7109 = _T_6595 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7111 = _T_7109 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7112 = _T_7106 | _T_7111; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7122 = _T_4705 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7125 = _T_6611 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7127 = _T_7125 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7128 = _T_7122 | _T_7127; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7138 = _T_4709 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7141 = _T_6627 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7143 = _T_7141 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7144 = _T_7138 | _T_7143; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7154 = _T_4713 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7157 = _T_6643 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7159 = _T_7157 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7160 = _T_7154 | _T_7159; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7170 = _T_4717 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7173 = _T_6659 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7175 = _T_7173 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7176 = _T_7170 | _T_7175; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7186 = _T_4721 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7189 = _T_6675 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7191 = _T_7189 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7192 = _T_7186 | _T_7191; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7202 = _T_4725 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7205 = _T_6691 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7207 = _T_7205 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7208 = _T_7202 | _T_7207; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7218 = _T_4729 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7221 = _T_6707 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7223 = _T_7221 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7224 = _T_7218 | _T_7223; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7234 = _T_4733 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7237 = _T_6723 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7239 = _T_7237 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7240 = _T_7234 | _T_7239; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7250 = _T_4737 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7253 = _T_6739 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7255 = _T_7253 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7256 = _T_7250 | _T_7255; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7266 = _T_4741 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire [6:0] _GEN_796 = {{1'd0}, perr_ic_index_ff}; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7267 = _GEN_796 == 7'h40; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7269 = _T_7267 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7271 = _T_7269 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7272 = _T_7266 | _T_7271; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7282 = _T_4745 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7283 = _GEN_796 == 7'h41; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7285 = _T_7283 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7287 = _T_7285 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7288 = _T_7282 | _T_7287; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7298 = _T_4749 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7299 = _GEN_796 == 7'h42; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7301 = _T_7299 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7303 = _T_7301 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7304 = _T_7298 | _T_7303; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7314 = _T_4753 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7315 = _GEN_796 == 7'h43; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7317 = _T_7315 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7319 = _T_7317 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7320 = _T_7314 | _T_7319; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7330 = _T_4757 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7331 = _GEN_796 == 7'h44; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7333 = _T_7331 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7335 = _T_7333 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7336 = _T_7330 | _T_7335; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7346 = _T_4761 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7347 = _GEN_796 == 7'h45; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7349 = _T_7347 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7351 = _T_7349 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7352 = _T_7346 | _T_7351; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7362 = _T_4765 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7363 = _GEN_796 == 7'h46; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7365 = _T_7363 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7367 = _T_7365 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7368 = _T_7362 | _T_7367; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7378 = _T_4769 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7379 = _GEN_796 == 7'h47; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7381 = _T_7379 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7383 = _T_7381 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7384 = _T_7378 | _T_7383; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7394 = _T_4773 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7395 = _GEN_796 == 7'h48; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7397 = _T_7395 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7399 = _T_7397 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7400 = _T_7394 | _T_7399; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7410 = _T_4777 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7411 = _GEN_796 == 7'h49; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7413 = _T_7411 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7415 = _T_7413 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7416 = _T_7410 | _T_7415; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7426 = _T_4781 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7427 = _GEN_796 == 7'h4a; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7429 = _T_7427 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7431 = _T_7429 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7432 = _T_7426 | _T_7431; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7442 = _T_4785 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7443 = _GEN_796 == 7'h4b; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7445 = _T_7443 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7447 = _T_7445 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7448 = _T_7442 | _T_7447; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7458 = _T_4789 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7459 = _GEN_796 == 7'h4c; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7461 = _T_7459 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7463 = _T_7461 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7464 = _T_7458 | _T_7463; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7474 = _T_4793 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7475 = _GEN_796 == 7'h4d; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7477 = _T_7475 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7479 = _T_7477 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7480 = _T_7474 | _T_7479; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7490 = _T_4797 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7491 = _GEN_796 == 7'h4e; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7493 = _T_7491 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7495 = _T_7493 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7496 = _T_7490 | _T_7495; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7506 = _T_4801 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7507 = _GEN_796 == 7'h4f; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7509 = _T_7507 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7511 = _T_7509 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7512 = _T_7506 | _T_7511; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7522 = _T_4805 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7523 = _GEN_796 == 7'h50; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7525 = _T_7523 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7527 = _T_7525 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7528 = _T_7522 | _T_7527; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7538 = _T_4809 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7539 = _GEN_796 == 7'h51; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7541 = _T_7539 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7543 = _T_7541 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7544 = _T_7538 | _T_7543; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7554 = _T_4813 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7555 = _GEN_796 == 7'h52; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7557 = _T_7555 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7559 = _T_7557 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7560 = _T_7554 | _T_7559; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7570 = _T_4817 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7571 = _GEN_796 == 7'h53; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7573 = _T_7571 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7575 = _T_7573 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7576 = _T_7570 | _T_7575; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7586 = _T_4821 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7587 = _GEN_796 == 7'h54; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7589 = _T_7587 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7591 = _T_7589 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7592 = _T_7586 | _T_7591; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7602 = _T_4825 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7603 = _GEN_796 == 7'h55; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7605 = _T_7603 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7607 = _T_7605 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7608 = _T_7602 | _T_7607; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7618 = _T_4829 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7619 = _GEN_796 == 7'h56; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7621 = _T_7619 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7623 = _T_7621 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7624 = _T_7618 | _T_7623; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7634 = _T_4833 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7635 = _GEN_796 == 7'h57; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7637 = _T_7635 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7639 = _T_7637 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7640 = _T_7634 | _T_7639; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7650 = _T_4837 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7651 = _GEN_796 == 7'h58; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7653 = _T_7651 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7655 = _T_7653 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7656 = _T_7650 | _T_7655; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7666 = _T_4841 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7667 = _GEN_796 == 7'h59; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7669 = _T_7667 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7671 = _T_7669 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7672 = _T_7666 | _T_7671; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7682 = _T_4845 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7683 = _GEN_796 == 7'h5a; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7685 = _T_7683 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7687 = _T_7685 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7688 = _T_7682 | _T_7687; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7698 = _T_4849 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7699 = _GEN_796 == 7'h5b; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7701 = _T_7699 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7703 = _T_7701 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7704 = _T_7698 | _T_7703; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7714 = _T_4853 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7715 = _GEN_796 == 7'h5c; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7717 = _T_7715 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7719 = _T_7717 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7720 = _T_7714 | _T_7719; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7730 = _T_4857 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7731 = _GEN_796 == 7'h5d; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7733 = _T_7731 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7735 = _T_7733 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7736 = _T_7730 | _T_7735; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7746 = _T_4861 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7747 = _GEN_796 == 7'h5e; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7749 = _T_7747 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7751 = _T_7749 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7752 = _T_7746 | _T_7751; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7762 = _T_4865 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7763 = _GEN_796 == 7'h5f; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_7765 = _T_7763 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7767 = _T_7765 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7768 = _T_7762 | _T_7767; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7778 = _T_4741 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7781 = _T_7267 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7783 = _T_7781 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7784 = _T_7778 | _T_7783; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7794 = _T_4745 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7797 = _T_7283 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7799 = _T_7797 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7800 = _T_7794 | _T_7799; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7810 = _T_4749 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7813 = _T_7299 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7815 = _T_7813 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7816 = _T_7810 | _T_7815; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7826 = _T_4753 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7829 = _T_7315 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7831 = _T_7829 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7832 = _T_7826 | _T_7831; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7842 = _T_4757 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7845 = _T_7331 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7847 = _T_7845 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7848 = _T_7842 | _T_7847; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7858 = _T_4761 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7861 = _T_7347 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7863 = _T_7861 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7864 = _T_7858 | _T_7863; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7874 = _T_4765 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7877 = _T_7363 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7879 = _T_7877 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7880 = _T_7874 | _T_7879; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7890 = _T_4769 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7893 = _T_7379 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7895 = _T_7893 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7896 = _T_7890 | _T_7895; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7906 = _T_4773 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7909 = _T_7395 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7911 = _T_7909 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7912 = _T_7906 | _T_7911; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7922 = _T_4777 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7925 = _T_7411 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7927 = _T_7925 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7928 = _T_7922 | _T_7927; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7938 = _T_4781 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7941 = _T_7427 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7943 = _T_7941 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7944 = _T_7938 | _T_7943; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7954 = _T_4785 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7957 = _T_7443 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7959 = _T_7957 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7960 = _T_7954 | _T_7959; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7970 = _T_4789 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7973 = _T_7459 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7975 = _T_7973 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7976 = _T_7970 | _T_7975; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_7986 = _T_4793 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_7989 = _T_7475 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_7991 = _T_7989 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_7992 = _T_7986 | _T_7991; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8002 = _T_4797 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8005 = _T_7491 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8007 = _T_8005 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8008 = _T_8002 | _T_8007; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8018 = _T_4801 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8021 = _T_7507 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8023 = _T_8021 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8024 = _T_8018 | _T_8023; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8034 = _T_4805 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8037 = _T_7523 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8039 = _T_8037 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8040 = _T_8034 | _T_8039; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8050 = _T_4809 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8053 = _T_7539 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8055 = _T_8053 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8056 = _T_8050 | _T_8055; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8066 = _T_4813 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8069 = _T_7555 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8071 = _T_8069 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8072 = _T_8066 | _T_8071; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8082 = _T_4817 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8085 = _T_7571 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8087 = _T_8085 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8088 = _T_8082 | _T_8087; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8098 = _T_4821 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8101 = _T_7587 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8103 = _T_8101 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8104 = _T_8098 | _T_8103; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8114 = _T_4825 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8117 = _T_7603 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8119 = _T_8117 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8120 = _T_8114 | _T_8119; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8130 = _T_4829 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8133 = _T_7619 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8135 = _T_8133 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8136 = _T_8130 | _T_8135; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8146 = _T_4833 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8149 = _T_7635 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8151 = _T_8149 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8152 = _T_8146 | _T_8151; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8162 = _T_4837 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8165 = _T_7651 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8167 = _T_8165 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8168 = _T_8162 | _T_8167; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8178 = _T_4841 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8181 = _T_7667 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8183 = _T_8181 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8184 = _T_8178 | _T_8183; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8194 = _T_4845 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8197 = _T_7683 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8199 = _T_8197 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8200 = _T_8194 | _T_8199; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8210 = _T_4849 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8213 = _T_7699 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8215 = _T_8213 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8216 = _T_8210 | _T_8215; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8226 = _T_4853 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8229 = _T_7715 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8231 = _T_8229 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8232 = _T_8226 | _T_8231; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8242 = _T_4857 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8245 = _T_7731 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8247 = _T_8245 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8248 = _T_8242 | _T_8247; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8258 = _T_4861 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8261 = _T_7747 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8263 = _T_8261 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8264 = _T_8258 | _T_8263; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8274 = _T_4865 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8277 = _T_7763 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8279 = _T_8277 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8280 = _T_8274 | _T_8279; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8290 = _T_4869 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8291 = _GEN_796 == 7'h60; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8293 = _T_8291 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8295 = _T_8293 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8296 = _T_8290 | _T_8295; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8306 = _T_4873 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8307 = _GEN_796 == 7'h61; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8309 = _T_8307 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8311 = _T_8309 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8312 = _T_8306 | _T_8311; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8322 = _T_4877 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8323 = _GEN_796 == 7'h62; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8325 = _T_8323 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8327 = _T_8325 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8328 = _T_8322 | _T_8327; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8338 = _T_4881 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8339 = _GEN_796 == 7'h63; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8341 = _T_8339 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8343 = _T_8341 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8344 = _T_8338 | _T_8343; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8354 = _T_4885 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8355 = _GEN_796 == 7'h64; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8357 = _T_8355 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8359 = _T_8357 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8360 = _T_8354 | _T_8359; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8370 = _T_4889 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8371 = _GEN_796 == 7'h65; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8373 = _T_8371 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8375 = _T_8373 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8376 = _T_8370 | _T_8375; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8386 = _T_4893 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8387 = _GEN_796 == 7'h66; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8389 = _T_8387 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8391 = _T_8389 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8392 = _T_8386 | _T_8391; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8402 = _T_4897 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8403 = _GEN_796 == 7'h67; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8405 = _T_8403 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8407 = _T_8405 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8408 = _T_8402 | _T_8407; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8418 = _T_4901 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8419 = _GEN_796 == 7'h68; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8421 = _T_8419 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8423 = _T_8421 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8424 = _T_8418 | _T_8423; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8434 = _T_4905 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8435 = _GEN_796 == 7'h69; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8437 = _T_8435 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8439 = _T_8437 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8440 = _T_8434 | _T_8439; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8450 = _T_4909 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8451 = _GEN_796 == 7'h6a; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8453 = _T_8451 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8455 = _T_8453 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8456 = _T_8450 | _T_8455; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8466 = _T_4913 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8467 = _GEN_796 == 7'h6b; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8469 = _T_8467 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8471 = _T_8469 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8472 = _T_8466 | _T_8471; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8482 = _T_4917 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8483 = _GEN_796 == 7'h6c; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8485 = _T_8483 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8487 = _T_8485 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8488 = _T_8482 | _T_8487; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8498 = _T_4921 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8499 = _GEN_796 == 7'h6d; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8501 = _T_8499 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8503 = _T_8501 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8504 = _T_8498 | _T_8503; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8514 = _T_4925 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8515 = _GEN_796 == 7'h6e; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8517 = _T_8515 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8519 = _T_8517 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8520 = _T_8514 | _T_8519; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8530 = _T_4929 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8531 = _GEN_796 == 7'h6f; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8533 = _T_8531 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8535 = _T_8533 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8536 = _T_8530 | _T_8535; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8546 = _T_4933 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8547 = _GEN_796 == 7'h70; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8549 = _T_8547 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8551 = _T_8549 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8552 = _T_8546 | _T_8551; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8562 = _T_4937 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8563 = _GEN_796 == 7'h71; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8565 = _T_8563 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8567 = _T_8565 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8568 = _T_8562 | _T_8567; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8578 = _T_4941 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8579 = _GEN_796 == 7'h72; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8581 = _T_8579 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8583 = _T_8581 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8584 = _T_8578 | _T_8583; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8594 = _T_4945 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8595 = _GEN_796 == 7'h73; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8597 = _T_8595 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8599 = _T_8597 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8600 = _T_8594 | _T_8599; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8610 = _T_4949 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8611 = _GEN_796 == 7'h74; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8613 = _T_8611 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8615 = _T_8613 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8616 = _T_8610 | _T_8615; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8626 = _T_4953 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8627 = _GEN_796 == 7'h75; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8629 = _T_8627 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8631 = _T_8629 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8632 = _T_8626 | _T_8631; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8642 = _T_4957 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8643 = _GEN_796 == 7'h76; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8645 = _T_8643 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8647 = _T_8645 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8648 = _T_8642 | _T_8647; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8658 = _T_4961 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8659 = _GEN_796 == 7'h77; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8661 = _T_8659 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8663 = _T_8661 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8664 = _T_8658 | _T_8663; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8674 = _T_4965 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8675 = _GEN_796 == 7'h78; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8677 = _T_8675 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8679 = _T_8677 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8680 = _T_8674 | _T_8679; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8690 = _T_4969 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8691 = _GEN_796 == 7'h79; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8693 = _T_8691 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8695 = _T_8693 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8696 = _T_8690 | _T_8695; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8706 = _T_4973 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8707 = _GEN_796 == 7'h7a; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8709 = _T_8707 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8711 = _T_8709 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8712 = _T_8706 | _T_8711; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8722 = _T_4977 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8723 = _GEN_796 == 7'h7b; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8725 = _T_8723 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8727 = _T_8725 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8728 = _T_8722 | _T_8727; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8738 = _T_4981 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8739 = _GEN_796 == 7'h7c; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8741 = _T_8739 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8743 = _T_8741 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8744 = _T_8738 | _T_8743; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8754 = _T_4985 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8755 = _GEN_796 == 7'h7d; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8757 = _T_8755 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8759 = _T_8757 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8760 = _T_8754 | _T_8759; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8770 = _T_4989 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8771 = _GEN_796 == 7'h7e; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8773 = _T_8771 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8775 = _T_8773 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8776 = _T_8770 | _T_8775; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8786 = _T_4993 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8787 = _GEN_796 == 7'h7f; // @[el2_ifu_mem_ctl.scala 753:101] + wire _T_8789 = _T_8787 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8791 = _T_8789 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8792 = _T_8786 | _T_8791; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8802 = _T_4869 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8805 = _T_8291 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8807 = _T_8805 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8808 = _T_8802 | _T_8807; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8818 = _T_4873 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8821 = _T_8307 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8823 = _T_8821 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8824 = _T_8818 | _T_8823; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8834 = _T_4877 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8837 = _T_8323 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8839 = _T_8837 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8840 = _T_8834 | _T_8839; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8850 = _T_4881 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8853 = _T_8339 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8855 = _T_8853 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8856 = _T_8850 | _T_8855; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8866 = _T_4885 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8869 = _T_8355 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8871 = _T_8869 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8872 = _T_8866 | _T_8871; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8882 = _T_4889 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8885 = _T_8371 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8887 = _T_8885 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8888 = _T_8882 | _T_8887; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8898 = _T_4893 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8901 = _T_8387 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8903 = _T_8901 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8904 = _T_8898 | _T_8903; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8914 = _T_4897 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8917 = _T_8403 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8919 = _T_8917 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8920 = _T_8914 | _T_8919; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8930 = _T_4901 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8933 = _T_8419 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8935 = _T_8933 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8936 = _T_8930 | _T_8935; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8946 = _T_4905 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8949 = _T_8435 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8951 = _T_8949 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8952 = _T_8946 | _T_8951; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8962 = _T_4909 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8965 = _T_8451 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8967 = _T_8965 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8968 = _T_8962 | _T_8967; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8978 = _T_4913 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8981 = _T_8467 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8983 = _T_8981 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_8984 = _T_8978 | _T_8983; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_8994 = _T_4917 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_8997 = _T_8483 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_8999 = _T_8997 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_9000 = _T_8994 | _T_8999; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_9010 = _T_4921 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_9013 = _T_8499 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_9015 = _T_9013 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_9016 = _T_9010 | _T_9015; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_9026 = _T_4925 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_9029 = _T_8515 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_9031 = _T_9029 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_9032 = _T_9026 | _T_9031; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_9042 = _T_4929 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_9045 = _T_8531 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_9047 = _T_9045 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_9048 = _T_9042 | _T_9047; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_9058 = _T_4933 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_9061 = _T_8547 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_9063 = _T_9061 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_9064 = _T_9058 | _T_9063; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_9074 = _T_4937 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_9077 = _T_8563 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_9079 = _T_9077 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_9080 = _T_9074 | _T_9079; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_9090 = _T_4941 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_9093 = _T_8579 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_9095 = _T_9093 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_9096 = _T_9090 | _T_9095; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_9106 = _T_4945 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_9109 = _T_8595 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_9111 = _T_9109 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_9112 = _T_9106 | _T_9111; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_9122 = _T_4949 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_9125 = _T_8611 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_9127 = _T_9125 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_9128 = _T_9122 | _T_9127; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_9138 = _T_4953 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_9141 = _T_8627 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_9143 = _T_9141 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_9144 = _T_9138 | _T_9143; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_9154 = _T_4957 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_9157 = _T_8643 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_9159 = _T_9157 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_9160 = _T_9154 | _T_9159; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_9170 = _T_4961 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_9173 = _T_8659 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_9175 = _T_9173 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_9176 = _T_9170 | _T_9175; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_9186 = _T_4965 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_9189 = _T_8675 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_9191 = _T_9189 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_9192 = _T_9186 | _T_9191; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_9202 = _T_4969 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_9205 = _T_8691 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_9207 = _T_9205 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_9208 = _T_9202 | _T_9207; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_9218 = _T_4973 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_9221 = _T_8707 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_9223 = _T_9221 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_9224 = _T_9218 | _T_9223; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_9234 = _T_4977 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_9237 = _T_8723 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_9239 = _T_9237 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_9240 = _T_9234 | _T_9239; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_9250 = _T_4981 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_9253 = _T_8739 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_9255 = _T_9253 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_9256 = _T_9250 | _T_9255; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_9266 = _T_4985 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_9269 = _T_8755 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_9271 = _T_9269 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_9272 = _T_9266 | _T_9271; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_9282 = _T_4989 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_9285 = _T_8771 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_9287 = _T_9285 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_9288 = _T_9282 | _T_9287; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_9298 = _T_4993 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:58] + wire _T_9301 = _T_8787 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 753:123] + wire _T_9303 = _T_9301 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 753:144] + wire _T_9304 = _T_9298 | _T_9303; // @[el2_ifu_mem_ctl.scala 753:80] + wire _T_10105 = ~fetch_uncacheable_ff; // @[el2_ifu_mem_ctl.scala 807:63] + wire _T_10106 = _T_10105 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 807:85] wire [1:0] _T_10108 = _T_10106 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - reg _T_10115; // @[el2_ifu_mem_ctl.scala 810:57] - reg _T_10116; // @[el2_ifu_mem_ctl.scala 811:56] - reg _T_10117; // @[el2_ifu_mem_ctl.scala 812:59] - wire _T_10118 = ~ifu_bus_arready_ff; // @[el2_ifu_mem_ctl.scala 813:80] - wire _T_10119 = ifu_bus_arvalid_ff & _T_10118; // @[el2_ifu_mem_ctl.scala 813:78] - wire _T_10120 = _T_10119 & miss_pending; // @[el2_ifu_mem_ctl.scala 813:100] - reg _T_10121; // @[el2_ifu_mem_ctl.scala 813:58] - reg _T_10122; // @[el2_ifu_mem_ctl.scala 814:58] - wire _T_10125 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h3; // @[el2_ifu_mem_ctl.scala 821:71] - wire _T_10127 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h2; // @[el2_ifu_mem_ctl.scala 821:124] - wire _T_10129 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h1; // @[el2_ifu_mem_ctl.scala 822:50] - wire _T_10131 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h0; // @[el2_ifu_mem_ctl.scala 822:103] + reg _T_10115; // @[el2_ifu_mem_ctl.scala 812:57] + reg _T_10116; // @[el2_ifu_mem_ctl.scala 813:56] + reg _T_10117; // @[el2_ifu_mem_ctl.scala 814:59] + wire _T_10118 = ~ifu_bus_arready_ff; // @[el2_ifu_mem_ctl.scala 815:80] + wire _T_10119 = ifu_bus_arvalid_ff & _T_10118; // @[el2_ifu_mem_ctl.scala 815:78] + wire _T_10120 = _T_10119 & miss_pending; // @[el2_ifu_mem_ctl.scala 815:100] + reg _T_10121; // @[el2_ifu_mem_ctl.scala 815:58] + reg _T_10122; // @[el2_ifu_mem_ctl.scala 816:58] + wire _T_10125 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h3; // @[el2_ifu_mem_ctl.scala 823:71] + wire _T_10127 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h2; // @[el2_ifu_mem_ctl.scala 823:124] + wire _T_10129 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h1; // @[el2_ifu_mem_ctl.scala 824:50] + wire _T_10131 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h0; // @[el2_ifu_mem_ctl.scala 824:103] wire [3:0] _T_10134 = {_T_10125,_T_10127,_T_10129,_T_10131}; // @[Cat.scala 29:58] - wire ic_debug_ict_array_sel_in = io_ic_debug_rd_en & io_ic_debug_tag_array; // @[el2_ifu_mem_ctl.scala 824:53] + wire ic_debug_ict_array_sel_in = io_ic_debug_rd_en & io_ic_debug_tag_array; // @[el2_ifu_mem_ctl.scala 826:53] reg _T_10145; // @[Reg.scala 27:20] + rvecc_encode_64 m1 ( // @[el2_ifu_mem_ctl.scala 343:18] + .io_din(m1_io_din), + .io_ecc_out(m1_io_ecc_out) + ); assign io_ifu_miss_state_idle = miss_state == 3'h0; // @[el2_ifu_mem_ctl.scala 329:26] assign io_ifu_ic_mb_empty = _T_326 | _T_231; // @[el2_ifu_mem_ctl.scala 328:22] assign io_ic_dma_active = _T_11 | io_dec_tlu_flush_err_wb; // @[el2_ifu_mem_ctl.scala 192:20] - assign io_ic_write_stall = write_ic_16_bytes & _T_3940; // @[el2_ifu_mem_ctl.scala 701:21] - assign io_ifu_pmu_ic_miss = _T_10115; // @[el2_ifu_mem_ctl.scala 810:22] - assign io_ifu_pmu_ic_hit = _T_10116; // @[el2_ifu_mem_ctl.scala 811:21] - assign io_ifu_pmu_bus_error = _T_10117; // @[el2_ifu_mem_ctl.scala 812:24] - assign io_ifu_pmu_bus_busy = _T_10121; // @[el2_ifu_mem_ctl.scala 813:23] - assign io_ifu_pmu_bus_trxn = _T_10122; // @[el2_ifu_mem_ctl.scala 814:23] + assign io_ic_write_stall = write_ic_16_bytes & _T_3940; // @[el2_ifu_mem_ctl.scala 703:21] + assign io_ifu_pmu_ic_miss = _T_10115; // @[el2_ifu_mem_ctl.scala 812:22] + assign io_ifu_pmu_ic_hit = _T_10116; // @[el2_ifu_mem_ctl.scala 813:21] + assign io_ifu_pmu_bus_error = _T_10117; // @[el2_ifu_mem_ctl.scala 814:24] + assign io_ifu_pmu_bus_busy = _T_10121; // @[el2_ifu_mem_ctl.scala 815:23] + assign io_ifu_pmu_bus_trxn = _T_10122; // @[el2_ifu_mem_ctl.scala 816:23] assign io_ifu_axi_awvalid = 1'h0; // @[el2_ifu_mem_ctl.scala 143:22] assign io_ifu_axi_awid = 3'h0; // @[el2_ifu_mem_ctl.scala 142:19] assign io_ifu_axi_awaddr = 32'h0; // @[el2_ifu_mem_ctl.scala 137:21] @@ -4988,58 +5098,59 @@ module el2_ifu_mem_ctl( assign io_ifu_axi_wstrb = 8'h0; // @[el2_ifu_mem_ctl.scala 144:20] assign io_ifu_axi_wlast = 1'h0; // @[el2_ifu_mem_ctl.scala 153:20] assign io_ifu_axi_bready = 1'h0; // @[el2_ifu_mem_ctl.scala 148:21] - assign io_ifu_axi_arvalid = ifu_bus_cmd_valid; // @[el2_ifu_mem_ctl.scala 563:22] - assign io_ifu_axi_arid = bus_rd_addr_count & _T_2534; // @[el2_ifu_mem_ctl.scala 564:19] - assign io_ifu_axi_araddr = _T_2536 & _T_2538; // @[el2_ifu_mem_ctl.scala 565:21] - assign io_ifu_axi_arregion = ifu_ic_req_addr_f[28:25]; // @[el2_ifu_mem_ctl.scala 568:23] + assign io_ifu_axi_arvalid = ifu_bus_cmd_valid; // @[el2_ifu_mem_ctl.scala 565:22] + assign io_ifu_axi_arid = bus_rd_addr_count & _T_2534; // @[el2_ifu_mem_ctl.scala 566:19] + assign io_ifu_axi_araddr = _T_2536 & _T_2538; // @[el2_ifu_mem_ctl.scala 567:21] + assign io_ifu_axi_arregion = ifu_ic_req_addr_f[28:25]; // @[el2_ifu_mem_ctl.scala 570:23] assign io_ifu_axi_arlen = 8'h0; // @[el2_ifu_mem_ctl.scala 149:20] - assign io_ifu_axi_arsize = 3'h3; // @[el2_ifu_mem_ctl.scala 566:21] - assign io_ifu_axi_arburst = 2'h1; // @[el2_ifu_mem_ctl.scala 569:22] + assign io_ifu_axi_arsize = 3'h3; // @[el2_ifu_mem_ctl.scala 568:21] + assign io_ifu_axi_arburst = 2'h1; // @[el2_ifu_mem_ctl.scala 571:22] assign io_ifu_axi_arlock = 1'h0; // @[el2_ifu_mem_ctl.scala 140:21] - assign io_ifu_axi_arcache = 4'hf; // @[el2_ifu_mem_ctl.scala 567:22] + assign io_ifu_axi_arcache = 4'hf; // @[el2_ifu_mem_ctl.scala 569:22] assign io_ifu_axi_arprot = 3'h0; // @[el2_ifu_mem_ctl.scala 151:21] assign io_ifu_axi_arqos = 4'h0; // @[el2_ifu_mem_ctl.scala 146:20] - assign io_ifu_axi_rready = 1'h1; // @[el2_ifu_mem_ctl.scala 570:21] - assign io_iccm_dma_ecc_error = |iccm_double_ecc_error; // @[el2_ifu_mem_ctl.scala 660:25] - assign io_iccm_dma_rvalid = iccm_dma_rvalid; // @[el2_ifu_mem_ctl.scala 658:22] - assign io_iccm_dma_rdata = iccm_dma_rdata; // @[el2_ifu_mem_ctl.scala 662:21] - assign io_iccm_dma_rtag = iccm_dma_rtag; // @[el2_ifu_mem_ctl.scala 653:20] - assign io_iccm_ready = _T_2637 & _T_2631; // @[el2_ifu_mem_ctl.scala 633:17] + assign io_ifu_axi_rready = 1'h1; // @[el2_ifu_mem_ctl.scala 572:21] + assign io_iccm_dma_ecc_error = |iccm_double_ecc_error; // @[el2_ifu_mem_ctl.scala 662:25] + assign io_iccm_dma_rvalid = iccm_dma_rvalid; // @[el2_ifu_mem_ctl.scala 660:22] + assign io_iccm_dma_rdata = iccm_dma_rdata; // @[el2_ifu_mem_ctl.scala 664:21] + assign io_iccm_dma_rtag = iccm_dma_rtag; // @[el2_ifu_mem_ctl.scala 655:20] + assign io_iccm_ready = _T_2637 & _T_2631; // @[el2_ifu_mem_ctl.scala 635:17] assign io_ic_rw_addr = _T_338 | _T_339; // @[el2_ifu_mem_ctl.scala 338:17] - assign io_ic_wr_en = 2'h0; // @[el2_ifu_mem_ctl.scala 700:15] - assign io_ic_rd_en = _T_3918 | _T_3923; // @[el2_ifu_mem_ctl.scala 691:15] - assign io_ic_wr_data_0 = ic_wr_16bytes_data[70:0]; // @[el2_ifu_mem_ctl.scala 347:17] - assign io_ic_wr_data_1 = ic_wr_16bytes_data[141:71]; // @[el2_ifu_mem_ctl.scala 347:17] - assign io_ic_debug_wr_data = io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[el2_ifu_mem_ctl.scala 348:23] - assign io_ifu_ic_debug_rd_data = _T_1209; // @[el2_ifu_mem_ctl.scala 356:27] - assign io_ic_debug_addr = io_dec_tlu_ic_diag_pkt_icache_dicawics[9:0]; // @[el2_ifu_mem_ctl.scala 817:20] - assign io_ic_debug_rd_en = io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[el2_ifu_mem_ctl.scala 819:21] - assign io_ic_debug_wr_en = io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[el2_ifu_mem_ctl.scala 820:21] - assign io_ic_debug_tag_array = io_dec_tlu_ic_diag_pkt_icache_dicawics[16]; // @[el2_ifu_mem_ctl.scala 818:25] - assign io_ic_debug_way = _T_10134[1:0]; // @[el2_ifu_mem_ctl.scala 821:19] - assign io_ic_tag_valid = ic_tag_valid_unq & _T_10108; // @[el2_ifu_mem_ctl.scala 805:19] - assign io_iccm_rw_addr = _T_3072[14:0]; // @[el2_ifu_mem_ctl.scala 664:19] - assign io_iccm_wren = _T_2641 | iccm_correct_ecc; // @[el2_ifu_mem_ctl.scala 635:16] - assign io_iccm_rden = _T_2645 | _T_2646; // @[el2_ifu_mem_ctl.scala 636:16] - assign io_iccm_wr_data = _T_3047 ? _T_3048 : _T_3055; // @[el2_ifu_mem_ctl.scala 641:19] - assign io_iccm_wr_size = _T_2651 & io_dma_mem_sz; // @[el2_ifu_mem_ctl.scala 638:19] + assign io_ic_wr_en = 2'h0; // @[el2_ifu_mem_ctl.scala 702:15] + assign io_ic_rd_en = _T_3918 | _T_3923; // @[el2_ifu_mem_ctl.scala 693:15] + assign io_ic_wr_data_0 = ic_wr_16bytes_data[70:0]; // @[el2_ifu_mem_ctl.scala 349:17] + assign io_ic_wr_data_1 = ic_wr_16bytes_data[141:71]; // @[el2_ifu_mem_ctl.scala 349:17] + assign io_ic_debug_wr_data = io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[el2_ifu_mem_ctl.scala 350:23] + assign io_ifu_ic_debug_rd_data = _T_1209; // @[el2_ifu_mem_ctl.scala 358:27] + assign io_ic_debug_addr = io_dec_tlu_ic_diag_pkt_icache_dicawics[9:0]; // @[el2_ifu_mem_ctl.scala 819:20] + assign io_ic_debug_rd_en = io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[el2_ifu_mem_ctl.scala 821:21] + assign io_ic_debug_wr_en = io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[el2_ifu_mem_ctl.scala 822:21] + assign io_ic_debug_tag_array = io_dec_tlu_ic_diag_pkt_icache_dicawics[16]; // @[el2_ifu_mem_ctl.scala 820:25] + assign io_ic_debug_way = _T_10134[1:0]; // @[el2_ifu_mem_ctl.scala 823:19] + assign io_ic_tag_valid = ic_tag_valid_unq & _T_10108; // @[el2_ifu_mem_ctl.scala 807:19] + assign io_iccm_rw_addr = _T_3072[14:0]; // @[el2_ifu_mem_ctl.scala 666:19] + assign io_iccm_wren = _T_2641 | iccm_correct_ecc; // @[el2_ifu_mem_ctl.scala 637:16] + assign io_iccm_rden = _T_2645 | _T_2646; // @[el2_ifu_mem_ctl.scala 638:16] + assign io_iccm_wr_data = _T_3047 ? _T_3048 : _T_3055; // @[el2_ifu_mem_ctl.scala 643:19] + assign io_iccm_wr_size = _T_2651 & io_dma_mem_sz; // @[el2_ifu_mem_ctl.scala 640:19] assign io_ic_hit_f = _T_263 | _T_264; // @[el2_ifu_mem_ctl.scala 290:15] - assign io_ic_access_fault_f = _T_2419 & _T_317; // @[el2_ifu_mem_ctl.scala 389:24] - assign io_ic_access_fault_type_f = io_iccm_rd_ecc_double_err ? 2'h1 : _T_1273; // @[el2_ifu_mem_ctl.scala 390:29] - assign io_iccm_rd_ecc_single_err = _T_3863 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 677:29] - assign io_iccm_rd_ecc_double_err = iccm_dma_ecc_error_in & ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 678:29] - assign io_ic_error_start = _T_1197 | ic_rd_parity_final_err; // @[el2_ifu_mem_ctl.scala 350:21] + assign io_ic_access_fault_f = _T_2419 & _T_317; // @[el2_ifu_mem_ctl.scala 391:24] + assign io_ic_access_fault_type_f = io_iccm_rd_ecc_double_err ? 2'h1 : _T_1273; // @[el2_ifu_mem_ctl.scala 392:29] + assign io_iccm_rd_ecc_single_err = _T_3863 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 679:29] + assign io_iccm_rd_ecc_double_err = iccm_dma_ecc_error_in & ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 680:29] + assign io_ic_error_start = _T_1197 | ic_rd_parity_final_err; // @[el2_ifu_mem_ctl.scala 352:21] assign io_ifu_async_error_start = io_iccm_rd_ecc_single_err | io_ic_error_start; // @[el2_ifu_mem_ctl.scala 191:28] assign io_iccm_dma_sb_error = _T_3 & dma_iccm_req_f; // @[el2_ifu_mem_ctl.scala 190:24] - assign io_ic_fetch_val_f = {1'h0,fetch_req_f_qual}; // @[el2_ifu_mem_ctl.scala 394:21] - assign io_ic_data_f = io_ic_rd_data[31:0]; // @[el2_ifu_mem_ctl.scala 386:16] - assign io_ic_premux_data = ic_premux_data[63:0]; // @[el2_ifu_mem_ctl.scala 383:21] - assign io_ic_sel_premux_data = fetch_req_iccm_f | sel_byp_data; // @[el2_ifu_mem_ctl.scala 384:25] - assign io_ifu_ic_debug_rd_data_valid = _T_10145; // @[el2_ifu_mem_ctl.scala 828:33] - assign io_iccm_buf_correct_ecc = iccm_correct_ecc & _T_2424; // @[el2_ifu_mem_ctl.scala 482:27] - assign io_iccm_correction_state = _T_2452 ? 1'h0 : _GEN_59; // @[el2_ifu_mem_ctl.scala 517:28 el2_ifu_mem_ctl.scala 530:32 el2_ifu_mem_ctl.scala 537:32 el2_ifu_mem_ctl.scala 544:32] - assign io_ic_miss_buff_ecc = {_T_1193,_T_1190}; // @[el2_ifu_mem_ctl.scala 345:23] - assign io_ic_wr_ecc = {_T_771,_T_768}; // @[el2_ifu_mem_ctl.scala 343:16] + assign io_ic_fetch_val_f = {1'h0,fetch_req_f_qual}; // @[el2_ifu_mem_ctl.scala 396:21] + assign io_ic_data_f = io_ic_rd_data[31:0]; // @[el2_ifu_mem_ctl.scala 388:16] + assign io_ic_premux_data = ic_premux_data[63:0]; // @[el2_ifu_mem_ctl.scala 385:21] + assign io_ic_sel_premux_data = fetch_req_iccm_f | sel_byp_data; // @[el2_ifu_mem_ctl.scala 386:25] + assign io_ifu_ic_debug_rd_data_valid = _T_10145; // @[el2_ifu_mem_ctl.scala 830:33] + assign io_iccm_buf_correct_ecc = iccm_correct_ecc & _T_2424; // @[el2_ifu_mem_ctl.scala 484:27] + assign io_iccm_correction_state = _T_2452 ? 1'h0 : _GEN_59; // @[el2_ifu_mem_ctl.scala 519:28 el2_ifu_mem_ctl.scala 532:32 el2_ifu_mem_ctl.scala 539:32 el2_ifu_mem_ctl.scala 546:32] + assign io_ic_miss_buff_ecc = {_T_1193,_T_1190}; // @[el2_ifu_mem_ctl.scala 347:23] + assign io_ic_wr_ecc = m1_io_ecc_out; // @[el2_ifu_mem_ctl.scala 345:16] + assign m1_io_din = ifu_bus_rdata_ff; // @[el2_ifu_mem_ctl.scala 344:13] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif diff --git a/src/main/scala/ifu/el2_ifu_mem_ctl.scala b/src/main/scala/ifu/el2_ifu_mem_ctl.scala index 99c3b975..208928a9 100644 --- a/src/main/scala/ifu/el2_ifu_mem_ctl.scala +++ b/src/main/scala/ifu/el2_ifu_mem_ctl.scala @@ -340,7 +340,9 @@ class el2_ifu_mem_ctl extends Module with el2_lib { val ifu_bus_rdata_ff = WireInit(UInt(64.W), 0.U) val ic_miss_buff_half = WireInit(UInt(64.W), 0.U) val ic_wr_ecc = rvecc_encode_64(ifu_bus_rdata_ff) - io.ic_wr_ecc := ic_wr_ecc + val m1 = Module(new rvecc_encode_64()) + m1.io.din := ifu_bus_rdata_ff + io.ic_wr_ecc := m1.io.ecc_out val ic_miss_buff_ecc = rvecc_encode_64(ic_miss_buff_half) io.ic_miss_buff_ecc := ic_miss_buff_ecc val ic_wr_16bytes_data = WireInit(UInt((ICACHE_BANKS_WAY * (if(ICACHE_ECC) 71 else 68)).W), 0.U) diff --git a/src/main/scala/lib/el2_lib.scala b/src/main/scala/lib/el2_lib.scala index e5a83a9e..84826b27 100644 --- a/src/main/scala/lib/el2_lib.scala +++ b/src/main/scala/lib/el2_lib.scala @@ -314,6 +314,43 @@ trait el2_lib extends param{ (ecc_out,dout,single_ecc_error,double_ecc_error) } + class rvecc_encode_64 extends Module{ //Done for verification and testing + val io = IO(new Bundle{ + val din = Input(UInt(64.W)) + val ecc_out = Output(UInt(7.W)) + }) + val mask0 = Array(1,1,0,1,1,0,1,0,1,0,1,1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,1,0,1,0,1,0,1) + val mask1 = Array(1,0,1,1,0,1,1,0,0,1,1,0,1,1,0,0,1,1,0,0,1,1,0,0,1,1,0,1,1,0,0,1,1,0,0,1,1,0,0,1,1,0,0,1,1,0,0,1,1,0,0,1,1,0,0,1,1,0,1,1,0,0,1,1) + val mask2 = Array(0,1,1,1,0,0,0,1,1,1,1,0,0,0,1,1,1,1,0,0,0,0,1,1,1,1,0,0,0,1,1,1,1,0,0,0,0,1,1,1,1,0,0,0,0,1,1,1,1,0,0,0,0,1,1,1,1,0,0,0,1,1,1,1) + val mask3 = Array(0,0,0,0,1,1,1,1,1,1,1,0,0,0,0,0,0,0,1,1,1,1,1,1,1,1,0,0,0,0,0,0,0,1,1,1,1,1,1,1,1,0,0,0,0,0,0,0,0,1,1,1,1,1,1,1,1,0,0,0,0,0,0,0) + val mask4 = Array(0,0,0,0,0,0,0,0,0,0,0,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,0,0,0,0,0,0,0) + val mask5 = Array(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,0,0,0,0,0,0,0) + val mask6 = Array(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,1,1,1,1,1,1) + + val w0 = Wire(Vec(35,UInt(1.W))) + val w1 = Wire(Vec(35,UInt(1.W))) + val w2 = Wire(Vec(35,UInt(1.W))) + val w3 = Wire(Vec(31,UInt(1.W))) + val w4 = Wire(Vec(31,UInt(1.W))) + val w5 = Wire(Vec(31,UInt(1.W))) + val w6 = Wire(Vec(7, UInt(1.W))) + + var j = 0;var k = 0;var m = 0; var n =0; + var x = 0;var y = 0;var z = 0 + + for(i <- 0 to 63) + { + if(mask0(i)==1) {w0(j) := io.din(i); j = j +1 } + if(mask1(i)==1) {w1(k) := io.din(i); k = k +1 } + if(mask2(i)==1) {w2(m) := io.din(i); m = m +1 } + if(mask3(i)==1) {w3(n) := io.din(i); n = n +1 } + if(mask4(i)==1) {w4(x) := io.din(i); x = x +1 } + if(mask5(i)==1) {w5(y) := io.din(i); y = y +1 } + if(mask6(i)==1) {w6(z) := io.din(i); z = z +1 } + } + io.ecc_out := Cat((w6.asUInt.xorR),(w5.asUInt.xorR),(w4.asUInt.xorR),(w3.asUInt.xorR),(w2.asUInt.xorR),(w1.asUInt.xorR),(w0.asUInt.xorR)) + } + def rvecc_encode_64(din:UInt):UInt = { val mask0 = Array(1,1,0,1,1,0,1,0,1,0,1,1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,1,0,1,0,1,0,1) val mask1 = Array(1,0,1,1,0,1,1,0,0,1,1,0,1,1,0,0,1,1,0,0,1,1,0,0,1,1,0,1,1,0,0,1,1,0,0,1,1,0,0,1,1,0,0,1,1,0,0,1,1,0,0,1,1,0,0,1,1,0,1,1,0,0,1,1) diff --git a/target/scala-2.12/classes/ifu/el2_ifu_mem_ctl.class b/target/scala-2.12/classes/ifu/el2_ifu_mem_ctl.class index 4e733dcf..fe85bc6c 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_mem_ctl.class and b/target/scala-2.12/classes/ifu/el2_ifu_mem_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_mem$.class b/target/scala-2.12/classes/ifu/ifu_mem$.class index 9aab64f4..c25b8e18 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_mem$.class and b/target/scala-2.12/classes/ifu/ifu_mem$.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_mem$delayedInit$body.class b/target/scala-2.12/classes/ifu/ifu_mem$delayedInit$body.class index 934bfa0a..85e90551 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_mem$delayedInit$body.class and b/target/scala-2.12/classes/ifu/ifu_mem$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/lib/el2_lib$TEC_RV_ICG$$anon$1.class b/target/scala-2.12/classes/lib/el2_lib$TEC_RV_ICG$$anon$2.class similarity index 72% rename from target/scala-2.12/classes/lib/el2_lib$TEC_RV_ICG$$anon$1.class rename to target/scala-2.12/classes/lib/el2_lib$TEC_RV_ICG$$anon$2.class index 5e4b5e47..7825382e 100644 Binary files a/target/scala-2.12/classes/lib/el2_lib$TEC_RV_ICG$$anon$1.class and b/target/scala-2.12/classes/lib/el2_lib$TEC_RV_ICG$$anon$2.class differ diff --git a/target/scala-2.12/classes/lib/el2_lib$TEC_RV_ICG.class b/target/scala-2.12/classes/lib/el2_lib$TEC_RV_ICG.class index 463025d9..d07fb13a 100644 Binary files a/target/scala-2.12/classes/lib/el2_lib$TEC_RV_ICG.class and b/target/scala-2.12/classes/lib/el2_lib$TEC_RV_ICG.class differ diff --git a/target/scala-2.12/classes/lib/el2_lib$rvclkhdr$$anon$2.class b/target/scala-2.12/classes/lib/el2_lib$rvclkhdr$$anon$3.class similarity index 72% rename from target/scala-2.12/classes/lib/el2_lib$rvclkhdr$$anon$2.class rename to target/scala-2.12/classes/lib/el2_lib$rvclkhdr$$anon$3.class index b88c2041..43fa4a9f 100644 Binary files a/target/scala-2.12/classes/lib/el2_lib$rvclkhdr$$anon$2.class and b/target/scala-2.12/classes/lib/el2_lib$rvclkhdr$$anon$3.class differ diff --git a/target/scala-2.12/classes/lib/el2_lib$rvclkhdr$.class b/target/scala-2.12/classes/lib/el2_lib$rvclkhdr$.class index d2b54cd4..f40321fe 100644 Binary files a/target/scala-2.12/classes/lib/el2_lib$rvclkhdr$.class and b/target/scala-2.12/classes/lib/el2_lib$rvclkhdr$.class differ diff --git a/target/scala-2.12/classes/lib/el2_lib$rvclkhdr.class b/target/scala-2.12/classes/lib/el2_lib$rvclkhdr.class index 96069b8c..db4ee672 100644 Binary files a/target/scala-2.12/classes/lib/el2_lib$rvclkhdr.class and b/target/scala-2.12/classes/lib/el2_lib$rvclkhdr.class differ diff --git a/target/scala-2.12/classes/lib/el2_lib$rvdffe$.class b/target/scala-2.12/classes/lib/el2_lib$rvdffe$.class index 91b0d2c7..069572f6 100644 Binary files a/target/scala-2.12/classes/lib/el2_lib$rvdffe$.class and b/target/scala-2.12/classes/lib/el2_lib$rvdffe$.class differ diff --git a/target/scala-2.12/classes/lib/el2_lib$rvecc_encode_64$$anon$1.class b/target/scala-2.12/classes/lib/el2_lib$rvecc_encode_64$$anon$1.class new file mode 100644 index 00000000..feacaa8b Binary files /dev/null and b/target/scala-2.12/classes/lib/el2_lib$rvecc_encode_64$$anon$1.class differ diff --git a/target/scala-2.12/classes/lib/el2_lib$rvecc_encode_64.class b/target/scala-2.12/classes/lib/el2_lib$rvecc_encode_64.class new file mode 100644 index 00000000..e18af47d Binary files /dev/null and b/target/scala-2.12/classes/lib/el2_lib$rvecc_encode_64.class differ diff --git a/target/scala-2.12/classes/lib/el2_lib.class b/target/scala-2.12/classes/lib/el2_lib.class index b91d6414..42fcab0c 100644 Binary files a/target/scala-2.12/classes/lib/el2_lib.class and b/target/scala-2.12/classes/lib/el2_lib.class differ