From 08ca9a4e966224d946d0ef8551abd3a6f335a9a5 Mon Sep 17 00:00:00 2001 From: Laraib Khan <68371562+laraibkhan119@users.noreply.github.com> Date: Tue, 8 Sep 2020 18:05:39 +0500 Subject: [PATCH] Delete el2_lsu_trigger.scala --- src/main/scala/lsu/el2_lsu_trigger.scala | 15 --------------- 1 file changed, 15 deletions(-) delete mode 100644 src/main/scala/lsu/el2_lsu_trigger.scala diff --git a/src/main/scala/lsu/el2_lsu_trigger.scala b/src/main/scala/lsu/el2_lsu_trigger.scala deleted file mode 100644 index 7bbb11dc..00000000 --- a/src/main/scala/lsu/el2_lsu_trigger.scala +++ /dev/null @@ -1,15 +0,0 @@ -class el2_lsu_trigger extends MultiIOModule -{ - val trigger_pkt_any = IO(Input(Vec (4,(new el2_trigger_pkt_t)))) - val lsu_pkt_m = IO(Input(new el2_lsu_pkt_t)) - val lsu_addr_m = IO(Input(UInt(32.W))) - val store_data_m = IO(Input(UInt(32.W))) - val lsu_trigger_m_match = IO(Output(UInt(4.W))) - - val lsu_match_data = Reg(Vec(4,UInt(32.W))) - val lsu_trigger_data_match = WireInit(0.U(4.W)) - val store_data_trigger_m = WireInit(0.U(32.W)) - - lsu_trigger_m_match:=0.U - -}