From 09739661c2eee22fa6cd45e192ecf954dc0d6568 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?=E2=80=8BLaraib=20Khan?= <​laraib.khan@lampromellon.com> Date: Wed, 16 Dec 2020 16:48:55 +0500 Subject: [PATCH] DMA Inserted --- firrtl_black_box_resource_files.f | 4 +- quasar_wrapper.anno.json | 8 + quasar_wrapper.fir | 13085 ++++++++-------- quasar_wrapper.v | 5698 +++---- src/main/scala/dbg/dbg.scala | 106 +- target/scala-2.12/classes/dbg/dbg.class | Bin 273715 -> 274626 bytes .../dbg/{dbg_top$.class => dbg_main$.class} | Bin 3840 -> 3845 bytes .../dbg/dbg_main$delayedInit$body.class | Bin 0 -> 730 bytes .../dbg/{dbg_top.class => dbg_main.class} | Bin 768 -> 773 bytes .../dbg/dbg_top$delayedInit$body.class | Bin 724 -> 0 bytes 10 files changed, 9560 insertions(+), 9341 deletions(-) rename target/scala-2.12/classes/dbg/{dbg_top$.class => dbg_main$.class} (64%) create mode 100644 target/scala-2.12/classes/dbg/dbg_main$delayedInit$body.class rename target/scala-2.12/classes/dbg/{dbg_top.class => dbg_main.class} (50%) delete mode 100644 target/scala-2.12/classes/dbg/dbg_top$delayedInit$body.class diff --git a/firrtl_black_box_resource_files.f b/firrtl_black_box_resource_files.f index 4ec29fe7..5646ae13 100644 --- a/firrtl_black_box_resource_files.f +++ b/firrtl_black_box_resource_files.f @@ -1 +1,3 @@ -/home/laraibkhan/Desktop/SweRV-Chislified/gated_latch.v \ No newline at end of file +/home/laraibkhan/Desktop/SweRV-Chislified/gated_latch.v +/home/laraibkhan/Desktop/SweRV-Chislified/dmi_wrapper.sv +/home/laraibkhan/Desktop/SweRV-Chislified/mem.sv \ No newline at end of file diff --git a/quasar_wrapper.anno.json b/quasar_wrapper.anno.json index 411cce42..50a67c3d 100644 --- a/quasar_wrapper.anno.json +++ b/quasar_wrapper.anno.json @@ -968,6 +968,14 @@ "class":"firrtl.transforms.DontTouchAnnotation", "target":"~quasar_wrapper|exu>i0_rs2_d" }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~quasar_wrapper|dbg>rst_temp" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~quasar_wrapper|dbg>dbg_dm_rst_l" + }, { "class":"firrtl.transforms.DontTouchAnnotation", "target":"~quasar_wrapper|dec_trigger>io_dec_i0_trigger_match_d" diff --git a/quasar_wrapper.fir b/quasar_wrapper.fir index 6abf0f9b..7b820bcb 100644 --- a/quasar_wrapper.fir +++ b/quasar_wrapper.fir @@ -80308,884 +80308,888 @@ circuit quasar_wrapper : node _T_403 = cat(_T_402, _T_399) @[el2_lib.scala 245:14] node _T_404 = cat(_T_403, _T_396) @[el2_lib.scala 245:14] node _T_405 = cat(_T_404, _T_389) @[el2_lib.scala 245:14] - node _T_406 = and(_T_148, _T_405) @[dec_trigger.scala 15:109] - node _T_407 = and(io.trigger_pkt_any[1].execute, io.trigger_pkt_any[1].m) @[dec_trigger.scala 15:83] - node _T_408 = bits(io.trigger_pkt_any[1].match_pkt, 0, 0) @[dec_trigger.scala 15:216] - wire _T_409 : UInt<1>[32] @[el2_lib.scala 240:24] - node _T_410 = andr(io.trigger_pkt_any[1].tdata2) @[el2_lib.scala 241:45] - node _T_411 = not(_T_410) @[el2_lib.scala 241:39] - node _T_412 = and(_T_408, _T_411) @[el2_lib.scala 241:37] - node _T_413 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[el2_lib.scala 242:48] - node _T_414 = bits(dec_i0_match_data[1], 0, 0) @[el2_lib.scala 242:60] - node _T_415 = eq(_T_413, _T_414) @[el2_lib.scala 242:52] - node _T_416 = or(_T_412, _T_415) @[el2_lib.scala 242:41] - _T_409[0] <= _T_416 @[el2_lib.scala 242:18] - node _T_417 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[el2_lib.scala 244:28] - node _T_418 = andr(_T_417) @[el2_lib.scala 244:36] - node _T_419 = and(_T_418, _T_412) @[el2_lib.scala 244:41] - node _T_420 = bits(io.trigger_pkt_any[1].tdata2, 1, 1) @[el2_lib.scala 244:74] - node _T_421 = bits(dec_i0_match_data[1], 1, 1) @[el2_lib.scala 244:86] - node _T_422 = eq(_T_420, _T_421) @[el2_lib.scala 244:78] - node _T_423 = mux(_T_419, UInt<1>("h01"), _T_422) @[el2_lib.scala 244:23] - _T_409[1] <= _T_423 @[el2_lib.scala 244:17] - node _T_424 = bits(io.trigger_pkt_any[1].tdata2, 1, 0) @[el2_lib.scala 244:28] - node _T_425 = andr(_T_424) @[el2_lib.scala 244:36] - node _T_426 = and(_T_425, _T_412) @[el2_lib.scala 244:41] - node _T_427 = bits(io.trigger_pkt_any[1].tdata2, 2, 2) @[el2_lib.scala 244:74] - node _T_428 = bits(dec_i0_match_data[1], 2, 2) @[el2_lib.scala 244:86] - node _T_429 = eq(_T_427, _T_428) @[el2_lib.scala 244:78] - node _T_430 = mux(_T_426, UInt<1>("h01"), _T_429) @[el2_lib.scala 244:23] - _T_409[2] <= _T_430 @[el2_lib.scala 244:17] - node _T_431 = bits(io.trigger_pkt_any[1].tdata2, 2, 0) @[el2_lib.scala 244:28] - node _T_432 = andr(_T_431) @[el2_lib.scala 244:36] - node _T_433 = and(_T_432, _T_412) @[el2_lib.scala 244:41] - node _T_434 = bits(io.trigger_pkt_any[1].tdata2, 3, 3) @[el2_lib.scala 244:74] - node _T_435 = bits(dec_i0_match_data[1], 3, 3) @[el2_lib.scala 244:86] - node _T_436 = eq(_T_434, _T_435) @[el2_lib.scala 244:78] - node _T_437 = mux(_T_433, UInt<1>("h01"), _T_436) @[el2_lib.scala 244:23] - _T_409[3] <= _T_437 @[el2_lib.scala 244:17] - node _T_438 = bits(io.trigger_pkt_any[1].tdata2, 3, 0) @[el2_lib.scala 244:28] - node _T_439 = andr(_T_438) @[el2_lib.scala 244:36] - node _T_440 = and(_T_439, _T_412) @[el2_lib.scala 244:41] - node _T_441 = bits(io.trigger_pkt_any[1].tdata2, 4, 4) @[el2_lib.scala 244:74] - node _T_442 = bits(dec_i0_match_data[1], 4, 4) @[el2_lib.scala 244:86] - node _T_443 = eq(_T_441, _T_442) @[el2_lib.scala 244:78] - node _T_444 = mux(_T_440, UInt<1>("h01"), _T_443) @[el2_lib.scala 244:23] - _T_409[4] <= _T_444 @[el2_lib.scala 244:17] - node _T_445 = bits(io.trigger_pkt_any[1].tdata2, 4, 0) @[el2_lib.scala 244:28] - node _T_446 = andr(_T_445) @[el2_lib.scala 244:36] - node _T_447 = and(_T_446, _T_412) @[el2_lib.scala 244:41] - node _T_448 = bits(io.trigger_pkt_any[1].tdata2, 5, 5) @[el2_lib.scala 244:74] - node _T_449 = bits(dec_i0_match_data[1], 5, 5) @[el2_lib.scala 244:86] - node _T_450 = eq(_T_448, _T_449) @[el2_lib.scala 244:78] - node _T_451 = mux(_T_447, UInt<1>("h01"), _T_450) @[el2_lib.scala 244:23] - _T_409[5] <= _T_451 @[el2_lib.scala 244:17] - node _T_452 = bits(io.trigger_pkt_any[1].tdata2, 5, 0) @[el2_lib.scala 244:28] - node _T_453 = andr(_T_452) @[el2_lib.scala 244:36] - node _T_454 = and(_T_453, _T_412) @[el2_lib.scala 244:41] - node _T_455 = bits(io.trigger_pkt_any[1].tdata2, 6, 6) @[el2_lib.scala 244:74] - node _T_456 = bits(dec_i0_match_data[1], 6, 6) @[el2_lib.scala 244:86] - node _T_457 = eq(_T_455, _T_456) @[el2_lib.scala 244:78] - node _T_458 = mux(_T_454, UInt<1>("h01"), _T_457) @[el2_lib.scala 244:23] - _T_409[6] <= _T_458 @[el2_lib.scala 244:17] - node _T_459 = bits(io.trigger_pkt_any[1].tdata2, 6, 0) @[el2_lib.scala 244:28] - node _T_460 = andr(_T_459) @[el2_lib.scala 244:36] - node _T_461 = and(_T_460, _T_412) @[el2_lib.scala 244:41] - node _T_462 = bits(io.trigger_pkt_any[1].tdata2, 7, 7) @[el2_lib.scala 244:74] - node _T_463 = bits(dec_i0_match_data[1], 7, 7) @[el2_lib.scala 244:86] - node _T_464 = eq(_T_462, _T_463) @[el2_lib.scala 244:78] - node _T_465 = mux(_T_461, UInt<1>("h01"), _T_464) @[el2_lib.scala 244:23] - _T_409[7] <= _T_465 @[el2_lib.scala 244:17] - node _T_466 = bits(io.trigger_pkt_any[1].tdata2, 7, 0) @[el2_lib.scala 244:28] - node _T_467 = andr(_T_466) @[el2_lib.scala 244:36] - node _T_468 = and(_T_467, _T_412) @[el2_lib.scala 244:41] - node _T_469 = bits(io.trigger_pkt_any[1].tdata2, 8, 8) @[el2_lib.scala 244:74] - node _T_470 = bits(dec_i0_match_data[1], 8, 8) @[el2_lib.scala 244:86] - node _T_471 = eq(_T_469, _T_470) @[el2_lib.scala 244:78] - node _T_472 = mux(_T_468, UInt<1>("h01"), _T_471) @[el2_lib.scala 244:23] - _T_409[8] <= _T_472 @[el2_lib.scala 244:17] - node _T_473 = bits(io.trigger_pkt_any[1].tdata2, 8, 0) @[el2_lib.scala 244:28] - node _T_474 = andr(_T_473) @[el2_lib.scala 244:36] - node _T_475 = and(_T_474, _T_412) @[el2_lib.scala 244:41] - node _T_476 = bits(io.trigger_pkt_any[1].tdata2, 9, 9) @[el2_lib.scala 244:74] - node _T_477 = bits(dec_i0_match_data[1], 9, 9) @[el2_lib.scala 244:86] - node _T_478 = eq(_T_476, _T_477) @[el2_lib.scala 244:78] - node _T_479 = mux(_T_475, UInt<1>("h01"), _T_478) @[el2_lib.scala 244:23] - _T_409[9] <= _T_479 @[el2_lib.scala 244:17] - node _T_480 = bits(io.trigger_pkt_any[1].tdata2, 9, 0) @[el2_lib.scala 244:28] - node _T_481 = andr(_T_480) @[el2_lib.scala 244:36] - node _T_482 = and(_T_481, _T_412) @[el2_lib.scala 244:41] - node _T_483 = bits(io.trigger_pkt_any[1].tdata2, 10, 10) @[el2_lib.scala 244:74] - node _T_484 = bits(dec_i0_match_data[1], 10, 10) @[el2_lib.scala 244:86] - node _T_485 = eq(_T_483, _T_484) @[el2_lib.scala 244:78] - node _T_486 = mux(_T_482, UInt<1>("h01"), _T_485) @[el2_lib.scala 244:23] - _T_409[10] <= _T_486 @[el2_lib.scala 244:17] - node _T_487 = bits(io.trigger_pkt_any[1].tdata2, 10, 0) @[el2_lib.scala 244:28] - node _T_488 = andr(_T_487) @[el2_lib.scala 244:36] - node _T_489 = and(_T_488, _T_412) @[el2_lib.scala 244:41] - node _T_490 = bits(io.trigger_pkt_any[1].tdata2, 11, 11) @[el2_lib.scala 244:74] - node _T_491 = bits(dec_i0_match_data[1], 11, 11) @[el2_lib.scala 244:86] - node _T_492 = eq(_T_490, _T_491) @[el2_lib.scala 244:78] - node _T_493 = mux(_T_489, UInt<1>("h01"), _T_492) @[el2_lib.scala 244:23] - _T_409[11] <= _T_493 @[el2_lib.scala 244:17] - node _T_494 = bits(io.trigger_pkt_any[1].tdata2, 11, 0) @[el2_lib.scala 244:28] - node _T_495 = andr(_T_494) @[el2_lib.scala 244:36] - node _T_496 = and(_T_495, _T_412) @[el2_lib.scala 244:41] - node _T_497 = bits(io.trigger_pkt_any[1].tdata2, 12, 12) @[el2_lib.scala 244:74] - node _T_498 = bits(dec_i0_match_data[1], 12, 12) @[el2_lib.scala 244:86] - node _T_499 = eq(_T_497, _T_498) @[el2_lib.scala 244:78] - node _T_500 = mux(_T_496, UInt<1>("h01"), _T_499) @[el2_lib.scala 244:23] - _T_409[12] <= _T_500 @[el2_lib.scala 244:17] - node _T_501 = bits(io.trigger_pkt_any[1].tdata2, 12, 0) @[el2_lib.scala 244:28] - node _T_502 = andr(_T_501) @[el2_lib.scala 244:36] - node _T_503 = and(_T_502, _T_412) @[el2_lib.scala 244:41] - node _T_504 = bits(io.trigger_pkt_any[1].tdata2, 13, 13) @[el2_lib.scala 244:74] - node _T_505 = bits(dec_i0_match_data[1], 13, 13) @[el2_lib.scala 244:86] - node _T_506 = eq(_T_504, _T_505) @[el2_lib.scala 244:78] - node _T_507 = mux(_T_503, UInt<1>("h01"), _T_506) @[el2_lib.scala 244:23] - _T_409[13] <= _T_507 @[el2_lib.scala 244:17] - node _T_508 = bits(io.trigger_pkt_any[1].tdata2, 13, 0) @[el2_lib.scala 244:28] - node _T_509 = andr(_T_508) @[el2_lib.scala 244:36] - node _T_510 = and(_T_509, _T_412) @[el2_lib.scala 244:41] - node _T_511 = bits(io.trigger_pkt_any[1].tdata2, 14, 14) @[el2_lib.scala 244:74] - node _T_512 = bits(dec_i0_match_data[1], 14, 14) @[el2_lib.scala 244:86] - node _T_513 = eq(_T_511, _T_512) @[el2_lib.scala 244:78] - node _T_514 = mux(_T_510, UInt<1>("h01"), _T_513) @[el2_lib.scala 244:23] - _T_409[14] <= _T_514 @[el2_lib.scala 244:17] - node _T_515 = bits(io.trigger_pkt_any[1].tdata2, 14, 0) @[el2_lib.scala 244:28] - node _T_516 = andr(_T_515) @[el2_lib.scala 244:36] - node _T_517 = and(_T_516, _T_412) @[el2_lib.scala 244:41] - node _T_518 = bits(io.trigger_pkt_any[1].tdata2, 15, 15) @[el2_lib.scala 244:74] - node _T_519 = bits(dec_i0_match_data[1], 15, 15) @[el2_lib.scala 244:86] - node _T_520 = eq(_T_518, _T_519) @[el2_lib.scala 244:78] - node _T_521 = mux(_T_517, UInt<1>("h01"), _T_520) @[el2_lib.scala 244:23] - _T_409[15] <= _T_521 @[el2_lib.scala 244:17] - node _T_522 = bits(io.trigger_pkt_any[1].tdata2, 15, 0) @[el2_lib.scala 244:28] - node _T_523 = andr(_T_522) @[el2_lib.scala 244:36] - node _T_524 = and(_T_523, _T_412) @[el2_lib.scala 244:41] - node _T_525 = bits(io.trigger_pkt_any[1].tdata2, 16, 16) @[el2_lib.scala 244:74] - node _T_526 = bits(dec_i0_match_data[1], 16, 16) @[el2_lib.scala 244:86] - node _T_527 = eq(_T_525, _T_526) @[el2_lib.scala 244:78] - node _T_528 = mux(_T_524, UInt<1>("h01"), _T_527) @[el2_lib.scala 244:23] - _T_409[16] <= _T_528 @[el2_lib.scala 244:17] - node _T_529 = bits(io.trigger_pkt_any[1].tdata2, 16, 0) @[el2_lib.scala 244:28] - node _T_530 = andr(_T_529) @[el2_lib.scala 244:36] - node _T_531 = and(_T_530, _T_412) @[el2_lib.scala 244:41] - node _T_532 = bits(io.trigger_pkt_any[1].tdata2, 17, 17) @[el2_lib.scala 244:74] - node _T_533 = bits(dec_i0_match_data[1], 17, 17) @[el2_lib.scala 244:86] - node _T_534 = eq(_T_532, _T_533) @[el2_lib.scala 244:78] - node _T_535 = mux(_T_531, UInt<1>("h01"), _T_534) @[el2_lib.scala 244:23] - _T_409[17] <= _T_535 @[el2_lib.scala 244:17] - node _T_536 = bits(io.trigger_pkt_any[1].tdata2, 17, 0) @[el2_lib.scala 244:28] - node _T_537 = andr(_T_536) @[el2_lib.scala 244:36] - node _T_538 = and(_T_537, _T_412) @[el2_lib.scala 244:41] - node _T_539 = bits(io.trigger_pkt_any[1].tdata2, 18, 18) @[el2_lib.scala 244:74] - node _T_540 = bits(dec_i0_match_data[1], 18, 18) @[el2_lib.scala 244:86] - node _T_541 = eq(_T_539, _T_540) @[el2_lib.scala 244:78] - node _T_542 = mux(_T_538, UInt<1>("h01"), _T_541) @[el2_lib.scala 244:23] - _T_409[18] <= _T_542 @[el2_lib.scala 244:17] - node _T_543 = bits(io.trigger_pkt_any[1].tdata2, 18, 0) @[el2_lib.scala 244:28] - node _T_544 = andr(_T_543) @[el2_lib.scala 244:36] - node _T_545 = and(_T_544, _T_412) @[el2_lib.scala 244:41] - node _T_546 = bits(io.trigger_pkt_any[1].tdata2, 19, 19) @[el2_lib.scala 244:74] - node _T_547 = bits(dec_i0_match_data[1], 19, 19) @[el2_lib.scala 244:86] - node _T_548 = eq(_T_546, _T_547) @[el2_lib.scala 244:78] - node _T_549 = mux(_T_545, UInt<1>("h01"), _T_548) @[el2_lib.scala 244:23] - _T_409[19] <= _T_549 @[el2_lib.scala 244:17] - node _T_550 = bits(io.trigger_pkt_any[1].tdata2, 19, 0) @[el2_lib.scala 244:28] - node _T_551 = andr(_T_550) @[el2_lib.scala 244:36] - node _T_552 = and(_T_551, _T_412) @[el2_lib.scala 244:41] - node _T_553 = bits(io.trigger_pkt_any[1].tdata2, 20, 20) @[el2_lib.scala 244:74] - node _T_554 = bits(dec_i0_match_data[1], 20, 20) @[el2_lib.scala 244:86] - node _T_555 = eq(_T_553, _T_554) @[el2_lib.scala 244:78] - node _T_556 = mux(_T_552, UInt<1>("h01"), _T_555) @[el2_lib.scala 244:23] - _T_409[20] <= _T_556 @[el2_lib.scala 244:17] - node _T_557 = bits(io.trigger_pkt_any[1].tdata2, 20, 0) @[el2_lib.scala 244:28] - node _T_558 = andr(_T_557) @[el2_lib.scala 244:36] - node _T_559 = and(_T_558, _T_412) @[el2_lib.scala 244:41] - node _T_560 = bits(io.trigger_pkt_any[1].tdata2, 21, 21) @[el2_lib.scala 244:74] - node _T_561 = bits(dec_i0_match_data[1], 21, 21) @[el2_lib.scala 244:86] - node _T_562 = eq(_T_560, _T_561) @[el2_lib.scala 244:78] - node _T_563 = mux(_T_559, UInt<1>("h01"), _T_562) @[el2_lib.scala 244:23] - _T_409[21] <= _T_563 @[el2_lib.scala 244:17] - node _T_564 = bits(io.trigger_pkt_any[1].tdata2, 21, 0) @[el2_lib.scala 244:28] - node _T_565 = andr(_T_564) @[el2_lib.scala 244:36] - node _T_566 = and(_T_565, _T_412) @[el2_lib.scala 244:41] - node _T_567 = bits(io.trigger_pkt_any[1].tdata2, 22, 22) @[el2_lib.scala 244:74] - node _T_568 = bits(dec_i0_match_data[1], 22, 22) @[el2_lib.scala 244:86] - node _T_569 = eq(_T_567, _T_568) @[el2_lib.scala 244:78] - node _T_570 = mux(_T_566, UInt<1>("h01"), _T_569) @[el2_lib.scala 244:23] - _T_409[22] <= _T_570 @[el2_lib.scala 244:17] - node _T_571 = bits(io.trigger_pkt_any[1].tdata2, 22, 0) @[el2_lib.scala 244:28] - node _T_572 = andr(_T_571) @[el2_lib.scala 244:36] - node _T_573 = and(_T_572, _T_412) @[el2_lib.scala 244:41] - node _T_574 = bits(io.trigger_pkt_any[1].tdata2, 23, 23) @[el2_lib.scala 244:74] - node _T_575 = bits(dec_i0_match_data[1], 23, 23) @[el2_lib.scala 244:86] - node _T_576 = eq(_T_574, _T_575) @[el2_lib.scala 244:78] - node _T_577 = mux(_T_573, UInt<1>("h01"), _T_576) @[el2_lib.scala 244:23] - _T_409[23] <= _T_577 @[el2_lib.scala 244:17] - node _T_578 = bits(io.trigger_pkt_any[1].tdata2, 23, 0) @[el2_lib.scala 244:28] - node _T_579 = andr(_T_578) @[el2_lib.scala 244:36] - node _T_580 = and(_T_579, _T_412) @[el2_lib.scala 244:41] - node _T_581 = bits(io.trigger_pkt_any[1].tdata2, 24, 24) @[el2_lib.scala 244:74] - node _T_582 = bits(dec_i0_match_data[1], 24, 24) @[el2_lib.scala 244:86] - node _T_583 = eq(_T_581, _T_582) @[el2_lib.scala 244:78] - node _T_584 = mux(_T_580, UInt<1>("h01"), _T_583) @[el2_lib.scala 244:23] - _T_409[24] <= _T_584 @[el2_lib.scala 244:17] - node _T_585 = bits(io.trigger_pkt_any[1].tdata2, 24, 0) @[el2_lib.scala 244:28] - node _T_586 = andr(_T_585) @[el2_lib.scala 244:36] - node _T_587 = and(_T_586, _T_412) @[el2_lib.scala 244:41] - node _T_588 = bits(io.trigger_pkt_any[1].tdata2, 25, 25) @[el2_lib.scala 244:74] - node _T_589 = bits(dec_i0_match_data[1], 25, 25) @[el2_lib.scala 244:86] - node _T_590 = eq(_T_588, _T_589) @[el2_lib.scala 244:78] - node _T_591 = mux(_T_587, UInt<1>("h01"), _T_590) @[el2_lib.scala 244:23] - _T_409[25] <= _T_591 @[el2_lib.scala 244:17] - node _T_592 = bits(io.trigger_pkt_any[1].tdata2, 25, 0) @[el2_lib.scala 244:28] - node _T_593 = andr(_T_592) @[el2_lib.scala 244:36] - node _T_594 = and(_T_593, _T_412) @[el2_lib.scala 244:41] - node _T_595 = bits(io.trigger_pkt_any[1].tdata2, 26, 26) @[el2_lib.scala 244:74] - node _T_596 = bits(dec_i0_match_data[1], 26, 26) @[el2_lib.scala 244:86] - node _T_597 = eq(_T_595, _T_596) @[el2_lib.scala 244:78] - node _T_598 = mux(_T_594, UInt<1>("h01"), _T_597) @[el2_lib.scala 244:23] - _T_409[26] <= _T_598 @[el2_lib.scala 244:17] - node _T_599 = bits(io.trigger_pkt_any[1].tdata2, 26, 0) @[el2_lib.scala 244:28] - node _T_600 = andr(_T_599) @[el2_lib.scala 244:36] - node _T_601 = and(_T_600, _T_412) @[el2_lib.scala 244:41] - node _T_602 = bits(io.trigger_pkt_any[1].tdata2, 27, 27) @[el2_lib.scala 244:74] - node _T_603 = bits(dec_i0_match_data[1], 27, 27) @[el2_lib.scala 244:86] - node _T_604 = eq(_T_602, _T_603) @[el2_lib.scala 244:78] - node _T_605 = mux(_T_601, UInt<1>("h01"), _T_604) @[el2_lib.scala 244:23] - _T_409[27] <= _T_605 @[el2_lib.scala 244:17] - node _T_606 = bits(io.trigger_pkt_any[1].tdata2, 27, 0) @[el2_lib.scala 244:28] - node _T_607 = andr(_T_606) @[el2_lib.scala 244:36] - node _T_608 = and(_T_607, _T_412) @[el2_lib.scala 244:41] - node _T_609 = bits(io.trigger_pkt_any[1].tdata2, 28, 28) @[el2_lib.scala 244:74] - node _T_610 = bits(dec_i0_match_data[1], 28, 28) @[el2_lib.scala 244:86] - node _T_611 = eq(_T_609, _T_610) @[el2_lib.scala 244:78] - node _T_612 = mux(_T_608, UInt<1>("h01"), _T_611) @[el2_lib.scala 244:23] - _T_409[28] <= _T_612 @[el2_lib.scala 244:17] - node _T_613 = bits(io.trigger_pkt_any[1].tdata2, 28, 0) @[el2_lib.scala 244:28] - node _T_614 = andr(_T_613) @[el2_lib.scala 244:36] - node _T_615 = and(_T_614, _T_412) @[el2_lib.scala 244:41] - node _T_616 = bits(io.trigger_pkt_any[1].tdata2, 29, 29) @[el2_lib.scala 244:74] - node _T_617 = bits(dec_i0_match_data[1], 29, 29) @[el2_lib.scala 244:86] - node _T_618 = eq(_T_616, _T_617) @[el2_lib.scala 244:78] - node _T_619 = mux(_T_615, UInt<1>("h01"), _T_618) @[el2_lib.scala 244:23] - _T_409[29] <= _T_619 @[el2_lib.scala 244:17] - node _T_620 = bits(io.trigger_pkt_any[1].tdata2, 29, 0) @[el2_lib.scala 244:28] - node _T_621 = andr(_T_620) @[el2_lib.scala 244:36] - node _T_622 = and(_T_621, _T_412) @[el2_lib.scala 244:41] - node _T_623 = bits(io.trigger_pkt_any[1].tdata2, 30, 30) @[el2_lib.scala 244:74] - node _T_624 = bits(dec_i0_match_data[1], 30, 30) @[el2_lib.scala 244:86] - node _T_625 = eq(_T_623, _T_624) @[el2_lib.scala 244:78] - node _T_626 = mux(_T_622, UInt<1>("h01"), _T_625) @[el2_lib.scala 244:23] - _T_409[30] <= _T_626 @[el2_lib.scala 244:17] - node _T_627 = bits(io.trigger_pkt_any[1].tdata2, 30, 0) @[el2_lib.scala 244:28] - node _T_628 = andr(_T_627) @[el2_lib.scala 244:36] - node _T_629 = and(_T_628, _T_412) @[el2_lib.scala 244:41] - node _T_630 = bits(io.trigger_pkt_any[1].tdata2, 31, 31) @[el2_lib.scala 244:74] - node _T_631 = bits(dec_i0_match_data[1], 31, 31) @[el2_lib.scala 244:86] - node _T_632 = eq(_T_630, _T_631) @[el2_lib.scala 244:78] - node _T_633 = mux(_T_629, UInt<1>("h01"), _T_632) @[el2_lib.scala 244:23] - _T_409[31] <= _T_633 @[el2_lib.scala 244:17] - node _T_634 = cat(_T_409[1], _T_409[0]) @[el2_lib.scala 245:14] - node _T_635 = cat(_T_409[3], _T_409[2]) @[el2_lib.scala 245:14] - node _T_636 = cat(_T_635, _T_634) @[el2_lib.scala 245:14] - node _T_637 = cat(_T_409[5], _T_409[4]) @[el2_lib.scala 245:14] - node _T_638 = cat(_T_409[7], _T_409[6]) @[el2_lib.scala 245:14] - node _T_639 = cat(_T_638, _T_637) @[el2_lib.scala 245:14] - node _T_640 = cat(_T_639, _T_636) @[el2_lib.scala 245:14] - node _T_641 = cat(_T_409[9], _T_409[8]) @[el2_lib.scala 245:14] - node _T_642 = cat(_T_409[11], _T_409[10]) @[el2_lib.scala 245:14] - node _T_643 = cat(_T_642, _T_641) @[el2_lib.scala 245:14] - node _T_644 = cat(_T_409[13], _T_409[12]) @[el2_lib.scala 245:14] - node _T_645 = cat(_T_409[15], _T_409[14]) @[el2_lib.scala 245:14] - node _T_646 = cat(_T_645, _T_644) @[el2_lib.scala 245:14] - node _T_647 = cat(_T_646, _T_643) @[el2_lib.scala 245:14] - node _T_648 = cat(_T_647, _T_640) @[el2_lib.scala 245:14] - node _T_649 = cat(_T_409[17], _T_409[16]) @[el2_lib.scala 245:14] - node _T_650 = cat(_T_409[19], _T_409[18]) @[el2_lib.scala 245:14] - node _T_651 = cat(_T_650, _T_649) @[el2_lib.scala 245:14] - node _T_652 = cat(_T_409[21], _T_409[20]) @[el2_lib.scala 245:14] - node _T_653 = cat(_T_409[23], _T_409[22]) @[el2_lib.scala 245:14] - node _T_654 = cat(_T_653, _T_652) @[el2_lib.scala 245:14] - node _T_655 = cat(_T_654, _T_651) @[el2_lib.scala 245:14] - node _T_656 = cat(_T_409[25], _T_409[24]) @[el2_lib.scala 245:14] - node _T_657 = cat(_T_409[27], _T_409[26]) @[el2_lib.scala 245:14] - node _T_658 = cat(_T_657, _T_656) @[el2_lib.scala 245:14] - node _T_659 = cat(_T_409[29], _T_409[28]) @[el2_lib.scala 245:14] - node _T_660 = cat(_T_409[31], _T_409[30]) @[el2_lib.scala 245:14] - node _T_661 = cat(_T_660, _T_659) @[el2_lib.scala 245:14] - node _T_662 = cat(_T_661, _T_658) @[el2_lib.scala 245:14] - node _T_663 = cat(_T_662, _T_655) @[el2_lib.scala 245:14] - node _T_664 = cat(_T_663, _T_648) @[el2_lib.scala 245:14] - node _T_665 = and(_T_407, _T_664) @[dec_trigger.scala 15:109] - node _T_666 = and(io.trigger_pkt_any[2].execute, io.trigger_pkt_any[2].m) @[dec_trigger.scala 15:83] - node _T_667 = bits(io.trigger_pkt_any[2].match_pkt, 0, 0) @[dec_trigger.scala 15:216] - wire _T_668 : UInt<1>[32] @[el2_lib.scala 240:24] - node _T_669 = andr(io.trigger_pkt_any[2].tdata2) @[el2_lib.scala 241:45] - node _T_670 = not(_T_669) @[el2_lib.scala 241:39] - node _T_671 = and(_T_667, _T_670) @[el2_lib.scala 241:37] - node _T_672 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[el2_lib.scala 242:48] - node _T_673 = bits(dec_i0_match_data[2], 0, 0) @[el2_lib.scala 242:60] - node _T_674 = eq(_T_672, _T_673) @[el2_lib.scala 242:52] - node _T_675 = or(_T_671, _T_674) @[el2_lib.scala 242:41] - _T_668[0] <= _T_675 @[el2_lib.scala 242:18] - node _T_676 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[el2_lib.scala 244:28] - node _T_677 = andr(_T_676) @[el2_lib.scala 244:36] - node _T_678 = and(_T_677, _T_671) @[el2_lib.scala 244:41] - node _T_679 = bits(io.trigger_pkt_any[2].tdata2, 1, 1) @[el2_lib.scala 244:74] - node _T_680 = bits(dec_i0_match_data[2], 1, 1) @[el2_lib.scala 244:86] - node _T_681 = eq(_T_679, _T_680) @[el2_lib.scala 244:78] - node _T_682 = mux(_T_678, UInt<1>("h01"), _T_681) @[el2_lib.scala 244:23] - _T_668[1] <= _T_682 @[el2_lib.scala 244:17] - node _T_683 = bits(io.trigger_pkt_any[2].tdata2, 1, 0) @[el2_lib.scala 244:28] - node _T_684 = andr(_T_683) @[el2_lib.scala 244:36] - node _T_685 = and(_T_684, _T_671) @[el2_lib.scala 244:41] - node _T_686 = bits(io.trigger_pkt_any[2].tdata2, 2, 2) @[el2_lib.scala 244:74] - node _T_687 = bits(dec_i0_match_data[2], 2, 2) @[el2_lib.scala 244:86] - node _T_688 = eq(_T_686, _T_687) @[el2_lib.scala 244:78] - node _T_689 = mux(_T_685, UInt<1>("h01"), _T_688) @[el2_lib.scala 244:23] - _T_668[2] <= _T_689 @[el2_lib.scala 244:17] - node _T_690 = bits(io.trigger_pkt_any[2].tdata2, 2, 0) @[el2_lib.scala 244:28] - node _T_691 = andr(_T_690) @[el2_lib.scala 244:36] - node _T_692 = and(_T_691, _T_671) @[el2_lib.scala 244:41] - node _T_693 = bits(io.trigger_pkt_any[2].tdata2, 3, 3) @[el2_lib.scala 244:74] - node _T_694 = bits(dec_i0_match_data[2], 3, 3) @[el2_lib.scala 244:86] - node _T_695 = eq(_T_693, _T_694) @[el2_lib.scala 244:78] - node _T_696 = mux(_T_692, UInt<1>("h01"), _T_695) @[el2_lib.scala 244:23] - _T_668[3] <= _T_696 @[el2_lib.scala 244:17] - node _T_697 = bits(io.trigger_pkt_any[2].tdata2, 3, 0) @[el2_lib.scala 244:28] - node _T_698 = andr(_T_697) @[el2_lib.scala 244:36] - node _T_699 = and(_T_698, _T_671) @[el2_lib.scala 244:41] - node _T_700 = bits(io.trigger_pkt_any[2].tdata2, 4, 4) @[el2_lib.scala 244:74] - node _T_701 = bits(dec_i0_match_data[2], 4, 4) @[el2_lib.scala 244:86] - node _T_702 = eq(_T_700, _T_701) @[el2_lib.scala 244:78] - node _T_703 = mux(_T_699, UInt<1>("h01"), _T_702) @[el2_lib.scala 244:23] - _T_668[4] <= _T_703 @[el2_lib.scala 244:17] - node _T_704 = bits(io.trigger_pkt_any[2].tdata2, 4, 0) @[el2_lib.scala 244:28] - node _T_705 = andr(_T_704) @[el2_lib.scala 244:36] - node _T_706 = and(_T_705, _T_671) @[el2_lib.scala 244:41] - node _T_707 = bits(io.trigger_pkt_any[2].tdata2, 5, 5) @[el2_lib.scala 244:74] - node _T_708 = bits(dec_i0_match_data[2], 5, 5) @[el2_lib.scala 244:86] - node _T_709 = eq(_T_707, _T_708) @[el2_lib.scala 244:78] - node _T_710 = mux(_T_706, UInt<1>("h01"), _T_709) @[el2_lib.scala 244:23] - _T_668[5] <= _T_710 @[el2_lib.scala 244:17] - node _T_711 = bits(io.trigger_pkt_any[2].tdata2, 5, 0) @[el2_lib.scala 244:28] - node _T_712 = andr(_T_711) @[el2_lib.scala 244:36] - node _T_713 = and(_T_712, _T_671) @[el2_lib.scala 244:41] - node _T_714 = bits(io.trigger_pkt_any[2].tdata2, 6, 6) @[el2_lib.scala 244:74] - node _T_715 = bits(dec_i0_match_data[2], 6, 6) @[el2_lib.scala 244:86] - node _T_716 = eq(_T_714, _T_715) @[el2_lib.scala 244:78] - node _T_717 = mux(_T_713, UInt<1>("h01"), _T_716) @[el2_lib.scala 244:23] - _T_668[6] <= _T_717 @[el2_lib.scala 244:17] - node _T_718 = bits(io.trigger_pkt_any[2].tdata2, 6, 0) @[el2_lib.scala 244:28] - node _T_719 = andr(_T_718) @[el2_lib.scala 244:36] - node _T_720 = and(_T_719, _T_671) @[el2_lib.scala 244:41] - node _T_721 = bits(io.trigger_pkt_any[2].tdata2, 7, 7) @[el2_lib.scala 244:74] - node _T_722 = bits(dec_i0_match_data[2], 7, 7) @[el2_lib.scala 244:86] - node _T_723 = eq(_T_721, _T_722) @[el2_lib.scala 244:78] - node _T_724 = mux(_T_720, UInt<1>("h01"), _T_723) @[el2_lib.scala 244:23] - _T_668[7] <= _T_724 @[el2_lib.scala 244:17] - node _T_725 = bits(io.trigger_pkt_any[2].tdata2, 7, 0) @[el2_lib.scala 244:28] - node _T_726 = andr(_T_725) @[el2_lib.scala 244:36] - node _T_727 = and(_T_726, _T_671) @[el2_lib.scala 244:41] - node _T_728 = bits(io.trigger_pkt_any[2].tdata2, 8, 8) @[el2_lib.scala 244:74] - node _T_729 = bits(dec_i0_match_data[2], 8, 8) @[el2_lib.scala 244:86] - node _T_730 = eq(_T_728, _T_729) @[el2_lib.scala 244:78] - node _T_731 = mux(_T_727, UInt<1>("h01"), _T_730) @[el2_lib.scala 244:23] - _T_668[8] <= _T_731 @[el2_lib.scala 244:17] - node _T_732 = bits(io.trigger_pkt_any[2].tdata2, 8, 0) @[el2_lib.scala 244:28] - node _T_733 = andr(_T_732) @[el2_lib.scala 244:36] - node _T_734 = and(_T_733, _T_671) @[el2_lib.scala 244:41] - node _T_735 = bits(io.trigger_pkt_any[2].tdata2, 9, 9) @[el2_lib.scala 244:74] - node _T_736 = bits(dec_i0_match_data[2], 9, 9) @[el2_lib.scala 244:86] - node _T_737 = eq(_T_735, _T_736) @[el2_lib.scala 244:78] - node _T_738 = mux(_T_734, UInt<1>("h01"), _T_737) @[el2_lib.scala 244:23] - _T_668[9] <= _T_738 @[el2_lib.scala 244:17] - node _T_739 = bits(io.trigger_pkt_any[2].tdata2, 9, 0) @[el2_lib.scala 244:28] - node _T_740 = andr(_T_739) @[el2_lib.scala 244:36] - node _T_741 = and(_T_740, _T_671) @[el2_lib.scala 244:41] - node _T_742 = bits(io.trigger_pkt_any[2].tdata2, 10, 10) @[el2_lib.scala 244:74] - node _T_743 = bits(dec_i0_match_data[2], 10, 10) @[el2_lib.scala 244:86] - node _T_744 = eq(_T_742, _T_743) @[el2_lib.scala 244:78] - node _T_745 = mux(_T_741, UInt<1>("h01"), _T_744) @[el2_lib.scala 244:23] - _T_668[10] <= _T_745 @[el2_lib.scala 244:17] - node _T_746 = bits(io.trigger_pkt_any[2].tdata2, 10, 0) @[el2_lib.scala 244:28] - node _T_747 = andr(_T_746) @[el2_lib.scala 244:36] - node _T_748 = and(_T_747, _T_671) @[el2_lib.scala 244:41] - node _T_749 = bits(io.trigger_pkt_any[2].tdata2, 11, 11) @[el2_lib.scala 244:74] - node _T_750 = bits(dec_i0_match_data[2], 11, 11) @[el2_lib.scala 244:86] - node _T_751 = eq(_T_749, _T_750) @[el2_lib.scala 244:78] - node _T_752 = mux(_T_748, UInt<1>("h01"), _T_751) @[el2_lib.scala 244:23] - _T_668[11] <= _T_752 @[el2_lib.scala 244:17] - node _T_753 = bits(io.trigger_pkt_any[2].tdata2, 11, 0) @[el2_lib.scala 244:28] - node _T_754 = andr(_T_753) @[el2_lib.scala 244:36] - node _T_755 = and(_T_754, _T_671) @[el2_lib.scala 244:41] - node _T_756 = bits(io.trigger_pkt_any[2].tdata2, 12, 12) @[el2_lib.scala 244:74] - node _T_757 = bits(dec_i0_match_data[2], 12, 12) @[el2_lib.scala 244:86] - node _T_758 = eq(_T_756, _T_757) @[el2_lib.scala 244:78] - node _T_759 = mux(_T_755, UInt<1>("h01"), _T_758) @[el2_lib.scala 244:23] - _T_668[12] <= _T_759 @[el2_lib.scala 244:17] - node _T_760 = bits(io.trigger_pkt_any[2].tdata2, 12, 0) @[el2_lib.scala 244:28] - node _T_761 = andr(_T_760) @[el2_lib.scala 244:36] - node _T_762 = and(_T_761, _T_671) @[el2_lib.scala 244:41] - node _T_763 = bits(io.trigger_pkt_any[2].tdata2, 13, 13) @[el2_lib.scala 244:74] - node _T_764 = bits(dec_i0_match_data[2], 13, 13) @[el2_lib.scala 244:86] - node _T_765 = eq(_T_763, _T_764) @[el2_lib.scala 244:78] - node _T_766 = mux(_T_762, UInt<1>("h01"), _T_765) @[el2_lib.scala 244:23] - _T_668[13] <= _T_766 @[el2_lib.scala 244:17] - node _T_767 = bits(io.trigger_pkt_any[2].tdata2, 13, 0) @[el2_lib.scala 244:28] - node _T_768 = andr(_T_767) @[el2_lib.scala 244:36] - node _T_769 = and(_T_768, _T_671) @[el2_lib.scala 244:41] - node _T_770 = bits(io.trigger_pkt_any[2].tdata2, 14, 14) @[el2_lib.scala 244:74] - node _T_771 = bits(dec_i0_match_data[2], 14, 14) @[el2_lib.scala 244:86] - node _T_772 = eq(_T_770, _T_771) @[el2_lib.scala 244:78] - node _T_773 = mux(_T_769, UInt<1>("h01"), _T_772) @[el2_lib.scala 244:23] - _T_668[14] <= _T_773 @[el2_lib.scala 244:17] - node _T_774 = bits(io.trigger_pkt_any[2].tdata2, 14, 0) @[el2_lib.scala 244:28] - node _T_775 = andr(_T_774) @[el2_lib.scala 244:36] - node _T_776 = and(_T_775, _T_671) @[el2_lib.scala 244:41] - node _T_777 = bits(io.trigger_pkt_any[2].tdata2, 15, 15) @[el2_lib.scala 244:74] - node _T_778 = bits(dec_i0_match_data[2], 15, 15) @[el2_lib.scala 244:86] - node _T_779 = eq(_T_777, _T_778) @[el2_lib.scala 244:78] - node _T_780 = mux(_T_776, UInt<1>("h01"), _T_779) @[el2_lib.scala 244:23] - _T_668[15] <= _T_780 @[el2_lib.scala 244:17] - node _T_781 = bits(io.trigger_pkt_any[2].tdata2, 15, 0) @[el2_lib.scala 244:28] - node _T_782 = andr(_T_781) @[el2_lib.scala 244:36] - node _T_783 = and(_T_782, _T_671) @[el2_lib.scala 244:41] - node _T_784 = bits(io.trigger_pkt_any[2].tdata2, 16, 16) @[el2_lib.scala 244:74] - node _T_785 = bits(dec_i0_match_data[2], 16, 16) @[el2_lib.scala 244:86] - node _T_786 = eq(_T_784, _T_785) @[el2_lib.scala 244:78] - node _T_787 = mux(_T_783, UInt<1>("h01"), _T_786) @[el2_lib.scala 244:23] - _T_668[16] <= _T_787 @[el2_lib.scala 244:17] - node _T_788 = bits(io.trigger_pkt_any[2].tdata2, 16, 0) @[el2_lib.scala 244:28] - node _T_789 = andr(_T_788) @[el2_lib.scala 244:36] - node _T_790 = and(_T_789, _T_671) @[el2_lib.scala 244:41] - node _T_791 = bits(io.trigger_pkt_any[2].tdata2, 17, 17) @[el2_lib.scala 244:74] - node _T_792 = bits(dec_i0_match_data[2], 17, 17) @[el2_lib.scala 244:86] - node _T_793 = eq(_T_791, _T_792) @[el2_lib.scala 244:78] - node _T_794 = mux(_T_790, UInt<1>("h01"), _T_793) @[el2_lib.scala 244:23] - _T_668[17] <= _T_794 @[el2_lib.scala 244:17] - node _T_795 = bits(io.trigger_pkt_any[2].tdata2, 17, 0) @[el2_lib.scala 244:28] - node _T_796 = andr(_T_795) @[el2_lib.scala 244:36] - node _T_797 = and(_T_796, _T_671) @[el2_lib.scala 244:41] - node _T_798 = bits(io.trigger_pkt_any[2].tdata2, 18, 18) @[el2_lib.scala 244:74] - node _T_799 = bits(dec_i0_match_data[2], 18, 18) @[el2_lib.scala 244:86] - node _T_800 = eq(_T_798, _T_799) @[el2_lib.scala 244:78] - node _T_801 = mux(_T_797, UInt<1>("h01"), _T_800) @[el2_lib.scala 244:23] - _T_668[18] <= _T_801 @[el2_lib.scala 244:17] - node _T_802 = bits(io.trigger_pkt_any[2].tdata2, 18, 0) @[el2_lib.scala 244:28] - node _T_803 = andr(_T_802) @[el2_lib.scala 244:36] - node _T_804 = and(_T_803, _T_671) @[el2_lib.scala 244:41] - node _T_805 = bits(io.trigger_pkt_any[2].tdata2, 19, 19) @[el2_lib.scala 244:74] - node _T_806 = bits(dec_i0_match_data[2], 19, 19) @[el2_lib.scala 244:86] - node _T_807 = eq(_T_805, _T_806) @[el2_lib.scala 244:78] - node _T_808 = mux(_T_804, UInt<1>("h01"), _T_807) @[el2_lib.scala 244:23] - _T_668[19] <= _T_808 @[el2_lib.scala 244:17] - node _T_809 = bits(io.trigger_pkt_any[2].tdata2, 19, 0) @[el2_lib.scala 244:28] - node _T_810 = andr(_T_809) @[el2_lib.scala 244:36] - node _T_811 = and(_T_810, _T_671) @[el2_lib.scala 244:41] - node _T_812 = bits(io.trigger_pkt_any[2].tdata2, 20, 20) @[el2_lib.scala 244:74] - node _T_813 = bits(dec_i0_match_data[2], 20, 20) @[el2_lib.scala 244:86] - node _T_814 = eq(_T_812, _T_813) @[el2_lib.scala 244:78] - node _T_815 = mux(_T_811, UInt<1>("h01"), _T_814) @[el2_lib.scala 244:23] - _T_668[20] <= _T_815 @[el2_lib.scala 244:17] - node _T_816 = bits(io.trigger_pkt_any[2].tdata2, 20, 0) @[el2_lib.scala 244:28] - node _T_817 = andr(_T_816) @[el2_lib.scala 244:36] - node _T_818 = and(_T_817, _T_671) @[el2_lib.scala 244:41] - node _T_819 = bits(io.trigger_pkt_any[2].tdata2, 21, 21) @[el2_lib.scala 244:74] - node _T_820 = bits(dec_i0_match_data[2], 21, 21) @[el2_lib.scala 244:86] - node _T_821 = eq(_T_819, _T_820) @[el2_lib.scala 244:78] - node _T_822 = mux(_T_818, UInt<1>("h01"), _T_821) @[el2_lib.scala 244:23] - _T_668[21] <= _T_822 @[el2_lib.scala 244:17] - node _T_823 = bits(io.trigger_pkt_any[2].tdata2, 21, 0) @[el2_lib.scala 244:28] - node _T_824 = andr(_T_823) @[el2_lib.scala 244:36] - node _T_825 = and(_T_824, _T_671) @[el2_lib.scala 244:41] - node _T_826 = bits(io.trigger_pkt_any[2].tdata2, 22, 22) @[el2_lib.scala 244:74] - node _T_827 = bits(dec_i0_match_data[2], 22, 22) @[el2_lib.scala 244:86] - node _T_828 = eq(_T_826, _T_827) @[el2_lib.scala 244:78] - node _T_829 = mux(_T_825, UInt<1>("h01"), _T_828) @[el2_lib.scala 244:23] - _T_668[22] <= _T_829 @[el2_lib.scala 244:17] - node _T_830 = bits(io.trigger_pkt_any[2].tdata2, 22, 0) @[el2_lib.scala 244:28] - node _T_831 = andr(_T_830) @[el2_lib.scala 244:36] - node _T_832 = and(_T_831, _T_671) @[el2_lib.scala 244:41] - node _T_833 = bits(io.trigger_pkt_any[2].tdata2, 23, 23) @[el2_lib.scala 244:74] - node _T_834 = bits(dec_i0_match_data[2], 23, 23) @[el2_lib.scala 244:86] - node _T_835 = eq(_T_833, _T_834) @[el2_lib.scala 244:78] - node _T_836 = mux(_T_832, UInt<1>("h01"), _T_835) @[el2_lib.scala 244:23] - _T_668[23] <= _T_836 @[el2_lib.scala 244:17] - node _T_837 = bits(io.trigger_pkt_any[2].tdata2, 23, 0) @[el2_lib.scala 244:28] - node _T_838 = andr(_T_837) @[el2_lib.scala 244:36] - node _T_839 = and(_T_838, _T_671) @[el2_lib.scala 244:41] - node _T_840 = bits(io.trigger_pkt_any[2].tdata2, 24, 24) @[el2_lib.scala 244:74] - node _T_841 = bits(dec_i0_match_data[2], 24, 24) @[el2_lib.scala 244:86] - node _T_842 = eq(_T_840, _T_841) @[el2_lib.scala 244:78] - node _T_843 = mux(_T_839, UInt<1>("h01"), _T_842) @[el2_lib.scala 244:23] - _T_668[24] <= _T_843 @[el2_lib.scala 244:17] - node _T_844 = bits(io.trigger_pkt_any[2].tdata2, 24, 0) @[el2_lib.scala 244:28] - node _T_845 = andr(_T_844) @[el2_lib.scala 244:36] - node _T_846 = and(_T_845, _T_671) @[el2_lib.scala 244:41] - node _T_847 = bits(io.trigger_pkt_any[2].tdata2, 25, 25) @[el2_lib.scala 244:74] - node _T_848 = bits(dec_i0_match_data[2], 25, 25) @[el2_lib.scala 244:86] - node _T_849 = eq(_T_847, _T_848) @[el2_lib.scala 244:78] - node _T_850 = mux(_T_846, UInt<1>("h01"), _T_849) @[el2_lib.scala 244:23] - _T_668[25] <= _T_850 @[el2_lib.scala 244:17] - node _T_851 = bits(io.trigger_pkt_any[2].tdata2, 25, 0) @[el2_lib.scala 244:28] - node _T_852 = andr(_T_851) @[el2_lib.scala 244:36] - node _T_853 = and(_T_852, _T_671) @[el2_lib.scala 244:41] - node _T_854 = bits(io.trigger_pkt_any[2].tdata2, 26, 26) @[el2_lib.scala 244:74] - node _T_855 = bits(dec_i0_match_data[2], 26, 26) @[el2_lib.scala 244:86] - node _T_856 = eq(_T_854, _T_855) @[el2_lib.scala 244:78] - node _T_857 = mux(_T_853, UInt<1>("h01"), _T_856) @[el2_lib.scala 244:23] - _T_668[26] <= _T_857 @[el2_lib.scala 244:17] - node _T_858 = bits(io.trigger_pkt_any[2].tdata2, 26, 0) @[el2_lib.scala 244:28] - node _T_859 = andr(_T_858) @[el2_lib.scala 244:36] - node _T_860 = and(_T_859, _T_671) @[el2_lib.scala 244:41] - node _T_861 = bits(io.trigger_pkt_any[2].tdata2, 27, 27) @[el2_lib.scala 244:74] - node _T_862 = bits(dec_i0_match_data[2], 27, 27) @[el2_lib.scala 244:86] - node _T_863 = eq(_T_861, _T_862) @[el2_lib.scala 244:78] - node _T_864 = mux(_T_860, UInt<1>("h01"), _T_863) @[el2_lib.scala 244:23] - _T_668[27] <= _T_864 @[el2_lib.scala 244:17] - node _T_865 = bits(io.trigger_pkt_any[2].tdata2, 27, 0) @[el2_lib.scala 244:28] - node _T_866 = andr(_T_865) @[el2_lib.scala 244:36] - node _T_867 = and(_T_866, _T_671) @[el2_lib.scala 244:41] - node _T_868 = bits(io.trigger_pkt_any[2].tdata2, 28, 28) @[el2_lib.scala 244:74] - node _T_869 = bits(dec_i0_match_data[2], 28, 28) @[el2_lib.scala 244:86] - node _T_870 = eq(_T_868, _T_869) @[el2_lib.scala 244:78] - node _T_871 = mux(_T_867, UInt<1>("h01"), _T_870) @[el2_lib.scala 244:23] - _T_668[28] <= _T_871 @[el2_lib.scala 244:17] - node _T_872 = bits(io.trigger_pkt_any[2].tdata2, 28, 0) @[el2_lib.scala 244:28] - node _T_873 = andr(_T_872) @[el2_lib.scala 244:36] - node _T_874 = and(_T_873, _T_671) @[el2_lib.scala 244:41] - node _T_875 = bits(io.trigger_pkt_any[2].tdata2, 29, 29) @[el2_lib.scala 244:74] - node _T_876 = bits(dec_i0_match_data[2], 29, 29) @[el2_lib.scala 244:86] - node _T_877 = eq(_T_875, _T_876) @[el2_lib.scala 244:78] - node _T_878 = mux(_T_874, UInt<1>("h01"), _T_877) @[el2_lib.scala 244:23] - _T_668[29] <= _T_878 @[el2_lib.scala 244:17] - node _T_879 = bits(io.trigger_pkt_any[2].tdata2, 29, 0) @[el2_lib.scala 244:28] - node _T_880 = andr(_T_879) @[el2_lib.scala 244:36] - node _T_881 = and(_T_880, _T_671) @[el2_lib.scala 244:41] - node _T_882 = bits(io.trigger_pkt_any[2].tdata2, 30, 30) @[el2_lib.scala 244:74] - node _T_883 = bits(dec_i0_match_data[2], 30, 30) @[el2_lib.scala 244:86] - node _T_884 = eq(_T_882, _T_883) @[el2_lib.scala 244:78] - node _T_885 = mux(_T_881, UInt<1>("h01"), _T_884) @[el2_lib.scala 244:23] - _T_668[30] <= _T_885 @[el2_lib.scala 244:17] - node _T_886 = bits(io.trigger_pkt_any[2].tdata2, 30, 0) @[el2_lib.scala 244:28] - node _T_887 = andr(_T_886) @[el2_lib.scala 244:36] - node _T_888 = and(_T_887, _T_671) @[el2_lib.scala 244:41] - node _T_889 = bits(io.trigger_pkt_any[2].tdata2, 31, 31) @[el2_lib.scala 244:74] - node _T_890 = bits(dec_i0_match_data[2], 31, 31) @[el2_lib.scala 244:86] - node _T_891 = eq(_T_889, _T_890) @[el2_lib.scala 244:78] - node _T_892 = mux(_T_888, UInt<1>("h01"), _T_891) @[el2_lib.scala 244:23] - _T_668[31] <= _T_892 @[el2_lib.scala 244:17] - node _T_893 = cat(_T_668[1], _T_668[0]) @[el2_lib.scala 245:14] - node _T_894 = cat(_T_668[3], _T_668[2]) @[el2_lib.scala 245:14] - node _T_895 = cat(_T_894, _T_893) @[el2_lib.scala 245:14] - node _T_896 = cat(_T_668[5], _T_668[4]) @[el2_lib.scala 245:14] - node _T_897 = cat(_T_668[7], _T_668[6]) @[el2_lib.scala 245:14] - node _T_898 = cat(_T_897, _T_896) @[el2_lib.scala 245:14] - node _T_899 = cat(_T_898, _T_895) @[el2_lib.scala 245:14] - node _T_900 = cat(_T_668[9], _T_668[8]) @[el2_lib.scala 245:14] - node _T_901 = cat(_T_668[11], _T_668[10]) @[el2_lib.scala 245:14] - node _T_902 = cat(_T_901, _T_900) @[el2_lib.scala 245:14] - node _T_903 = cat(_T_668[13], _T_668[12]) @[el2_lib.scala 245:14] - node _T_904 = cat(_T_668[15], _T_668[14]) @[el2_lib.scala 245:14] - node _T_905 = cat(_T_904, _T_903) @[el2_lib.scala 245:14] - node _T_906 = cat(_T_905, _T_902) @[el2_lib.scala 245:14] - node _T_907 = cat(_T_906, _T_899) @[el2_lib.scala 245:14] - node _T_908 = cat(_T_668[17], _T_668[16]) @[el2_lib.scala 245:14] - node _T_909 = cat(_T_668[19], _T_668[18]) @[el2_lib.scala 245:14] - node _T_910 = cat(_T_909, _T_908) @[el2_lib.scala 245:14] - node _T_911 = cat(_T_668[21], _T_668[20]) @[el2_lib.scala 245:14] - node _T_912 = cat(_T_668[23], _T_668[22]) @[el2_lib.scala 245:14] - node _T_913 = cat(_T_912, _T_911) @[el2_lib.scala 245:14] - node _T_914 = cat(_T_913, _T_910) @[el2_lib.scala 245:14] - node _T_915 = cat(_T_668[25], _T_668[24]) @[el2_lib.scala 245:14] - node _T_916 = cat(_T_668[27], _T_668[26]) @[el2_lib.scala 245:14] - node _T_917 = cat(_T_916, _T_915) @[el2_lib.scala 245:14] - node _T_918 = cat(_T_668[29], _T_668[28]) @[el2_lib.scala 245:14] - node _T_919 = cat(_T_668[31], _T_668[30]) @[el2_lib.scala 245:14] - node _T_920 = cat(_T_919, _T_918) @[el2_lib.scala 245:14] - node _T_921 = cat(_T_920, _T_917) @[el2_lib.scala 245:14] - node _T_922 = cat(_T_921, _T_914) @[el2_lib.scala 245:14] - node _T_923 = cat(_T_922, _T_907) @[el2_lib.scala 245:14] - node _T_924 = and(_T_666, _T_923) @[dec_trigger.scala 15:109] - node _T_925 = and(io.trigger_pkt_any[3].execute, io.trigger_pkt_any[3].m) @[dec_trigger.scala 15:83] - node _T_926 = bits(io.trigger_pkt_any[3].match_pkt, 0, 0) @[dec_trigger.scala 15:216] - wire _T_927 : UInt<1>[32] @[el2_lib.scala 240:24] - node _T_928 = andr(io.trigger_pkt_any[3].tdata2) @[el2_lib.scala 241:45] - node _T_929 = not(_T_928) @[el2_lib.scala 241:39] - node _T_930 = and(_T_926, _T_929) @[el2_lib.scala 241:37] - node _T_931 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[el2_lib.scala 242:48] - node _T_932 = bits(dec_i0_match_data[3], 0, 0) @[el2_lib.scala 242:60] - node _T_933 = eq(_T_931, _T_932) @[el2_lib.scala 242:52] - node _T_934 = or(_T_930, _T_933) @[el2_lib.scala 242:41] - _T_927[0] <= _T_934 @[el2_lib.scala 242:18] - node _T_935 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[el2_lib.scala 244:28] - node _T_936 = andr(_T_935) @[el2_lib.scala 244:36] - node _T_937 = and(_T_936, _T_930) @[el2_lib.scala 244:41] - node _T_938 = bits(io.trigger_pkt_any[3].tdata2, 1, 1) @[el2_lib.scala 244:74] - node _T_939 = bits(dec_i0_match_data[3], 1, 1) @[el2_lib.scala 244:86] - node _T_940 = eq(_T_938, _T_939) @[el2_lib.scala 244:78] - node _T_941 = mux(_T_937, UInt<1>("h01"), _T_940) @[el2_lib.scala 244:23] - _T_927[1] <= _T_941 @[el2_lib.scala 244:17] - node _T_942 = bits(io.trigger_pkt_any[3].tdata2, 1, 0) @[el2_lib.scala 244:28] - node _T_943 = andr(_T_942) @[el2_lib.scala 244:36] - node _T_944 = and(_T_943, _T_930) @[el2_lib.scala 244:41] - node _T_945 = bits(io.trigger_pkt_any[3].tdata2, 2, 2) @[el2_lib.scala 244:74] - node _T_946 = bits(dec_i0_match_data[3], 2, 2) @[el2_lib.scala 244:86] - node _T_947 = eq(_T_945, _T_946) @[el2_lib.scala 244:78] - node _T_948 = mux(_T_944, UInt<1>("h01"), _T_947) @[el2_lib.scala 244:23] - _T_927[2] <= _T_948 @[el2_lib.scala 244:17] - node _T_949 = bits(io.trigger_pkt_any[3].tdata2, 2, 0) @[el2_lib.scala 244:28] - node _T_950 = andr(_T_949) @[el2_lib.scala 244:36] - node _T_951 = and(_T_950, _T_930) @[el2_lib.scala 244:41] - node _T_952 = bits(io.trigger_pkt_any[3].tdata2, 3, 3) @[el2_lib.scala 244:74] - node _T_953 = bits(dec_i0_match_data[3], 3, 3) @[el2_lib.scala 244:86] - node _T_954 = eq(_T_952, _T_953) @[el2_lib.scala 244:78] - node _T_955 = mux(_T_951, UInt<1>("h01"), _T_954) @[el2_lib.scala 244:23] - _T_927[3] <= _T_955 @[el2_lib.scala 244:17] - node _T_956 = bits(io.trigger_pkt_any[3].tdata2, 3, 0) @[el2_lib.scala 244:28] - node _T_957 = andr(_T_956) @[el2_lib.scala 244:36] - node _T_958 = and(_T_957, _T_930) @[el2_lib.scala 244:41] - node _T_959 = bits(io.trigger_pkt_any[3].tdata2, 4, 4) @[el2_lib.scala 244:74] - node _T_960 = bits(dec_i0_match_data[3], 4, 4) @[el2_lib.scala 244:86] - node _T_961 = eq(_T_959, _T_960) @[el2_lib.scala 244:78] - node _T_962 = mux(_T_958, UInt<1>("h01"), _T_961) @[el2_lib.scala 244:23] - _T_927[4] <= _T_962 @[el2_lib.scala 244:17] - node _T_963 = bits(io.trigger_pkt_any[3].tdata2, 4, 0) @[el2_lib.scala 244:28] - node _T_964 = andr(_T_963) @[el2_lib.scala 244:36] - node _T_965 = and(_T_964, _T_930) @[el2_lib.scala 244:41] - node _T_966 = bits(io.trigger_pkt_any[3].tdata2, 5, 5) @[el2_lib.scala 244:74] - node _T_967 = bits(dec_i0_match_data[3], 5, 5) @[el2_lib.scala 244:86] - node _T_968 = eq(_T_966, _T_967) @[el2_lib.scala 244:78] - node _T_969 = mux(_T_965, UInt<1>("h01"), _T_968) @[el2_lib.scala 244:23] - _T_927[5] <= _T_969 @[el2_lib.scala 244:17] - node _T_970 = bits(io.trigger_pkt_any[3].tdata2, 5, 0) @[el2_lib.scala 244:28] - node _T_971 = andr(_T_970) @[el2_lib.scala 244:36] - node _T_972 = and(_T_971, _T_930) @[el2_lib.scala 244:41] - node _T_973 = bits(io.trigger_pkt_any[3].tdata2, 6, 6) @[el2_lib.scala 244:74] - node _T_974 = bits(dec_i0_match_data[3], 6, 6) @[el2_lib.scala 244:86] - node _T_975 = eq(_T_973, _T_974) @[el2_lib.scala 244:78] - node _T_976 = mux(_T_972, UInt<1>("h01"), _T_975) @[el2_lib.scala 244:23] - _T_927[6] <= _T_976 @[el2_lib.scala 244:17] - node _T_977 = bits(io.trigger_pkt_any[3].tdata2, 6, 0) @[el2_lib.scala 244:28] - node _T_978 = andr(_T_977) @[el2_lib.scala 244:36] - node _T_979 = and(_T_978, _T_930) @[el2_lib.scala 244:41] - node _T_980 = bits(io.trigger_pkt_any[3].tdata2, 7, 7) @[el2_lib.scala 244:74] - node _T_981 = bits(dec_i0_match_data[3], 7, 7) @[el2_lib.scala 244:86] - node _T_982 = eq(_T_980, _T_981) @[el2_lib.scala 244:78] - node _T_983 = mux(_T_979, UInt<1>("h01"), _T_982) @[el2_lib.scala 244:23] - _T_927[7] <= _T_983 @[el2_lib.scala 244:17] - node _T_984 = bits(io.trigger_pkt_any[3].tdata2, 7, 0) @[el2_lib.scala 244:28] - node _T_985 = andr(_T_984) @[el2_lib.scala 244:36] - node _T_986 = and(_T_985, _T_930) @[el2_lib.scala 244:41] - node _T_987 = bits(io.trigger_pkt_any[3].tdata2, 8, 8) @[el2_lib.scala 244:74] - node _T_988 = bits(dec_i0_match_data[3], 8, 8) @[el2_lib.scala 244:86] - node _T_989 = eq(_T_987, _T_988) @[el2_lib.scala 244:78] - node _T_990 = mux(_T_986, UInt<1>("h01"), _T_989) @[el2_lib.scala 244:23] - _T_927[8] <= _T_990 @[el2_lib.scala 244:17] - node _T_991 = bits(io.trigger_pkt_any[3].tdata2, 8, 0) @[el2_lib.scala 244:28] - node _T_992 = andr(_T_991) @[el2_lib.scala 244:36] - node _T_993 = and(_T_992, _T_930) @[el2_lib.scala 244:41] - node _T_994 = bits(io.trigger_pkt_any[3].tdata2, 9, 9) @[el2_lib.scala 244:74] - node _T_995 = bits(dec_i0_match_data[3], 9, 9) @[el2_lib.scala 244:86] - node _T_996 = eq(_T_994, _T_995) @[el2_lib.scala 244:78] - node _T_997 = mux(_T_993, UInt<1>("h01"), _T_996) @[el2_lib.scala 244:23] - _T_927[9] <= _T_997 @[el2_lib.scala 244:17] - node _T_998 = bits(io.trigger_pkt_any[3].tdata2, 9, 0) @[el2_lib.scala 244:28] - node _T_999 = andr(_T_998) @[el2_lib.scala 244:36] - node _T_1000 = and(_T_999, _T_930) @[el2_lib.scala 244:41] - node _T_1001 = bits(io.trigger_pkt_any[3].tdata2, 10, 10) @[el2_lib.scala 244:74] - node _T_1002 = bits(dec_i0_match_data[3], 10, 10) @[el2_lib.scala 244:86] - node _T_1003 = eq(_T_1001, _T_1002) @[el2_lib.scala 244:78] - node _T_1004 = mux(_T_1000, UInt<1>("h01"), _T_1003) @[el2_lib.scala 244:23] - _T_927[10] <= _T_1004 @[el2_lib.scala 244:17] - node _T_1005 = bits(io.trigger_pkt_any[3].tdata2, 10, 0) @[el2_lib.scala 244:28] - node _T_1006 = andr(_T_1005) @[el2_lib.scala 244:36] - node _T_1007 = and(_T_1006, _T_930) @[el2_lib.scala 244:41] - node _T_1008 = bits(io.trigger_pkt_any[3].tdata2, 11, 11) @[el2_lib.scala 244:74] - node _T_1009 = bits(dec_i0_match_data[3], 11, 11) @[el2_lib.scala 244:86] - node _T_1010 = eq(_T_1008, _T_1009) @[el2_lib.scala 244:78] - node _T_1011 = mux(_T_1007, UInt<1>("h01"), _T_1010) @[el2_lib.scala 244:23] - _T_927[11] <= _T_1011 @[el2_lib.scala 244:17] - node _T_1012 = bits(io.trigger_pkt_any[3].tdata2, 11, 0) @[el2_lib.scala 244:28] - node _T_1013 = andr(_T_1012) @[el2_lib.scala 244:36] - node _T_1014 = and(_T_1013, _T_930) @[el2_lib.scala 244:41] - node _T_1015 = bits(io.trigger_pkt_any[3].tdata2, 12, 12) @[el2_lib.scala 244:74] - node _T_1016 = bits(dec_i0_match_data[3], 12, 12) @[el2_lib.scala 244:86] - node _T_1017 = eq(_T_1015, _T_1016) @[el2_lib.scala 244:78] - node _T_1018 = mux(_T_1014, UInt<1>("h01"), _T_1017) @[el2_lib.scala 244:23] - _T_927[12] <= _T_1018 @[el2_lib.scala 244:17] - node _T_1019 = bits(io.trigger_pkt_any[3].tdata2, 12, 0) @[el2_lib.scala 244:28] - node _T_1020 = andr(_T_1019) @[el2_lib.scala 244:36] - node _T_1021 = and(_T_1020, _T_930) @[el2_lib.scala 244:41] - node _T_1022 = bits(io.trigger_pkt_any[3].tdata2, 13, 13) @[el2_lib.scala 244:74] - node _T_1023 = bits(dec_i0_match_data[3], 13, 13) @[el2_lib.scala 244:86] - node _T_1024 = eq(_T_1022, _T_1023) @[el2_lib.scala 244:78] - node _T_1025 = mux(_T_1021, UInt<1>("h01"), _T_1024) @[el2_lib.scala 244:23] - _T_927[13] <= _T_1025 @[el2_lib.scala 244:17] - node _T_1026 = bits(io.trigger_pkt_any[3].tdata2, 13, 0) @[el2_lib.scala 244:28] - node _T_1027 = andr(_T_1026) @[el2_lib.scala 244:36] - node _T_1028 = and(_T_1027, _T_930) @[el2_lib.scala 244:41] - node _T_1029 = bits(io.trigger_pkt_any[3].tdata2, 14, 14) @[el2_lib.scala 244:74] - node _T_1030 = bits(dec_i0_match_data[3], 14, 14) @[el2_lib.scala 244:86] - node _T_1031 = eq(_T_1029, _T_1030) @[el2_lib.scala 244:78] - node _T_1032 = mux(_T_1028, UInt<1>("h01"), _T_1031) @[el2_lib.scala 244:23] - _T_927[14] <= _T_1032 @[el2_lib.scala 244:17] - node _T_1033 = bits(io.trigger_pkt_any[3].tdata2, 14, 0) @[el2_lib.scala 244:28] - node _T_1034 = andr(_T_1033) @[el2_lib.scala 244:36] - node _T_1035 = and(_T_1034, _T_930) @[el2_lib.scala 244:41] - node _T_1036 = bits(io.trigger_pkt_any[3].tdata2, 15, 15) @[el2_lib.scala 244:74] - node _T_1037 = bits(dec_i0_match_data[3], 15, 15) @[el2_lib.scala 244:86] - node _T_1038 = eq(_T_1036, _T_1037) @[el2_lib.scala 244:78] - node _T_1039 = mux(_T_1035, UInt<1>("h01"), _T_1038) @[el2_lib.scala 244:23] - _T_927[15] <= _T_1039 @[el2_lib.scala 244:17] - node _T_1040 = bits(io.trigger_pkt_any[3].tdata2, 15, 0) @[el2_lib.scala 244:28] - node _T_1041 = andr(_T_1040) @[el2_lib.scala 244:36] - node _T_1042 = and(_T_1041, _T_930) @[el2_lib.scala 244:41] - node _T_1043 = bits(io.trigger_pkt_any[3].tdata2, 16, 16) @[el2_lib.scala 244:74] - node _T_1044 = bits(dec_i0_match_data[3], 16, 16) @[el2_lib.scala 244:86] - node _T_1045 = eq(_T_1043, _T_1044) @[el2_lib.scala 244:78] - node _T_1046 = mux(_T_1042, UInt<1>("h01"), _T_1045) @[el2_lib.scala 244:23] - _T_927[16] <= _T_1046 @[el2_lib.scala 244:17] - node _T_1047 = bits(io.trigger_pkt_any[3].tdata2, 16, 0) @[el2_lib.scala 244:28] - node _T_1048 = andr(_T_1047) @[el2_lib.scala 244:36] - node _T_1049 = and(_T_1048, _T_930) @[el2_lib.scala 244:41] - node _T_1050 = bits(io.trigger_pkt_any[3].tdata2, 17, 17) @[el2_lib.scala 244:74] - node _T_1051 = bits(dec_i0_match_data[3], 17, 17) @[el2_lib.scala 244:86] - node _T_1052 = eq(_T_1050, _T_1051) @[el2_lib.scala 244:78] - node _T_1053 = mux(_T_1049, UInt<1>("h01"), _T_1052) @[el2_lib.scala 244:23] - _T_927[17] <= _T_1053 @[el2_lib.scala 244:17] - node _T_1054 = bits(io.trigger_pkt_any[3].tdata2, 17, 0) @[el2_lib.scala 244:28] - node _T_1055 = andr(_T_1054) @[el2_lib.scala 244:36] - node _T_1056 = and(_T_1055, _T_930) @[el2_lib.scala 244:41] - node _T_1057 = bits(io.trigger_pkt_any[3].tdata2, 18, 18) @[el2_lib.scala 244:74] - node _T_1058 = bits(dec_i0_match_data[3], 18, 18) @[el2_lib.scala 244:86] - node _T_1059 = eq(_T_1057, _T_1058) @[el2_lib.scala 244:78] - node _T_1060 = mux(_T_1056, UInt<1>("h01"), _T_1059) @[el2_lib.scala 244:23] - _T_927[18] <= _T_1060 @[el2_lib.scala 244:17] - node _T_1061 = bits(io.trigger_pkt_any[3].tdata2, 18, 0) @[el2_lib.scala 244:28] - node _T_1062 = andr(_T_1061) @[el2_lib.scala 244:36] - node _T_1063 = and(_T_1062, _T_930) @[el2_lib.scala 244:41] - node _T_1064 = bits(io.trigger_pkt_any[3].tdata2, 19, 19) @[el2_lib.scala 244:74] - node _T_1065 = bits(dec_i0_match_data[3], 19, 19) @[el2_lib.scala 244:86] - node _T_1066 = eq(_T_1064, _T_1065) @[el2_lib.scala 244:78] - node _T_1067 = mux(_T_1063, UInt<1>("h01"), _T_1066) @[el2_lib.scala 244:23] - _T_927[19] <= _T_1067 @[el2_lib.scala 244:17] - node _T_1068 = bits(io.trigger_pkt_any[3].tdata2, 19, 0) @[el2_lib.scala 244:28] - node _T_1069 = andr(_T_1068) @[el2_lib.scala 244:36] - node _T_1070 = and(_T_1069, _T_930) @[el2_lib.scala 244:41] - node _T_1071 = bits(io.trigger_pkt_any[3].tdata2, 20, 20) @[el2_lib.scala 244:74] - node _T_1072 = bits(dec_i0_match_data[3], 20, 20) @[el2_lib.scala 244:86] - node _T_1073 = eq(_T_1071, _T_1072) @[el2_lib.scala 244:78] - node _T_1074 = mux(_T_1070, UInt<1>("h01"), _T_1073) @[el2_lib.scala 244:23] - _T_927[20] <= _T_1074 @[el2_lib.scala 244:17] - node _T_1075 = bits(io.trigger_pkt_any[3].tdata2, 20, 0) @[el2_lib.scala 244:28] - node _T_1076 = andr(_T_1075) @[el2_lib.scala 244:36] - node _T_1077 = and(_T_1076, _T_930) @[el2_lib.scala 244:41] - node _T_1078 = bits(io.trigger_pkt_any[3].tdata2, 21, 21) @[el2_lib.scala 244:74] - node _T_1079 = bits(dec_i0_match_data[3], 21, 21) @[el2_lib.scala 244:86] - node _T_1080 = eq(_T_1078, _T_1079) @[el2_lib.scala 244:78] - node _T_1081 = mux(_T_1077, UInt<1>("h01"), _T_1080) @[el2_lib.scala 244:23] - _T_927[21] <= _T_1081 @[el2_lib.scala 244:17] - node _T_1082 = bits(io.trigger_pkt_any[3].tdata2, 21, 0) @[el2_lib.scala 244:28] - node _T_1083 = andr(_T_1082) @[el2_lib.scala 244:36] - node _T_1084 = and(_T_1083, _T_930) @[el2_lib.scala 244:41] - node _T_1085 = bits(io.trigger_pkt_any[3].tdata2, 22, 22) @[el2_lib.scala 244:74] - node _T_1086 = bits(dec_i0_match_data[3], 22, 22) @[el2_lib.scala 244:86] - node _T_1087 = eq(_T_1085, _T_1086) @[el2_lib.scala 244:78] - node _T_1088 = mux(_T_1084, UInt<1>("h01"), _T_1087) @[el2_lib.scala 244:23] - _T_927[22] <= _T_1088 @[el2_lib.scala 244:17] - node _T_1089 = bits(io.trigger_pkt_any[3].tdata2, 22, 0) @[el2_lib.scala 244:28] - node _T_1090 = andr(_T_1089) @[el2_lib.scala 244:36] - node _T_1091 = and(_T_1090, _T_930) @[el2_lib.scala 244:41] - node _T_1092 = bits(io.trigger_pkt_any[3].tdata2, 23, 23) @[el2_lib.scala 244:74] - node _T_1093 = bits(dec_i0_match_data[3], 23, 23) @[el2_lib.scala 244:86] - node _T_1094 = eq(_T_1092, _T_1093) @[el2_lib.scala 244:78] - node _T_1095 = mux(_T_1091, UInt<1>("h01"), _T_1094) @[el2_lib.scala 244:23] - _T_927[23] <= _T_1095 @[el2_lib.scala 244:17] - node _T_1096 = bits(io.trigger_pkt_any[3].tdata2, 23, 0) @[el2_lib.scala 244:28] - node _T_1097 = andr(_T_1096) @[el2_lib.scala 244:36] - node _T_1098 = and(_T_1097, _T_930) @[el2_lib.scala 244:41] - node _T_1099 = bits(io.trigger_pkt_any[3].tdata2, 24, 24) @[el2_lib.scala 244:74] - node _T_1100 = bits(dec_i0_match_data[3], 24, 24) @[el2_lib.scala 244:86] - node _T_1101 = eq(_T_1099, _T_1100) @[el2_lib.scala 244:78] - node _T_1102 = mux(_T_1098, UInt<1>("h01"), _T_1101) @[el2_lib.scala 244:23] - _T_927[24] <= _T_1102 @[el2_lib.scala 244:17] - node _T_1103 = bits(io.trigger_pkt_any[3].tdata2, 24, 0) @[el2_lib.scala 244:28] - node _T_1104 = andr(_T_1103) @[el2_lib.scala 244:36] - node _T_1105 = and(_T_1104, _T_930) @[el2_lib.scala 244:41] - node _T_1106 = bits(io.trigger_pkt_any[3].tdata2, 25, 25) @[el2_lib.scala 244:74] - node _T_1107 = bits(dec_i0_match_data[3], 25, 25) @[el2_lib.scala 244:86] - node _T_1108 = eq(_T_1106, _T_1107) @[el2_lib.scala 244:78] - node _T_1109 = mux(_T_1105, UInt<1>("h01"), _T_1108) @[el2_lib.scala 244:23] - _T_927[25] <= _T_1109 @[el2_lib.scala 244:17] - node _T_1110 = bits(io.trigger_pkt_any[3].tdata2, 25, 0) @[el2_lib.scala 244:28] - node _T_1111 = andr(_T_1110) @[el2_lib.scala 244:36] - node _T_1112 = and(_T_1111, _T_930) @[el2_lib.scala 244:41] - node _T_1113 = bits(io.trigger_pkt_any[3].tdata2, 26, 26) @[el2_lib.scala 244:74] - node _T_1114 = bits(dec_i0_match_data[3], 26, 26) @[el2_lib.scala 244:86] - node _T_1115 = eq(_T_1113, _T_1114) @[el2_lib.scala 244:78] - node _T_1116 = mux(_T_1112, UInt<1>("h01"), _T_1115) @[el2_lib.scala 244:23] - _T_927[26] <= _T_1116 @[el2_lib.scala 244:17] - node _T_1117 = bits(io.trigger_pkt_any[3].tdata2, 26, 0) @[el2_lib.scala 244:28] - node _T_1118 = andr(_T_1117) @[el2_lib.scala 244:36] - node _T_1119 = and(_T_1118, _T_930) @[el2_lib.scala 244:41] - node _T_1120 = bits(io.trigger_pkt_any[3].tdata2, 27, 27) @[el2_lib.scala 244:74] - node _T_1121 = bits(dec_i0_match_data[3], 27, 27) @[el2_lib.scala 244:86] - node _T_1122 = eq(_T_1120, _T_1121) @[el2_lib.scala 244:78] - node _T_1123 = mux(_T_1119, UInt<1>("h01"), _T_1122) @[el2_lib.scala 244:23] - _T_927[27] <= _T_1123 @[el2_lib.scala 244:17] - node _T_1124 = bits(io.trigger_pkt_any[3].tdata2, 27, 0) @[el2_lib.scala 244:28] - node _T_1125 = andr(_T_1124) @[el2_lib.scala 244:36] - node _T_1126 = and(_T_1125, _T_930) @[el2_lib.scala 244:41] - node _T_1127 = bits(io.trigger_pkt_any[3].tdata2, 28, 28) @[el2_lib.scala 244:74] - node _T_1128 = bits(dec_i0_match_data[3], 28, 28) @[el2_lib.scala 244:86] - node _T_1129 = eq(_T_1127, _T_1128) @[el2_lib.scala 244:78] - node _T_1130 = mux(_T_1126, UInt<1>("h01"), _T_1129) @[el2_lib.scala 244:23] - _T_927[28] <= _T_1130 @[el2_lib.scala 244:17] - node _T_1131 = bits(io.trigger_pkt_any[3].tdata2, 28, 0) @[el2_lib.scala 244:28] - node _T_1132 = andr(_T_1131) @[el2_lib.scala 244:36] - node _T_1133 = and(_T_1132, _T_930) @[el2_lib.scala 244:41] - node _T_1134 = bits(io.trigger_pkt_any[3].tdata2, 29, 29) @[el2_lib.scala 244:74] - node _T_1135 = bits(dec_i0_match_data[3], 29, 29) @[el2_lib.scala 244:86] - node _T_1136 = eq(_T_1134, _T_1135) @[el2_lib.scala 244:78] - node _T_1137 = mux(_T_1133, UInt<1>("h01"), _T_1136) @[el2_lib.scala 244:23] - _T_927[29] <= _T_1137 @[el2_lib.scala 244:17] - node _T_1138 = bits(io.trigger_pkt_any[3].tdata2, 29, 0) @[el2_lib.scala 244:28] - node _T_1139 = andr(_T_1138) @[el2_lib.scala 244:36] - node _T_1140 = and(_T_1139, _T_930) @[el2_lib.scala 244:41] - node _T_1141 = bits(io.trigger_pkt_any[3].tdata2, 30, 30) @[el2_lib.scala 244:74] - node _T_1142 = bits(dec_i0_match_data[3], 30, 30) @[el2_lib.scala 244:86] - node _T_1143 = eq(_T_1141, _T_1142) @[el2_lib.scala 244:78] - node _T_1144 = mux(_T_1140, UInt<1>("h01"), _T_1143) @[el2_lib.scala 244:23] - _T_927[30] <= _T_1144 @[el2_lib.scala 244:17] - node _T_1145 = bits(io.trigger_pkt_any[3].tdata2, 30, 0) @[el2_lib.scala 244:28] - node _T_1146 = andr(_T_1145) @[el2_lib.scala 244:36] - node _T_1147 = and(_T_1146, _T_930) @[el2_lib.scala 244:41] - node _T_1148 = bits(io.trigger_pkt_any[3].tdata2, 31, 31) @[el2_lib.scala 244:74] - node _T_1149 = bits(dec_i0_match_data[3], 31, 31) @[el2_lib.scala 244:86] - node _T_1150 = eq(_T_1148, _T_1149) @[el2_lib.scala 244:78] - node _T_1151 = mux(_T_1147, UInt<1>("h01"), _T_1150) @[el2_lib.scala 244:23] - _T_927[31] <= _T_1151 @[el2_lib.scala 244:17] - node _T_1152 = cat(_T_927[1], _T_927[0]) @[el2_lib.scala 245:14] - node _T_1153 = cat(_T_927[3], _T_927[2]) @[el2_lib.scala 245:14] - node _T_1154 = cat(_T_1153, _T_1152) @[el2_lib.scala 245:14] - node _T_1155 = cat(_T_927[5], _T_927[4]) @[el2_lib.scala 245:14] - node _T_1156 = cat(_T_927[7], _T_927[6]) @[el2_lib.scala 245:14] + node _T_406 = andr(_T_405) @[el2_lib.scala 245:25] + node _T_407 = and(_T_148, _T_406) @[dec_trigger.scala 15:109] + node _T_408 = and(io.trigger_pkt_any[1].execute, io.trigger_pkt_any[1].m) @[dec_trigger.scala 15:83] + node _T_409 = bits(io.trigger_pkt_any[1].match_pkt, 0, 0) @[dec_trigger.scala 15:216] + wire _T_410 : UInt<1>[32] @[el2_lib.scala 240:24] + node _T_411 = andr(io.trigger_pkt_any[1].tdata2) @[el2_lib.scala 241:45] + node _T_412 = not(_T_411) @[el2_lib.scala 241:39] + node _T_413 = and(_T_409, _T_412) @[el2_lib.scala 241:37] + node _T_414 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[el2_lib.scala 242:48] + node _T_415 = bits(dec_i0_match_data[1], 0, 0) @[el2_lib.scala 242:60] + node _T_416 = eq(_T_414, _T_415) @[el2_lib.scala 242:52] + node _T_417 = or(_T_413, _T_416) @[el2_lib.scala 242:41] + _T_410[0] <= _T_417 @[el2_lib.scala 242:18] + node _T_418 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[el2_lib.scala 244:28] + node _T_419 = andr(_T_418) @[el2_lib.scala 244:36] + node _T_420 = and(_T_419, _T_413) @[el2_lib.scala 244:41] + node _T_421 = bits(io.trigger_pkt_any[1].tdata2, 1, 1) @[el2_lib.scala 244:74] + node _T_422 = bits(dec_i0_match_data[1], 1, 1) @[el2_lib.scala 244:86] + node _T_423 = eq(_T_421, _T_422) @[el2_lib.scala 244:78] + node _T_424 = mux(_T_420, UInt<1>("h01"), _T_423) @[el2_lib.scala 244:23] + _T_410[1] <= _T_424 @[el2_lib.scala 244:17] + node _T_425 = bits(io.trigger_pkt_any[1].tdata2, 1, 0) @[el2_lib.scala 244:28] + node _T_426 = andr(_T_425) @[el2_lib.scala 244:36] + node _T_427 = and(_T_426, _T_413) @[el2_lib.scala 244:41] + node _T_428 = bits(io.trigger_pkt_any[1].tdata2, 2, 2) @[el2_lib.scala 244:74] + node _T_429 = bits(dec_i0_match_data[1], 2, 2) @[el2_lib.scala 244:86] + node _T_430 = eq(_T_428, _T_429) @[el2_lib.scala 244:78] + node _T_431 = mux(_T_427, UInt<1>("h01"), _T_430) @[el2_lib.scala 244:23] + _T_410[2] <= _T_431 @[el2_lib.scala 244:17] + node _T_432 = bits(io.trigger_pkt_any[1].tdata2, 2, 0) @[el2_lib.scala 244:28] + node _T_433 = andr(_T_432) @[el2_lib.scala 244:36] + node _T_434 = and(_T_433, _T_413) @[el2_lib.scala 244:41] + node _T_435 = bits(io.trigger_pkt_any[1].tdata2, 3, 3) @[el2_lib.scala 244:74] + node _T_436 = bits(dec_i0_match_data[1], 3, 3) @[el2_lib.scala 244:86] + node _T_437 = eq(_T_435, _T_436) @[el2_lib.scala 244:78] + node _T_438 = mux(_T_434, UInt<1>("h01"), _T_437) @[el2_lib.scala 244:23] + _T_410[3] <= _T_438 @[el2_lib.scala 244:17] + node _T_439 = bits(io.trigger_pkt_any[1].tdata2, 3, 0) @[el2_lib.scala 244:28] + node _T_440 = andr(_T_439) @[el2_lib.scala 244:36] + node _T_441 = and(_T_440, _T_413) @[el2_lib.scala 244:41] + node _T_442 = bits(io.trigger_pkt_any[1].tdata2, 4, 4) @[el2_lib.scala 244:74] + node _T_443 = bits(dec_i0_match_data[1], 4, 4) @[el2_lib.scala 244:86] + node _T_444 = eq(_T_442, _T_443) @[el2_lib.scala 244:78] + node _T_445 = mux(_T_441, UInt<1>("h01"), _T_444) @[el2_lib.scala 244:23] + _T_410[4] <= _T_445 @[el2_lib.scala 244:17] + node _T_446 = bits(io.trigger_pkt_any[1].tdata2, 4, 0) @[el2_lib.scala 244:28] + node _T_447 = andr(_T_446) @[el2_lib.scala 244:36] + node _T_448 = and(_T_447, _T_413) @[el2_lib.scala 244:41] + node _T_449 = bits(io.trigger_pkt_any[1].tdata2, 5, 5) @[el2_lib.scala 244:74] + node _T_450 = bits(dec_i0_match_data[1], 5, 5) @[el2_lib.scala 244:86] + node _T_451 = eq(_T_449, _T_450) @[el2_lib.scala 244:78] + node _T_452 = mux(_T_448, UInt<1>("h01"), _T_451) @[el2_lib.scala 244:23] + _T_410[5] <= _T_452 @[el2_lib.scala 244:17] + node _T_453 = bits(io.trigger_pkt_any[1].tdata2, 5, 0) @[el2_lib.scala 244:28] + node _T_454 = andr(_T_453) @[el2_lib.scala 244:36] + node _T_455 = and(_T_454, _T_413) @[el2_lib.scala 244:41] + node _T_456 = bits(io.trigger_pkt_any[1].tdata2, 6, 6) @[el2_lib.scala 244:74] + node _T_457 = bits(dec_i0_match_data[1], 6, 6) @[el2_lib.scala 244:86] + node _T_458 = eq(_T_456, _T_457) @[el2_lib.scala 244:78] + node _T_459 = mux(_T_455, UInt<1>("h01"), _T_458) @[el2_lib.scala 244:23] + _T_410[6] <= _T_459 @[el2_lib.scala 244:17] + node _T_460 = bits(io.trigger_pkt_any[1].tdata2, 6, 0) @[el2_lib.scala 244:28] + node _T_461 = andr(_T_460) @[el2_lib.scala 244:36] + node _T_462 = and(_T_461, _T_413) @[el2_lib.scala 244:41] + node _T_463 = bits(io.trigger_pkt_any[1].tdata2, 7, 7) @[el2_lib.scala 244:74] + node _T_464 = bits(dec_i0_match_data[1], 7, 7) @[el2_lib.scala 244:86] + node _T_465 = eq(_T_463, _T_464) @[el2_lib.scala 244:78] + node _T_466 = mux(_T_462, UInt<1>("h01"), _T_465) @[el2_lib.scala 244:23] + _T_410[7] <= _T_466 @[el2_lib.scala 244:17] + node _T_467 = bits(io.trigger_pkt_any[1].tdata2, 7, 0) @[el2_lib.scala 244:28] + node _T_468 = andr(_T_467) @[el2_lib.scala 244:36] + node _T_469 = and(_T_468, _T_413) @[el2_lib.scala 244:41] + node _T_470 = bits(io.trigger_pkt_any[1].tdata2, 8, 8) @[el2_lib.scala 244:74] + node _T_471 = bits(dec_i0_match_data[1], 8, 8) @[el2_lib.scala 244:86] + node _T_472 = eq(_T_470, _T_471) @[el2_lib.scala 244:78] + node _T_473 = mux(_T_469, UInt<1>("h01"), _T_472) @[el2_lib.scala 244:23] + _T_410[8] <= _T_473 @[el2_lib.scala 244:17] + node _T_474 = bits(io.trigger_pkt_any[1].tdata2, 8, 0) @[el2_lib.scala 244:28] + node _T_475 = andr(_T_474) @[el2_lib.scala 244:36] + node _T_476 = and(_T_475, _T_413) @[el2_lib.scala 244:41] + node _T_477 = bits(io.trigger_pkt_any[1].tdata2, 9, 9) @[el2_lib.scala 244:74] + node _T_478 = bits(dec_i0_match_data[1], 9, 9) @[el2_lib.scala 244:86] + node _T_479 = eq(_T_477, _T_478) @[el2_lib.scala 244:78] + node _T_480 = mux(_T_476, UInt<1>("h01"), _T_479) @[el2_lib.scala 244:23] + _T_410[9] <= _T_480 @[el2_lib.scala 244:17] + node _T_481 = bits(io.trigger_pkt_any[1].tdata2, 9, 0) @[el2_lib.scala 244:28] + node _T_482 = andr(_T_481) @[el2_lib.scala 244:36] + node _T_483 = and(_T_482, _T_413) @[el2_lib.scala 244:41] + node _T_484 = bits(io.trigger_pkt_any[1].tdata2, 10, 10) @[el2_lib.scala 244:74] + node _T_485 = bits(dec_i0_match_data[1], 10, 10) @[el2_lib.scala 244:86] + node _T_486 = eq(_T_484, _T_485) @[el2_lib.scala 244:78] + node _T_487 = mux(_T_483, UInt<1>("h01"), _T_486) @[el2_lib.scala 244:23] + _T_410[10] <= _T_487 @[el2_lib.scala 244:17] + node _T_488 = bits(io.trigger_pkt_any[1].tdata2, 10, 0) @[el2_lib.scala 244:28] + node _T_489 = andr(_T_488) @[el2_lib.scala 244:36] + node _T_490 = and(_T_489, _T_413) @[el2_lib.scala 244:41] + node _T_491 = bits(io.trigger_pkt_any[1].tdata2, 11, 11) @[el2_lib.scala 244:74] + node _T_492 = bits(dec_i0_match_data[1], 11, 11) @[el2_lib.scala 244:86] + node _T_493 = eq(_T_491, _T_492) @[el2_lib.scala 244:78] + node _T_494 = mux(_T_490, UInt<1>("h01"), _T_493) @[el2_lib.scala 244:23] + _T_410[11] <= _T_494 @[el2_lib.scala 244:17] + node _T_495 = bits(io.trigger_pkt_any[1].tdata2, 11, 0) @[el2_lib.scala 244:28] + node _T_496 = andr(_T_495) @[el2_lib.scala 244:36] + node _T_497 = and(_T_496, _T_413) @[el2_lib.scala 244:41] + node _T_498 = bits(io.trigger_pkt_any[1].tdata2, 12, 12) @[el2_lib.scala 244:74] + node _T_499 = bits(dec_i0_match_data[1], 12, 12) @[el2_lib.scala 244:86] + node _T_500 = eq(_T_498, _T_499) @[el2_lib.scala 244:78] + node _T_501 = mux(_T_497, UInt<1>("h01"), _T_500) @[el2_lib.scala 244:23] + _T_410[12] <= _T_501 @[el2_lib.scala 244:17] + node _T_502 = bits(io.trigger_pkt_any[1].tdata2, 12, 0) @[el2_lib.scala 244:28] + node _T_503 = andr(_T_502) @[el2_lib.scala 244:36] + node _T_504 = and(_T_503, _T_413) @[el2_lib.scala 244:41] + node _T_505 = bits(io.trigger_pkt_any[1].tdata2, 13, 13) @[el2_lib.scala 244:74] + node _T_506 = bits(dec_i0_match_data[1], 13, 13) @[el2_lib.scala 244:86] + node _T_507 = eq(_T_505, _T_506) @[el2_lib.scala 244:78] + node _T_508 = mux(_T_504, UInt<1>("h01"), _T_507) @[el2_lib.scala 244:23] + _T_410[13] <= _T_508 @[el2_lib.scala 244:17] + node _T_509 = bits(io.trigger_pkt_any[1].tdata2, 13, 0) @[el2_lib.scala 244:28] + node _T_510 = andr(_T_509) @[el2_lib.scala 244:36] + node _T_511 = and(_T_510, _T_413) @[el2_lib.scala 244:41] + node _T_512 = bits(io.trigger_pkt_any[1].tdata2, 14, 14) @[el2_lib.scala 244:74] + node _T_513 = bits(dec_i0_match_data[1], 14, 14) @[el2_lib.scala 244:86] + node _T_514 = eq(_T_512, _T_513) @[el2_lib.scala 244:78] + node _T_515 = mux(_T_511, UInt<1>("h01"), _T_514) @[el2_lib.scala 244:23] + _T_410[14] <= _T_515 @[el2_lib.scala 244:17] + node _T_516 = bits(io.trigger_pkt_any[1].tdata2, 14, 0) @[el2_lib.scala 244:28] + node _T_517 = andr(_T_516) @[el2_lib.scala 244:36] + node _T_518 = and(_T_517, _T_413) @[el2_lib.scala 244:41] + node _T_519 = bits(io.trigger_pkt_any[1].tdata2, 15, 15) @[el2_lib.scala 244:74] + node _T_520 = bits(dec_i0_match_data[1], 15, 15) @[el2_lib.scala 244:86] + node _T_521 = eq(_T_519, _T_520) @[el2_lib.scala 244:78] + node _T_522 = mux(_T_518, UInt<1>("h01"), _T_521) @[el2_lib.scala 244:23] + _T_410[15] <= _T_522 @[el2_lib.scala 244:17] + node _T_523 = bits(io.trigger_pkt_any[1].tdata2, 15, 0) @[el2_lib.scala 244:28] + node _T_524 = andr(_T_523) @[el2_lib.scala 244:36] + node _T_525 = and(_T_524, _T_413) @[el2_lib.scala 244:41] + node _T_526 = bits(io.trigger_pkt_any[1].tdata2, 16, 16) @[el2_lib.scala 244:74] + node _T_527 = bits(dec_i0_match_data[1], 16, 16) @[el2_lib.scala 244:86] + node _T_528 = eq(_T_526, _T_527) @[el2_lib.scala 244:78] + node _T_529 = mux(_T_525, UInt<1>("h01"), _T_528) @[el2_lib.scala 244:23] + _T_410[16] <= _T_529 @[el2_lib.scala 244:17] + node _T_530 = bits(io.trigger_pkt_any[1].tdata2, 16, 0) @[el2_lib.scala 244:28] + node _T_531 = andr(_T_530) @[el2_lib.scala 244:36] + node _T_532 = and(_T_531, _T_413) @[el2_lib.scala 244:41] + node _T_533 = bits(io.trigger_pkt_any[1].tdata2, 17, 17) @[el2_lib.scala 244:74] + node _T_534 = bits(dec_i0_match_data[1], 17, 17) @[el2_lib.scala 244:86] + node _T_535 = eq(_T_533, _T_534) @[el2_lib.scala 244:78] + node _T_536 = mux(_T_532, UInt<1>("h01"), _T_535) @[el2_lib.scala 244:23] + _T_410[17] <= _T_536 @[el2_lib.scala 244:17] + node _T_537 = bits(io.trigger_pkt_any[1].tdata2, 17, 0) @[el2_lib.scala 244:28] + node _T_538 = andr(_T_537) @[el2_lib.scala 244:36] + node _T_539 = and(_T_538, _T_413) @[el2_lib.scala 244:41] + node _T_540 = bits(io.trigger_pkt_any[1].tdata2, 18, 18) @[el2_lib.scala 244:74] + node _T_541 = bits(dec_i0_match_data[1], 18, 18) @[el2_lib.scala 244:86] + node _T_542 = eq(_T_540, _T_541) @[el2_lib.scala 244:78] + node _T_543 = mux(_T_539, UInt<1>("h01"), _T_542) @[el2_lib.scala 244:23] + _T_410[18] <= _T_543 @[el2_lib.scala 244:17] + node _T_544 = bits(io.trigger_pkt_any[1].tdata2, 18, 0) @[el2_lib.scala 244:28] + node _T_545 = andr(_T_544) @[el2_lib.scala 244:36] + node _T_546 = and(_T_545, _T_413) @[el2_lib.scala 244:41] + node _T_547 = bits(io.trigger_pkt_any[1].tdata2, 19, 19) @[el2_lib.scala 244:74] + node _T_548 = bits(dec_i0_match_data[1], 19, 19) @[el2_lib.scala 244:86] + node _T_549 = eq(_T_547, _T_548) @[el2_lib.scala 244:78] + node _T_550 = mux(_T_546, UInt<1>("h01"), _T_549) @[el2_lib.scala 244:23] + _T_410[19] <= _T_550 @[el2_lib.scala 244:17] + node _T_551 = bits(io.trigger_pkt_any[1].tdata2, 19, 0) @[el2_lib.scala 244:28] + node _T_552 = andr(_T_551) @[el2_lib.scala 244:36] + node _T_553 = and(_T_552, _T_413) @[el2_lib.scala 244:41] + node _T_554 = bits(io.trigger_pkt_any[1].tdata2, 20, 20) @[el2_lib.scala 244:74] + node _T_555 = bits(dec_i0_match_data[1], 20, 20) @[el2_lib.scala 244:86] + node _T_556 = eq(_T_554, _T_555) @[el2_lib.scala 244:78] + node _T_557 = mux(_T_553, UInt<1>("h01"), _T_556) @[el2_lib.scala 244:23] + _T_410[20] <= _T_557 @[el2_lib.scala 244:17] + node _T_558 = bits(io.trigger_pkt_any[1].tdata2, 20, 0) @[el2_lib.scala 244:28] + node _T_559 = andr(_T_558) @[el2_lib.scala 244:36] + node _T_560 = and(_T_559, _T_413) @[el2_lib.scala 244:41] + node _T_561 = bits(io.trigger_pkt_any[1].tdata2, 21, 21) @[el2_lib.scala 244:74] + node _T_562 = bits(dec_i0_match_data[1], 21, 21) @[el2_lib.scala 244:86] + node _T_563 = eq(_T_561, _T_562) @[el2_lib.scala 244:78] + node _T_564 = mux(_T_560, UInt<1>("h01"), _T_563) @[el2_lib.scala 244:23] + _T_410[21] <= _T_564 @[el2_lib.scala 244:17] + node _T_565 = bits(io.trigger_pkt_any[1].tdata2, 21, 0) @[el2_lib.scala 244:28] + node _T_566 = andr(_T_565) @[el2_lib.scala 244:36] + node _T_567 = and(_T_566, _T_413) @[el2_lib.scala 244:41] + node _T_568 = bits(io.trigger_pkt_any[1].tdata2, 22, 22) @[el2_lib.scala 244:74] + node _T_569 = bits(dec_i0_match_data[1], 22, 22) @[el2_lib.scala 244:86] + node _T_570 = eq(_T_568, _T_569) @[el2_lib.scala 244:78] + node _T_571 = mux(_T_567, UInt<1>("h01"), _T_570) @[el2_lib.scala 244:23] + _T_410[22] <= _T_571 @[el2_lib.scala 244:17] + node _T_572 = bits(io.trigger_pkt_any[1].tdata2, 22, 0) @[el2_lib.scala 244:28] + node _T_573 = andr(_T_572) @[el2_lib.scala 244:36] + node _T_574 = and(_T_573, _T_413) @[el2_lib.scala 244:41] + node _T_575 = bits(io.trigger_pkt_any[1].tdata2, 23, 23) @[el2_lib.scala 244:74] + node _T_576 = bits(dec_i0_match_data[1], 23, 23) @[el2_lib.scala 244:86] + node _T_577 = eq(_T_575, _T_576) @[el2_lib.scala 244:78] + node _T_578 = mux(_T_574, UInt<1>("h01"), _T_577) @[el2_lib.scala 244:23] + _T_410[23] <= _T_578 @[el2_lib.scala 244:17] + node _T_579 = bits(io.trigger_pkt_any[1].tdata2, 23, 0) @[el2_lib.scala 244:28] + node _T_580 = andr(_T_579) @[el2_lib.scala 244:36] + node _T_581 = and(_T_580, _T_413) @[el2_lib.scala 244:41] + node _T_582 = bits(io.trigger_pkt_any[1].tdata2, 24, 24) @[el2_lib.scala 244:74] + node _T_583 = bits(dec_i0_match_data[1], 24, 24) @[el2_lib.scala 244:86] + node _T_584 = eq(_T_582, _T_583) @[el2_lib.scala 244:78] + node _T_585 = mux(_T_581, UInt<1>("h01"), _T_584) @[el2_lib.scala 244:23] + _T_410[24] <= _T_585 @[el2_lib.scala 244:17] + node _T_586 = bits(io.trigger_pkt_any[1].tdata2, 24, 0) @[el2_lib.scala 244:28] + node _T_587 = andr(_T_586) @[el2_lib.scala 244:36] + node _T_588 = and(_T_587, _T_413) @[el2_lib.scala 244:41] + node _T_589 = bits(io.trigger_pkt_any[1].tdata2, 25, 25) @[el2_lib.scala 244:74] + node _T_590 = bits(dec_i0_match_data[1], 25, 25) @[el2_lib.scala 244:86] + node _T_591 = eq(_T_589, _T_590) @[el2_lib.scala 244:78] + node _T_592 = mux(_T_588, UInt<1>("h01"), _T_591) @[el2_lib.scala 244:23] + _T_410[25] <= _T_592 @[el2_lib.scala 244:17] + node _T_593 = bits(io.trigger_pkt_any[1].tdata2, 25, 0) @[el2_lib.scala 244:28] + node _T_594 = andr(_T_593) @[el2_lib.scala 244:36] + node _T_595 = and(_T_594, _T_413) @[el2_lib.scala 244:41] + node _T_596 = bits(io.trigger_pkt_any[1].tdata2, 26, 26) @[el2_lib.scala 244:74] + node _T_597 = bits(dec_i0_match_data[1], 26, 26) @[el2_lib.scala 244:86] + node _T_598 = eq(_T_596, _T_597) @[el2_lib.scala 244:78] + node _T_599 = mux(_T_595, UInt<1>("h01"), _T_598) @[el2_lib.scala 244:23] + _T_410[26] <= _T_599 @[el2_lib.scala 244:17] + node _T_600 = bits(io.trigger_pkt_any[1].tdata2, 26, 0) @[el2_lib.scala 244:28] + node _T_601 = andr(_T_600) @[el2_lib.scala 244:36] + node _T_602 = and(_T_601, _T_413) @[el2_lib.scala 244:41] + node _T_603 = bits(io.trigger_pkt_any[1].tdata2, 27, 27) @[el2_lib.scala 244:74] + node _T_604 = bits(dec_i0_match_data[1], 27, 27) @[el2_lib.scala 244:86] + node _T_605 = eq(_T_603, _T_604) @[el2_lib.scala 244:78] + node _T_606 = mux(_T_602, UInt<1>("h01"), _T_605) @[el2_lib.scala 244:23] + _T_410[27] <= _T_606 @[el2_lib.scala 244:17] + node _T_607 = bits(io.trigger_pkt_any[1].tdata2, 27, 0) @[el2_lib.scala 244:28] + node _T_608 = andr(_T_607) @[el2_lib.scala 244:36] + node _T_609 = and(_T_608, _T_413) @[el2_lib.scala 244:41] + node _T_610 = bits(io.trigger_pkt_any[1].tdata2, 28, 28) @[el2_lib.scala 244:74] + node _T_611 = bits(dec_i0_match_data[1], 28, 28) @[el2_lib.scala 244:86] + node _T_612 = eq(_T_610, _T_611) @[el2_lib.scala 244:78] + node _T_613 = mux(_T_609, UInt<1>("h01"), _T_612) @[el2_lib.scala 244:23] + _T_410[28] <= _T_613 @[el2_lib.scala 244:17] + node _T_614 = bits(io.trigger_pkt_any[1].tdata2, 28, 0) @[el2_lib.scala 244:28] + node _T_615 = andr(_T_614) @[el2_lib.scala 244:36] + node _T_616 = and(_T_615, _T_413) @[el2_lib.scala 244:41] + node _T_617 = bits(io.trigger_pkt_any[1].tdata2, 29, 29) @[el2_lib.scala 244:74] + node _T_618 = bits(dec_i0_match_data[1], 29, 29) @[el2_lib.scala 244:86] + node _T_619 = eq(_T_617, _T_618) @[el2_lib.scala 244:78] + node _T_620 = mux(_T_616, UInt<1>("h01"), _T_619) @[el2_lib.scala 244:23] + _T_410[29] <= _T_620 @[el2_lib.scala 244:17] + node _T_621 = bits(io.trigger_pkt_any[1].tdata2, 29, 0) @[el2_lib.scala 244:28] + node _T_622 = andr(_T_621) @[el2_lib.scala 244:36] + node _T_623 = and(_T_622, _T_413) @[el2_lib.scala 244:41] + node _T_624 = bits(io.trigger_pkt_any[1].tdata2, 30, 30) @[el2_lib.scala 244:74] + node _T_625 = bits(dec_i0_match_data[1], 30, 30) @[el2_lib.scala 244:86] + node _T_626 = eq(_T_624, _T_625) @[el2_lib.scala 244:78] + node _T_627 = mux(_T_623, UInt<1>("h01"), _T_626) @[el2_lib.scala 244:23] + _T_410[30] <= _T_627 @[el2_lib.scala 244:17] + node _T_628 = bits(io.trigger_pkt_any[1].tdata2, 30, 0) @[el2_lib.scala 244:28] + node _T_629 = andr(_T_628) @[el2_lib.scala 244:36] + node _T_630 = and(_T_629, _T_413) @[el2_lib.scala 244:41] + node _T_631 = bits(io.trigger_pkt_any[1].tdata2, 31, 31) @[el2_lib.scala 244:74] + node _T_632 = bits(dec_i0_match_data[1], 31, 31) @[el2_lib.scala 244:86] + node _T_633 = eq(_T_631, _T_632) @[el2_lib.scala 244:78] + node _T_634 = mux(_T_630, UInt<1>("h01"), _T_633) @[el2_lib.scala 244:23] + _T_410[31] <= _T_634 @[el2_lib.scala 244:17] + node _T_635 = cat(_T_410[1], _T_410[0]) @[el2_lib.scala 245:14] + node _T_636 = cat(_T_410[3], _T_410[2]) @[el2_lib.scala 245:14] + node _T_637 = cat(_T_636, _T_635) @[el2_lib.scala 245:14] + node _T_638 = cat(_T_410[5], _T_410[4]) @[el2_lib.scala 245:14] + node _T_639 = cat(_T_410[7], _T_410[6]) @[el2_lib.scala 245:14] + node _T_640 = cat(_T_639, _T_638) @[el2_lib.scala 245:14] + node _T_641 = cat(_T_640, _T_637) @[el2_lib.scala 245:14] + node _T_642 = cat(_T_410[9], _T_410[8]) @[el2_lib.scala 245:14] + node _T_643 = cat(_T_410[11], _T_410[10]) @[el2_lib.scala 245:14] + node _T_644 = cat(_T_643, _T_642) @[el2_lib.scala 245:14] + node _T_645 = cat(_T_410[13], _T_410[12]) @[el2_lib.scala 245:14] + node _T_646 = cat(_T_410[15], _T_410[14]) @[el2_lib.scala 245:14] + node _T_647 = cat(_T_646, _T_645) @[el2_lib.scala 245:14] + node _T_648 = cat(_T_647, _T_644) @[el2_lib.scala 245:14] + node _T_649 = cat(_T_648, _T_641) @[el2_lib.scala 245:14] + node _T_650 = cat(_T_410[17], _T_410[16]) @[el2_lib.scala 245:14] + node _T_651 = cat(_T_410[19], _T_410[18]) @[el2_lib.scala 245:14] + node _T_652 = cat(_T_651, _T_650) @[el2_lib.scala 245:14] + node _T_653 = cat(_T_410[21], _T_410[20]) @[el2_lib.scala 245:14] + node _T_654 = cat(_T_410[23], _T_410[22]) @[el2_lib.scala 245:14] + node _T_655 = cat(_T_654, _T_653) @[el2_lib.scala 245:14] + node _T_656 = cat(_T_655, _T_652) @[el2_lib.scala 245:14] + node _T_657 = cat(_T_410[25], _T_410[24]) @[el2_lib.scala 245:14] + node _T_658 = cat(_T_410[27], _T_410[26]) @[el2_lib.scala 245:14] + node _T_659 = cat(_T_658, _T_657) @[el2_lib.scala 245:14] + node _T_660 = cat(_T_410[29], _T_410[28]) @[el2_lib.scala 245:14] + node _T_661 = cat(_T_410[31], _T_410[30]) @[el2_lib.scala 245:14] + node _T_662 = cat(_T_661, _T_660) @[el2_lib.scala 245:14] + node _T_663 = cat(_T_662, _T_659) @[el2_lib.scala 245:14] + node _T_664 = cat(_T_663, _T_656) @[el2_lib.scala 245:14] + node _T_665 = cat(_T_664, _T_649) @[el2_lib.scala 245:14] + node _T_666 = andr(_T_665) @[el2_lib.scala 245:25] + node _T_667 = and(_T_408, _T_666) @[dec_trigger.scala 15:109] + node _T_668 = and(io.trigger_pkt_any[2].execute, io.trigger_pkt_any[2].m) @[dec_trigger.scala 15:83] + node _T_669 = bits(io.trigger_pkt_any[2].match_pkt, 0, 0) @[dec_trigger.scala 15:216] + wire _T_670 : UInt<1>[32] @[el2_lib.scala 240:24] + node _T_671 = andr(io.trigger_pkt_any[2].tdata2) @[el2_lib.scala 241:45] + node _T_672 = not(_T_671) @[el2_lib.scala 241:39] + node _T_673 = and(_T_669, _T_672) @[el2_lib.scala 241:37] + node _T_674 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[el2_lib.scala 242:48] + node _T_675 = bits(dec_i0_match_data[2], 0, 0) @[el2_lib.scala 242:60] + node _T_676 = eq(_T_674, _T_675) @[el2_lib.scala 242:52] + node _T_677 = or(_T_673, _T_676) @[el2_lib.scala 242:41] + _T_670[0] <= _T_677 @[el2_lib.scala 242:18] + node _T_678 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[el2_lib.scala 244:28] + node _T_679 = andr(_T_678) @[el2_lib.scala 244:36] + node _T_680 = and(_T_679, _T_673) @[el2_lib.scala 244:41] + node _T_681 = bits(io.trigger_pkt_any[2].tdata2, 1, 1) @[el2_lib.scala 244:74] + node _T_682 = bits(dec_i0_match_data[2], 1, 1) @[el2_lib.scala 244:86] + node _T_683 = eq(_T_681, _T_682) @[el2_lib.scala 244:78] + node _T_684 = mux(_T_680, UInt<1>("h01"), _T_683) @[el2_lib.scala 244:23] + _T_670[1] <= _T_684 @[el2_lib.scala 244:17] + node _T_685 = bits(io.trigger_pkt_any[2].tdata2, 1, 0) @[el2_lib.scala 244:28] + node _T_686 = andr(_T_685) @[el2_lib.scala 244:36] + node _T_687 = and(_T_686, _T_673) @[el2_lib.scala 244:41] + node _T_688 = bits(io.trigger_pkt_any[2].tdata2, 2, 2) @[el2_lib.scala 244:74] + node _T_689 = bits(dec_i0_match_data[2], 2, 2) @[el2_lib.scala 244:86] + node _T_690 = eq(_T_688, _T_689) @[el2_lib.scala 244:78] + node _T_691 = mux(_T_687, UInt<1>("h01"), _T_690) @[el2_lib.scala 244:23] + _T_670[2] <= _T_691 @[el2_lib.scala 244:17] + node _T_692 = bits(io.trigger_pkt_any[2].tdata2, 2, 0) @[el2_lib.scala 244:28] + node _T_693 = andr(_T_692) @[el2_lib.scala 244:36] + node _T_694 = and(_T_693, _T_673) @[el2_lib.scala 244:41] + node _T_695 = bits(io.trigger_pkt_any[2].tdata2, 3, 3) @[el2_lib.scala 244:74] + node _T_696 = bits(dec_i0_match_data[2], 3, 3) @[el2_lib.scala 244:86] + node _T_697 = eq(_T_695, _T_696) @[el2_lib.scala 244:78] + node _T_698 = mux(_T_694, UInt<1>("h01"), _T_697) @[el2_lib.scala 244:23] + _T_670[3] <= _T_698 @[el2_lib.scala 244:17] + node _T_699 = bits(io.trigger_pkt_any[2].tdata2, 3, 0) @[el2_lib.scala 244:28] + node _T_700 = andr(_T_699) @[el2_lib.scala 244:36] + node _T_701 = and(_T_700, _T_673) @[el2_lib.scala 244:41] + node _T_702 = bits(io.trigger_pkt_any[2].tdata2, 4, 4) @[el2_lib.scala 244:74] + node _T_703 = bits(dec_i0_match_data[2], 4, 4) @[el2_lib.scala 244:86] + node _T_704 = eq(_T_702, _T_703) @[el2_lib.scala 244:78] + node _T_705 = mux(_T_701, UInt<1>("h01"), _T_704) @[el2_lib.scala 244:23] + _T_670[4] <= _T_705 @[el2_lib.scala 244:17] + node _T_706 = bits(io.trigger_pkt_any[2].tdata2, 4, 0) @[el2_lib.scala 244:28] + node _T_707 = andr(_T_706) @[el2_lib.scala 244:36] + node _T_708 = and(_T_707, _T_673) @[el2_lib.scala 244:41] + node _T_709 = bits(io.trigger_pkt_any[2].tdata2, 5, 5) @[el2_lib.scala 244:74] + node _T_710 = bits(dec_i0_match_data[2], 5, 5) @[el2_lib.scala 244:86] + node _T_711 = eq(_T_709, _T_710) @[el2_lib.scala 244:78] + node _T_712 = mux(_T_708, UInt<1>("h01"), _T_711) @[el2_lib.scala 244:23] + _T_670[5] <= _T_712 @[el2_lib.scala 244:17] + node _T_713 = bits(io.trigger_pkt_any[2].tdata2, 5, 0) @[el2_lib.scala 244:28] + node _T_714 = andr(_T_713) @[el2_lib.scala 244:36] + node _T_715 = and(_T_714, _T_673) @[el2_lib.scala 244:41] + node _T_716 = bits(io.trigger_pkt_any[2].tdata2, 6, 6) @[el2_lib.scala 244:74] + node _T_717 = bits(dec_i0_match_data[2], 6, 6) @[el2_lib.scala 244:86] + node _T_718 = eq(_T_716, _T_717) @[el2_lib.scala 244:78] + node _T_719 = mux(_T_715, UInt<1>("h01"), _T_718) @[el2_lib.scala 244:23] + _T_670[6] <= _T_719 @[el2_lib.scala 244:17] + node _T_720 = bits(io.trigger_pkt_any[2].tdata2, 6, 0) @[el2_lib.scala 244:28] + node _T_721 = andr(_T_720) @[el2_lib.scala 244:36] + node _T_722 = and(_T_721, _T_673) @[el2_lib.scala 244:41] + node _T_723 = bits(io.trigger_pkt_any[2].tdata2, 7, 7) @[el2_lib.scala 244:74] + node _T_724 = bits(dec_i0_match_data[2], 7, 7) @[el2_lib.scala 244:86] + node _T_725 = eq(_T_723, _T_724) @[el2_lib.scala 244:78] + node _T_726 = mux(_T_722, UInt<1>("h01"), _T_725) @[el2_lib.scala 244:23] + _T_670[7] <= _T_726 @[el2_lib.scala 244:17] + node _T_727 = bits(io.trigger_pkt_any[2].tdata2, 7, 0) @[el2_lib.scala 244:28] + node _T_728 = andr(_T_727) @[el2_lib.scala 244:36] + node _T_729 = and(_T_728, _T_673) @[el2_lib.scala 244:41] + node _T_730 = bits(io.trigger_pkt_any[2].tdata2, 8, 8) @[el2_lib.scala 244:74] + node _T_731 = bits(dec_i0_match_data[2], 8, 8) @[el2_lib.scala 244:86] + node _T_732 = eq(_T_730, _T_731) @[el2_lib.scala 244:78] + node _T_733 = mux(_T_729, UInt<1>("h01"), _T_732) @[el2_lib.scala 244:23] + _T_670[8] <= _T_733 @[el2_lib.scala 244:17] + node _T_734 = bits(io.trigger_pkt_any[2].tdata2, 8, 0) @[el2_lib.scala 244:28] + node _T_735 = andr(_T_734) @[el2_lib.scala 244:36] + node _T_736 = and(_T_735, _T_673) @[el2_lib.scala 244:41] + node _T_737 = bits(io.trigger_pkt_any[2].tdata2, 9, 9) @[el2_lib.scala 244:74] + node _T_738 = bits(dec_i0_match_data[2], 9, 9) @[el2_lib.scala 244:86] + node _T_739 = eq(_T_737, _T_738) @[el2_lib.scala 244:78] + node _T_740 = mux(_T_736, UInt<1>("h01"), _T_739) @[el2_lib.scala 244:23] + _T_670[9] <= _T_740 @[el2_lib.scala 244:17] + node _T_741 = bits(io.trigger_pkt_any[2].tdata2, 9, 0) @[el2_lib.scala 244:28] + node _T_742 = andr(_T_741) @[el2_lib.scala 244:36] + node _T_743 = and(_T_742, _T_673) @[el2_lib.scala 244:41] + node _T_744 = bits(io.trigger_pkt_any[2].tdata2, 10, 10) @[el2_lib.scala 244:74] + node _T_745 = bits(dec_i0_match_data[2], 10, 10) @[el2_lib.scala 244:86] + node _T_746 = eq(_T_744, _T_745) @[el2_lib.scala 244:78] + node _T_747 = mux(_T_743, UInt<1>("h01"), _T_746) @[el2_lib.scala 244:23] + _T_670[10] <= _T_747 @[el2_lib.scala 244:17] + node _T_748 = bits(io.trigger_pkt_any[2].tdata2, 10, 0) @[el2_lib.scala 244:28] + node _T_749 = andr(_T_748) @[el2_lib.scala 244:36] + node _T_750 = and(_T_749, _T_673) @[el2_lib.scala 244:41] + node _T_751 = bits(io.trigger_pkt_any[2].tdata2, 11, 11) @[el2_lib.scala 244:74] + node _T_752 = bits(dec_i0_match_data[2], 11, 11) @[el2_lib.scala 244:86] + node _T_753 = eq(_T_751, _T_752) @[el2_lib.scala 244:78] + node _T_754 = mux(_T_750, UInt<1>("h01"), _T_753) @[el2_lib.scala 244:23] + _T_670[11] <= _T_754 @[el2_lib.scala 244:17] + node _T_755 = bits(io.trigger_pkt_any[2].tdata2, 11, 0) @[el2_lib.scala 244:28] + node _T_756 = andr(_T_755) @[el2_lib.scala 244:36] + node _T_757 = and(_T_756, _T_673) @[el2_lib.scala 244:41] + node _T_758 = bits(io.trigger_pkt_any[2].tdata2, 12, 12) @[el2_lib.scala 244:74] + node _T_759 = bits(dec_i0_match_data[2], 12, 12) @[el2_lib.scala 244:86] + node _T_760 = eq(_T_758, _T_759) @[el2_lib.scala 244:78] + node _T_761 = mux(_T_757, UInt<1>("h01"), _T_760) @[el2_lib.scala 244:23] + _T_670[12] <= _T_761 @[el2_lib.scala 244:17] + node _T_762 = bits(io.trigger_pkt_any[2].tdata2, 12, 0) @[el2_lib.scala 244:28] + node _T_763 = andr(_T_762) @[el2_lib.scala 244:36] + node _T_764 = and(_T_763, _T_673) @[el2_lib.scala 244:41] + node _T_765 = bits(io.trigger_pkt_any[2].tdata2, 13, 13) @[el2_lib.scala 244:74] + node _T_766 = bits(dec_i0_match_data[2], 13, 13) @[el2_lib.scala 244:86] + node _T_767 = eq(_T_765, _T_766) @[el2_lib.scala 244:78] + node _T_768 = mux(_T_764, UInt<1>("h01"), _T_767) @[el2_lib.scala 244:23] + _T_670[13] <= _T_768 @[el2_lib.scala 244:17] + node _T_769 = bits(io.trigger_pkt_any[2].tdata2, 13, 0) @[el2_lib.scala 244:28] + node _T_770 = andr(_T_769) @[el2_lib.scala 244:36] + node _T_771 = and(_T_770, _T_673) @[el2_lib.scala 244:41] + node _T_772 = bits(io.trigger_pkt_any[2].tdata2, 14, 14) @[el2_lib.scala 244:74] + node _T_773 = bits(dec_i0_match_data[2], 14, 14) @[el2_lib.scala 244:86] + node _T_774 = eq(_T_772, _T_773) @[el2_lib.scala 244:78] + node _T_775 = mux(_T_771, UInt<1>("h01"), _T_774) @[el2_lib.scala 244:23] + _T_670[14] <= _T_775 @[el2_lib.scala 244:17] + node _T_776 = bits(io.trigger_pkt_any[2].tdata2, 14, 0) @[el2_lib.scala 244:28] + node _T_777 = andr(_T_776) @[el2_lib.scala 244:36] + node _T_778 = and(_T_777, _T_673) @[el2_lib.scala 244:41] + node _T_779 = bits(io.trigger_pkt_any[2].tdata2, 15, 15) @[el2_lib.scala 244:74] + node _T_780 = bits(dec_i0_match_data[2], 15, 15) @[el2_lib.scala 244:86] + node _T_781 = eq(_T_779, _T_780) @[el2_lib.scala 244:78] + node _T_782 = mux(_T_778, UInt<1>("h01"), _T_781) @[el2_lib.scala 244:23] + _T_670[15] <= _T_782 @[el2_lib.scala 244:17] + node _T_783 = bits(io.trigger_pkt_any[2].tdata2, 15, 0) @[el2_lib.scala 244:28] + node _T_784 = andr(_T_783) @[el2_lib.scala 244:36] + node _T_785 = and(_T_784, _T_673) @[el2_lib.scala 244:41] + node _T_786 = bits(io.trigger_pkt_any[2].tdata2, 16, 16) @[el2_lib.scala 244:74] + node _T_787 = bits(dec_i0_match_data[2], 16, 16) @[el2_lib.scala 244:86] + node _T_788 = eq(_T_786, _T_787) @[el2_lib.scala 244:78] + node _T_789 = mux(_T_785, UInt<1>("h01"), _T_788) @[el2_lib.scala 244:23] + _T_670[16] <= _T_789 @[el2_lib.scala 244:17] + node _T_790 = bits(io.trigger_pkt_any[2].tdata2, 16, 0) @[el2_lib.scala 244:28] + node _T_791 = andr(_T_790) @[el2_lib.scala 244:36] + node _T_792 = and(_T_791, _T_673) @[el2_lib.scala 244:41] + node _T_793 = bits(io.trigger_pkt_any[2].tdata2, 17, 17) @[el2_lib.scala 244:74] + node _T_794 = bits(dec_i0_match_data[2], 17, 17) @[el2_lib.scala 244:86] + node _T_795 = eq(_T_793, _T_794) @[el2_lib.scala 244:78] + node _T_796 = mux(_T_792, UInt<1>("h01"), _T_795) @[el2_lib.scala 244:23] + _T_670[17] <= _T_796 @[el2_lib.scala 244:17] + node _T_797 = bits(io.trigger_pkt_any[2].tdata2, 17, 0) @[el2_lib.scala 244:28] + node _T_798 = andr(_T_797) @[el2_lib.scala 244:36] + node _T_799 = and(_T_798, _T_673) @[el2_lib.scala 244:41] + node _T_800 = bits(io.trigger_pkt_any[2].tdata2, 18, 18) @[el2_lib.scala 244:74] + node _T_801 = bits(dec_i0_match_data[2], 18, 18) @[el2_lib.scala 244:86] + node _T_802 = eq(_T_800, _T_801) @[el2_lib.scala 244:78] + node _T_803 = mux(_T_799, UInt<1>("h01"), _T_802) @[el2_lib.scala 244:23] + _T_670[18] <= _T_803 @[el2_lib.scala 244:17] + node _T_804 = bits(io.trigger_pkt_any[2].tdata2, 18, 0) @[el2_lib.scala 244:28] + node _T_805 = andr(_T_804) @[el2_lib.scala 244:36] + node _T_806 = and(_T_805, _T_673) @[el2_lib.scala 244:41] + node _T_807 = bits(io.trigger_pkt_any[2].tdata2, 19, 19) @[el2_lib.scala 244:74] + node _T_808 = bits(dec_i0_match_data[2], 19, 19) @[el2_lib.scala 244:86] + node _T_809 = eq(_T_807, _T_808) @[el2_lib.scala 244:78] + node _T_810 = mux(_T_806, UInt<1>("h01"), _T_809) @[el2_lib.scala 244:23] + _T_670[19] <= _T_810 @[el2_lib.scala 244:17] + node _T_811 = bits(io.trigger_pkt_any[2].tdata2, 19, 0) @[el2_lib.scala 244:28] + node _T_812 = andr(_T_811) @[el2_lib.scala 244:36] + node _T_813 = and(_T_812, _T_673) @[el2_lib.scala 244:41] + node _T_814 = bits(io.trigger_pkt_any[2].tdata2, 20, 20) @[el2_lib.scala 244:74] + node _T_815 = bits(dec_i0_match_data[2], 20, 20) @[el2_lib.scala 244:86] + node _T_816 = eq(_T_814, _T_815) @[el2_lib.scala 244:78] + node _T_817 = mux(_T_813, UInt<1>("h01"), _T_816) @[el2_lib.scala 244:23] + _T_670[20] <= _T_817 @[el2_lib.scala 244:17] + node _T_818 = bits(io.trigger_pkt_any[2].tdata2, 20, 0) @[el2_lib.scala 244:28] + node _T_819 = andr(_T_818) @[el2_lib.scala 244:36] + node _T_820 = and(_T_819, _T_673) @[el2_lib.scala 244:41] + node _T_821 = bits(io.trigger_pkt_any[2].tdata2, 21, 21) @[el2_lib.scala 244:74] + node _T_822 = bits(dec_i0_match_data[2], 21, 21) @[el2_lib.scala 244:86] + node _T_823 = eq(_T_821, _T_822) @[el2_lib.scala 244:78] + node _T_824 = mux(_T_820, UInt<1>("h01"), _T_823) @[el2_lib.scala 244:23] + _T_670[21] <= _T_824 @[el2_lib.scala 244:17] + node _T_825 = bits(io.trigger_pkt_any[2].tdata2, 21, 0) @[el2_lib.scala 244:28] + node _T_826 = andr(_T_825) @[el2_lib.scala 244:36] + node _T_827 = and(_T_826, _T_673) @[el2_lib.scala 244:41] + node _T_828 = bits(io.trigger_pkt_any[2].tdata2, 22, 22) @[el2_lib.scala 244:74] + node _T_829 = bits(dec_i0_match_data[2], 22, 22) @[el2_lib.scala 244:86] + node _T_830 = eq(_T_828, _T_829) @[el2_lib.scala 244:78] + node _T_831 = mux(_T_827, UInt<1>("h01"), _T_830) @[el2_lib.scala 244:23] + _T_670[22] <= _T_831 @[el2_lib.scala 244:17] + node _T_832 = bits(io.trigger_pkt_any[2].tdata2, 22, 0) @[el2_lib.scala 244:28] + node _T_833 = andr(_T_832) @[el2_lib.scala 244:36] + node _T_834 = and(_T_833, _T_673) @[el2_lib.scala 244:41] + node _T_835 = bits(io.trigger_pkt_any[2].tdata2, 23, 23) @[el2_lib.scala 244:74] + node _T_836 = bits(dec_i0_match_data[2], 23, 23) @[el2_lib.scala 244:86] + node _T_837 = eq(_T_835, _T_836) @[el2_lib.scala 244:78] + node _T_838 = mux(_T_834, UInt<1>("h01"), _T_837) @[el2_lib.scala 244:23] + _T_670[23] <= _T_838 @[el2_lib.scala 244:17] + node _T_839 = bits(io.trigger_pkt_any[2].tdata2, 23, 0) @[el2_lib.scala 244:28] + node _T_840 = andr(_T_839) @[el2_lib.scala 244:36] + node _T_841 = and(_T_840, _T_673) @[el2_lib.scala 244:41] + node _T_842 = bits(io.trigger_pkt_any[2].tdata2, 24, 24) @[el2_lib.scala 244:74] + node _T_843 = bits(dec_i0_match_data[2], 24, 24) @[el2_lib.scala 244:86] + node _T_844 = eq(_T_842, _T_843) @[el2_lib.scala 244:78] + node _T_845 = mux(_T_841, UInt<1>("h01"), _T_844) @[el2_lib.scala 244:23] + _T_670[24] <= _T_845 @[el2_lib.scala 244:17] + node _T_846 = bits(io.trigger_pkt_any[2].tdata2, 24, 0) @[el2_lib.scala 244:28] + node _T_847 = andr(_T_846) @[el2_lib.scala 244:36] + node _T_848 = and(_T_847, _T_673) @[el2_lib.scala 244:41] + node _T_849 = bits(io.trigger_pkt_any[2].tdata2, 25, 25) @[el2_lib.scala 244:74] + node _T_850 = bits(dec_i0_match_data[2], 25, 25) @[el2_lib.scala 244:86] + node _T_851 = eq(_T_849, _T_850) @[el2_lib.scala 244:78] + node _T_852 = mux(_T_848, UInt<1>("h01"), _T_851) @[el2_lib.scala 244:23] + _T_670[25] <= _T_852 @[el2_lib.scala 244:17] + node _T_853 = bits(io.trigger_pkt_any[2].tdata2, 25, 0) @[el2_lib.scala 244:28] + node _T_854 = andr(_T_853) @[el2_lib.scala 244:36] + node _T_855 = and(_T_854, _T_673) @[el2_lib.scala 244:41] + node _T_856 = bits(io.trigger_pkt_any[2].tdata2, 26, 26) @[el2_lib.scala 244:74] + node _T_857 = bits(dec_i0_match_data[2], 26, 26) @[el2_lib.scala 244:86] + node _T_858 = eq(_T_856, _T_857) @[el2_lib.scala 244:78] + node _T_859 = mux(_T_855, UInt<1>("h01"), _T_858) @[el2_lib.scala 244:23] + _T_670[26] <= _T_859 @[el2_lib.scala 244:17] + node _T_860 = bits(io.trigger_pkt_any[2].tdata2, 26, 0) @[el2_lib.scala 244:28] + node _T_861 = andr(_T_860) @[el2_lib.scala 244:36] + node _T_862 = and(_T_861, _T_673) @[el2_lib.scala 244:41] + node _T_863 = bits(io.trigger_pkt_any[2].tdata2, 27, 27) @[el2_lib.scala 244:74] + node _T_864 = bits(dec_i0_match_data[2], 27, 27) @[el2_lib.scala 244:86] + node _T_865 = eq(_T_863, _T_864) @[el2_lib.scala 244:78] + node _T_866 = mux(_T_862, UInt<1>("h01"), _T_865) @[el2_lib.scala 244:23] + _T_670[27] <= _T_866 @[el2_lib.scala 244:17] + node _T_867 = bits(io.trigger_pkt_any[2].tdata2, 27, 0) @[el2_lib.scala 244:28] + node _T_868 = andr(_T_867) @[el2_lib.scala 244:36] + node _T_869 = and(_T_868, _T_673) @[el2_lib.scala 244:41] + node _T_870 = bits(io.trigger_pkt_any[2].tdata2, 28, 28) @[el2_lib.scala 244:74] + node _T_871 = bits(dec_i0_match_data[2], 28, 28) @[el2_lib.scala 244:86] + node _T_872 = eq(_T_870, _T_871) @[el2_lib.scala 244:78] + node _T_873 = mux(_T_869, UInt<1>("h01"), _T_872) @[el2_lib.scala 244:23] + _T_670[28] <= _T_873 @[el2_lib.scala 244:17] + node _T_874 = bits(io.trigger_pkt_any[2].tdata2, 28, 0) @[el2_lib.scala 244:28] + node _T_875 = andr(_T_874) @[el2_lib.scala 244:36] + node _T_876 = and(_T_875, _T_673) @[el2_lib.scala 244:41] + node _T_877 = bits(io.trigger_pkt_any[2].tdata2, 29, 29) @[el2_lib.scala 244:74] + node _T_878 = bits(dec_i0_match_data[2], 29, 29) @[el2_lib.scala 244:86] + node _T_879 = eq(_T_877, _T_878) @[el2_lib.scala 244:78] + node _T_880 = mux(_T_876, UInt<1>("h01"), _T_879) @[el2_lib.scala 244:23] + _T_670[29] <= _T_880 @[el2_lib.scala 244:17] + node _T_881 = bits(io.trigger_pkt_any[2].tdata2, 29, 0) @[el2_lib.scala 244:28] + node _T_882 = andr(_T_881) @[el2_lib.scala 244:36] + node _T_883 = and(_T_882, _T_673) @[el2_lib.scala 244:41] + node _T_884 = bits(io.trigger_pkt_any[2].tdata2, 30, 30) @[el2_lib.scala 244:74] + node _T_885 = bits(dec_i0_match_data[2], 30, 30) @[el2_lib.scala 244:86] + node _T_886 = eq(_T_884, _T_885) @[el2_lib.scala 244:78] + node _T_887 = mux(_T_883, UInt<1>("h01"), _T_886) @[el2_lib.scala 244:23] + _T_670[30] <= _T_887 @[el2_lib.scala 244:17] + node _T_888 = bits(io.trigger_pkt_any[2].tdata2, 30, 0) @[el2_lib.scala 244:28] + node _T_889 = andr(_T_888) @[el2_lib.scala 244:36] + node _T_890 = and(_T_889, _T_673) @[el2_lib.scala 244:41] + node _T_891 = bits(io.trigger_pkt_any[2].tdata2, 31, 31) @[el2_lib.scala 244:74] + node _T_892 = bits(dec_i0_match_data[2], 31, 31) @[el2_lib.scala 244:86] + node _T_893 = eq(_T_891, _T_892) @[el2_lib.scala 244:78] + node _T_894 = mux(_T_890, UInt<1>("h01"), _T_893) @[el2_lib.scala 244:23] + _T_670[31] <= _T_894 @[el2_lib.scala 244:17] + node _T_895 = cat(_T_670[1], _T_670[0]) @[el2_lib.scala 245:14] + node _T_896 = cat(_T_670[3], _T_670[2]) @[el2_lib.scala 245:14] + node _T_897 = cat(_T_896, _T_895) @[el2_lib.scala 245:14] + node _T_898 = cat(_T_670[5], _T_670[4]) @[el2_lib.scala 245:14] + node _T_899 = cat(_T_670[7], _T_670[6]) @[el2_lib.scala 245:14] + node _T_900 = cat(_T_899, _T_898) @[el2_lib.scala 245:14] + node _T_901 = cat(_T_900, _T_897) @[el2_lib.scala 245:14] + node _T_902 = cat(_T_670[9], _T_670[8]) @[el2_lib.scala 245:14] + node _T_903 = cat(_T_670[11], _T_670[10]) @[el2_lib.scala 245:14] + node _T_904 = cat(_T_903, _T_902) @[el2_lib.scala 245:14] + node _T_905 = cat(_T_670[13], _T_670[12]) @[el2_lib.scala 245:14] + node _T_906 = cat(_T_670[15], _T_670[14]) @[el2_lib.scala 245:14] + node _T_907 = cat(_T_906, _T_905) @[el2_lib.scala 245:14] + node _T_908 = cat(_T_907, _T_904) @[el2_lib.scala 245:14] + node _T_909 = cat(_T_908, _T_901) @[el2_lib.scala 245:14] + node _T_910 = cat(_T_670[17], _T_670[16]) @[el2_lib.scala 245:14] + node _T_911 = cat(_T_670[19], _T_670[18]) @[el2_lib.scala 245:14] + node _T_912 = cat(_T_911, _T_910) @[el2_lib.scala 245:14] + node _T_913 = cat(_T_670[21], _T_670[20]) @[el2_lib.scala 245:14] + node _T_914 = cat(_T_670[23], _T_670[22]) @[el2_lib.scala 245:14] + node _T_915 = cat(_T_914, _T_913) @[el2_lib.scala 245:14] + node _T_916 = cat(_T_915, _T_912) @[el2_lib.scala 245:14] + node _T_917 = cat(_T_670[25], _T_670[24]) @[el2_lib.scala 245:14] + node _T_918 = cat(_T_670[27], _T_670[26]) @[el2_lib.scala 245:14] + node _T_919 = cat(_T_918, _T_917) @[el2_lib.scala 245:14] + node _T_920 = cat(_T_670[29], _T_670[28]) @[el2_lib.scala 245:14] + node _T_921 = cat(_T_670[31], _T_670[30]) @[el2_lib.scala 245:14] + node _T_922 = cat(_T_921, _T_920) @[el2_lib.scala 245:14] + node _T_923 = cat(_T_922, _T_919) @[el2_lib.scala 245:14] + node _T_924 = cat(_T_923, _T_916) @[el2_lib.scala 245:14] + node _T_925 = cat(_T_924, _T_909) @[el2_lib.scala 245:14] + node _T_926 = andr(_T_925) @[el2_lib.scala 245:25] + node _T_927 = and(_T_668, _T_926) @[dec_trigger.scala 15:109] + node _T_928 = and(io.trigger_pkt_any[3].execute, io.trigger_pkt_any[3].m) @[dec_trigger.scala 15:83] + node _T_929 = bits(io.trigger_pkt_any[3].match_pkt, 0, 0) @[dec_trigger.scala 15:216] + wire _T_930 : UInt<1>[32] @[el2_lib.scala 240:24] + node _T_931 = andr(io.trigger_pkt_any[3].tdata2) @[el2_lib.scala 241:45] + node _T_932 = not(_T_931) @[el2_lib.scala 241:39] + node _T_933 = and(_T_929, _T_932) @[el2_lib.scala 241:37] + node _T_934 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[el2_lib.scala 242:48] + node _T_935 = bits(dec_i0_match_data[3], 0, 0) @[el2_lib.scala 242:60] + node _T_936 = eq(_T_934, _T_935) @[el2_lib.scala 242:52] + node _T_937 = or(_T_933, _T_936) @[el2_lib.scala 242:41] + _T_930[0] <= _T_937 @[el2_lib.scala 242:18] + node _T_938 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[el2_lib.scala 244:28] + node _T_939 = andr(_T_938) @[el2_lib.scala 244:36] + node _T_940 = and(_T_939, _T_933) @[el2_lib.scala 244:41] + node _T_941 = bits(io.trigger_pkt_any[3].tdata2, 1, 1) @[el2_lib.scala 244:74] + node _T_942 = bits(dec_i0_match_data[3], 1, 1) @[el2_lib.scala 244:86] + node _T_943 = eq(_T_941, _T_942) @[el2_lib.scala 244:78] + node _T_944 = mux(_T_940, UInt<1>("h01"), _T_943) @[el2_lib.scala 244:23] + _T_930[1] <= _T_944 @[el2_lib.scala 244:17] + node _T_945 = bits(io.trigger_pkt_any[3].tdata2, 1, 0) @[el2_lib.scala 244:28] + node _T_946 = andr(_T_945) @[el2_lib.scala 244:36] + node _T_947 = and(_T_946, _T_933) @[el2_lib.scala 244:41] + node _T_948 = bits(io.trigger_pkt_any[3].tdata2, 2, 2) @[el2_lib.scala 244:74] + node _T_949 = bits(dec_i0_match_data[3], 2, 2) @[el2_lib.scala 244:86] + node _T_950 = eq(_T_948, _T_949) @[el2_lib.scala 244:78] + node _T_951 = mux(_T_947, UInt<1>("h01"), _T_950) @[el2_lib.scala 244:23] + _T_930[2] <= _T_951 @[el2_lib.scala 244:17] + node _T_952 = bits(io.trigger_pkt_any[3].tdata2, 2, 0) @[el2_lib.scala 244:28] + node _T_953 = andr(_T_952) @[el2_lib.scala 244:36] + node _T_954 = and(_T_953, _T_933) @[el2_lib.scala 244:41] + node _T_955 = bits(io.trigger_pkt_any[3].tdata2, 3, 3) @[el2_lib.scala 244:74] + node _T_956 = bits(dec_i0_match_data[3], 3, 3) @[el2_lib.scala 244:86] + node _T_957 = eq(_T_955, _T_956) @[el2_lib.scala 244:78] + node _T_958 = mux(_T_954, UInt<1>("h01"), _T_957) @[el2_lib.scala 244:23] + _T_930[3] <= _T_958 @[el2_lib.scala 244:17] + node _T_959 = bits(io.trigger_pkt_any[3].tdata2, 3, 0) @[el2_lib.scala 244:28] + node _T_960 = andr(_T_959) @[el2_lib.scala 244:36] + node _T_961 = and(_T_960, _T_933) @[el2_lib.scala 244:41] + node _T_962 = bits(io.trigger_pkt_any[3].tdata2, 4, 4) @[el2_lib.scala 244:74] + node _T_963 = bits(dec_i0_match_data[3], 4, 4) @[el2_lib.scala 244:86] + node _T_964 = eq(_T_962, _T_963) @[el2_lib.scala 244:78] + node _T_965 = mux(_T_961, UInt<1>("h01"), _T_964) @[el2_lib.scala 244:23] + _T_930[4] <= _T_965 @[el2_lib.scala 244:17] + node _T_966 = bits(io.trigger_pkt_any[3].tdata2, 4, 0) @[el2_lib.scala 244:28] + node _T_967 = andr(_T_966) @[el2_lib.scala 244:36] + node _T_968 = and(_T_967, _T_933) @[el2_lib.scala 244:41] + node _T_969 = bits(io.trigger_pkt_any[3].tdata2, 5, 5) @[el2_lib.scala 244:74] + node _T_970 = bits(dec_i0_match_data[3], 5, 5) @[el2_lib.scala 244:86] + node _T_971 = eq(_T_969, _T_970) @[el2_lib.scala 244:78] + node _T_972 = mux(_T_968, UInt<1>("h01"), _T_971) @[el2_lib.scala 244:23] + _T_930[5] <= _T_972 @[el2_lib.scala 244:17] + node _T_973 = bits(io.trigger_pkt_any[3].tdata2, 5, 0) @[el2_lib.scala 244:28] + node _T_974 = andr(_T_973) @[el2_lib.scala 244:36] + node _T_975 = and(_T_974, _T_933) @[el2_lib.scala 244:41] + node _T_976 = bits(io.trigger_pkt_any[3].tdata2, 6, 6) @[el2_lib.scala 244:74] + node _T_977 = bits(dec_i0_match_data[3], 6, 6) @[el2_lib.scala 244:86] + node _T_978 = eq(_T_976, _T_977) @[el2_lib.scala 244:78] + node _T_979 = mux(_T_975, UInt<1>("h01"), _T_978) @[el2_lib.scala 244:23] + _T_930[6] <= _T_979 @[el2_lib.scala 244:17] + node _T_980 = bits(io.trigger_pkt_any[3].tdata2, 6, 0) @[el2_lib.scala 244:28] + node _T_981 = andr(_T_980) @[el2_lib.scala 244:36] + node _T_982 = and(_T_981, _T_933) @[el2_lib.scala 244:41] + node _T_983 = bits(io.trigger_pkt_any[3].tdata2, 7, 7) @[el2_lib.scala 244:74] + node _T_984 = bits(dec_i0_match_data[3], 7, 7) @[el2_lib.scala 244:86] + node _T_985 = eq(_T_983, _T_984) @[el2_lib.scala 244:78] + node _T_986 = mux(_T_982, UInt<1>("h01"), _T_985) @[el2_lib.scala 244:23] + _T_930[7] <= _T_986 @[el2_lib.scala 244:17] + node _T_987 = bits(io.trigger_pkt_any[3].tdata2, 7, 0) @[el2_lib.scala 244:28] + node _T_988 = andr(_T_987) @[el2_lib.scala 244:36] + node _T_989 = and(_T_988, _T_933) @[el2_lib.scala 244:41] + node _T_990 = bits(io.trigger_pkt_any[3].tdata2, 8, 8) @[el2_lib.scala 244:74] + node _T_991 = bits(dec_i0_match_data[3], 8, 8) @[el2_lib.scala 244:86] + node _T_992 = eq(_T_990, _T_991) @[el2_lib.scala 244:78] + node _T_993 = mux(_T_989, UInt<1>("h01"), _T_992) @[el2_lib.scala 244:23] + _T_930[8] <= _T_993 @[el2_lib.scala 244:17] + node _T_994 = bits(io.trigger_pkt_any[3].tdata2, 8, 0) @[el2_lib.scala 244:28] + node _T_995 = andr(_T_994) @[el2_lib.scala 244:36] + node _T_996 = and(_T_995, _T_933) @[el2_lib.scala 244:41] + node _T_997 = bits(io.trigger_pkt_any[3].tdata2, 9, 9) @[el2_lib.scala 244:74] + node _T_998 = bits(dec_i0_match_data[3], 9, 9) @[el2_lib.scala 244:86] + node _T_999 = eq(_T_997, _T_998) @[el2_lib.scala 244:78] + node _T_1000 = mux(_T_996, UInt<1>("h01"), _T_999) @[el2_lib.scala 244:23] + _T_930[9] <= _T_1000 @[el2_lib.scala 244:17] + node _T_1001 = bits(io.trigger_pkt_any[3].tdata2, 9, 0) @[el2_lib.scala 244:28] + node _T_1002 = andr(_T_1001) @[el2_lib.scala 244:36] + node _T_1003 = and(_T_1002, _T_933) @[el2_lib.scala 244:41] + node _T_1004 = bits(io.trigger_pkt_any[3].tdata2, 10, 10) @[el2_lib.scala 244:74] + node _T_1005 = bits(dec_i0_match_data[3], 10, 10) @[el2_lib.scala 244:86] + node _T_1006 = eq(_T_1004, _T_1005) @[el2_lib.scala 244:78] + node _T_1007 = mux(_T_1003, UInt<1>("h01"), _T_1006) @[el2_lib.scala 244:23] + _T_930[10] <= _T_1007 @[el2_lib.scala 244:17] + node _T_1008 = bits(io.trigger_pkt_any[3].tdata2, 10, 0) @[el2_lib.scala 244:28] + node _T_1009 = andr(_T_1008) @[el2_lib.scala 244:36] + node _T_1010 = and(_T_1009, _T_933) @[el2_lib.scala 244:41] + node _T_1011 = bits(io.trigger_pkt_any[3].tdata2, 11, 11) @[el2_lib.scala 244:74] + node _T_1012 = bits(dec_i0_match_data[3], 11, 11) @[el2_lib.scala 244:86] + node _T_1013 = eq(_T_1011, _T_1012) @[el2_lib.scala 244:78] + node _T_1014 = mux(_T_1010, UInt<1>("h01"), _T_1013) @[el2_lib.scala 244:23] + _T_930[11] <= _T_1014 @[el2_lib.scala 244:17] + node _T_1015 = bits(io.trigger_pkt_any[3].tdata2, 11, 0) @[el2_lib.scala 244:28] + node _T_1016 = andr(_T_1015) @[el2_lib.scala 244:36] + node _T_1017 = and(_T_1016, _T_933) @[el2_lib.scala 244:41] + node _T_1018 = bits(io.trigger_pkt_any[3].tdata2, 12, 12) @[el2_lib.scala 244:74] + node _T_1019 = bits(dec_i0_match_data[3], 12, 12) @[el2_lib.scala 244:86] + node _T_1020 = eq(_T_1018, _T_1019) @[el2_lib.scala 244:78] + node _T_1021 = mux(_T_1017, UInt<1>("h01"), _T_1020) @[el2_lib.scala 244:23] + _T_930[12] <= _T_1021 @[el2_lib.scala 244:17] + node _T_1022 = bits(io.trigger_pkt_any[3].tdata2, 12, 0) @[el2_lib.scala 244:28] + node _T_1023 = andr(_T_1022) @[el2_lib.scala 244:36] + node _T_1024 = and(_T_1023, _T_933) @[el2_lib.scala 244:41] + node _T_1025 = bits(io.trigger_pkt_any[3].tdata2, 13, 13) @[el2_lib.scala 244:74] + node _T_1026 = bits(dec_i0_match_data[3], 13, 13) @[el2_lib.scala 244:86] + node _T_1027 = eq(_T_1025, _T_1026) @[el2_lib.scala 244:78] + node _T_1028 = mux(_T_1024, UInt<1>("h01"), _T_1027) @[el2_lib.scala 244:23] + _T_930[13] <= _T_1028 @[el2_lib.scala 244:17] + node _T_1029 = bits(io.trigger_pkt_any[3].tdata2, 13, 0) @[el2_lib.scala 244:28] + node _T_1030 = andr(_T_1029) @[el2_lib.scala 244:36] + node _T_1031 = and(_T_1030, _T_933) @[el2_lib.scala 244:41] + node _T_1032 = bits(io.trigger_pkt_any[3].tdata2, 14, 14) @[el2_lib.scala 244:74] + node _T_1033 = bits(dec_i0_match_data[3], 14, 14) @[el2_lib.scala 244:86] + node _T_1034 = eq(_T_1032, _T_1033) @[el2_lib.scala 244:78] + node _T_1035 = mux(_T_1031, UInt<1>("h01"), _T_1034) @[el2_lib.scala 244:23] + _T_930[14] <= _T_1035 @[el2_lib.scala 244:17] + node _T_1036 = bits(io.trigger_pkt_any[3].tdata2, 14, 0) @[el2_lib.scala 244:28] + node _T_1037 = andr(_T_1036) @[el2_lib.scala 244:36] + node _T_1038 = and(_T_1037, _T_933) @[el2_lib.scala 244:41] + node _T_1039 = bits(io.trigger_pkt_any[3].tdata2, 15, 15) @[el2_lib.scala 244:74] + node _T_1040 = bits(dec_i0_match_data[3], 15, 15) @[el2_lib.scala 244:86] + node _T_1041 = eq(_T_1039, _T_1040) @[el2_lib.scala 244:78] + node _T_1042 = mux(_T_1038, UInt<1>("h01"), _T_1041) @[el2_lib.scala 244:23] + _T_930[15] <= _T_1042 @[el2_lib.scala 244:17] + node _T_1043 = bits(io.trigger_pkt_any[3].tdata2, 15, 0) @[el2_lib.scala 244:28] + node _T_1044 = andr(_T_1043) @[el2_lib.scala 244:36] + node _T_1045 = and(_T_1044, _T_933) @[el2_lib.scala 244:41] + node _T_1046 = bits(io.trigger_pkt_any[3].tdata2, 16, 16) @[el2_lib.scala 244:74] + node _T_1047 = bits(dec_i0_match_data[3], 16, 16) @[el2_lib.scala 244:86] + node _T_1048 = eq(_T_1046, _T_1047) @[el2_lib.scala 244:78] + node _T_1049 = mux(_T_1045, UInt<1>("h01"), _T_1048) @[el2_lib.scala 244:23] + _T_930[16] <= _T_1049 @[el2_lib.scala 244:17] + node _T_1050 = bits(io.trigger_pkt_any[3].tdata2, 16, 0) @[el2_lib.scala 244:28] + node _T_1051 = andr(_T_1050) @[el2_lib.scala 244:36] + node _T_1052 = and(_T_1051, _T_933) @[el2_lib.scala 244:41] + node _T_1053 = bits(io.trigger_pkt_any[3].tdata2, 17, 17) @[el2_lib.scala 244:74] + node _T_1054 = bits(dec_i0_match_data[3], 17, 17) @[el2_lib.scala 244:86] + node _T_1055 = eq(_T_1053, _T_1054) @[el2_lib.scala 244:78] + node _T_1056 = mux(_T_1052, UInt<1>("h01"), _T_1055) @[el2_lib.scala 244:23] + _T_930[17] <= _T_1056 @[el2_lib.scala 244:17] + node _T_1057 = bits(io.trigger_pkt_any[3].tdata2, 17, 0) @[el2_lib.scala 244:28] + node _T_1058 = andr(_T_1057) @[el2_lib.scala 244:36] + node _T_1059 = and(_T_1058, _T_933) @[el2_lib.scala 244:41] + node _T_1060 = bits(io.trigger_pkt_any[3].tdata2, 18, 18) @[el2_lib.scala 244:74] + node _T_1061 = bits(dec_i0_match_data[3], 18, 18) @[el2_lib.scala 244:86] + node _T_1062 = eq(_T_1060, _T_1061) @[el2_lib.scala 244:78] + node _T_1063 = mux(_T_1059, UInt<1>("h01"), _T_1062) @[el2_lib.scala 244:23] + _T_930[18] <= _T_1063 @[el2_lib.scala 244:17] + node _T_1064 = bits(io.trigger_pkt_any[3].tdata2, 18, 0) @[el2_lib.scala 244:28] + node _T_1065 = andr(_T_1064) @[el2_lib.scala 244:36] + node _T_1066 = and(_T_1065, _T_933) @[el2_lib.scala 244:41] + node _T_1067 = bits(io.trigger_pkt_any[3].tdata2, 19, 19) @[el2_lib.scala 244:74] + node _T_1068 = bits(dec_i0_match_data[3], 19, 19) @[el2_lib.scala 244:86] + node _T_1069 = eq(_T_1067, _T_1068) @[el2_lib.scala 244:78] + node _T_1070 = mux(_T_1066, UInt<1>("h01"), _T_1069) @[el2_lib.scala 244:23] + _T_930[19] <= _T_1070 @[el2_lib.scala 244:17] + node _T_1071 = bits(io.trigger_pkt_any[3].tdata2, 19, 0) @[el2_lib.scala 244:28] + node _T_1072 = andr(_T_1071) @[el2_lib.scala 244:36] + node _T_1073 = and(_T_1072, _T_933) @[el2_lib.scala 244:41] + node _T_1074 = bits(io.trigger_pkt_any[3].tdata2, 20, 20) @[el2_lib.scala 244:74] + node _T_1075 = bits(dec_i0_match_data[3], 20, 20) @[el2_lib.scala 244:86] + node _T_1076 = eq(_T_1074, _T_1075) @[el2_lib.scala 244:78] + node _T_1077 = mux(_T_1073, UInt<1>("h01"), _T_1076) @[el2_lib.scala 244:23] + _T_930[20] <= _T_1077 @[el2_lib.scala 244:17] + node _T_1078 = bits(io.trigger_pkt_any[3].tdata2, 20, 0) @[el2_lib.scala 244:28] + node _T_1079 = andr(_T_1078) @[el2_lib.scala 244:36] + node _T_1080 = and(_T_1079, _T_933) @[el2_lib.scala 244:41] + node _T_1081 = bits(io.trigger_pkt_any[3].tdata2, 21, 21) @[el2_lib.scala 244:74] + node _T_1082 = bits(dec_i0_match_data[3], 21, 21) @[el2_lib.scala 244:86] + node _T_1083 = eq(_T_1081, _T_1082) @[el2_lib.scala 244:78] + node _T_1084 = mux(_T_1080, UInt<1>("h01"), _T_1083) @[el2_lib.scala 244:23] + _T_930[21] <= _T_1084 @[el2_lib.scala 244:17] + node _T_1085 = bits(io.trigger_pkt_any[3].tdata2, 21, 0) @[el2_lib.scala 244:28] + node _T_1086 = andr(_T_1085) @[el2_lib.scala 244:36] + node _T_1087 = and(_T_1086, _T_933) @[el2_lib.scala 244:41] + node _T_1088 = bits(io.trigger_pkt_any[3].tdata2, 22, 22) @[el2_lib.scala 244:74] + node _T_1089 = bits(dec_i0_match_data[3], 22, 22) @[el2_lib.scala 244:86] + node _T_1090 = eq(_T_1088, _T_1089) @[el2_lib.scala 244:78] + node _T_1091 = mux(_T_1087, UInt<1>("h01"), _T_1090) @[el2_lib.scala 244:23] + _T_930[22] <= _T_1091 @[el2_lib.scala 244:17] + node _T_1092 = bits(io.trigger_pkt_any[3].tdata2, 22, 0) @[el2_lib.scala 244:28] + node _T_1093 = andr(_T_1092) @[el2_lib.scala 244:36] + node _T_1094 = and(_T_1093, _T_933) @[el2_lib.scala 244:41] + node _T_1095 = bits(io.trigger_pkt_any[3].tdata2, 23, 23) @[el2_lib.scala 244:74] + node _T_1096 = bits(dec_i0_match_data[3], 23, 23) @[el2_lib.scala 244:86] + node _T_1097 = eq(_T_1095, _T_1096) @[el2_lib.scala 244:78] + node _T_1098 = mux(_T_1094, UInt<1>("h01"), _T_1097) @[el2_lib.scala 244:23] + _T_930[23] <= _T_1098 @[el2_lib.scala 244:17] + node _T_1099 = bits(io.trigger_pkt_any[3].tdata2, 23, 0) @[el2_lib.scala 244:28] + node _T_1100 = andr(_T_1099) @[el2_lib.scala 244:36] + node _T_1101 = and(_T_1100, _T_933) @[el2_lib.scala 244:41] + node _T_1102 = bits(io.trigger_pkt_any[3].tdata2, 24, 24) @[el2_lib.scala 244:74] + node _T_1103 = bits(dec_i0_match_data[3], 24, 24) @[el2_lib.scala 244:86] + node _T_1104 = eq(_T_1102, _T_1103) @[el2_lib.scala 244:78] + node _T_1105 = mux(_T_1101, UInt<1>("h01"), _T_1104) @[el2_lib.scala 244:23] + _T_930[24] <= _T_1105 @[el2_lib.scala 244:17] + node _T_1106 = bits(io.trigger_pkt_any[3].tdata2, 24, 0) @[el2_lib.scala 244:28] + node _T_1107 = andr(_T_1106) @[el2_lib.scala 244:36] + node _T_1108 = and(_T_1107, _T_933) @[el2_lib.scala 244:41] + node _T_1109 = bits(io.trigger_pkt_any[3].tdata2, 25, 25) @[el2_lib.scala 244:74] + node _T_1110 = bits(dec_i0_match_data[3], 25, 25) @[el2_lib.scala 244:86] + node _T_1111 = eq(_T_1109, _T_1110) @[el2_lib.scala 244:78] + node _T_1112 = mux(_T_1108, UInt<1>("h01"), _T_1111) @[el2_lib.scala 244:23] + _T_930[25] <= _T_1112 @[el2_lib.scala 244:17] + node _T_1113 = bits(io.trigger_pkt_any[3].tdata2, 25, 0) @[el2_lib.scala 244:28] + node _T_1114 = andr(_T_1113) @[el2_lib.scala 244:36] + node _T_1115 = and(_T_1114, _T_933) @[el2_lib.scala 244:41] + node _T_1116 = bits(io.trigger_pkt_any[3].tdata2, 26, 26) @[el2_lib.scala 244:74] + node _T_1117 = bits(dec_i0_match_data[3], 26, 26) @[el2_lib.scala 244:86] + node _T_1118 = eq(_T_1116, _T_1117) @[el2_lib.scala 244:78] + node _T_1119 = mux(_T_1115, UInt<1>("h01"), _T_1118) @[el2_lib.scala 244:23] + _T_930[26] <= _T_1119 @[el2_lib.scala 244:17] + node _T_1120 = bits(io.trigger_pkt_any[3].tdata2, 26, 0) @[el2_lib.scala 244:28] + node _T_1121 = andr(_T_1120) @[el2_lib.scala 244:36] + node _T_1122 = and(_T_1121, _T_933) @[el2_lib.scala 244:41] + node _T_1123 = bits(io.trigger_pkt_any[3].tdata2, 27, 27) @[el2_lib.scala 244:74] + node _T_1124 = bits(dec_i0_match_data[3], 27, 27) @[el2_lib.scala 244:86] + node _T_1125 = eq(_T_1123, _T_1124) @[el2_lib.scala 244:78] + node _T_1126 = mux(_T_1122, UInt<1>("h01"), _T_1125) @[el2_lib.scala 244:23] + _T_930[27] <= _T_1126 @[el2_lib.scala 244:17] + node _T_1127 = bits(io.trigger_pkt_any[3].tdata2, 27, 0) @[el2_lib.scala 244:28] + node _T_1128 = andr(_T_1127) @[el2_lib.scala 244:36] + node _T_1129 = and(_T_1128, _T_933) @[el2_lib.scala 244:41] + node _T_1130 = bits(io.trigger_pkt_any[3].tdata2, 28, 28) @[el2_lib.scala 244:74] + node _T_1131 = bits(dec_i0_match_data[3], 28, 28) @[el2_lib.scala 244:86] + node _T_1132 = eq(_T_1130, _T_1131) @[el2_lib.scala 244:78] + node _T_1133 = mux(_T_1129, UInt<1>("h01"), _T_1132) @[el2_lib.scala 244:23] + _T_930[28] <= _T_1133 @[el2_lib.scala 244:17] + node _T_1134 = bits(io.trigger_pkt_any[3].tdata2, 28, 0) @[el2_lib.scala 244:28] + node _T_1135 = andr(_T_1134) @[el2_lib.scala 244:36] + node _T_1136 = and(_T_1135, _T_933) @[el2_lib.scala 244:41] + node _T_1137 = bits(io.trigger_pkt_any[3].tdata2, 29, 29) @[el2_lib.scala 244:74] + node _T_1138 = bits(dec_i0_match_data[3], 29, 29) @[el2_lib.scala 244:86] + node _T_1139 = eq(_T_1137, _T_1138) @[el2_lib.scala 244:78] + node _T_1140 = mux(_T_1136, UInt<1>("h01"), _T_1139) @[el2_lib.scala 244:23] + _T_930[29] <= _T_1140 @[el2_lib.scala 244:17] + node _T_1141 = bits(io.trigger_pkt_any[3].tdata2, 29, 0) @[el2_lib.scala 244:28] + node _T_1142 = andr(_T_1141) @[el2_lib.scala 244:36] + node _T_1143 = and(_T_1142, _T_933) @[el2_lib.scala 244:41] + node _T_1144 = bits(io.trigger_pkt_any[3].tdata2, 30, 30) @[el2_lib.scala 244:74] + node _T_1145 = bits(dec_i0_match_data[3], 30, 30) @[el2_lib.scala 244:86] + node _T_1146 = eq(_T_1144, _T_1145) @[el2_lib.scala 244:78] + node _T_1147 = mux(_T_1143, UInt<1>("h01"), _T_1146) @[el2_lib.scala 244:23] + _T_930[30] <= _T_1147 @[el2_lib.scala 244:17] + node _T_1148 = bits(io.trigger_pkt_any[3].tdata2, 30, 0) @[el2_lib.scala 244:28] + node _T_1149 = andr(_T_1148) @[el2_lib.scala 244:36] + node _T_1150 = and(_T_1149, _T_933) @[el2_lib.scala 244:41] + node _T_1151 = bits(io.trigger_pkt_any[3].tdata2, 31, 31) @[el2_lib.scala 244:74] + node _T_1152 = bits(dec_i0_match_data[3], 31, 31) @[el2_lib.scala 244:86] + node _T_1153 = eq(_T_1151, _T_1152) @[el2_lib.scala 244:78] + node _T_1154 = mux(_T_1150, UInt<1>("h01"), _T_1153) @[el2_lib.scala 244:23] + _T_930[31] <= _T_1154 @[el2_lib.scala 244:17] + node _T_1155 = cat(_T_930[1], _T_930[0]) @[el2_lib.scala 245:14] + node _T_1156 = cat(_T_930[3], _T_930[2]) @[el2_lib.scala 245:14] node _T_1157 = cat(_T_1156, _T_1155) @[el2_lib.scala 245:14] - node _T_1158 = cat(_T_1157, _T_1154) @[el2_lib.scala 245:14] - node _T_1159 = cat(_T_927[9], _T_927[8]) @[el2_lib.scala 245:14] - node _T_1160 = cat(_T_927[11], _T_927[10]) @[el2_lib.scala 245:14] - node _T_1161 = cat(_T_1160, _T_1159) @[el2_lib.scala 245:14] - node _T_1162 = cat(_T_927[13], _T_927[12]) @[el2_lib.scala 245:14] - node _T_1163 = cat(_T_927[15], _T_927[14]) @[el2_lib.scala 245:14] + node _T_1158 = cat(_T_930[5], _T_930[4]) @[el2_lib.scala 245:14] + node _T_1159 = cat(_T_930[7], _T_930[6]) @[el2_lib.scala 245:14] + node _T_1160 = cat(_T_1159, _T_1158) @[el2_lib.scala 245:14] + node _T_1161 = cat(_T_1160, _T_1157) @[el2_lib.scala 245:14] + node _T_1162 = cat(_T_930[9], _T_930[8]) @[el2_lib.scala 245:14] + node _T_1163 = cat(_T_930[11], _T_930[10]) @[el2_lib.scala 245:14] node _T_1164 = cat(_T_1163, _T_1162) @[el2_lib.scala 245:14] - node _T_1165 = cat(_T_1164, _T_1161) @[el2_lib.scala 245:14] - node _T_1166 = cat(_T_1165, _T_1158) @[el2_lib.scala 245:14] - node _T_1167 = cat(_T_927[17], _T_927[16]) @[el2_lib.scala 245:14] - node _T_1168 = cat(_T_927[19], _T_927[18]) @[el2_lib.scala 245:14] - node _T_1169 = cat(_T_1168, _T_1167) @[el2_lib.scala 245:14] - node _T_1170 = cat(_T_927[21], _T_927[20]) @[el2_lib.scala 245:14] - node _T_1171 = cat(_T_927[23], _T_927[22]) @[el2_lib.scala 245:14] + node _T_1165 = cat(_T_930[13], _T_930[12]) @[el2_lib.scala 245:14] + node _T_1166 = cat(_T_930[15], _T_930[14]) @[el2_lib.scala 245:14] + node _T_1167 = cat(_T_1166, _T_1165) @[el2_lib.scala 245:14] + node _T_1168 = cat(_T_1167, _T_1164) @[el2_lib.scala 245:14] + node _T_1169 = cat(_T_1168, _T_1161) @[el2_lib.scala 245:14] + node _T_1170 = cat(_T_930[17], _T_930[16]) @[el2_lib.scala 245:14] + node _T_1171 = cat(_T_930[19], _T_930[18]) @[el2_lib.scala 245:14] node _T_1172 = cat(_T_1171, _T_1170) @[el2_lib.scala 245:14] - node _T_1173 = cat(_T_1172, _T_1169) @[el2_lib.scala 245:14] - node _T_1174 = cat(_T_927[25], _T_927[24]) @[el2_lib.scala 245:14] - node _T_1175 = cat(_T_927[27], _T_927[26]) @[el2_lib.scala 245:14] - node _T_1176 = cat(_T_1175, _T_1174) @[el2_lib.scala 245:14] - node _T_1177 = cat(_T_927[29], _T_927[28]) @[el2_lib.scala 245:14] - node _T_1178 = cat(_T_927[31], _T_927[30]) @[el2_lib.scala 245:14] + node _T_1173 = cat(_T_930[21], _T_930[20]) @[el2_lib.scala 245:14] + node _T_1174 = cat(_T_930[23], _T_930[22]) @[el2_lib.scala 245:14] + node _T_1175 = cat(_T_1174, _T_1173) @[el2_lib.scala 245:14] + node _T_1176 = cat(_T_1175, _T_1172) @[el2_lib.scala 245:14] + node _T_1177 = cat(_T_930[25], _T_930[24]) @[el2_lib.scala 245:14] + node _T_1178 = cat(_T_930[27], _T_930[26]) @[el2_lib.scala 245:14] node _T_1179 = cat(_T_1178, _T_1177) @[el2_lib.scala 245:14] - node _T_1180 = cat(_T_1179, _T_1176) @[el2_lib.scala 245:14] - node _T_1181 = cat(_T_1180, _T_1173) @[el2_lib.scala 245:14] - node _T_1182 = cat(_T_1181, _T_1166) @[el2_lib.scala 245:14] - node _T_1183 = and(_T_925, _T_1182) @[dec_trigger.scala 15:109] - node _T_1184 = cat(_T_1183, _T_924) @[Cat.scala 29:58] - node _T_1185 = cat(_T_1184, _T_665) @[Cat.scala 29:58] - node _T_1186 = cat(_T_1185, _T_406) @[Cat.scala 29:58] - io.dec_i0_trigger_match_d <= _T_1186 @[dec_trigger.scala 15:29] + node _T_1180 = cat(_T_930[29], _T_930[28]) @[el2_lib.scala 245:14] + node _T_1181 = cat(_T_930[31], _T_930[30]) @[el2_lib.scala 245:14] + node _T_1182 = cat(_T_1181, _T_1180) @[el2_lib.scala 245:14] + node _T_1183 = cat(_T_1182, _T_1179) @[el2_lib.scala 245:14] + node _T_1184 = cat(_T_1183, _T_1176) @[el2_lib.scala 245:14] + node _T_1185 = cat(_T_1184, _T_1169) @[el2_lib.scala 245:14] + node _T_1186 = andr(_T_1185) @[el2_lib.scala 245:25] + node _T_1187 = and(_T_928, _T_1186) @[dec_trigger.scala 15:109] + node _T_1188 = cat(_T_1187, _T_927) @[Cat.scala 29:58] + node _T_1189 = cat(_T_1188, _T_667) @[Cat.scala 29:58] + node _T_1190 = cat(_T_1189, _T_407) @[Cat.scala 29:58] + io.dec_i0_trigger_match_d <= _T_1190 @[dec_trigger.scala 15:29] module dec : input clock : Clock @@ -81793,6 +81797,54 @@ circuit quasar_wrapper : clkhdr.EN <= io.en @[el2_lib.scala 477:18] clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + extmodule gated_latch_761 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_761 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_761 @[el2_lib.scala 474:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] + clkhdr.CK <= io.clk @[el2_lib.scala 476:18] + clkhdr.EN <= io.en @[el2_lib.scala 477:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + + extmodule gated_latch_762 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_762 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_762 @[el2_lib.scala 474:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] + clkhdr.CK <= io.clk @[el2_lib.scala 476:18] + clkhdr.EN <= io.en @[el2_lib.scala 477:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + module dbg : input clock : Clock input reset : AsyncReset @@ -81875,1065 +81927,1009 @@ circuit quasar_wrapper : rvclkhdr_1.io.clk <= clock @[el2_lib.scala 484:17] rvclkhdr_1.io.en <= sb_free_clken @[el2_lib.scala 485:16] rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] - node _T_7 = bits(io.dbg_rst_l, 0, 0) @[dbg.scala 99:41] - node _T_8 = bits(dmcontrol_reg, 0, 0) @[dbg.scala 99:60] - node _T_9 = or(_T_8, io.scan_mode) @[dbg.scala 99:64] - node dbg_dm_rst_l = and(_T_7, _T_9) @[dbg.scala 99:44] - node _T_10 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 100:39] - node _T_11 = eq(_T_10, UInt<1>("h00")) @[dbg.scala 100:25] - node _T_12 = bits(_T_11, 0, 0) @[dbg.scala 100:50] - io.dbg_core_rst_l <= _T_12 @[dbg.scala 100:21] - node _T_13 = eq(io.dmi_reg_addr, UInt<6>("h038")) @[dbg.scala 101:36] - node _T_14 = and(_T_13, io.dmi_reg_en) @[dbg.scala 101:49] - node _T_15 = and(_T_14, io.dmi_reg_wr_en) @[dbg.scala 101:65] - node _T_16 = eq(sb_state, UInt<4>("h00")) @[dbg.scala 101:96] - node sbcs_wren = and(_T_15, _T_16) @[dbg.scala 101:84] - node _T_17 = bits(io.dmi_reg_wdata, 22, 22) @[dbg.scala 102:60] - node _T_18 = and(sbcs_wren, _T_17) @[dbg.scala 102:42] - node _T_19 = neq(sb_state, UInt<4>("h00")) @[dbg.scala 102:79] - node _T_20 = and(_T_19, io.dmi_reg_en) @[dbg.scala 102:102] - node _T_21 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 103:23] - node _T_22 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 103:55] - node _T_23 = or(_T_21, _T_22) @[dbg.scala 103:36] - node _T_24 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 103:87] - node _T_25 = or(_T_23, _T_24) @[dbg.scala 103:68] - node _T_26 = and(_T_20, _T_25) @[dbg.scala 102:118] - node sbcs_sbbusyerror_wren = or(_T_18, _T_26) @[dbg.scala 102:66] - node _T_27 = bits(io.dmi_reg_wdata, 22, 22) @[dbg.scala 105:61] - node _T_28 = and(sbcs_wren, _T_27) @[dbg.scala 105:43] - node sbcs_sbbusyerror_din = not(_T_28) @[dbg.scala 105:31] - node _T_29 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 106:53] - reg temp_sbcs_22 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_29, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_7 = bits(io.dbg_rst_l, 0, 0) @[dbg.scala 100:42] + node _T_8 = bits(dmcontrol_reg, 0, 0) @[dbg.scala 100:61] + node _T_9 = or(_T_8, io.scan_mode) @[dbg.scala 100:65] + node _T_10 = and(_T_7, _T_9) @[dbg.scala 100:45] + node dbg_dm_rst_l = asAsyncReset(_T_10) @[dbg.scala 100:94] + node _T_11 = asUInt(dbg_dm_rst_l) @[dbg.scala 102:38] + node _T_12 = asUInt(reset) @[dbg.scala 102:55] + node _T_13 = and(_T_11, _T_12) @[dbg.scala 102:41] + node rst_temp = asAsyncReset(_T_13) @[dbg.scala 102:71] + node _T_14 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 105:39] + node _T_15 = eq(_T_14, UInt<1>("h00")) @[dbg.scala 105:25] + node _T_16 = bits(_T_15, 0, 0) @[dbg.scala 105:50] + io.dbg_core_rst_l <= _T_16 @[dbg.scala 105:21] + node _T_17 = eq(io.dmi_reg_addr, UInt<6>("h038")) @[dbg.scala 106:36] + node _T_18 = and(_T_17, io.dmi_reg_en) @[dbg.scala 106:49] + node _T_19 = and(_T_18, io.dmi_reg_wr_en) @[dbg.scala 106:65] + node _T_20 = eq(sb_state, UInt<4>("h00")) @[dbg.scala 106:96] + node sbcs_wren = and(_T_19, _T_20) @[dbg.scala 106:84] + node _T_21 = bits(io.dmi_reg_wdata, 22, 22) @[dbg.scala 107:60] + node _T_22 = and(sbcs_wren, _T_21) @[dbg.scala 107:42] + node _T_23 = neq(sb_state, UInt<4>("h00")) @[dbg.scala 107:79] + node _T_24 = and(_T_23, io.dmi_reg_en) @[dbg.scala 107:102] + node _T_25 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 108:23] + node _T_26 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 108:55] + node _T_27 = or(_T_25, _T_26) @[dbg.scala 108:36] + node _T_28 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 108:87] + node _T_29 = or(_T_27, _T_28) @[dbg.scala 108:68] + node _T_30 = and(_T_24, _T_29) @[dbg.scala 107:118] + node sbcs_sbbusyerror_wren = or(_T_22, _T_30) @[dbg.scala 107:66] + node _T_31 = bits(io.dmi_reg_wdata, 22, 22) @[dbg.scala 110:61] + node _T_32 = and(sbcs_wren, _T_31) @[dbg.scala 110:43] + node sbcs_sbbusyerror_din = not(_T_32) @[dbg.scala 110:31] + reg temp_sbcs_22 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when sbcs_sbbusyerror_wren : @[Reg.scala 28:19] temp_sbcs_22 <= sbcs_sbbusyerror_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_30 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 110:53] - reg temp_sbcs_21 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_30, UInt<1>("h00"))) @[Reg.scala 27:20] + reg temp_sbcs_21 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when sbcs_sbbusy_wren : @[Reg.scala 28:19] temp_sbcs_21 <= sbcs_sbbusy_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_31 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 114:53] - node _T_32 = bits(io.dmi_reg_wdata, 20, 20) @[dbg.scala 115:31] - reg temp_sbcs_20 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_31, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_33 = bits(io.dmi_reg_wdata, 20, 20) @[dbg.scala 120:31] + reg temp_sbcs_20 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when sbcs_wren : @[Reg.scala 28:19] - temp_sbcs_20 <= _T_32 @[Reg.scala 28:23] + temp_sbcs_20 <= _T_33 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_33 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 118:56] - node _T_34 = bits(io.dmi_reg_wdata, 19, 15) @[dbg.scala 119:31] - reg temp_sbcs_19_15 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_33, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_34 = bits(io.dmi_reg_wdata, 19, 15) @[dbg.scala 124:31] + reg temp_sbcs_19_15 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when sbcs_wren : @[Reg.scala 28:19] temp_sbcs_19_15 <= _T_34 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_35 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 122:56] - node _T_36 = bits(sbcs_sberror_din, 2, 0) @[dbg.scala 123:31] - reg temp_sbcs_14_12 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_35, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_35 = bits(sbcs_sberror_din, 2, 0) @[dbg.scala 128:31] + reg temp_sbcs_14_12 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when sbcs_sberror_wren : @[Reg.scala 28:19] - temp_sbcs_14_12 <= _T_36 @[Reg.scala 28:23] + temp_sbcs_14_12 <= _T_35 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_37 = cat(UInt<7>("h020"), UInt<5>("h0f")) @[Cat.scala 29:58] - node _T_38 = cat(temp_sbcs_19_15, temp_sbcs_14_12) @[Cat.scala 29:58] - node _T_39 = cat(_T_38, _T_37) @[Cat.scala 29:58] - node _T_40 = cat(temp_sbcs_21, temp_sbcs_20) @[Cat.scala 29:58] - node _T_41 = cat(UInt<3>("h01"), UInt<6>("h00")) @[Cat.scala 29:58] - node _T_42 = cat(_T_41, temp_sbcs_22) @[Cat.scala 29:58] - node _T_43 = cat(_T_42, _T_40) @[Cat.scala 29:58] - node _T_44 = cat(_T_43, _T_39) @[Cat.scala 29:58] - sbcs_reg <= _T_44 @[dbg.scala 125:12] - node _T_45 = bits(sbcs_reg, 19, 17) @[dbg.scala 127:33] - node _T_46 = eq(_T_45, UInt<1>("h01")) @[dbg.scala 127:42] - node _T_47 = bits(sbaddress0_reg, 0, 0) @[dbg.scala 127:72] - node _T_48 = and(_T_46, _T_47) @[dbg.scala 127:56] - node _T_49 = bits(sbcs_reg, 19, 17) @[dbg.scala 128:14] - node _T_50 = eq(_T_49, UInt<2>("h02")) @[dbg.scala 128:23] - node _T_51 = bits(sbaddress0_reg, 1, 0) @[dbg.scala 128:53] - node _T_52 = orr(_T_51) @[dbg.scala 128:60] - node _T_53 = and(_T_50, _T_52) @[dbg.scala 128:37] - node _T_54 = or(_T_48, _T_53) @[dbg.scala 127:76] - node _T_55 = bits(sbcs_reg, 19, 17) @[dbg.scala 129:14] - node _T_56 = eq(_T_55, UInt<2>("h03")) @[dbg.scala 129:23] - node _T_57 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 129:53] - node _T_58 = orr(_T_57) @[dbg.scala 129:60] - node _T_59 = and(_T_56, _T_58) @[dbg.scala 129:37] - node sbcs_unaligned = or(_T_54, _T_59) @[dbg.scala 128:64] - node sbcs_illegal_size = bits(sbcs_reg, 19, 19) @[dbg.scala 131:35] - node _T_60 = bits(sbcs_reg, 19, 17) @[dbg.scala 132:42] - node _T_61 = eq(_T_60, UInt<1>("h00")) @[dbg.scala 132:51] - node _T_62 = bits(_T_61, 0, 0) @[Bitwise.scala 72:15] - node _T_63 = mux(_T_62, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_64 = and(_T_63, UInt<1>("h01")) @[dbg.scala 132:64] - node _T_65 = bits(sbcs_reg, 19, 17) @[dbg.scala 132:95] - node _T_66 = eq(_T_65, UInt<1>("h01")) @[dbg.scala 132:104] - node _T_67 = bits(_T_66, 0, 0) @[Bitwise.scala 72:15] - node _T_68 = mux(_T_67, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_69 = and(_T_68, UInt<2>("h02")) @[dbg.scala 132:117] - node _T_70 = or(_T_64, _T_69) @[dbg.scala 132:76] - node _T_71 = bits(sbcs_reg, 19, 17) @[dbg.scala 133:22] - node _T_72 = eq(_T_71, UInt<2>("h02")) @[dbg.scala 133:31] - node _T_73 = bits(_T_72, 0, 0) @[Bitwise.scala 72:15] - node _T_74 = mux(_T_73, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_75 = and(_T_74, UInt<3>("h04")) @[dbg.scala 133:44] - node _T_76 = or(_T_70, _T_75) @[dbg.scala 132:129] - node _T_77 = bits(sbcs_reg, 19, 17) @[dbg.scala 133:75] - node _T_78 = eq(_T_77, UInt<2>("h03")) @[dbg.scala 133:84] - node _T_79 = bits(_T_78, 0, 0) @[Bitwise.scala 72:15] - node _T_80 = mux(_T_79, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_81 = and(_T_80, UInt<4>("h08")) @[dbg.scala 133:97] - node sbaddress0_incr = or(_T_76, _T_81) @[dbg.scala 133:56] - node _T_82 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 135:41] - node _T_83 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 135:79] - node sbdata0_reg_wren0 = and(_T_82, _T_83) @[dbg.scala 135:60] - node _T_84 = eq(sb_state, UInt<4>("h07")) @[dbg.scala 136:37] - node _T_85 = and(_T_84, sb_state_en) @[dbg.scala 136:60] - node _T_86 = eq(sbcs_sberror_wren, UInt<1>("h00")) @[dbg.scala 136:76] - node sbdata0_reg_wren1 = and(_T_85, _T_86) @[dbg.scala 136:74] - node sbdata0_reg_wren = or(sbdata0_reg_wren0, sbdata0_reg_wren1) @[dbg.scala 137:44] - node _T_87 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 138:41] - node _T_88 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 138:79] - node sbdata1_reg_wren0 = and(_T_87, _T_88) @[dbg.scala 138:60] - node _T_89 = eq(sb_state, UInt<4>("h07")) @[dbg.scala 139:37] - node _T_90 = and(_T_89, sb_state_en) @[dbg.scala 139:60] - node _T_91 = eq(sbcs_sberror_wren, UInt<1>("h00")) @[dbg.scala 139:76] - node sbdata1_reg_wren1 = and(_T_90, _T_91) @[dbg.scala 139:74] - node sbdata1_reg_wren = or(sbdata1_reg_wren0, sbdata1_reg_wren1) @[dbg.scala 140:44] - node _T_92 = bits(sbdata0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] - node _T_93 = mux(_T_92, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_94 = and(_T_93, io.dmi_reg_wdata) @[dbg.scala 141:49] - node _T_95 = bits(sbdata0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] - node _T_96 = mux(_T_95, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_97 = bits(sb_bus_rdata, 31, 0) @[dbg.scala 142:47] - node _T_98 = and(_T_96, _T_97) @[dbg.scala 142:33] - node sbdata0_din = or(_T_94, _T_98) @[dbg.scala 141:68] - node _T_99 = bits(sbdata1_reg_wren0, 0, 0) @[Bitwise.scala 72:15] - node _T_100 = mux(_T_99, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_101 = and(_T_100, io.dmi_reg_wdata) @[dbg.scala 144:49] - node _T_102 = bits(sbdata1_reg_wren1, 0, 0) @[Bitwise.scala 72:15] - node _T_103 = mux(_T_102, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_104 = bits(sb_bus_rdata, 63, 32) @[dbg.scala 145:47] - node _T_105 = and(_T_103, _T_104) @[dbg.scala 145:33] - node sbdata1_din = or(_T_101, _T_105) @[dbg.scala 144:68] - node _T_106 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 147:31] + node _T_36 = cat(UInt<7>("h020"), UInt<5>("h0f")) @[Cat.scala 29:58] + node _T_37 = cat(temp_sbcs_19_15, temp_sbcs_14_12) @[Cat.scala 29:58] + node _T_38 = cat(_T_37, _T_36) @[Cat.scala 29:58] + node _T_39 = cat(temp_sbcs_21, temp_sbcs_20) @[Cat.scala 29:58] + node _T_40 = cat(UInt<3>("h01"), UInt<6>("h00")) @[Cat.scala 29:58] + node _T_41 = cat(_T_40, temp_sbcs_22) @[Cat.scala 29:58] + node _T_42 = cat(_T_41, _T_39) @[Cat.scala 29:58] + node _T_43 = cat(_T_42, _T_38) @[Cat.scala 29:58] + sbcs_reg <= _T_43 @[dbg.scala 130:12] + node _T_44 = bits(sbcs_reg, 19, 17) @[dbg.scala 132:33] + node _T_45 = eq(_T_44, UInt<3>("h01")) @[dbg.scala 132:42] + node _T_46 = bits(sbaddress0_reg, 0, 0) @[dbg.scala 132:77] + node _T_47 = and(_T_45, _T_46) @[dbg.scala 132:61] + node _T_48 = bits(sbcs_reg, 19, 17) @[dbg.scala 133:14] + node _T_49 = eq(_T_48, UInt<3>("h02")) @[dbg.scala 133:23] + node _T_50 = bits(sbaddress0_reg, 1, 0) @[dbg.scala 133:58] + node _T_51 = orr(_T_50) @[dbg.scala 133:65] + node _T_52 = and(_T_49, _T_51) @[dbg.scala 133:42] + node _T_53 = or(_T_47, _T_52) @[dbg.scala 132:81] + node _T_54 = bits(sbcs_reg, 19, 17) @[dbg.scala 134:14] + node _T_55 = eq(_T_54, UInt<3>("h03")) @[dbg.scala 134:23] + node _T_56 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 134:58] + node _T_57 = orr(_T_56) @[dbg.scala 134:65] + node _T_58 = and(_T_55, _T_57) @[dbg.scala 134:42] + node sbcs_unaligned = or(_T_53, _T_58) @[dbg.scala 133:69] + node sbcs_illegal_size = bits(sbcs_reg, 19, 19) @[dbg.scala 136:35] + node _T_59 = bits(sbcs_reg, 19, 17) @[dbg.scala 137:42] + node _T_60 = eq(_T_59, UInt<1>("h00")) @[dbg.scala 137:51] + node _T_61 = bits(_T_60, 0, 0) @[Bitwise.scala 72:15] + node _T_62 = mux(_T_61, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_63 = and(_T_62, UInt<4>("h01")) @[dbg.scala 137:64] + node _T_64 = bits(sbcs_reg, 19, 17) @[dbg.scala 137:100] + node _T_65 = eq(_T_64, UInt<1>("h01")) @[dbg.scala 137:109] + node _T_66 = bits(_T_65, 0, 0) @[Bitwise.scala 72:15] + node _T_67 = mux(_T_66, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_68 = and(_T_67, UInt<4>("h02")) @[dbg.scala 137:122] + node _T_69 = or(_T_63, _T_68) @[dbg.scala 137:81] + node _T_70 = bits(sbcs_reg, 19, 17) @[dbg.scala 138:22] + node _T_71 = eq(_T_70, UInt<2>("h02")) @[dbg.scala 138:31] + node _T_72 = bits(_T_71, 0, 0) @[Bitwise.scala 72:15] + node _T_73 = mux(_T_72, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_74 = and(_T_73, UInt<4>("h04")) @[dbg.scala 138:44] + node _T_75 = or(_T_69, _T_74) @[dbg.scala 137:139] + node _T_76 = bits(sbcs_reg, 19, 17) @[dbg.scala 138:80] + node _T_77 = eq(_T_76, UInt<2>("h03")) @[dbg.scala 138:89] + node _T_78 = bits(_T_77, 0, 0) @[Bitwise.scala 72:15] + node _T_79 = mux(_T_78, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_80 = and(_T_79, UInt<4>("h08")) @[dbg.scala 138:102] + node sbaddress0_incr = or(_T_75, _T_80) @[dbg.scala 138:61] + node _T_81 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 140:41] + node _T_82 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 140:79] + node sbdata0_reg_wren0 = and(_T_81, _T_82) @[dbg.scala 140:60] + node _T_83 = eq(sb_state, UInt<4>("h07")) @[dbg.scala 141:37] + node _T_84 = and(_T_83, sb_state_en) @[dbg.scala 141:60] + node _T_85 = eq(sbcs_sberror_wren, UInt<1>("h00")) @[dbg.scala 141:76] + node sbdata0_reg_wren1 = and(_T_84, _T_85) @[dbg.scala 141:74] + node sbdata0_reg_wren = or(sbdata0_reg_wren0, sbdata0_reg_wren1) @[dbg.scala 142:44] + node _T_86 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 143:41] + node _T_87 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 143:79] + node sbdata1_reg_wren0 = and(_T_86, _T_87) @[dbg.scala 143:60] + node _T_88 = eq(sb_state, UInt<4>("h07")) @[dbg.scala 144:37] + node _T_89 = and(_T_88, sb_state_en) @[dbg.scala 144:60] + node _T_90 = eq(sbcs_sberror_wren, UInt<1>("h00")) @[dbg.scala 144:76] + node sbdata1_reg_wren1 = and(_T_89, _T_90) @[dbg.scala 144:74] + node sbdata1_reg_wren = or(sbdata1_reg_wren0, sbdata1_reg_wren1) @[dbg.scala 145:44] + node _T_91 = bits(sbdata0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] + node _T_92 = mux(_T_91, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_93 = and(_T_92, io.dmi_reg_wdata) @[dbg.scala 146:49] + node _T_94 = bits(sbdata0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] + node _T_95 = mux(_T_94, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_96 = bits(sb_bus_rdata, 31, 0) @[dbg.scala 147:47] + node _T_97 = and(_T_95, _T_96) @[dbg.scala 147:33] + node sbdata0_din = or(_T_93, _T_97) @[dbg.scala 146:68] + node _T_98 = bits(sbdata1_reg_wren0, 0, 0) @[Bitwise.scala 72:15] + node _T_99 = mux(_T_98, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_100 = and(_T_99, io.dmi_reg_wdata) @[dbg.scala 149:49] + node _T_101 = bits(sbdata1_reg_wren1, 0, 0) @[Bitwise.scala 72:15] + node _T_102 = mux(_T_101, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_103 = bits(sb_bus_rdata, 63, 32) @[dbg.scala 150:47] + node _T_104 = and(_T_102, _T_103) @[dbg.scala 150:33] + node sbdata1_din = or(_T_100, _T_104) @[dbg.scala 149:68] inst rvclkhdr_2 of rvclkhdr_757 @[el2_lib.scala 508:23] rvclkhdr_2.clock <= clock - rvclkhdr_2.reset <= _T_106 + rvclkhdr_2.reset <= dbg_dm_rst_l rvclkhdr_2.io.clk <= clock @[el2_lib.scala 510:18] rvclkhdr_2.io.en <= sbdata0_reg_wren @[el2_lib.scala 511:17] rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg sbdata0_reg : UInt, rvclkhdr_2.io.l1clk with : (reset => (_T_106, UInt<1>("h00"))) @[el2_lib.scala 514:16] + reg sbdata0_reg : UInt, rvclkhdr_2.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[el2_lib.scala 514:16] sbdata0_reg <= sbdata0_din @[el2_lib.scala 514:16] - node _T_107 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 151:31] inst rvclkhdr_3 of rvclkhdr_758 @[el2_lib.scala 508:23] rvclkhdr_3.clock <= clock - rvclkhdr_3.reset <= _T_107 + rvclkhdr_3.reset <= dbg_dm_rst_l rvclkhdr_3.io.clk <= clock @[el2_lib.scala 510:18] rvclkhdr_3.io.en <= sbdata1_reg_wren @[el2_lib.scala 511:17] rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg sbdata1_reg : UInt, rvclkhdr_3.io.l1clk with : (reset => (_T_107, UInt<1>("h00"))) @[el2_lib.scala 514:16] + reg sbdata1_reg : UInt, rvclkhdr_3.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[el2_lib.scala 514:16] sbdata1_reg <= sbdata1_din @[el2_lib.scala 514:16] - node _T_108 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 155:44] - node _T_109 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 155:82] - node sbaddress0_reg_wren0 = and(_T_108, _T_109) @[dbg.scala 155:63] - node sbaddress0_reg_wren = or(sbaddress0_reg_wren0, sbaddress0_reg_wren1) @[dbg.scala 156:50] - node _T_110 = bits(sbaddress0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] + node _T_105 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 160:44] + node _T_106 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 160:82] + node sbaddress0_reg_wren0 = and(_T_105, _T_106) @[dbg.scala 160:63] + node sbaddress0_reg_wren = or(sbaddress0_reg_wren0, sbaddress0_reg_wren1) @[dbg.scala 161:50] + node _T_107 = bits(sbaddress0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] + node _T_108 = mux(_T_107, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_109 = and(_T_108, io.dmi_reg_wdata) @[dbg.scala 162:59] + node _T_110 = bits(sbaddress0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] node _T_111 = mux(_T_110, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_112 = and(_T_111, io.dmi_reg_wdata) @[dbg.scala 157:59] - node _T_113 = bits(sbaddress0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] - node _T_114 = mux(_T_113, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_115 = cat(UInt<28>("h00"), sbaddress0_incr) @[Cat.scala 29:58] - node _T_116 = add(sbaddress0_reg, _T_115) @[dbg.scala 158:54] - node _T_117 = tail(_T_116, 1) @[dbg.scala 158:54] - node _T_118 = and(_T_114, _T_117) @[dbg.scala 158:36] - node sbaddress0_reg_din = or(_T_112, _T_118) @[dbg.scala 157:78] - node _T_119 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 159:31] + node _T_112 = cat(UInt<28>("h00"), sbaddress0_incr) @[Cat.scala 29:58] + node _T_113 = add(sbaddress0_reg, _T_112) @[dbg.scala 163:54] + node _T_114 = tail(_T_113, 1) @[dbg.scala 163:54] + node _T_115 = and(_T_111, _T_114) @[dbg.scala 163:36] + node sbaddress0_reg_din = or(_T_109, _T_115) @[dbg.scala 162:78] inst rvclkhdr_4 of rvclkhdr_759 @[el2_lib.scala 508:23] rvclkhdr_4.clock <= clock - rvclkhdr_4.reset <= _T_119 + rvclkhdr_4.reset <= dbg_dm_rst_l rvclkhdr_4.io.clk <= clock @[el2_lib.scala 510:18] rvclkhdr_4.io.en <= sbaddress0_reg_wren @[el2_lib.scala 511:17] rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg _T_120 : UInt, rvclkhdr_4.io.l1clk with : (reset => (_T_119, UInt<1>("h00"))) @[el2_lib.scala 514:16] - _T_120 <= sbaddress0_reg_din @[el2_lib.scala 514:16] - sbaddress0_reg <= _T_120 @[dbg.scala 159:18] - node _T_121 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 163:43] - node _T_122 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 163:81] - node _T_123 = and(_T_121, _T_122) @[dbg.scala 163:62] - node _T_124 = bits(sbcs_reg, 20, 20) @[dbg.scala 163:104] - node sbreadonaddr_access = and(_T_123, _T_124) @[dbg.scala 163:94] - node _T_125 = eq(io.dmi_reg_wr_en, UInt<1>("h00")) @[dbg.scala 164:45] - node _T_126 = and(io.dmi_reg_en, _T_125) @[dbg.scala 164:43] - node _T_127 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 164:82] - node _T_128 = and(_T_126, _T_127) @[dbg.scala 164:63] - node _T_129 = bits(sbcs_reg, 15, 15) @[dbg.scala 164:105] - node sbreadondata_access = and(_T_128, _T_129) @[dbg.scala 164:95] - node _T_130 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 165:40] - node _T_131 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 165:78] - node sbdata0wr_access = and(_T_130, _T_131) @[dbg.scala 165:59] - node _T_132 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 166:41] - node _T_133 = and(_T_132, io.dmi_reg_en) @[dbg.scala 166:54] - node dmcontrol_wren = and(_T_133, io.dmi_reg_wr_en) @[dbg.scala 166:70] - node _T_134 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 167:49] - node _T_135 = bits(io.dmi_reg_wdata, 31, 30) @[dbg.scala 169:27] - node _T_136 = bits(io.dmi_reg_wdata, 28, 28) @[dbg.scala 169:53] - node _T_137 = bits(io.dmi_reg_wdata, 1, 1) @[dbg.scala 169:75] - node _T_138 = cat(_T_135, _T_136) @[Cat.scala 29:58] - node _T_139 = cat(_T_138, _T_137) @[Cat.scala 29:58] - reg dm_temp : UInt, rvclkhdr.io.l1clk with : (reset => (_T_134, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_116 : UInt, rvclkhdr_4.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[el2_lib.scala 514:16] + _T_116 <= sbaddress0_reg_din @[el2_lib.scala 514:16] + sbaddress0_reg <= _T_116 @[dbg.scala 164:18] + node _T_117 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 168:43] + node _T_118 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 168:81] + node _T_119 = and(_T_117, _T_118) @[dbg.scala 168:62] + node _T_120 = bits(sbcs_reg, 20, 20) @[dbg.scala 168:104] + node sbreadonaddr_access = and(_T_119, _T_120) @[dbg.scala 168:94] + node _T_121 = eq(io.dmi_reg_wr_en, UInt<1>("h00")) @[dbg.scala 169:45] + node _T_122 = and(io.dmi_reg_en, _T_121) @[dbg.scala 169:43] + node _T_123 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 169:82] + node _T_124 = and(_T_122, _T_123) @[dbg.scala 169:63] + node _T_125 = bits(sbcs_reg, 15, 15) @[dbg.scala 169:105] + node sbreadondata_access = and(_T_124, _T_125) @[dbg.scala 169:95] + node _T_126 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 170:40] + node _T_127 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 170:78] + node sbdata0wr_access = and(_T_126, _T_127) @[dbg.scala 170:59] + node _T_128 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 171:41] + node _T_129 = and(_T_128, io.dmi_reg_en) @[dbg.scala 171:54] + node dmcontrol_wren = and(_T_129, io.dmi_reg_wr_en) @[dbg.scala 171:70] + node _T_130 = bits(io.dmi_reg_wdata, 31, 30) @[dbg.scala 174:27] + node _T_131 = bits(io.dmi_reg_wdata, 28, 28) @[dbg.scala 174:53] + node _T_132 = bits(io.dmi_reg_wdata, 1, 1) @[dbg.scala 174:75] + node _T_133 = cat(_T_130, _T_131) @[Cat.scala 29:58] + node _T_134 = cat(_T_133, _T_132) @[Cat.scala 29:58] + reg dm_temp : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when dmcontrol_wren : @[Reg.scala 28:19] - dm_temp <= _T_139 @[Reg.scala 28:23] + dm_temp <= _T_134 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_140 = bits(io.dmi_reg_wdata, 0, 0) @[dbg.scala 174:31] - reg dm_temp_0 : UInt, rvclkhdr.io.l1clk with : (reset => (io.dbg_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_135 = asAsyncReset(io.dbg_rst_l) @[dbg.scala 178:76] + node _T_136 = bits(io.dmi_reg_wdata, 0, 0) @[dbg.scala 179:31] + reg dm_temp_0 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_135, UInt<1>("h00"))) @[Reg.scala 27:20] when dmcontrol_wren : @[Reg.scala 28:19] - dm_temp_0 <= _T_140 @[Reg.scala 28:23] + dm_temp_0 <= _T_136 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_141 = bits(dm_temp, 3, 2) @[dbg.scala 177:25] - node _T_142 = bits(dm_temp, 1, 1) @[dbg.scala 177:45] - node _T_143 = bits(dm_temp, 0, 0) @[dbg.scala 177:68] - node _T_144 = cat(UInt<26>("h00"), _T_143) @[Cat.scala 29:58] - node _T_145 = cat(_T_144, dm_temp_0) @[Cat.scala 29:58] - node _T_146 = cat(_T_141, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_147 = cat(_T_146, _T_142) @[Cat.scala 29:58] - node temp = cat(_T_147, _T_145) @[Cat.scala 29:58] - dmcontrol_reg <= temp @[dbg.scala 178:17] - node _T_148 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 180:58] - reg dmcontrol_wren_Q : UInt<1>, rvclkhdr.io.l1clk with : (reset => (_T_148, UInt<1>("h00"))) @[dbg.scala 181:12] - dmcontrol_wren_Q <= dmcontrol_wren @[dbg.scala 181:12] - node _T_149 = bits(dmstatus_havereset, 0, 0) @[Bitwise.scala 72:15] - node _T_150 = mux(_T_149, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_151 = bits(dmstatus_resumeack, 0, 0) @[Bitwise.scala 72:15] - node _T_152 = mux(_T_151, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_153 = bits(dmstatus_unavail, 0, 0) @[Bitwise.scala 72:15] - node _T_154 = mux(_T_153, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_155 = bits(dmstatus_running, 0, 0) @[Bitwise.scala 72:15] - node _T_156 = mux(_T_155, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_157 = bits(dmstatus_halted, 0, 0) @[Bitwise.scala 72:15] - node _T_158 = mux(_T_157, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_159 = cat(UInt<3>("h00"), UInt<4>("h02")) @[Cat.scala 29:58] - node _T_160 = cat(_T_156, _T_158) @[Cat.scala 29:58] - node _T_161 = cat(_T_160, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_162 = cat(_T_161, _T_159) @[Cat.scala 29:58] - node _T_163 = cat(UInt<2>("h00"), _T_154) @[Cat.scala 29:58] - node _T_164 = cat(UInt<12>("h00"), _T_150) @[Cat.scala 29:58] - node _T_165 = cat(_T_164, _T_152) @[Cat.scala 29:58] - node _T_166 = cat(_T_165, _T_163) @[Cat.scala 29:58] - node _T_167 = cat(_T_166, _T_162) @[Cat.scala 29:58] - dmstatus_reg <= _T_167 @[dbg.scala 184:16] - node _T_168 = eq(dbg_state, UInt<3>("h06")) @[dbg.scala 186:44] - node _T_169 = and(_T_168, io.dec_tlu_resume_ack) @[dbg.scala 186:66] - node _T_170 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 186:127] - node _T_171 = eq(_T_170, UInt<1>("h00")) @[dbg.scala 186:113] - node _T_172 = and(dmstatus_resumeack, _T_171) @[dbg.scala 186:111] - node dmstatus_resumeack_wren = or(_T_169, _T_172) @[dbg.scala 186:90] - node _T_173 = eq(dbg_state, UInt<3>("h06")) @[dbg.scala 187:43] - node dmstatus_resumeack_din = and(_T_173, io.dec_tlu_resume_ack) @[dbg.scala 187:65] - node _T_174 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 188:50] - node _T_175 = bits(io.dmi_reg_wdata, 1, 1) @[dbg.scala 188:81] - node _T_176 = and(_T_174, _T_175) @[dbg.scala 188:63] - node _T_177 = and(_T_176, io.dmi_reg_en) @[dbg.scala 188:85] - node dmstatus_havereset_wren = and(_T_177, io.dmi_reg_wr_en) @[dbg.scala 188:101] - node _T_178 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 189:49] - node _T_179 = bits(io.dmi_reg_wdata, 28, 28) @[dbg.scala 189:80] - node _T_180 = and(_T_178, _T_179) @[dbg.scala 189:62] - node _T_181 = and(_T_180, io.dmi_reg_en) @[dbg.scala 189:85] - node dmstatus_havereset_rst = and(_T_181, io.dmi_reg_wr_en) @[dbg.scala 189:101] - node temp_rst = asUInt(reset) @[dbg.scala 190:30] - node _T_182 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 191:37] - node _T_183 = eq(temp_rst, UInt<1>("h00")) @[dbg.scala 191:43] - node _T_184 = or(_T_182, _T_183) @[dbg.scala 191:41] - node _T_185 = bits(_T_184, 0, 0) @[dbg.scala 191:62] - dmstatus_unavail <= _T_185 @[dbg.scala 191:20] - node _T_186 = or(dmstatus_unavail, dmstatus_halted) @[dbg.scala 192:42] - node _T_187 = not(_T_186) @[dbg.scala 192:23] - dmstatus_running <= _T_187 @[dbg.scala 192:20] - node _T_188 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 193:57] - reg _T_189 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_188, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_137 = bits(dm_temp, 3, 2) @[dbg.scala 182:25] + node _T_138 = bits(dm_temp, 1, 1) @[dbg.scala 182:45] + node _T_139 = bits(dm_temp, 0, 0) @[dbg.scala 182:68] + node _T_140 = cat(UInt<26>("h00"), _T_139) @[Cat.scala 29:58] + node _T_141 = cat(_T_140, dm_temp_0) @[Cat.scala 29:58] + node _T_142 = cat(_T_137, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_143 = cat(_T_142, _T_138) @[Cat.scala 29:58] + node temp = cat(_T_143, _T_141) @[Cat.scala 29:58] + dmcontrol_reg <= temp @[dbg.scala 183:17] + reg dmcontrol_wren_Q : UInt<1>, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 186:12] + dmcontrol_wren_Q <= dmcontrol_wren @[dbg.scala 186:12] + node _T_144 = bits(dmstatus_havereset, 0, 0) @[Bitwise.scala 72:15] + node _T_145 = mux(_T_144, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_146 = bits(dmstatus_resumeack, 0, 0) @[Bitwise.scala 72:15] + node _T_147 = mux(_T_146, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_148 = bits(dmstatus_unavail, 0, 0) @[Bitwise.scala 72:15] + node _T_149 = mux(_T_148, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_150 = bits(dmstatus_running, 0, 0) @[Bitwise.scala 72:15] + node _T_151 = mux(_T_150, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_152 = bits(dmstatus_halted, 0, 0) @[Bitwise.scala 72:15] + node _T_153 = mux(_T_152, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_154 = cat(UInt<3>("h00"), UInt<4>("h02")) @[Cat.scala 29:58] + node _T_155 = cat(_T_151, _T_153) @[Cat.scala 29:58] + node _T_156 = cat(_T_155, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_157 = cat(_T_156, _T_154) @[Cat.scala 29:58] + node _T_158 = cat(UInt<2>("h00"), _T_149) @[Cat.scala 29:58] + node _T_159 = cat(UInt<12>("h00"), _T_145) @[Cat.scala 29:58] + node _T_160 = cat(_T_159, _T_147) @[Cat.scala 29:58] + node _T_161 = cat(_T_160, _T_158) @[Cat.scala 29:58] + node _T_162 = cat(_T_161, _T_157) @[Cat.scala 29:58] + dmstatus_reg <= _T_162 @[dbg.scala 189:16] + node _T_163 = eq(dbg_state, UInt<3>("h06")) @[dbg.scala 191:44] + node _T_164 = and(_T_163, io.dec_tlu_resume_ack) @[dbg.scala 191:66] + node _T_165 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 191:127] + node _T_166 = eq(_T_165, UInt<1>("h00")) @[dbg.scala 191:113] + node _T_167 = and(dmstatus_resumeack, _T_166) @[dbg.scala 191:111] + node dmstatus_resumeack_wren = or(_T_164, _T_167) @[dbg.scala 191:90] + node _T_168 = eq(dbg_state, UInt<3>("h06")) @[dbg.scala 192:43] + node dmstatus_resumeack_din = and(_T_168, io.dec_tlu_resume_ack) @[dbg.scala 192:65] + node _T_169 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 193:50] + node _T_170 = bits(io.dmi_reg_wdata, 1, 1) @[dbg.scala 193:81] + node _T_171 = and(_T_169, _T_170) @[dbg.scala 193:63] + node _T_172 = and(_T_171, io.dmi_reg_en) @[dbg.scala 193:85] + node dmstatus_havereset_wren = and(_T_172, io.dmi_reg_wr_en) @[dbg.scala 193:101] + node _T_173 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 194:49] + node _T_174 = bits(io.dmi_reg_wdata, 28, 28) @[dbg.scala 194:80] + node _T_175 = and(_T_173, _T_174) @[dbg.scala 194:62] + node _T_176 = and(_T_175, io.dmi_reg_en) @[dbg.scala 194:85] + node dmstatus_havereset_rst = and(_T_176, io.dmi_reg_wr_en) @[dbg.scala 194:101] + node temp_rst = asUInt(reset) @[dbg.scala 195:30] + node _T_177 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 196:37] + node _T_178 = eq(temp_rst, UInt<1>("h00")) @[dbg.scala 196:43] + node _T_179 = or(_T_177, _T_178) @[dbg.scala 196:41] + node _T_180 = bits(_T_179, 0, 0) @[dbg.scala 196:62] + dmstatus_unavail <= _T_180 @[dbg.scala 196:20] + node _T_181 = or(dmstatus_unavail, dmstatus_halted) @[dbg.scala 197:42] + node _T_182 = not(_T_181) @[dbg.scala 197:23] + dmstatus_running <= _T_182 @[dbg.scala 197:20] + reg _T_183 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when dmstatus_resumeack_wren : @[Reg.scala 28:19] - _T_189 <= dmstatus_resumeack_din @[Reg.scala 28:23] + _T_183 <= dmstatus_resumeack_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - dmstatus_resumeack <= _T_189 @[dbg.scala 193:22] - node _T_190 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 197:54] - node _T_191 = eq(io.dec_tlu_mpc_halted_only, UInt<1>("h00")) @[dbg.scala 198:37] - node _T_192 = and(io.dec_tlu_dbg_halted, _T_191) @[dbg.scala 198:35] - reg _T_193 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (_T_190, UInt<1>("h00"))) @[dbg.scala 198:12] - _T_193 <= _T_192 @[dbg.scala 198:12] - dmstatus_halted <= _T_193 @[dbg.scala 197:19] - node _T_194 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 201:57] - node _T_195 = not(dmstatus_havereset_rst) @[dbg.scala 202:15] - reg _T_196 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_194, UInt<1>("h00"))) @[Reg.scala 27:20] - when dmstatus_havereset_wren : @[Reg.scala 28:19] - _T_196 <= _T_195 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - dmstatus_havereset <= _T_196 @[dbg.scala 201:22] + dmstatus_resumeack <= _T_183 @[dbg.scala 198:22] + node _T_184 = eq(io.dec_tlu_mpc_halted_only, UInt<1>("h00")) @[dbg.scala 203:37] + node _T_185 = and(io.dec_tlu_dbg_halted, _T_184) @[dbg.scala 203:35] + reg _T_186 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 203:12] + _T_186 <= _T_185 @[dbg.scala 203:12] + dmstatus_halted <= _T_186 @[dbg.scala 202:19] + node _T_187 = mux(dmstatus_havereset_wren, UInt<1>("h01"), dmstatus_havereset) @[dbg.scala 207:16] + node _T_188 = eq(dmstatus_havereset_rst, UInt<1>("h00")) @[dbg.scala 207:72] + node _T_189 = and(_T_187, _T_188) @[dbg.scala 207:70] + reg _T_190 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 207:12] + _T_190 <= _T_189 @[dbg.scala 207:12] + dmstatus_havereset <= _T_190 @[dbg.scala 206:22] node haltsum0_reg = cat(UInt<31>("h00"), dmstatus_halted) @[Cat.scala 29:58] wire abstractcs_reg : UInt<32> abstractcs_reg <= UInt<32>("h02") - node _T_197 = bits(abstractcs_reg, 12, 12) @[dbg.scala 208:45] - node _T_198 = and(_T_197, io.dmi_reg_en) @[dbg.scala 208:50] - node _T_199 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 208:106] - node _T_200 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 208:138] - node _T_201 = or(_T_199, _T_200) @[dbg.scala 208:119] - node _T_202 = and(io.dmi_reg_wr_en, _T_201) @[dbg.scala 208:86] - node _T_203 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 208:171] - node _T_204 = or(_T_202, _T_203) @[dbg.scala 208:152] - node abstractcs_error_sel0 = and(_T_198, _T_204) @[dbg.scala 208:66] - node _T_205 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 209:45] - node _T_206 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 209:83] - node _T_207 = and(_T_205, _T_206) @[dbg.scala 209:64] - node _T_208 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 209:117] - node _T_209 = eq(_T_208, UInt<1>("h00")) @[dbg.scala 209:126] - node _T_210 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 209:154] - node _T_211 = eq(_T_210, UInt<2>("h02")) @[dbg.scala 209:163] - node _T_212 = or(_T_209, _T_211) @[dbg.scala 209:135] - node _T_213 = eq(_T_212, UInt<1>("h00")) @[dbg.scala 209:98] - node abstractcs_error_sel1 = and(_T_207, _T_213) @[dbg.scala 209:96] - node abstractcs_error_sel2 = and(io.core_dbg_cmd_done, io.core_dbg_cmd_fail) @[dbg.scala 210:52] - node _T_214 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 211:45] - node _T_215 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 211:83] - node _T_216 = and(_T_214, _T_215) @[dbg.scala 211:64] - node _T_217 = bits(dmstatus_reg, 9, 9) @[dbg.scala 211:111] - node _T_218 = eq(_T_217, UInt<1>("h00")) @[dbg.scala 211:98] - node abstractcs_error_sel3 = and(_T_216, _T_218) @[dbg.scala 211:96] - node _T_219 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 212:48] - node _T_220 = and(_T_219, io.dmi_reg_en) @[dbg.scala 212:61] - node _T_221 = and(_T_220, io.dmi_reg_wr_en) @[dbg.scala 212:77] - node _T_222 = bits(io.dmi_reg_wdata, 22, 20) @[dbg.scala 213:23] - node _T_223 = neq(_T_222, UInt<2>("h02")) @[dbg.scala 213:32] - node _T_224 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 213:66] - node _T_225 = eq(_T_224, UInt<2>("h02")) @[dbg.scala 213:75] - node _T_226 = bits(data1_reg, 1, 0) @[dbg.scala 213:99] - node _T_227 = orr(_T_226) @[dbg.scala 213:106] - node _T_228 = and(_T_225, _T_227) @[dbg.scala 213:87] - node _T_229 = or(_T_223, _T_228) @[dbg.scala 213:46] - node abstractcs_error_sel4 = and(_T_221, _T_229) @[dbg.scala 212:96] - node _T_230 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 215:48] - node _T_231 = and(_T_230, io.dmi_reg_en) @[dbg.scala 215:61] - node abstractcs_error_sel5 = and(_T_231, io.dmi_reg_wr_en) @[dbg.scala 215:77] - node _T_232 = or(abstractcs_error_sel0, abstractcs_error_sel1) @[dbg.scala 216:54] - node _T_233 = or(_T_232, abstractcs_error_sel2) @[dbg.scala 216:78] - node _T_234 = or(_T_233, abstractcs_error_sel3) @[dbg.scala 216:102] - node _T_235 = or(_T_234, abstractcs_error_sel4) @[dbg.scala 216:126] - node abstractcs_error_selor = or(_T_235, abstractcs_error_sel5) @[dbg.scala 216:150] - node _T_236 = bits(abstractcs_error_sel0, 0, 0) @[Bitwise.scala 72:15] - node _T_237 = mux(_T_236, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_238 = and(_T_237, UInt<1>("h01")) @[dbg.scala 217:62] - node _T_239 = bits(abstractcs_error_sel1, 0, 0) @[Bitwise.scala 72:15] - node _T_240 = mux(_T_239, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_241 = and(_T_240, UInt<2>("h02")) @[dbg.scala 218:37] - node _T_242 = or(_T_238, _T_241) @[dbg.scala 217:74] - node _T_243 = bits(abstractcs_error_sel2, 0, 0) @[Bitwise.scala 72:15] - node _T_244 = mux(_T_243, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_245 = and(_T_244, UInt<2>("h03")) @[dbg.scala 219:37] - node _T_246 = or(_T_242, _T_245) @[dbg.scala 218:49] - node _T_247 = bits(abstractcs_error_sel3, 0, 0) @[Bitwise.scala 72:15] - node _T_248 = mux(_T_247, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_249 = and(_T_248, UInt<3>("h04")) @[dbg.scala 220:37] - node _T_250 = or(_T_246, _T_249) @[dbg.scala 219:49] - node _T_251 = bits(abstractcs_error_sel4, 0, 0) @[Bitwise.scala 72:15] - node _T_252 = mux(_T_251, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_253 = and(_T_252, UInt<3>("h07")) @[dbg.scala 221:37] - node _T_254 = or(_T_250, _T_253) @[dbg.scala 220:49] - node _T_255 = bits(abstractcs_error_sel5, 0, 0) @[Bitwise.scala 72:15] - node _T_256 = mux(_T_255, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_257 = bits(io.dmi_reg_wdata, 10, 8) @[dbg.scala 222:57] - node _T_258 = not(_T_257) @[dbg.scala 222:40] - node _T_259 = and(_T_256, _T_258) @[dbg.scala 222:37] - node _T_260 = bits(abstractcs_reg, 10, 8) @[dbg.scala 222:91] - node _T_261 = and(_T_259, _T_260) @[dbg.scala 222:75] - node _T_262 = or(_T_254, _T_261) @[dbg.scala 221:49] - node _T_263 = not(abstractcs_error_selor) @[dbg.scala 223:15] - node _T_264 = bits(_T_263, 0, 0) @[Bitwise.scala 72:15] - node _T_265 = mux(_T_264, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_266 = bits(abstractcs_reg, 10, 8) @[dbg.scala 223:66] - node _T_267 = and(_T_265, _T_266) @[dbg.scala 223:50] - node abstractcs_error_din = or(_T_262, _T_267) @[dbg.scala 222:100] - node _T_268 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 225:53] - reg abs_temp_12 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_268, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_191 = bits(abstractcs_reg, 12, 12) @[dbg.scala 213:45] + node _T_192 = and(_T_191, io.dmi_reg_en) @[dbg.scala 213:50] + node _T_193 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 213:106] + node _T_194 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 213:138] + node _T_195 = or(_T_193, _T_194) @[dbg.scala 213:119] + node _T_196 = and(io.dmi_reg_wr_en, _T_195) @[dbg.scala 213:86] + node _T_197 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 213:171] + node _T_198 = or(_T_196, _T_197) @[dbg.scala 213:152] + node abstractcs_error_sel0 = and(_T_192, _T_198) @[dbg.scala 213:66] + node _T_199 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 214:45] + node _T_200 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 214:83] + node _T_201 = and(_T_199, _T_200) @[dbg.scala 214:64] + node _T_202 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 214:117] + node _T_203 = eq(_T_202, UInt<1>("h00")) @[dbg.scala 214:126] + node _T_204 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 214:154] + node _T_205 = eq(_T_204, UInt<2>("h02")) @[dbg.scala 214:163] + node _T_206 = or(_T_203, _T_205) @[dbg.scala 214:135] + node _T_207 = eq(_T_206, UInt<1>("h00")) @[dbg.scala 214:98] + node abstractcs_error_sel1 = and(_T_201, _T_207) @[dbg.scala 214:96] + node abstractcs_error_sel2 = and(io.core_dbg_cmd_done, io.core_dbg_cmd_fail) @[dbg.scala 215:52] + node _T_208 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 216:45] + node _T_209 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 216:83] + node _T_210 = and(_T_208, _T_209) @[dbg.scala 216:64] + node _T_211 = bits(dmstatus_reg, 9, 9) @[dbg.scala 216:111] + node _T_212 = eq(_T_211, UInt<1>("h00")) @[dbg.scala 216:98] + node abstractcs_error_sel3 = and(_T_210, _T_212) @[dbg.scala 216:96] + node _T_213 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 217:48] + node _T_214 = and(_T_213, io.dmi_reg_en) @[dbg.scala 217:61] + node _T_215 = and(_T_214, io.dmi_reg_wr_en) @[dbg.scala 217:77] + node _T_216 = bits(io.dmi_reg_wdata, 22, 20) @[dbg.scala 218:23] + node _T_217 = neq(_T_216, UInt<3>("h02")) @[dbg.scala 218:32] + node _T_218 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 218:71] + node _T_219 = eq(_T_218, UInt<2>("h02")) @[dbg.scala 218:80] + node _T_220 = bits(data1_reg, 1, 0) @[dbg.scala 218:104] + node _T_221 = orr(_T_220) @[dbg.scala 218:111] + node _T_222 = and(_T_219, _T_221) @[dbg.scala 218:92] + node _T_223 = or(_T_217, _T_222) @[dbg.scala 218:51] + node abstractcs_error_sel4 = and(_T_215, _T_223) @[dbg.scala 217:96] + node _T_224 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 220:48] + node _T_225 = and(_T_224, io.dmi_reg_en) @[dbg.scala 220:61] + node abstractcs_error_sel5 = and(_T_225, io.dmi_reg_wr_en) @[dbg.scala 220:77] + node _T_226 = or(abstractcs_error_sel0, abstractcs_error_sel1) @[dbg.scala 221:54] + node _T_227 = or(_T_226, abstractcs_error_sel2) @[dbg.scala 221:78] + node _T_228 = or(_T_227, abstractcs_error_sel3) @[dbg.scala 221:102] + node _T_229 = or(_T_228, abstractcs_error_sel4) @[dbg.scala 221:126] + node abstractcs_error_selor = or(_T_229, abstractcs_error_sel5) @[dbg.scala 221:150] + node _T_230 = bits(abstractcs_error_sel0, 0, 0) @[Bitwise.scala 72:15] + node _T_231 = mux(_T_230, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_232 = and(_T_231, UInt<3>("h01")) @[dbg.scala 222:62] + node _T_233 = bits(abstractcs_error_sel1, 0, 0) @[Bitwise.scala 72:15] + node _T_234 = mux(_T_233, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_235 = and(_T_234, UInt<3>("h02")) @[dbg.scala 223:37] + node _T_236 = or(_T_232, _T_235) @[dbg.scala 222:79] + node _T_237 = bits(abstractcs_error_sel2, 0, 0) @[Bitwise.scala 72:15] + node _T_238 = mux(_T_237, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_239 = and(_T_238, UInt<3>("h03")) @[dbg.scala 224:37] + node _T_240 = or(_T_236, _T_239) @[dbg.scala 223:54] + node _T_241 = bits(abstractcs_error_sel3, 0, 0) @[Bitwise.scala 72:15] + node _T_242 = mux(_T_241, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_243 = and(_T_242, UInt<3>("h04")) @[dbg.scala 225:37] + node _T_244 = or(_T_240, _T_243) @[dbg.scala 224:54] + node _T_245 = bits(abstractcs_error_sel4, 0, 0) @[Bitwise.scala 72:15] + node _T_246 = mux(_T_245, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_247 = and(_T_246, UInt<3>("h07")) @[dbg.scala 226:37] + node _T_248 = or(_T_244, _T_247) @[dbg.scala 225:54] + node _T_249 = bits(abstractcs_error_sel5, 0, 0) @[Bitwise.scala 72:15] + node _T_250 = mux(_T_249, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_251 = bits(io.dmi_reg_wdata, 10, 8) @[dbg.scala 227:57] + node _T_252 = not(_T_251) @[dbg.scala 227:40] + node _T_253 = and(_T_250, _T_252) @[dbg.scala 227:37] + node _T_254 = bits(abstractcs_reg, 10, 8) @[dbg.scala 227:91] + node _T_255 = and(_T_253, _T_254) @[dbg.scala 227:75] + node _T_256 = or(_T_248, _T_255) @[dbg.scala 226:54] + node _T_257 = not(abstractcs_error_selor) @[dbg.scala 228:15] + node _T_258 = bits(_T_257, 0, 0) @[Bitwise.scala 72:15] + node _T_259 = mux(_T_258, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_260 = bits(abstractcs_reg, 10, 8) @[dbg.scala 228:66] + node _T_261 = and(_T_259, _T_260) @[dbg.scala 228:50] + node abstractcs_error_din = or(_T_256, _T_261) @[dbg.scala 227:100] + reg abs_temp_12 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when abstractcs_busy_wren : @[Reg.scala 28:19] abs_temp_12 <= abstractcs_busy_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_269 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 229:55] - node _T_270 = bits(abstractcs_error_din, 2, 0) @[dbg.scala 230:33] - reg abs_temp_10_8 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_269, UInt<1>("h00"))) @[dbg.scala 230:12] - abs_temp_10_8 <= _T_270 @[dbg.scala 230:12] - node _T_271 = cat(abs_temp_10_8, UInt<8>("h02")) @[Cat.scala 29:58] - node _T_272 = cat(UInt<19>("h00"), abs_temp_12) @[Cat.scala 29:58] - node _T_273 = cat(_T_272, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_274 = cat(_T_273, _T_271) @[Cat.scala 29:58] - abstractcs_reg <= _T_274 @[dbg.scala 233:18] - node _T_275 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 235:39] - node _T_276 = and(_T_275, io.dmi_reg_en) @[dbg.scala 235:52] - node _T_277 = and(_T_276, io.dmi_reg_wr_en) @[dbg.scala 235:68] - node _T_278 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 235:100] - node command_wren = and(_T_277, _T_278) @[dbg.scala 235:87] - node _T_279 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 236:41] - node _T_280 = bits(io.dmi_reg_wdata, 22, 20) @[dbg.scala 236:77] - node _T_281 = bits(io.dmi_reg_wdata, 16, 0) @[dbg.scala 236:113] - node _T_282 = cat(UInt<3>("h00"), _T_281) @[Cat.scala 29:58] - node _T_283 = cat(_T_279, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_284 = cat(_T_283, _T_280) @[Cat.scala 29:58] - node command_din = cat(_T_284, _T_282) @[Cat.scala 29:58] - node _T_285 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 237:31] - reg command_reg : UInt, clock with : (reset => (_T_285, UInt<1>("h00"))) @[Reg.scala 27:20] - when command_wren : @[Reg.scala 28:19] - command_reg <= command_din @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_286 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 241:39] - node _T_287 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 241:77] - node _T_288 = and(_T_286, _T_287) @[dbg.scala 241:58] - node _T_289 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 241:102] - node data0_reg_wren0 = and(_T_288, _T_289) @[dbg.scala 241:89] - node _T_290 = eq(dbg_state, UInt<3>("h04")) @[dbg.scala 242:59] - node _T_291 = and(io.core_dbg_cmd_done, _T_290) @[dbg.scala 242:46] - node _T_292 = bits(command_reg, 16, 16) @[dbg.scala 242:95] - node _T_293 = eq(_T_292, UInt<1>("h00")) @[dbg.scala 242:83] - node data0_reg_wren1 = and(_T_291, _T_293) @[dbg.scala 242:81] - node data0_reg_wren = or(data0_reg_wren0, data0_reg_wren1) @[dbg.scala 244:40] - node _T_294 = bits(data0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] - node _T_295 = mux(_T_294, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_296 = and(_T_295, io.dmi_reg_wdata) @[dbg.scala 245:45] - node _T_297 = bits(data0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] - node _T_298 = mux(_T_297, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_299 = and(_T_298, io.core_dbg_rddata) @[dbg.scala 245:92] - node data0_din = or(_T_296, _T_299) @[dbg.scala 245:64] - node _T_300 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 246:29] - reg data0_reg : UInt, clock with : (reset => (_T_300, UInt<1>("h00"))) @[Reg.scala 27:20] - when data0_reg_wren : @[Reg.scala 28:19] - data0_reg <= data0_din @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_301 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 250:39] - node _T_302 = eq(io.dmi_reg_addr, UInt<3>("h05")) @[dbg.scala 250:77] - node _T_303 = and(_T_301, _T_302) @[dbg.scala 250:58] - node _T_304 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 250:102] - node data1_reg_wren = and(_T_303, _T_304) @[dbg.scala 250:89] - node _T_305 = bits(data1_reg_wren, 0, 0) @[Bitwise.scala 72:15] - node _T_306 = mux(_T_305, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node data1_din = and(_T_306, io.dmi_reg_wdata) @[dbg.scala 251:44] - node _T_307 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 252:26] + node _T_262 = bits(abstractcs_error_din, 2, 0) @[dbg.scala 235:33] + reg abs_temp_10_8 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 235:12] + abs_temp_10_8 <= _T_262 @[dbg.scala 235:12] + node _T_263 = cat(abs_temp_10_8, UInt<8>("h02")) @[Cat.scala 29:58] + node _T_264 = cat(UInt<19>("h00"), abs_temp_12) @[Cat.scala 29:58] + node _T_265 = cat(_T_264, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_266 = cat(_T_265, _T_263) @[Cat.scala 29:58] + abstractcs_reg <= _T_266 @[dbg.scala 238:18] + node _T_267 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 240:39] + node _T_268 = and(_T_267, io.dmi_reg_en) @[dbg.scala 240:52] + node _T_269 = and(_T_268, io.dmi_reg_wr_en) @[dbg.scala 240:68] + node _T_270 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 240:100] + node command_wren = and(_T_269, _T_270) @[dbg.scala 240:87] + node _T_271 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 241:41] + node _T_272 = bits(io.dmi_reg_wdata, 22, 20) @[dbg.scala 241:77] + node _T_273 = bits(io.dmi_reg_wdata, 16, 0) @[dbg.scala 241:113] + node _T_274 = cat(UInt<3>("h00"), _T_273) @[Cat.scala 29:58] + node _T_275 = cat(_T_271, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_276 = cat(_T_275, _T_272) @[Cat.scala 29:58] + node command_din = cat(_T_276, _T_274) @[Cat.scala 29:58] inst rvclkhdr_5 of rvclkhdr_760 @[el2_lib.scala 508:23] rvclkhdr_5.clock <= clock - rvclkhdr_5.reset <= _T_307 + rvclkhdr_5.reset <= dbg_dm_rst_l rvclkhdr_5.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_5.io.en <= data1_reg_wren @[el2_lib.scala 511:17] + rvclkhdr_5.io.en <= command_wren @[el2_lib.scala 511:17] rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg _T_308 : UInt, rvclkhdr_5.io.l1clk with : (reset => (_T_307, UInt<1>("h00"))) @[el2_lib.scala 514:16] - _T_308 <= data1_din @[el2_lib.scala 514:16] - data1_reg <= _T_308 @[dbg.scala 252:13] + reg command_reg : UInt, rvclkhdr_5.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[el2_lib.scala 514:16] + command_reg <= command_din @[el2_lib.scala 514:16] + node _T_277 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 246:39] + node _T_278 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 246:77] + node _T_279 = and(_T_277, _T_278) @[dbg.scala 246:58] + node _T_280 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 246:102] + node data0_reg_wren0 = and(_T_279, _T_280) @[dbg.scala 246:89] + node _T_281 = eq(dbg_state, UInt<3>("h04")) @[dbg.scala 247:59] + node _T_282 = and(io.core_dbg_cmd_done, _T_281) @[dbg.scala 247:46] + node _T_283 = bits(command_reg, 16, 16) @[dbg.scala 247:95] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[dbg.scala 247:83] + node data0_reg_wren1 = and(_T_282, _T_284) @[dbg.scala 247:81] + node data0_reg_wren = or(data0_reg_wren0, data0_reg_wren1) @[dbg.scala 249:40] + node _T_285 = bits(data0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] + node _T_286 = mux(_T_285, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_287 = and(_T_286, io.dmi_reg_wdata) @[dbg.scala 250:45] + node _T_288 = bits(data0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] + node _T_289 = mux(_T_288, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_290 = and(_T_289, io.core_dbg_rddata) @[dbg.scala 250:92] + node data0_din = or(_T_287, _T_290) @[dbg.scala 250:64] + inst rvclkhdr_6 of rvclkhdr_761 @[el2_lib.scala 508:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= dbg_dm_rst_l + rvclkhdr_6.io.clk <= clock @[el2_lib.scala 510:18] + rvclkhdr_6.io.en <= data0_reg_wren @[el2_lib.scala 511:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] + reg data0_reg : UInt, rvclkhdr_6.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[el2_lib.scala 514:16] + data0_reg <= data0_din @[el2_lib.scala 514:16] + node _T_291 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 255:39] + node _T_292 = eq(io.dmi_reg_addr, UInt<3>("h05")) @[dbg.scala 255:77] + node _T_293 = and(_T_291, _T_292) @[dbg.scala 255:58] + node _T_294 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 255:102] + node data1_reg_wren = and(_T_293, _T_294) @[dbg.scala 255:89] + node _T_295 = bits(data1_reg_wren, 0, 0) @[Bitwise.scala 72:15] + node _T_296 = mux(_T_295, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node data1_din = and(_T_296, io.dmi_reg_wdata) @[dbg.scala 256:44] + inst rvclkhdr_7 of rvclkhdr_762 @[el2_lib.scala 508:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= dbg_dm_rst_l + rvclkhdr_7.io.clk <= clock @[el2_lib.scala 510:18] + rvclkhdr_7.io.en <= data1_reg_wren @[el2_lib.scala 511:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] + reg _T_297 : UInt, rvclkhdr_7.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[el2_lib.scala 514:16] + _T_297 <= data1_din @[el2_lib.scala 514:16] + data1_reg <= _T_297 @[dbg.scala 257:13] wire dbg_nxtstate : UInt<3> dbg_nxtstate <= UInt<3>("h00") - dbg_nxtstate <= UInt<3>("h00") @[dbg.scala 257:16] - dbg_state_en <= UInt<1>("h00") @[dbg.scala 258:16] - abstractcs_busy_wren <= UInt<1>("h00") @[dbg.scala 259:24] - abstractcs_busy_din <= UInt<1>("h00") @[dbg.scala 260:23] - io.dbg_halt_req <= UInt<1>("h00") @[dbg.scala 261:19] - io.dbg_resume_req <= UInt<1>("h00") @[dbg.scala 262:21] - node _T_309 = eq(UInt<3>("h00"), dbg_state) @[Conditional.scala 37:30] - when _T_309 : @[Conditional.scala 40:58] - node _T_310 = bits(dmstatus_reg, 9, 9) @[dbg.scala 265:39] - node _T_311 = or(_T_310, io.dec_tlu_mpc_halted_only) @[dbg.scala 265:43] - node _T_312 = mux(_T_311, UInt<3>("h02"), UInt<3>("h01")) @[dbg.scala 265:26] - dbg_nxtstate <= _T_312 @[dbg.scala 265:20] - node _T_313 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 266:38] - node _T_314 = eq(io.dec_tlu_debug_mode, UInt<1>("h00")) @[dbg.scala 266:45] - node _T_315 = and(_T_313, _T_314) @[dbg.scala 266:43] - node _T_316 = bits(dmstatus_reg, 9, 9) @[dbg.scala 266:83] - node _T_317 = or(_T_315, _T_316) @[dbg.scala 266:69] - node _T_318 = or(_T_317, io.dec_tlu_mpc_halted_only) @[dbg.scala 266:87] - node _T_319 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 266:133] - node _T_320 = eq(_T_319, UInt<1>("h00")) @[dbg.scala 266:119] - node _T_321 = and(_T_318, _T_320) @[dbg.scala 266:117] - dbg_state_en <= _T_321 @[dbg.scala 266:20] - node _T_322 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 267:40] - node _T_323 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 267:61] - node _T_324 = eq(_T_323, UInt<1>("h00")) @[dbg.scala 267:47] - node _T_325 = and(_T_322, _T_324) @[dbg.scala 267:45] - node _T_326 = bits(_T_325, 0, 0) @[dbg.scala 267:72] - io.dbg_halt_req <= _T_326 @[dbg.scala 267:23] + dbg_nxtstate <= UInt<3>("h00") @[dbg.scala 262:16] + dbg_state_en <= UInt<1>("h00") @[dbg.scala 263:16] + abstractcs_busy_wren <= UInt<1>("h00") @[dbg.scala 264:24] + abstractcs_busy_din <= UInt<1>("h00") @[dbg.scala 265:23] + io.dbg_halt_req <= UInt<1>("h00") @[dbg.scala 266:19] + io.dbg_resume_req <= UInt<1>("h00") @[dbg.scala 267:21] + node _T_298 = eq(UInt<3>("h00"), dbg_state) @[Conditional.scala 37:30] + when _T_298 : @[Conditional.scala 40:58] + node _T_299 = bits(dmstatus_reg, 9, 9) @[dbg.scala 270:39] + node _T_300 = or(_T_299, io.dec_tlu_mpc_halted_only) @[dbg.scala 270:43] + node _T_301 = mux(_T_300, UInt<3>("h02"), UInt<3>("h01")) @[dbg.scala 270:26] + dbg_nxtstate <= _T_301 @[dbg.scala 270:20] + node _T_302 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 271:38] + node _T_303 = eq(io.dec_tlu_debug_mode, UInt<1>("h00")) @[dbg.scala 271:45] + node _T_304 = and(_T_302, _T_303) @[dbg.scala 271:43] + node _T_305 = bits(dmstatus_reg, 9, 9) @[dbg.scala 271:83] + node _T_306 = or(_T_304, _T_305) @[dbg.scala 271:69] + node _T_307 = or(_T_306, io.dec_tlu_mpc_halted_only) @[dbg.scala 271:87] + node _T_308 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 271:133] + node _T_309 = eq(_T_308, UInt<1>("h00")) @[dbg.scala 271:119] + node _T_310 = and(_T_307, _T_309) @[dbg.scala 271:117] + dbg_state_en <= _T_310 @[dbg.scala 271:20] + node _T_311 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 272:40] + node _T_312 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 272:61] + node _T_313 = eq(_T_312, UInt<1>("h00")) @[dbg.scala 272:47] + node _T_314 = and(_T_311, _T_313) @[dbg.scala 272:45] + node _T_315 = bits(_T_314, 0, 0) @[dbg.scala 272:72] + io.dbg_halt_req <= _T_315 @[dbg.scala 272:23] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_327 = eq(UInt<3>("h01"), dbg_state) @[Conditional.scala 37:30] - when _T_327 : @[Conditional.scala 39:67] - node _T_328 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 270:40] - node _T_329 = mux(_T_328, UInt<3>("h00"), UInt<3>("h02")) @[dbg.scala 270:26] - dbg_nxtstate <= _T_329 @[dbg.scala 270:20] - node _T_330 = bits(dmstatus_reg, 9, 9) @[dbg.scala 271:35] - node _T_331 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 271:54] - node _T_332 = or(_T_330, _T_331) @[dbg.scala 271:39] - dbg_state_en <= _T_332 @[dbg.scala 271:20] - node _T_333 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 272:59] - node _T_334 = and(dmcontrol_wren_Q, _T_333) @[dbg.scala 272:44] - node _T_335 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 272:81] - node _T_336 = not(_T_335) @[dbg.scala 272:67] - node _T_337 = and(_T_334, _T_336) @[dbg.scala 272:64] - node _T_338 = bits(_T_337, 0, 0) @[dbg.scala 272:102] - io.dbg_halt_req <= _T_338 @[dbg.scala 272:23] + node _T_316 = eq(UInt<3>("h01"), dbg_state) @[Conditional.scala 37:30] + when _T_316 : @[Conditional.scala 39:67] + node _T_317 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 275:40] + node _T_318 = mux(_T_317, UInt<3>("h00"), UInt<3>("h02")) @[dbg.scala 275:26] + dbg_nxtstate <= _T_318 @[dbg.scala 275:20] + node _T_319 = bits(dmstatus_reg, 9, 9) @[dbg.scala 276:35] + node _T_320 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 276:54] + node _T_321 = or(_T_319, _T_320) @[dbg.scala 276:39] + dbg_state_en <= _T_321 @[dbg.scala 276:20] + node _T_322 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 277:59] + node _T_323 = and(dmcontrol_wren_Q, _T_322) @[dbg.scala 277:44] + node _T_324 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 277:81] + node _T_325 = not(_T_324) @[dbg.scala 277:67] + node _T_326 = and(_T_323, _T_325) @[dbg.scala 277:64] + node _T_327 = bits(_T_326, 0, 0) @[dbg.scala 277:102] + io.dbg_halt_req <= _T_327 @[dbg.scala 277:23] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_339 = eq(UInt<3>("h02"), dbg_state) @[Conditional.scala 37:30] - when _T_339 : @[Conditional.scala 39:67] - node _T_340 = bits(dmstatus_reg, 9, 9) @[dbg.scala 275:39] - node _T_341 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 275:59] - node _T_342 = eq(_T_341, UInt<1>("h00")) @[dbg.scala 275:45] - node _T_343 = and(_T_340, _T_342) @[dbg.scala 275:43] - node _T_344 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 276:26] - node _T_345 = bits(dmcontrol_reg, 3, 3) @[dbg.scala 276:47] - node _T_346 = eq(_T_345, UInt<1>("h00")) @[dbg.scala 276:33] - node _T_347 = and(_T_344, _T_346) @[dbg.scala 276:31] - node _T_348 = mux(_T_347, UInt<3>("h06"), UInt<3>("h03")) @[dbg.scala 276:12] - node _T_349 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 277:26] - node _T_350 = mux(_T_349, UInt<3>("h01"), UInt<3>("h00")) @[dbg.scala 277:12] - node _T_351 = mux(_T_343, _T_348, _T_350) @[dbg.scala 275:26] - dbg_nxtstate <= _T_351 @[dbg.scala 275:20] - node _T_352 = bits(dmstatus_reg, 9, 9) @[dbg.scala 278:35] - node _T_353 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 278:54] - node _T_354 = and(_T_352, _T_353) @[dbg.scala 278:39] - node _T_355 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 278:75] - node _T_356 = eq(_T_355, UInt<1>("h00")) @[dbg.scala 278:61] - node _T_357 = and(_T_354, _T_356) @[dbg.scala 278:59] - node _T_358 = and(_T_357, dmcontrol_wren_Q) @[dbg.scala 278:80] - node _T_359 = or(_T_358, command_wren) @[dbg.scala 278:99] - node _T_360 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 279:22] - node _T_361 = or(_T_359, _T_360) @[dbg.scala 278:114] - node _T_362 = bits(dmstatus_reg, 9, 9) @[dbg.scala 279:42] - node _T_363 = or(_T_362, io.dec_tlu_mpc_halted_only) @[dbg.scala 279:46] - node _T_364 = eq(_T_363, UInt<1>("h00")) @[dbg.scala 279:28] - node _T_365 = or(_T_361, _T_364) @[dbg.scala 279:26] - dbg_state_en <= _T_365 @[dbg.scala 278:20] - node _T_366 = eq(dbg_nxtstate, UInt<3>("h03")) @[dbg.scala 280:60] - node _T_367 = and(dbg_state_en, _T_366) @[dbg.scala 280:44] - abstractcs_busy_wren <= _T_367 @[dbg.scala 280:28] - abstractcs_busy_din <= UInt<1>("h01") @[dbg.scala 281:27] - node _T_368 = eq(dbg_nxtstate, UInt<3>("h06")) @[dbg.scala 282:58] - node _T_369 = and(dbg_state_en, _T_368) @[dbg.scala 282:42] - node _T_370 = bits(_T_369, 0, 0) @[dbg.scala 282:87] - io.dbg_resume_req <= _T_370 @[dbg.scala 282:25] - node _T_371 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 283:59] - node _T_372 = and(dmcontrol_wren_Q, _T_371) @[dbg.scala 283:44] - node _T_373 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 283:81] - node _T_374 = not(_T_373) @[dbg.scala 283:67] - node _T_375 = and(_T_372, _T_374) @[dbg.scala 283:64] - node _T_376 = bits(_T_375, 0, 0) @[dbg.scala 283:102] - io.dbg_halt_req <= _T_376 @[dbg.scala 283:23] + node _T_328 = eq(UInt<3>("h02"), dbg_state) @[Conditional.scala 37:30] + when _T_328 : @[Conditional.scala 39:67] + node _T_329 = bits(dmstatus_reg, 9, 9) @[dbg.scala 280:39] + node _T_330 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 280:59] + node _T_331 = eq(_T_330, UInt<1>("h00")) @[dbg.scala 280:45] + node _T_332 = and(_T_329, _T_331) @[dbg.scala 280:43] + node _T_333 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 281:26] + node _T_334 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 281:47] + node _T_335 = eq(_T_334, UInt<1>("h00")) @[dbg.scala 281:33] + node _T_336 = and(_T_333, _T_335) @[dbg.scala 281:31] + node _T_337 = mux(_T_336, UInt<3>("h06"), UInt<3>("h03")) @[dbg.scala 281:12] + node _T_338 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 282:26] + node _T_339 = mux(_T_338, UInt<3>("h01"), UInt<3>("h00")) @[dbg.scala 282:12] + node _T_340 = mux(_T_332, _T_337, _T_339) @[dbg.scala 280:26] + dbg_nxtstate <= _T_340 @[dbg.scala 280:20] + node _T_341 = bits(dmstatus_reg, 9, 9) @[dbg.scala 283:35] + node _T_342 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 283:54] + node _T_343 = and(_T_341, _T_342) @[dbg.scala 283:39] + node _T_344 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 283:75] + node _T_345 = eq(_T_344, UInt<1>("h00")) @[dbg.scala 283:61] + node _T_346 = and(_T_343, _T_345) @[dbg.scala 283:59] + node _T_347 = and(_T_346, dmcontrol_wren_Q) @[dbg.scala 283:80] + node _T_348 = or(_T_347, command_wren) @[dbg.scala 283:99] + node _T_349 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 284:22] + node _T_350 = or(_T_348, _T_349) @[dbg.scala 283:114] + node _T_351 = bits(dmstatus_reg, 9, 9) @[dbg.scala 284:42] + node _T_352 = or(_T_351, io.dec_tlu_mpc_halted_only) @[dbg.scala 284:46] + node _T_353 = eq(_T_352, UInt<1>("h00")) @[dbg.scala 284:28] + node _T_354 = or(_T_350, _T_353) @[dbg.scala 284:26] + dbg_state_en <= _T_354 @[dbg.scala 283:20] + node _T_355 = eq(dbg_nxtstate, UInt<3>("h03")) @[dbg.scala 285:60] + node _T_356 = and(dbg_state_en, _T_355) @[dbg.scala 285:44] + abstractcs_busy_wren <= _T_356 @[dbg.scala 285:28] + abstractcs_busy_din <= UInt<1>("h01") @[dbg.scala 286:27] + node _T_357 = eq(dbg_nxtstate, UInt<3>("h06")) @[dbg.scala 287:58] + node _T_358 = and(dbg_state_en, _T_357) @[dbg.scala 287:42] + node _T_359 = bits(_T_358, 0, 0) @[dbg.scala 287:87] + io.dbg_resume_req <= _T_359 @[dbg.scala 287:25] + node _T_360 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 288:59] + node _T_361 = and(dmcontrol_wren_Q, _T_360) @[dbg.scala 288:44] + node _T_362 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 288:81] + node _T_363 = not(_T_362) @[dbg.scala 288:67] + node _T_364 = and(_T_361, _T_363) @[dbg.scala 288:64] + node _T_365 = bits(_T_364, 0, 0) @[dbg.scala 288:102] + io.dbg_halt_req <= _T_365 @[dbg.scala 288:23] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_377 = eq(UInt<3>("h03"), dbg_state) @[Conditional.scala 37:30] - when _T_377 : @[Conditional.scala 39:67] - node _T_378 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 286:40] - node _T_379 = bits(abstractcs_reg, 10, 8) @[dbg.scala 286:77] - node _T_380 = orr(_T_379) @[dbg.scala 286:85] - node _T_381 = mux(_T_380, UInt<3>("h05"), UInt<3>("h04")) @[dbg.scala 286:62] - node _T_382 = mux(_T_378, UInt<3>("h00"), _T_381) @[dbg.scala 286:26] - dbg_nxtstate <= _T_382 @[dbg.scala 286:20] - node _T_383 = bits(abstractcs_reg, 10, 8) @[dbg.scala 287:71] - node _T_384 = orr(_T_383) @[dbg.scala 287:79] - node _T_385 = or(io.dbg_dec.dbg_ib.dbg_cmd_valid, _T_384) @[dbg.scala 287:55] - node _T_386 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 287:98] - node _T_387 = or(_T_385, _T_386) @[dbg.scala 287:83] - dbg_state_en <= _T_387 @[dbg.scala 287:20] - node _T_388 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 288:59] - node _T_389 = and(dmcontrol_wren_Q, _T_388) @[dbg.scala 288:44] - node _T_390 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 288:81] - node _T_391 = not(_T_390) @[dbg.scala 288:67] - node _T_392 = and(_T_389, _T_391) @[dbg.scala 288:64] - node _T_393 = bits(_T_392, 0, 0) @[dbg.scala 288:102] - io.dbg_halt_req <= _T_393 @[dbg.scala 288:23] + node _T_366 = eq(UInt<3>("h03"), dbg_state) @[Conditional.scala 37:30] + when _T_366 : @[Conditional.scala 39:67] + node _T_367 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 291:40] + node _T_368 = bits(abstractcs_reg, 10, 8) @[dbg.scala 291:77] + node _T_369 = orr(_T_368) @[dbg.scala 291:85] + node _T_370 = mux(_T_369, UInt<3>("h05"), UInt<3>("h04")) @[dbg.scala 291:62] + node _T_371 = mux(_T_367, UInt<3>("h00"), _T_370) @[dbg.scala 291:26] + dbg_nxtstate <= _T_371 @[dbg.scala 291:20] + node _T_372 = bits(abstractcs_reg, 10, 8) @[dbg.scala 292:71] + node _T_373 = orr(_T_372) @[dbg.scala 292:79] + node _T_374 = or(io.dbg_dec.dbg_ib.dbg_cmd_valid, _T_373) @[dbg.scala 292:55] + node _T_375 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 292:98] + node _T_376 = or(_T_374, _T_375) @[dbg.scala 292:83] + dbg_state_en <= _T_376 @[dbg.scala 292:20] + node _T_377 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 293:59] + node _T_378 = and(dmcontrol_wren_Q, _T_377) @[dbg.scala 293:44] + node _T_379 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 293:81] + node _T_380 = not(_T_379) @[dbg.scala 293:67] + node _T_381 = and(_T_378, _T_380) @[dbg.scala 293:64] + node _T_382 = bits(_T_381, 0, 0) @[dbg.scala 293:102] + io.dbg_halt_req <= _T_382 @[dbg.scala 293:23] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_394 = eq(UInt<3>("h04"), dbg_state) @[Conditional.scala 37:30] - when _T_394 : @[Conditional.scala 39:67] - node _T_395 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 291:40] - node _T_396 = mux(_T_395, UInt<3>("h00"), UInt<3>("h05")) @[dbg.scala 291:26] - dbg_nxtstate <= _T_396 @[dbg.scala 291:20] - node _T_397 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 292:59] - node _T_398 = or(io.core_dbg_cmd_done, _T_397) @[dbg.scala 292:44] - dbg_state_en <= _T_398 @[dbg.scala 292:20] - node _T_399 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 293:59] - node _T_400 = and(dmcontrol_wren_Q, _T_399) @[dbg.scala 293:44] - node _T_401 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 293:81] - node _T_402 = not(_T_401) @[dbg.scala 293:67] - node _T_403 = and(_T_400, _T_402) @[dbg.scala 293:64] - node _T_404 = bits(_T_403, 0, 0) @[dbg.scala 293:102] - io.dbg_halt_req <= _T_404 @[dbg.scala 293:23] + node _T_383 = eq(UInt<3>("h04"), dbg_state) @[Conditional.scala 37:30] + when _T_383 : @[Conditional.scala 39:67] + node _T_384 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 296:40] + node _T_385 = mux(_T_384, UInt<3>("h00"), UInt<3>("h05")) @[dbg.scala 296:26] + dbg_nxtstate <= _T_385 @[dbg.scala 296:20] + node _T_386 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 297:59] + node _T_387 = or(io.core_dbg_cmd_done, _T_386) @[dbg.scala 297:44] + dbg_state_en <= _T_387 @[dbg.scala 297:20] + node _T_388 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 298:59] + node _T_389 = and(dmcontrol_wren_Q, _T_388) @[dbg.scala 298:44] + node _T_390 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 298:81] + node _T_391 = not(_T_390) @[dbg.scala 298:67] + node _T_392 = and(_T_389, _T_391) @[dbg.scala 298:64] + node _T_393 = bits(_T_392, 0, 0) @[dbg.scala 298:102] + io.dbg_halt_req <= _T_393 @[dbg.scala 298:23] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_405 = eq(UInt<3>("h05"), dbg_state) @[Conditional.scala 37:30] - when _T_405 : @[Conditional.scala 39:67] - node _T_406 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 296:40] - node _T_407 = mux(_T_406, UInt<3>("h00"), UInt<3>("h02")) @[dbg.scala 296:26] - dbg_nxtstate <= _T_407 @[dbg.scala 296:20] - dbg_state_en <= UInt<1>("h01") @[dbg.scala 297:20] - abstractcs_busy_wren <= dbg_state_en @[dbg.scala 298:28] - abstractcs_busy_din <= UInt<1>("h00") @[dbg.scala 299:27] - node _T_408 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 300:59] - node _T_409 = and(dmcontrol_wren_Q, _T_408) @[dbg.scala 300:44] - node _T_410 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 300:81] - node _T_411 = not(_T_410) @[dbg.scala 300:67] - node _T_412 = and(_T_409, _T_411) @[dbg.scala 300:64] - node _T_413 = bits(_T_412, 0, 0) @[dbg.scala 300:102] - io.dbg_halt_req <= _T_413 @[dbg.scala 300:23] + node _T_394 = eq(UInt<3>("h05"), dbg_state) @[Conditional.scala 37:30] + when _T_394 : @[Conditional.scala 39:67] + node _T_395 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 301:40] + node _T_396 = mux(_T_395, UInt<3>("h00"), UInt<3>("h02")) @[dbg.scala 301:26] + dbg_nxtstate <= _T_396 @[dbg.scala 301:20] + dbg_state_en <= UInt<1>("h01") @[dbg.scala 302:20] + abstractcs_busy_wren <= dbg_state_en @[dbg.scala 303:28] + abstractcs_busy_din <= UInt<1>("h00") @[dbg.scala 304:27] + node _T_397 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 305:59] + node _T_398 = and(dmcontrol_wren_Q, _T_397) @[dbg.scala 305:44] + node _T_399 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 305:81] + node _T_400 = not(_T_399) @[dbg.scala 305:67] + node _T_401 = and(_T_398, _T_400) @[dbg.scala 305:64] + node _T_402 = bits(_T_401, 0, 0) @[dbg.scala 305:102] + io.dbg_halt_req <= _T_402 @[dbg.scala 305:23] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_414 = eq(UInt<3>("h06"), dbg_state) @[Conditional.scala 37:30] - when _T_414 : @[Conditional.scala 39:67] - dbg_nxtstate <= UInt<3>("h00") @[dbg.scala 303:20] - node _T_415 = bits(dmstatus_reg, 17, 17) @[dbg.scala 304:35] - node _T_416 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 304:55] - node _T_417 = or(_T_415, _T_416) @[dbg.scala 304:40] - dbg_state_en <= _T_417 @[dbg.scala 304:20] - node _T_418 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 305:59] - node _T_419 = and(dmcontrol_wren_Q, _T_418) @[dbg.scala 305:44] - node _T_420 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 305:81] - node _T_421 = not(_T_420) @[dbg.scala 305:67] - node _T_422 = and(_T_419, _T_421) @[dbg.scala 305:64] - node _T_423 = bits(_T_422, 0, 0) @[dbg.scala 305:102] - io.dbg_halt_req <= _T_423 @[dbg.scala 305:23] + node _T_403 = eq(UInt<3>("h06"), dbg_state) @[Conditional.scala 37:30] + when _T_403 : @[Conditional.scala 39:67] + dbg_nxtstate <= UInt<3>("h00") @[dbg.scala 308:20] + node _T_404 = bits(dmstatus_reg, 17, 17) @[dbg.scala 309:35] + node _T_405 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 309:55] + node _T_406 = or(_T_404, _T_405) @[dbg.scala 309:40] + dbg_state_en <= _T_406 @[dbg.scala 309:20] + node _T_407 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 310:59] + node _T_408 = and(dmcontrol_wren_Q, _T_407) @[dbg.scala 310:44] + node _T_409 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 310:81] + node _T_410 = not(_T_409) @[dbg.scala 310:67] + node _T_411 = and(_T_408, _T_410) @[dbg.scala 310:64] + node _T_412 = bits(_T_411, 0, 0) @[dbg.scala 310:102] + io.dbg_halt_req <= _T_412 @[dbg.scala 310:23] skip @[Conditional.scala 39:67] - node _T_424 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 308:52] - node _T_425 = bits(_T_424, 0, 0) @[Bitwise.scala 72:15] - node _T_426 = mux(_T_425, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_427 = and(_T_426, data0_reg) @[dbg.scala 308:71] - node _T_428 = eq(io.dmi_reg_addr, UInt<3>("h05")) @[dbg.scala 308:110] - node _T_429 = bits(_T_428, 0, 0) @[Bitwise.scala 72:15] - node _T_430 = mux(_T_429, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_431 = and(_T_430, data1_reg) @[dbg.scala 308:122] - node _T_432 = or(_T_427, _T_431) @[dbg.scala 308:83] - node _T_433 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 309:30] - node _T_434 = bits(_T_433, 0, 0) @[Bitwise.scala 72:15] - node _T_435 = mux(_T_434, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_436 = and(_T_435, dmcontrol_reg) @[dbg.scala 309:43] - node _T_437 = or(_T_432, _T_436) @[dbg.scala 308:134] - node _T_438 = eq(io.dmi_reg_addr, UInt<5>("h011")) @[dbg.scala 309:86] - node _T_439 = bits(_T_438, 0, 0) @[Bitwise.scala 72:15] - node _T_440 = mux(_T_439, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_441 = and(_T_440, dmstatus_reg) @[dbg.scala 309:99] - node _T_442 = or(_T_437, _T_441) @[dbg.scala 309:59] - node _T_443 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 310:30] - node _T_444 = bits(_T_443, 0, 0) @[Bitwise.scala 72:15] - node _T_445 = mux(_T_444, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_446 = and(_T_445, abstractcs_reg) @[dbg.scala 310:43] - node _T_447 = or(_T_442, _T_446) @[dbg.scala 309:114] - node _T_448 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 310:87] - node _T_449 = bits(_T_448, 0, 0) @[Bitwise.scala 72:15] - node _T_450 = mux(_T_449, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_451 = and(_T_450, command_reg) @[dbg.scala 310:100] - node _T_452 = or(_T_447, _T_451) @[dbg.scala 310:60] - node _T_453 = eq(io.dmi_reg_addr, UInt<7>("h040")) @[dbg.scala 311:30] - node _T_454 = bits(_T_453, 0, 0) @[Bitwise.scala 72:15] - node _T_455 = mux(_T_454, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_456 = and(_T_455, haltsum0_reg) @[dbg.scala 311:43] - node _T_457 = or(_T_452, _T_456) @[dbg.scala 310:114] - node _T_458 = eq(io.dmi_reg_addr, UInt<6>("h038")) @[dbg.scala 311:85] - node _T_459 = bits(_T_458, 0, 0) @[Bitwise.scala 72:15] - node _T_460 = mux(_T_459, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_461 = and(_T_460, sbcs_reg) @[dbg.scala 311:98] - node _T_462 = or(_T_457, _T_461) @[dbg.scala 311:58] - node _T_463 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 312:30] - node _T_464 = bits(_T_463, 0, 0) @[Bitwise.scala 72:15] - node _T_465 = mux(_T_464, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_466 = and(_T_465, sbaddress0_reg) @[dbg.scala 312:43] - node _T_467 = or(_T_462, _T_466) @[dbg.scala 311:109] - node _T_468 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 312:87] - node _T_469 = bits(_T_468, 0, 0) @[Bitwise.scala 72:15] - node _T_470 = mux(_T_469, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_471 = and(_T_470, sbdata0_reg) @[dbg.scala 312:100] - node _T_472 = or(_T_467, _T_471) @[dbg.scala 312:60] - node _T_473 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 313:30] - node _T_474 = bits(_T_473, 0, 0) @[Bitwise.scala 72:15] - node _T_475 = mux(_T_474, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_476 = and(_T_475, sbdata1_reg) @[dbg.scala 313:43] - node dmi_reg_rdata_din = or(_T_472, _T_476) @[dbg.scala 312:114] - node _T_477 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 315:48] - node _T_478 = and(_T_477, temp_rst) @[dbg.scala 315:62] - reg _T_479 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_478, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_413 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 313:52] + node _T_414 = bits(_T_413, 0, 0) @[Bitwise.scala 72:15] + node _T_415 = mux(_T_414, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_416 = and(_T_415, data0_reg) @[dbg.scala 313:71] + node _T_417 = eq(io.dmi_reg_addr, UInt<3>("h05")) @[dbg.scala 313:110] + node _T_418 = bits(_T_417, 0, 0) @[Bitwise.scala 72:15] + node _T_419 = mux(_T_418, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_420 = and(_T_419, data1_reg) @[dbg.scala 313:122] + node _T_421 = or(_T_416, _T_420) @[dbg.scala 313:83] + node _T_422 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 314:30] + node _T_423 = bits(_T_422, 0, 0) @[Bitwise.scala 72:15] + node _T_424 = mux(_T_423, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_425 = and(_T_424, dmcontrol_reg) @[dbg.scala 314:43] + node _T_426 = or(_T_421, _T_425) @[dbg.scala 313:134] + node _T_427 = eq(io.dmi_reg_addr, UInt<5>("h011")) @[dbg.scala 314:86] + node _T_428 = bits(_T_427, 0, 0) @[Bitwise.scala 72:15] + node _T_429 = mux(_T_428, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_430 = and(_T_429, dmstatus_reg) @[dbg.scala 314:99] + node _T_431 = or(_T_426, _T_430) @[dbg.scala 314:59] + node _T_432 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 315:30] + node _T_433 = bits(_T_432, 0, 0) @[Bitwise.scala 72:15] + node _T_434 = mux(_T_433, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_435 = and(_T_434, abstractcs_reg) @[dbg.scala 315:43] + node _T_436 = or(_T_431, _T_435) @[dbg.scala 314:114] + node _T_437 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 315:87] + node _T_438 = bits(_T_437, 0, 0) @[Bitwise.scala 72:15] + node _T_439 = mux(_T_438, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_440 = and(_T_439, command_reg) @[dbg.scala 315:100] + node _T_441 = or(_T_436, _T_440) @[dbg.scala 315:60] + node _T_442 = eq(io.dmi_reg_addr, UInt<7>("h040")) @[dbg.scala 316:30] + node _T_443 = bits(_T_442, 0, 0) @[Bitwise.scala 72:15] + node _T_444 = mux(_T_443, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_445 = and(_T_444, haltsum0_reg) @[dbg.scala 316:43] + node _T_446 = or(_T_441, _T_445) @[dbg.scala 315:114] + node _T_447 = eq(io.dmi_reg_addr, UInt<6>("h038")) @[dbg.scala 316:85] + node _T_448 = bits(_T_447, 0, 0) @[Bitwise.scala 72:15] + node _T_449 = mux(_T_448, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_450 = and(_T_449, sbcs_reg) @[dbg.scala 316:98] + node _T_451 = or(_T_446, _T_450) @[dbg.scala 316:58] + node _T_452 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 317:30] + node _T_453 = bits(_T_452, 0, 0) @[Bitwise.scala 72:15] + node _T_454 = mux(_T_453, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_455 = and(_T_454, sbaddress0_reg) @[dbg.scala 317:43] + node _T_456 = or(_T_451, _T_455) @[dbg.scala 316:109] + node _T_457 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 317:87] + node _T_458 = bits(_T_457, 0, 0) @[Bitwise.scala 72:15] + node _T_459 = mux(_T_458, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_460 = and(_T_459, sbdata0_reg) @[dbg.scala 317:100] + node _T_461 = or(_T_456, _T_460) @[dbg.scala 317:60] + node _T_462 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 318:30] + node _T_463 = bits(_T_462, 0, 0) @[Bitwise.scala 72:15] + node _T_464 = mux(_T_463, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_465 = and(_T_464, sbdata1_reg) @[dbg.scala 318:43] + node dmi_reg_rdata_din = or(_T_461, _T_465) @[dbg.scala 317:114] + reg _T_466 : UInt, rvclkhdr.io.l1clk with : (reset => (rst_temp, UInt<1>("h00"))) @[Reg.scala 27:20] when dbg_state_en : @[Reg.scala 28:19] - _T_479 <= dbg_nxtstate @[Reg.scala 28:23] + _T_466 <= dbg_nxtstate @[Reg.scala 28:23] skip @[Reg.scala 28:19] - dbg_state <= _T_479 @[dbg.scala 315:13] - node _T_480 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 320:55] - reg _T_481 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_480, UInt<1>("h00"))) @[Reg.scala 27:20] + dbg_state <= _T_466 @[dbg.scala 320:13] + reg _T_467 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when io.dmi_reg_en : @[Reg.scala 28:19] - _T_481 <= dmi_reg_rdata_din @[Reg.scala 28:23] + _T_467 <= dmi_reg_rdata_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - io.dmi_reg_rdata <= _T_481 @[dbg.scala 320:20] - node _T_482 = bits(command_reg, 31, 24) @[dbg.scala 324:53] - node _T_483 = eq(_T_482, UInt<2>("h02")) @[dbg.scala 324:62] - node _T_484 = bits(data1_reg, 31, 2) @[dbg.scala 324:88] - node _T_485 = cat(_T_484, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_486 = bits(command_reg, 11, 0) @[dbg.scala 324:133] - node _T_487 = cat(UInt<20>("h00"), _T_486) @[Cat.scala 29:58] - node _T_488 = mux(_T_483, _T_485, _T_487) @[dbg.scala 324:40] - io.dbg_dec.dbg_ib.dbg_cmd_addr <= _T_488 @[dbg.scala 324:34] - node _T_489 = bits(data0_reg, 31, 0) @[dbg.scala 325:50] - io.dbg_dec.dbg_dctl.dbg_cmd_wrdata <= _T_489 @[dbg.scala 325:38] - node _T_490 = eq(dbg_state, UInt<3>("h03")) @[dbg.scala 326:50] - node _T_491 = bits(abstractcs_reg, 10, 8) @[dbg.scala 326:91] - node _T_492 = orr(_T_491) @[dbg.scala 326:99] - node _T_493 = eq(_T_492, UInt<1>("h00")) @[dbg.scala 326:75] - node _T_494 = and(_T_490, _T_493) @[dbg.scala 326:73] - node _T_495 = and(_T_494, io.dbg_dma_io.dma_dbg_ready) @[dbg.scala 326:104] - node _T_496 = bits(_T_495, 0, 0) @[dbg.scala 326:141] - io.dbg_dec.dbg_ib.dbg_cmd_valid <= _T_496 @[dbg.scala 326:35] - node _T_497 = bits(command_reg, 16, 16) @[dbg.scala 327:49] - node _T_498 = bits(_T_497, 0, 0) @[dbg.scala 327:60] - io.dbg_dec.dbg_ib.dbg_cmd_write <= _T_498 @[dbg.scala 327:35] - node _T_499 = bits(command_reg, 31, 24) @[dbg.scala 328:53] - node _T_500 = eq(_T_499, UInt<2>("h02")) @[dbg.scala 328:62] - node _T_501 = bits(command_reg, 15, 12) @[dbg.scala 328:108] - node _T_502 = eq(_T_501, UInt<1>("h00")) @[dbg.scala 328:117] - node _T_503 = cat(UInt<1>("h00"), _T_502) @[Cat.scala 29:58] - node _T_504 = mux(_T_500, UInt<2>("h02"), _T_503) @[dbg.scala 328:40] - io.dbg_dec.dbg_ib.dbg_cmd_type <= _T_504 @[dbg.scala 328:34] - node _T_505 = bits(command_reg, 21, 20) @[dbg.scala 329:33] - io.dbg_cmd_size <= _T_505 @[dbg.scala 329:19] - node _T_506 = eq(dbg_state, UInt<3>("h03")) @[dbg.scala 330:47] - node _T_507 = bits(abstractcs_reg, 10, 8) @[dbg.scala 330:88] - node _T_508 = orr(_T_507) @[dbg.scala 330:96] - node _T_509 = eq(_T_508, UInt<1>("h00")) @[dbg.scala 330:72] - node _T_510 = and(_T_506, _T_509) @[dbg.scala 330:70] - node _T_511 = eq(dbg_state, UInt<3>("h04")) @[dbg.scala 330:114] - node _T_512 = or(_T_510, _T_511) @[dbg.scala 330:101] - node _T_513 = bits(_T_512, 0, 0) @[dbg.scala 330:143] - io.dbg_dma_io.dbg_dma_bubble <= _T_513 @[dbg.scala 330:32] + io.dmi_reg_rdata <= _T_467 @[dbg.scala 325:20] + node _T_468 = bits(command_reg, 31, 24) @[dbg.scala 329:53] + node _T_469 = eq(_T_468, UInt<2>("h02")) @[dbg.scala 329:62] + node _T_470 = bits(data1_reg, 31, 2) @[dbg.scala 329:88] + node _T_471 = cat(_T_470, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_472 = bits(command_reg, 11, 0) @[dbg.scala 329:138] + node _T_473 = cat(UInt<20>("h00"), _T_472) @[Cat.scala 29:58] + node _T_474 = mux(_T_469, _T_471, _T_473) @[dbg.scala 329:40] + io.dbg_dec.dbg_ib.dbg_cmd_addr <= _T_474 @[dbg.scala 329:34] + node _T_475 = bits(data0_reg, 31, 0) @[dbg.scala 330:50] + io.dbg_dec.dbg_dctl.dbg_cmd_wrdata <= _T_475 @[dbg.scala 330:38] + node _T_476 = eq(dbg_state, UInt<3>("h03")) @[dbg.scala 331:50] + node _T_477 = bits(abstractcs_reg, 10, 8) @[dbg.scala 331:91] + node _T_478 = orr(_T_477) @[dbg.scala 331:99] + node _T_479 = eq(_T_478, UInt<1>("h00")) @[dbg.scala 331:75] + node _T_480 = and(_T_476, _T_479) @[dbg.scala 331:73] + node _T_481 = and(_T_480, io.dbg_dma_io.dma_dbg_ready) @[dbg.scala 331:104] + node _T_482 = bits(_T_481, 0, 0) @[dbg.scala 331:141] + io.dbg_dec.dbg_ib.dbg_cmd_valid <= _T_482 @[dbg.scala 331:35] + node _T_483 = bits(command_reg, 16, 16) @[dbg.scala 332:49] + node _T_484 = bits(_T_483, 0, 0) @[dbg.scala 332:60] + io.dbg_dec.dbg_ib.dbg_cmd_write <= _T_484 @[dbg.scala 332:35] + node _T_485 = bits(command_reg, 31, 24) @[dbg.scala 333:53] + node _T_486 = eq(_T_485, UInt<2>("h02")) @[dbg.scala 333:62] + node _T_487 = bits(command_reg, 15, 12) @[dbg.scala 333:113] + node _T_488 = eq(_T_487, UInt<1>("h00")) @[dbg.scala 333:122] + node _T_489 = cat(UInt<1>("h00"), _T_488) @[Cat.scala 29:58] + node _T_490 = mux(_T_486, UInt<2>("h02"), _T_489) @[dbg.scala 333:40] + io.dbg_dec.dbg_ib.dbg_cmd_type <= _T_490 @[dbg.scala 333:34] + node _T_491 = bits(command_reg, 21, 20) @[dbg.scala 334:33] + io.dbg_cmd_size <= _T_491 @[dbg.scala 334:19] + node _T_492 = eq(dbg_state, UInt<3>("h03")) @[dbg.scala 335:47] + node _T_493 = bits(abstractcs_reg, 10, 8) @[dbg.scala 335:88] + node _T_494 = orr(_T_493) @[dbg.scala 335:96] + node _T_495 = eq(_T_494, UInt<1>("h00")) @[dbg.scala 335:72] + node _T_496 = and(_T_492, _T_495) @[dbg.scala 335:70] + node _T_497 = eq(dbg_state, UInt<3>("h04")) @[dbg.scala 335:114] + node _T_498 = or(_T_496, _T_497) @[dbg.scala 335:101] + node _T_499 = bits(_T_498, 0, 0) @[dbg.scala 335:143] + io.dbg_dma_io.dbg_dma_bubble <= _T_499 @[dbg.scala 335:32] wire sb_nxtstate : UInt<4> sb_nxtstate <= UInt<4>("h00") - sb_nxtstate <= UInt<4>("h00") @[dbg.scala 333:15] - sbcs_sbbusy_wren <= UInt<1>("h00") @[dbg.scala 335:20] - sbcs_sbbusy_din <= UInt<1>("h00") @[dbg.scala 336:19] - sbcs_sberror_wren <= UInt<1>("h00") @[dbg.scala 337:21] - sbcs_sberror_din <= UInt<3>("h00") @[dbg.scala 338:20] - sbaddress0_reg_wren1 <= UInt<1>("h00") @[dbg.scala 339:24] - node _T_514 = eq(UInt<4>("h00"), sb_state) @[Conditional.scala 37:30] - when _T_514 : @[Conditional.scala 40:58] - node _T_515 = mux(sbdata0wr_access, UInt<4>("h02"), UInt<4>("h01")) @[dbg.scala 342:25] - sb_nxtstate <= _T_515 @[dbg.scala 342:19] - node _T_516 = or(sbdata0wr_access, sbreadondata_access) @[dbg.scala 343:39] - node _T_517 = or(_T_516, sbreadonaddr_access) @[dbg.scala 343:61] - sb_state_en <= _T_517 @[dbg.scala 343:19] - sbcs_sbbusy_wren <= sb_state_en @[dbg.scala 344:24] - sbcs_sbbusy_din <= UInt<1>("h01") @[dbg.scala 345:23] - node _T_518 = bits(io.dmi_reg_wdata, 14, 12) @[dbg.scala 346:56] - node _T_519 = orr(_T_518) @[dbg.scala 346:65] - node _T_520 = and(sbcs_wren, _T_519) @[dbg.scala 346:38] - sbcs_sberror_wren <= _T_520 @[dbg.scala 346:25] - node _T_521 = bits(io.dmi_reg_wdata, 14, 12) @[dbg.scala 347:44] - node _T_522 = eq(_T_521, UInt<1>("h00")) @[dbg.scala 347:27] - node _T_523 = bits(sbcs_reg, 14, 12) @[dbg.scala 347:63] - node _T_524 = and(_T_522, _T_523) @[dbg.scala 347:53] - sbcs_sberror_din <= _T_524 @[dbg.scala 347:24] + sb_nxtstate <= UInt<4>("h00") @[dbg.scala 338:15] + sbcs_sbbusy_wren <= UInt<1>("h00") @[dbg.scala 340:20] + sbcs_sbbusy_din <= UInt<1>("h00") @[dbg.scala 341:19] + sbcs_sberror_wren <= UInt<1>("h00") @[dbg.scala 342:21] + sbcs_sberror_din <= UInt<3>("h00") @[dbg.scala 343:20] + sbaddress0_reg_wren1 <= UInt<1>("h00") @[dbg.scala 344:24] + node _T_500 = eq(UInt<4>("h00"), sb_state) @[Conditional.scala 37:30] + when _T_500 : @[Conditional.scala 40:58] + node _T_501 = mux(sbdata0wr_access, UInt<4>("h02"), UInt<4>("h01")) @[dbg.scala 347:25] + sb_nxtstate <= _T_501 @[dbg.scala 347:19] + node _T_502 = or(sbdata0wr_access, sbreadondata_access) @[dbg.scala 348:39] + node _T_503 = or(_T_502, sbreadonaddr_access) @[dbg.scala 348:61] + sb_state_en <= _T_503 @[dbg.scala 348:19] + sbcs_sbbusy_wren <= sb_state_en @[dbg.scala 349:24] + sbcs_sbbusy_din <= UInt<1>("h01") @[dbg.scala 350:23] + node _T_504 = bits(io.dmi_reg_wdata, 14, 12) @[dbg.scala 351:56] + node _T_505 = orr(_T_504) @[dbg.scala 351:65] + node _T_506 = and(sbcs_wren, _T_505) @[dbg.scala 351:38] + sbcs_sberror_wren <= _T_506 @[dbg.scala 351:25] + node _T_507 = bits(io.dmi_reg_wdata, 14, 12) @[dbg.scala 352:44] + node _T_508 = not(_T_507) @[dbg.scala 352:27] + node _T_509 = bits(sbcs_reg, 14, 12) @[dbg.scala 352:63] + node _T_510 = and(_T_508, _T_509) @[dbg.scala 352:53] + sbcs_sberror_din <= _T_510 @[dbg.scala 352:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_525 = eq(UInt<4>("h01"), sb_state) @[Conditional.scala 37:30] - when _T_525 : @[Conditional.scala 39:67] - node _T_526 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 350:41] - node _T_527 = mux(_T_526, UInt<4>("h09"), UInt<4>("h03")) @[dbg.scala 350:25] - sb_nxtstate <= _T_527 @[dbg.scala 350:19] - node _T_528 = or(io.dbg_bus_clk_en, sbcs_unaligned) @[dbg.scala 351:40] - node _T_529 = or(_T_528, sbcs_illegal_size) @[dbg.scala 351:57] - sb_state_en <= _T_529 @[dbg.scala 351:19] - node _T_530 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 352:43] - sbcs_sberror_wren <= _T_530 @[dbg.scala 352:25] - node _T_531 = mux(sbcs_unaligned, UInt<2>("h03"), UInt<3>("h04")) @[dbg.scala 353:30] - sbcs_sberror_din <= _T_531 @[dbg.scala 353:24] + node _T_511 = eq(UInt<4>("h01"), sb_state) @[Conditional.scala 37:30] + when _T_511 : @[Conditional.scala 39:67] + node _T_512 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 355:41] + node _T_513 = mux(_T_512, UInt<4>("h09"), UInt<4>("h03")) @[dbg.scala 355:25] + sb_nxtstate <= _T_513 @[dbg.scala 355:19] + node _T_514 = or(io.dbg_bus_clk_en, sbcs_unaligned) @[dbg.scala 356:40] + node _T_515 = or(_T_514, sbcs_illegal_size) @[dbg.scala 356:57] + sb_state_en <= _T_515 @[dbg.scala 356:19] + node _T_516 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 357:43] + sbcs_sberror_wren <= _T_516 @[dbg.scala 357:25] + node _T_517 = mux(sbcs_unaligned, UInt<3>("h03"), UInt<3>("h04")) @[dbg.scala 358:30] + sbcs_sberror_din <= _T_517 @[dbg.scala 358:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_532 = eq(UInt<4>("h02"), sb_state) @[Conditional.scala 37:30] - when _T_532 : @[Conditional.scala 39:67] - node _T_533 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 356:41] - node _T_534 = mux(_T_533, UInt<4>("h09"), UInt<4>("h04")) @[dbg.scala 356:25] - sb_nxtstate <= _T_534 @[dbg.scala 356:19] - node _T_535 = or(io.dbg_bus_clk_en, sbcs_unaligned) @[dbg.scala 357:40] - node _T_536 = or(_T_535, sbcs_illegal_size) @[dbg.scala 357:57] - sb_state_en <= _T_536 @[dbg.scala 357:19] - node _T_537 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 358:43] - sbcs_sberror_wren <= _T_537 @[dbg.scala 358:25] - node _T_538 = mux(sbcs_unaligned, UInt<2>("h03"), UInt<3>("h04")) @[dbg.scala 359:30] - sbcs_sberror_din <= _T_538 @[dbg.scala 359:24] + node _T_518 = eq(UInt<4>("h02"), sb_state) @[Conditional.scala 37:30] + when _T_518 : @[Conditional.scala 39:67] + node _T_519 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 361:41] + node _T_520 = mux(_T_519, UInt<4>("h09"), UInt<4>("h04")) @[dbg.scala 361:25] + sb_nxtstate <= _T_520 @[dbg.scala 361:19] + node _T_521 = or(io.dbg_bus_clk_en, sbcs_unaligned) @[dbg.scala 362:40] + node _T_522 = or(_T_521, sbcs_illegal_size) @[dbg.scala 362:57] + sb_state_en <= _T_522 @[dbg.scala 362:19] + node _T_523 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 363:43] + sbcs_sberror_wren <= _T_523 @[dbg.scala 363:25] + node _T_524 = mux(sbcs_unaligned, UInt<3>("h03"), UInt<3>("h04")) @[dbg.scala 364:30] + sbcs_sberror_din <= _T_524 @[dbg.scala 364:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_539 = eq(UInt<4>("h03"), sb_state) @[Conditional.scala 37:30] - when _T_539 : @[Conditional.scala 39:67] - sb_nxtstate <= UInt<4>("h07") @[dbg.scala 362:19] - node _T_540 = and(sb_bus_cmd_read, io.dbg_bus_clk_en) @[dbg.scala 363:38] - sb_state_en <= _T_540 @[dbg.scala 363:19] + node _T_525 = eq(UInt<4>("h03"), sb_state) @[Conditional.scala 37:30] + when _T_525 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h07") @[dbg.scala 367:19] + node _T_526 = and(sb_bus_cmd_read, io.dbg_bus_clk_en) @[dbg.scala 368:38] + sb_state_en <= _T_526 @[dbg.scala 368:19] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_541 = eq(UInt<4>("h04"), sb_state) @[Conditional.scala 37:30] - when _T_541 : @[Conditional.scala 39:67] - node _T_542 = and(sb_bus_cmd_write_addr, sb_bus_cmd_write_data) @[dbg.scala 366:48] - node _T_543 = mux(sb_bus_cmd_write_data, UInt<4>("h05"), UInt<4>("h06")) @[dbg.scala 366:95] - node _T_544 = mux(_T_542, UInt<4>("h08"), _T_543) @[dbg.scala 366:25] - sb_nxtstate <= _T_544 @[dbg.scala 366:19] - node _T_545 = or(sb_bus_cmd_write_addr, sb_bus_cmd_write_data) @[dbg.scala 367:45] - node _T_546 = and(_T_545, io.dbg_bus_clk_en) @[dbg.scala 367:70] - sb_state_en <= _T_546 @[dbg.scala 367:19] + node _T_527 = eq(UInt<4>("h04"), sb_state) @[Conditional.scala 37:30] + when _T_527 : @[Conditional.scala 39:67] + node _T_528 = and(sb_bus_cmd_write_addr, sb_bus_cmd_write_data) @[dbg.scala 371:48] + node _T_529 = mux(sb_bus_cmd_write_data, UInt<4>("h05"), UInt<4>("h06")) @[dbg.scala 371:95] + node _T_530 = mux(_T_528, UInt<4>("h08"), _T_529) @[dbg.scala 371:25] + sb_nxtstate <= _T_530 @[dbg.scala 371:19] + node _T_531 = or(sb_bus_cmd_write_addr, sb_bus_cmd_write_data) @[dbg.scala 372:45] + node _T_532 = and(_T_531, io.dbg_bus_clk_en) @[dbg.scala 372:70] + sb_state_en <= _T_532 @[dbg.scala 372:19] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_547 = eq(UInt<4>("h05"), sb_state) @[Conditional.scala 37:30] - when _T_547 : @[Conditional.scala 39:67] - sb_nxtstate <= UInt<4>("h08") @[dbg.scala 370:19] - node _T_548 = and(sb_bus_cmd_write_addr, io.dbg_bus_clk_en) @[dbg.scala 371:44] - sb_state_en <= _T_548 @[dbg.scala 371:19] + node _T_533 = eq(UInt<4>("h05"), sb_state) @[Conditional.scala 37:30] + when _T_533 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h08") @[dbg.scala 375:19] + node _T_534 = and(sb_bus_cmd_write_addr, io.dbg_bus_clk_en) @[dbg.scala 376:44] + sb_state_en <= _T_534 @[dbg.scala 376:19] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_549 = eq(UInt<4>("h06"), sb_state) @[Conditional.scala 37:30] - when _T_549 : @[Conditional.scala 39:67] - sb_nxtstate <= UInt<4>("h08") @[dbg.scala 374:19] - node _T_550 = and(sb_bus_cmd_write_data, io.dbg_bus_clk_en) @[dbg.scala 375:44] - sb_state_en <= _T_550 @[dbg.scala 375:19] + node _T_535 = eq(UInt<4>("h06"), sb_state) @[Conditional.scala 37:30] + when _T_535 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h08") @[dbg.scala 379:19] + node _T_536 = and(sb_bus_cmd_write_data, io.dbg_bus_clk_en) @[dbg.scala 380:44] + sb_state_en <= _T_536 @[dbg.scala 380:19] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_551 = eq(UInt<4>("h07"), sb_state) @[Conditional.scala 37:30] - when _T_551 : @[Conditional.scala 39:67] - sb_nxtstate <= UInt<4>("h09") @[dbg.scala 378:19] - node _T_552 = and(sb_bus_rsp_read, io.dbg_bus_clk_en) @[dbg.scala 379:38] - sb_state_en <= _T_552 @[dbg.scala 379:19] - node _T_553 = and(sb_state_en, sb_bus_rsp_error) @[dbg.scala 380:40] - sbcs_sberror_wren <= _T_553 @[dbg.scala 380:25] - sbcs_sberror_din <= UInt<2>("h02") @[dbg.scala 381:24] + node _T_537 = eq(UInt<4>("h07"), sb_state) @[Conditional.scala 37:30] + when _T_537 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h09") @[dbg.scala 383:19] + node _T_538 = and(sb_bus_rsp_read, io.dbg_bus_clk_en) @[dbg.scala 384:38] + sb_state_en <= _T_538 @[dbg.scala 384:19] + node _T_539 = and(sb_state_en, sb_bus_rsp_error) @[dbg.scala 385:40] + sbcs_sberror_wren <= _T_539 @[dbg.scala 385:25] + sbcs_sberror_din <= UInt<3>("h02") @[dbg.scala 386:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_554 = eq(UInt<4>("h08"), sb_state) @[Conditional.scala 37:30] - when _T_554 : @[Conditional.scala 39:67] - sb_nxtstate <= UInt<4>("h09") @[dbg.scala 384:19] - node _T_555 = and(sb_bus_rsp_write, io.dbg_bus_clk_en) @[dbg.scala 385:39] - sb_state_en <= _T_555 @[dbg.scala 385:19] - node _T_556 = and(sb_state_en, sb_bus_rsp_error) @[dbg.scala 386:40] - sbcs_sberror_wren <= _T_556 @[dbg.scala 386:25] - sbcs_sberror_din <= UInt<2>("h02") @[dbg.scala 387:24] + node _T_540 = eq(UInt<4>("h08"), sb_state) @[Conditional.scala 37:30] + when _T_540 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h09") @[dbg.scala 389:19] + node _T_541 = and(sb_bus_rsp_write, io.dbg_bus_clk_en) @[dbg.scala 390:39] + sb_state_en <= _T_541 @[dbg.scala 390:19] + node _T_542 = and(sb_state_en, sb_bus_rsp_error) @[dbg.scala 391:40] + sbcs_sberror_wren <= _T_542 @[dbg.scala 391:25] + sbcs_sberror_din <= UInt<3>("h02") @[dbg.scala 392:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_557 = eq(UInt<4>("h09"), sb_state) @[Conditional.scala 37:30] - when _T_557 : @[Conditional.scala 39:67] - sb_nxtstate <= UInt<4>("h00") @[dbg.scala 390:19] - sb_state_en <= UInt<1>("h01") @[dbg.scala 391:19] - sbcs_sbbusy_wren <= UInt<1>("h01") @[dbg.scala 392:24] - sbcs_sbbusy_din <= UInt<1>("h00") @[dbg.scala 393:23] - node _T_558 = bits(sbcs_reg, 16, 16) @[dbg.scala 394:39] - sbaddress0_reg_wren1 <= _T_558 @[dbg.scala 394:28] + node _T_543 = eq(UInt<4>("h09"), sb_state) @[Conditional.scala 37:30] + when _T_543 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h00") @[dbg.scala 395:19] + sb_state_en <= UInt<1>("h01") @[dbg.scala 396:19] + sbcs_sbbusy_wren <= UInt<1>("h01") @[dbg.scala 397:24] + sbcs_sbbusy_din <= UInt<1>("h00") @[dbg.scala 398:23] + node _T_544 = bits(sbcs_reg, 16, 16) @[dbg.scala 399:39] + sbaddress0_reg_wren1 <= _T_544 @[dbg.scala 399:28] skip @[Conditional.scala 39:67] - node _T_559 = eq(dbg_dm_rst_l, UInt<1>("h00")) @[dbg.scala 397:46] - reg _T_560 : UInt, rvclkhdr_1.io.l1clk with : (reset => (_T_559, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_545 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when sb_state_en : @[Reg.scala 28:19] - _T_560 <= sb_nxtstate @[Reg.scala 28:23] + _T_545 <= sb_nxtstate @[Reg.scala 28:23] skip @[Reg.scala 28:19] - sb_state <= _T_560 @[dbg.scala 397:12] - node _T_561 = and(io.sb_axi.ar.valid, io.sb_axi.ar.ready) @[dbg.scala 401:41] - sb_bus_cmd_read <= _T_561 @[dbg.scala 401:19] - node _T_562 = and(io.sb_axi.aw.valid, io.sb_axi.aw.ready) @[dbg.scala 402:47] - sb_bus_cmd_write_addr <= _T_562 @[dbg.scala 402:25] - node _T_563 = and(io.sb_axi.w.valid, io.sb_axi.w.ready) @[dbg.scala 403:46] - sb_bus_cmd_write_data <= _T_563 @[dbg.scala 403:25] - node _T_564 = and(io.sb_axi.r.valid, io.sb_axi.r.ready) @[dbg.scala 404:40] - sb_bus_rsp_read <= _T_564 @[dbg.scala 404:19] - node _T_565 = and(io.sb_axi.b.valid, io.sb_axi.b.ready) @[dbg.scala 405:41] - sb_bus_rsp_write <= _T_565 @[dbg.scala 405:20] - node _T_566 = bits(io.sb_axi.r.bits.resp, 1, 0) @[dbg.scala 406:62] - node _T_567 = orr(_T_566) @[dbg.scala 406:69] - node _T_568 = and(sb_bus_rsp_read, _T_567) @[dbg.scala 406:39] - node _T_569 = bits(io.sb_axi.b.bits.resp, 1, 0) @[dbg.scala 406:115] - node _T_570 = orr(_T_569) @[dbg.scala 406:122] - node _T_571 = and(sb_bus_rsp_write, _T_570) @[dbg.scala 406:92] - node _T_572 = or(_T_568, _T_571) @[dbg.scala 406:73] - sb_bus_rsp_error <= _T_572 @[dbg.scala 406:20] - node _T_573 = eq(sb_state, UInt<4>("h04")) @[dbg.scala 407:36] - node _T_574 = eq(sb_state, UInt<4>("h05")) @[dbg.scala 407:71] - node _T_575 = or(_T_573, _T_574) @[dbg.scala 407:59] - node _T_576 = bits(_T_575, 0, 0) @[dbg.scala 407:106] - io.sb_axi.aw.valid <= _T_576 @[dbg.scala 407:22] - io.sb_axi.aw.bits.addr <= sbaddress0_reg @[dbg.scala 408:26] - io.sb_axi.aw.bits.id <= UInt<1>("h00") @[dbg.scala 409:24] - node _T_577 = bits(sbcs_reg, 19, 17) @[dbg.scala 410:37] - io.sb_axi.aw.bits.size <= _T_577 @[dbg.scala 410:26] - io.sb_axi.aw.bits.prot <= UInt<1>("h00") @[dbg.scala 411:26] - io.sb_axi.aw.bits.cache <= UInt<4>("h0f") @[dbg.scala 412:27] - node _T_578 = bits(sbaddress0_reg, 31, 28) @[dbg.scala 413:45] - io.sb_axi.aw.bits.region <= _T_578 @[dbg.scala 413:28] - io.sb_axi.aw.bits.len <= UInt<1>("h00") @[dbg.scala 414:25] - io.sb_axi.aw.bits.burst <= UInt<1>("h01") @[dbg.scala 415:27] - io.sb_axi.aw.bits.qos <= UInt<1>("h00") @[dbg.scala 416:25] - io.sb_axi.aw.bits.lock <= UInt<1>("h00") @[dbg.scala 417:26] - node _T_579 = eq(sb_state, UInt<4>("h04")) @[dbg.scala 418:35] - node _T_580 = eq(sb_state, UInt<4>("h06")) @[dbg.scala 418:70] - node _T_581 = or(_T_579, _T_580) @[dbg.scala 418:58] - node _T_582 = bits(_T_581, 0, 0) @[dbg.scala 418:105] - io.sb_axi.w.valid <= _T_582 @[dbg.scala 418:21] - node _T_583 = bits(sbcs_reg, 19, 17) @[dbg.scala 419:46] - node _T_584 = eq(_T_583, UInt<1>("h00")) @[dbg.scala 419:55] - node _T_585 = bits(_T_584, 0, 0) @[Bitwise.scala 72:15] - node _T_586 = mux(_T_585, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_587 = bits(sbdata0_reg, 7, 0) @[dbg.scala 419:87] - node _T_588 = cat(_T_587, _T_587) @[Cat.scala 29:58] - node _T_589 = cat(_T_588, _T_588) @[Cat.scala 29:58] - node _T_590 = cat(_T_589, _T_589) @[Cat.scala 29:58] - node _T_591 = and(_T_586, _T_590) @[dbg.scala 419:65] - node _T_592 = bits(sbcs_reg, 19, 17) @[dbg.scala 419:116] - node _T_593 = eq(_T_592, UInt<1>("h01")) @[dbg.scala 419:125] - node _T_594 = bits(_T_593, 0, 0) @[Bitwise.scala 72:15] - node _T_595 = mux(_T_594, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_596 = bits(sbdata0_reg, 15, 0) @[dbg.scala 419:159] - node _T_597 = cat(_T_596, _T_596) @[Cat.scala 29:58] - node _T_598 = cat(_T_597, _T_597) @[Cat.scala 29:58] - node _T_599 = and(_T_595, _T_598) @[dbg.scala 419:138] - node _T_600 = or(_T_591, _T_599) @[dbg.scala 419:96] - node _T_601 = bits(sbcs_reg, 19, 17) @[dbg.scala 420:23] - node _T_602 = eq(_T_601, UInt<2>("h02")) @[dbg.scala 420:32] - node _T_603 = bits(_T_602, 0, 0) @[Bitwise.scala 72:15] - node _T_604 = mux(_T_603, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_605 = bits(sbdata0_reg, 31, 0) @[dbg.scala 420:67] - node _T_606 = cat(_T_605, _T_605) @[Cat.scala 29:58] - node _T_607 = and(_T_604, _T_606) @[dbg.scala 420:45] - node _T_608 = or(_T_600, _T_607) @[dbg.scala 419:168] - node _T_609 = bits(sbcs_reg, 19, 17) @[dbg.scala 420:97] - node _T_610 = eq(_T_609, UInt<2>("h03")) @[dbg.scala 420:106] - node _T_611 = bits(_T_610, 0, 0) @[Bitwise.scala 72:15] - node _T_612 = mux(_T_611, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_613 = bits(sbdata1_reg, 31, 0) @[dbg.scala 420:136] - node _T_614 = bits(sbdata0_reg, 31, 0) @[dbg.scala 420:156] - node _T_615 = cat(_T_613, _T_614) @[Cat.scala 29:58] - node _T_616 = and(_T_612, _T_615) @[dbg.scala 420:119] - node _T_617 = or(_T_608, _T_616) @[dbg.scala 420:77] - io.sb_axi.w.bits.data <= _T_617 @[dbg.scala 419:25] - node _T_618 = bits(sbcs_reg, 19, 17) @[dbg.scala 422:45] - node _T_619 = eq(_T_618, UInt<1>("h00")) @[dbg.scala 422:54] - node _T_620 = bits(_T_619, 0, 0) @[Bitwise.scala 72:15] - node _T_621 = mux(_T_620, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_622 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 422:99] - node _T_623 = dshl(UInt<8>("h01"), _T_622) @[dbg.scala 422:82] - node _T_624 = and(_T_621, _T_623) @[dbg.scala 422:67] - node _T_625 = bits(sbcs_reg, 19, 17) @[dbg.scala 423:22] - node _T_626 = eq(_T_625, UInt<1>("h01")) @[dbg.scala 423:31] - node _T_627 = bits(_T_626, 0, 0) @[Bitwise.scala 72:15] - node _T_628 = mux(_T_627, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_629 = bits(sbaddress0_reg, 2, 1) @[dbg.scala 423:80] - node _T_630 = cat(_T_629, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_631 = dshl(UInt<8>("h03"), _T_630) @[dbg.scala 423:59] - node _T_632 = and(_T_628, _T_631) @[dbg.scala 423:44] - node _T_633 = or(_T_624, _T_632) @[dbg.scala 422:107] - node _T_634 = bits(sbcs_reg, 19, 17) @[dbg.scala 424:22] - node _T_635 = eq(_T_634, UInt<2>("h02")) @[dbg.scala 424:31] - node _T_636 = bits(_T_635, 0, 0) @[Bitwise.scala 72:15] - node _T_637 = mux(_T_636, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_638 = bits(sbaddress0_reg, 2, 2) @[dbg.scala 424:80] - node _T_639 = cat(_T_638, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_640 = dshl(UInt<8>("h0f"), _T_639) @[dbg.scala 424:59] - node _T_641 = and(_T_637, _T_640) @[dbg.scala 424:44] - node _T_642 = or(_T_633, _T_641) @[dbg.scala 423:97] - node _T_643 = bits(sbcs_reg, 19, 17) @[dbg.scala 425:22] - node _T_644 = eq(_T_643, UInt<2>("h03")) @[dbg.scala 425:31] - node _T_645 = bits(_T_644, 0, 0) @[Bitwise.scala 72:15] - node _T_646 = mux(_T_645, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_647 = and(_T_646, UInt<8>("h0ff")) @[dbg.scala 425:44] - node _T_648 = or(_T_642, _T_647) @[dbg.scala 424:95] - io.sb_axi.w.bits.strb <= _T_648 @[dbg.scala 422:25] - io.sb_axi.w.bits.last <= UInt<1>("h01") @[dbg.scala 427:25] - node _T_649 = eq(sb_state, UInt<4>("h03")) @[dbg.scala 428:35] - node _T_650 = bits(_T_649, 0, 0) @[dbg.scala 428:64] - io.sb_axi.ar.valid <= _T_650 @[dbg.scala 428:22] - io.sb_axi.ar.bits.addr <= sbaddress0_reg @[dbg.scala 429:26] - io.sb_axi.ar.bits.id <= UInt<1>("h00") @[dbg.scala 430:24] - node _T_651 = bits(sbcs_reg, 19, 17) @[dbg.scala 431:37] - io.sb_axi.ar.bits.size <= _T_651 @[dbg.scala 431:26] - io.sb_axi.ar.bits.prot <= UInt<1>("h00") @[dbg.scala 432:26] - io.sb_axi.ar.bits.cache <= UInt<1>("h00") @[dbg.scala 433:27] - node _T_652 = bits(sbaddress0_reg, 31, 28) @[dbg.scala 434:45] - io.sb_axi.ar.bits.region <= _T_652 @[dbg.scala 434:28] - io.sb_axi.ar.bits.len <= UInt<1>("h00") @[dbg.scala 435:25] - io.sb_axi.ar.bits.burst <= UInt<1>("h01") @[dbg.scala 436:27] - io.sb_axi.ar.bits.qos <= UInt<1>("h00") @[dbg.scala 437:25] - io.sb_axi.ar.bits.lock <= UInt<1>("h00") @[dbg.scala 438:26] - io.sb_axi.b.ready <= UInt<1>("h01") @[dbg.scala 439:21] - io.sb_axi.r.ready <= UInt<1>("h01") @[dbg.scala 440:21] - node _T_653 = bits(sbcs_reg, 19, 17) @[dbg.scala 441:37] - node _T_654 = eq(_T_653, UInt<1>("h00")) @[dbg.scala 441:46] - node _T_655 = bits(_T_654, 0, 0) @[Bitwise.scala 72:15] - node _T_656 = mux(_T_655, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_657 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 441:84] - node _T_658 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 441:115] - node _T_659 = mul(UInt<4>("h08"), _T_658) @[dbg.scala 441:99] - node _T_660 = dshr(_T_657, _T_659) @[dbg.scala 441:92] - node _T_661 = and(_T_660, UInt<64>("h0ff")) @[dbg.scala 441:123] - node _T_662 = and(_T_656, _T_661) @[dbg.scala 441:59] - node _T_663 = bits(sbcs_reg, 19, 17) @[dbg.scala 442:23] - node _T_664 = eq(_T_663, UInt<1>("h01")) @[dbg.scala 442:32] - node _T_665 = bits(_T_664, 0, 0) @[Bitwise.scala 72:15] - node _T_666 = mux(_T_665, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_667 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 442:70] - node _T_668 = bits(sbaddress0_reg, 2, 1) @[dbg.scala 442:102] - node _T_669 = mul(UInt<5>("h010"), _T_668) @[dbg.scala 442:86] - node _T_670 = dshr(_T_667, _T_669) @[dbg.scala 442:78] - node _T_671 = and(_T_670, UInt<64>("h0ffff")) @[dbg.scala 442:110] - node _T_672 = and(_T_666, _T_671) @[dbg.scala 442:45] - node _T_673 = or(_T_662, _T_672) @[dbg.scala 441:140] - node _T_674 = bits(sbcs_reg, 19, 17) @[dbg.scala 443:23] - node _T_675 = eq(_T_674, UInt<2>("h02")) @[dbg.scala 443:32] - node _T_676 = bits(_T_675, 0, 0) @[Bitwise.scala 72:15] - node _T_677 = mux(_T_676, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_678 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 443:70] - node _T_679 = bits(sbaddress0_reg, 2, 2) @[dbg.scala 443:102] - node _T_680 = mul(UInt<6>("h020"), _T_679) @[dbg.scala 443:86] - node _T_681 = dshr(_T_678, _T_680) @[dbg.scala 443:78] - node _T_682 = and(_T_681, UInt<64>("h0ffffffff")) @[dbg.scala 443:107] - node _T_683 = and(_T_677, _T_682) @[dbg.scala 443:45] - node _T_684 = or(_T_673, _T_683) @[dbg.scala 442:129] - node _T_685 = bits(sbcs_reg, 19, 17) @[dbg.scala 444:23] - node _T_686 = eq(_T_685, UInt<2>("h03")) @[dbg.scala 444:32] - node _T_687 = bits(_T_686, 0, 0) @[Bitwise.scala 72:15] - node _T_688 = mux(_T_687, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_689 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 444:68] - node _T_690 = and(_T_688, _T_689) @[dbg.scala 444:45] - node _T_691 = or(_T_684, _T_690) @[dbg.scala 443:131] - sb_bus_rdata <= _T_691 @[dbg.scala 441:16] - io.dbg_dma.dbg_ib.dbg_cmd_addr <= io.dbg_dec.dbg_ib.dbg_cmd_addr @[dbg.scala 447:39] - io.dbg_dma.dbg_dctl.dbg_cmd_wrdata <= io.dbg_dec.dbg_dctl.dbg_cmd_wrdata @[dbg.scala 448:39] - io.dbg_dma.dbg_ib.dbg_cmd_valid <= io.dbg_dec.dbg_ib.dbg_cmd_valid @[dbg.scala 449:39] - io.dbg_dma.dbg_ib.dbg_cmd_write <= io.dbg_dec.dbg_ib.dbg_cmd_write @[dbg.scala 450:39] - io.dbg_dma.dbg_ib.dbg_cmd_type <= io.dbg_dec.dbg_ib.dbg_cmd_type @[dbg.scala 451:39] - - extmodule gated_latch_761 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_761 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_761 @[el2_lib.scala 474:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] - clkhdr.CK <= io.clk @[el2_lib.scala 476:18] - clkhdr.EN <= io.en @[el2_lib.scala 477:18] - clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] - - extmodule gated_latch_762 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_762 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_762 @[el2_lib.scala 474:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] - clkhdr.CK <= io.clk @[el2_lib.scala 476:18] - clkhdr.EN <= io.en @[el2_lib.scala 477:18] - clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + sb_state <= _T_545 @[dbg.scala 402:12] + node _T_546 = and(io.sb_axi.ar.valid, io.sb_axi.ar.ready) @[dbg.scala 406:41] + sb_bus_cmd_read <= _T_546 @[dbg.scala 406:19] + node _T_547 = and(io.sb_axi.aw.valid, io.sb_axi.aw.ready) @[dbg.scala 407:47] + sb_bus_cmd_write_addr <= _T_547 @[dbg.scala 407:25] + node _T_548 = and(io.sb_axi.w.valid, io.sb_axi.w.ready) @[dbg.scala 408:46] + sb_bus_cmd_write_data <= _T_548 @[dbg.scala 408:25] + node _T_549 = and(io.sb_axi.r.valid, io.sb_axi.r.ready) @[dbg.scala 409:40] + sb_bus_rsp_read <= _T_549 @[dbg.scala 409:19] + node _T_550 = and(io.sb_axi.b.valid, io.sb_axi.b.ready) @[dbg.scala 410:41] + sb_bus_rsp_write <= _T_550 @[dbg.scala 410:20] + node _T_551 = bits(io.sb_axi.r.bits.resp, 1, 0) @[dbg.scala 411:62] + node _T_552 = orr(_T_551) @[dbg.scala 411:69] + node _T_553 = and(sb_bus_rsp_read, _T_552) @[dbg.scala 411:39] + node _T_554 = bits(io.sb_axi.b.bits.resp, 1, 0) @[dbg.scala 411:115] + node _T_555 = orr(_T_554) @[dbg.scala 411:122] + node _T_556 = and(sb_bus_rsp_write, _T_555) @[dbg.scala 411:92] + node _T_557 = or(_T_553, _T_556) @[dbg.scala 411:73] + sb_bus_rsp_error <= _T_557 @[dbg.scala 411:20] + node _T_558 = eq(sb_state, UInt<4>("h04")) @[dbg.scala 412:36] + node _T_559 = eq(sb_state, UInt<4>("h05")) @[dbg.scala 412:71] + node _T_560 = or(_T_558, _T_559) @[dbg.scala 412:59] + node _T_561 = bits(_T_560, 0, 0) @[dbg.scala 412:106] + io.sb_axi.aw.valid <= _T_561 @[dbg.scala 412:22] + io.sb_axi.aw.bits.addr <= sbaddress0_reg @[dbg.scala 413:26] + io.sb_axi.aw.bits.id <= UInt<1>("h00") @[dbg.scala 414:24] + node _T_562 = bits(sbcs_reg, 19, 17) @[dbg.scala 415:37] + io.sb_axi.aw.bits.size <= _T_562 @[dbg.scala 415:26] + io.sb_axi.aw.bits.prot <= UInt<1>("h00") @[dbg.scala 416:26] + io.sb_axi.aw.bits.cache <= UInt<4>("h0f") @[dbg.scala 417:27] + node _T_563 = bits(sbaddress0_reg, 31, 28) @[dbg.scala 418:45] + io.sb_axi.aw.bits.region <= _T_563 @[dbg.scala 418:28] + io.sb_axi.aw.bits.len <= UInt<1>("h00") @[dbg.scala 419:25] + io.sb_axi.aw.bits.burst <= UInt<2>("h01") @[dbg.scala 420:27] + io.sb_axi.aw.bits.qos <= UInt<1>("h00") @[dbg.scala 421:25] + io.sb_axi.aw.bits.lock <= UInt<1>("h00") @[dbg.scala 422:26] + node _T_564 = eq(sb_state, UInt<4>("h04")) @[dbg.scala 423:35] + node _T_565 = eq(sb_state, UInt<4>("h06")) @[dbg.scala 423:70] + node _T_566 = or(_T_564, _T_565) @[dbg.scala 423:58] + node _T_567 = bits(_T_566, 0, 0) @[dbg.scala 423:105] + io.sb_axi.w.valid <= _T_567 @[dbg.scala 423:21] + node _T_568 = bits(sbcs_reg, 19, 17) @[dbg.scala 424:46] + node _T_569 = eq(_T_568, UInt<1>("h00")) @[dbg.scala 424:55] + node _T_570 = bits(_T_569, 0, 0) @[Bitwise.scala 72:15] + node _T_571 = mux(_T_570, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_572 = bits(sbdata0_reg, 7, 0) @[dbg.scala 424:87] + node _T_573 = cat(_T_572, _T_572) @[Cat.scala 29:58] + node _T_574 = cat(_T_573, _T_573) @[Cat.scala 29:58] + node _T_575 = cat(_T_574, _T_574) @[Cat.scala 29:58] + node _T_576 = and(_T_571, _T_575) @[dbg.scala 424:65] + node _T_577 = bits(sbcs_reg, 19, 17) @[dbg.scala 424:116] + node _T_578 = eq(_T_577, UInt<1>("h01")) @[dbg.scala 424:125] + node _T_579 = bits(_T_578, 0, 0) @[Bitwise.scala 72:15] + node _T_580 = mux(_T_579, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_581 = bits(sbdata0_reg, 15, 0) @[dbg.scala 424:159] + node _T_582 = cat(_T_581, _T_581) @[Cat.scala 29:58] + node _T_583 = cat(_T_582, _T_582) @[Cat.scala 29:58] + node _T_584 = and(_T_580, _T_583) @[dbg.scala 424:138] + node _T_585 = or(_T_576, _T_584) @[dbg.scala 424:96] + node _T_586 = bits(sbcs_reg, 19, 17) @[dbg.scala 425:23] + node _T_587 = eq(_T_586, UInt<2>("h02")) @[dbg.scala 425:32] + node _T_588 = bits(_T_587, 0, 0) @[Bitwise.scala 72:15] + node _T_589 = mux(_T_588, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_590 = bits(sbdata0_reg, 31, 0) @[dbg.scala 425:67] + node _T_591 = cat(_T_590, _T_590) @[Cat.scala 29:58] + node _T_592 = and(_T_589, _T_591) @[dbg.scala 425:45] + node _T_593 = or(_T_585, _T_592) @[dbg.scala 424:168] + node _T_594 = bits(sbcs_reg, 19, 17) @[dbg.scala 425:97] + node _T_595 = eq(_T_594, UInt<2>("h03")) @[dbg.scala 425:106] + node _T_596 = bits(_T_595, 0, 0) @[Bitwise.scala 72:15] + node _T_597 = mux(_T_596, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_598 = bits(sbdata1_reg, 31, 0) @[dbg.scala 425:136] + node _T_599 = bits(sbdata0_reg, 31, 0) @[dbg.scala 425:156] + node _T_600 = cat(_T_598, _T_599) @[Cat.scala 29:58] + node _T_601 = and(_T_597, _T_600) @[dbg.scala 425:119] + node _T_602 = or(_T_593, _T_601) @[dbg.scala 425:77] + io.sb_axi.w.bits.data <= _T_602 @[dbg.scala 424:25] + node _T_603 = bits(sbcs_reg, 19, 17) @[dbg.scala 427:45] + node _T_604 = eq(_T_603, UInt<1>("h00")) @[dbg.scala 427:54] + node _T_605 = bits(_T_604, 0, 0) @[Bitwise.scala 72:15] + node _T_606 = mux(_T_605, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_607 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 427:99] + node _T_608 = dshl(UInt<8>("h01"), _T_607) @[dbg.scala 427:82] + node _T_609 = and(_T_606, _T_608) @[dbg.scala 427:67] + node _T_610 = bits(sbcs_reg, 19, 17) @[dbg.scala 428:22] + node _T_611 = eq(_T_610, UInt<1>("h01")) @[dbg.scala 428:31] + node _T_612 = bits(_T_611, 0, 0) @[Bitwise.scala 72:15] + node _T_613 = mux(_T_612, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_614 = bits(sbaddress0_reg, 2, 1) @[dbg.scala 428:80] + node _T_615 = cat(_T_614, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_616 = dshl(UInt<8>("h03"), _T_615) @[dbg.scala 428:59] + node _T_617 = and(_T_613, _T_616) @[dbg.scala 428:44] + node _T_618 = or(_T_609, _T_617) @[dbg.scala 427:107] + node _T_619 = bits(sbcs_reg, 19, 17) @[dbg.scala 429:22] + node _T_620 = eq(_T_619, UInt<2>("h02")) @[dbg.scala 429:31] + node _T_621 = bits(_T_620, 0, 0) @[Bitwise.scala 72:15] + node _T_622 = mux(_T_621, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_623 = bits(sbaddress0_reg, 2, 2) @[dbg.scala 429:80] + node _T_624 = cat(_T_623, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_625 = dshl(UInt<8>("h0f"), _T_624) @[dbg.scala 429:59] + node _T_626 = and(_T_622, _T_625) @[dbg.scala 429:44] + node _T_627 = or(_T_618, _T_626) @[dbg.scala 428:97] + node _T_628 = bits(sbcs_reg, 19, 17) @[dbg.scala 430:22] + node _T_629 = eq(_T_628, UInt<2>("h03")) @[dbg.scala 430:31] + node _T_630 = bits(_T_629, 0, 0) @[Bitwise.scala 72:15] + node _T_631 = mux(_T_630, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_632 = and(_T_631, UInt<8>("h0ff")) @[dbg.scala 430:44] + node _T_633 = or(_T_627, _T_632) @[dbg.scala 429:100] + io.sb_axi.w.bits.strb <= _T_633 @[dbg.scala 427:25] + io.sb_axi.w.bits.last <= UInt<1>("h01") @[dbg.scala 432:25] + node _T_634 = eq(sb_state, UInt<4>("h03")) @[dbg.scala 433:35] + node _T_635 = bits(_T_634, 0, 0) @[dbg.scala 433:64] + io.sb_axi.ar.valid <= _T_635 @[dbg.scala 433:22] + io.sb_axi.ar.bits.addr <= sbaddress0_reg @[dbg.scala 434:26] + io.sb_axi.ar.bits.id <= UInt<1>("h00") @[dbg.scala 435:24] + node _T_636 = bits(sbcs_reg, 19, 17) @[dbg.scala 436:37] + io.sb_axi.ar.bits.size <= _T_636 @[dbg.scala 436:26] + io.sb_axi.ar.bits.prot <= UInt<1>("h00") @[dbg.scala 437:26] + io.sb_axi.ar.bits.cache <= UInt<1>("h00") @[dbg.scala 438:27] + node _T_637 = bits(sbaddress0_reg, 31, 28) @[dbg.scala 439:45] + io.sb_axi.ar.bits.region <= _T_637 @[dbg.scala 439:28] + io.sb_axi.ar.bits.len <= UInt<1>("h00") @[dbg.scala 440:25] + io.sb_axi.ar.bits.burst <= UInt<2>("h01") @[dbg.scala 441:27] + io.sb_axi.ar.bits.qos <= UInt<1>("h00") @[dbg.scala 442:25] + io.sb_axi.ar.bits.lock <= UInt<1>("h00") @[dbg.scala 443:26] + io.sb_axi.b.ready <= UInt<1>("h01") @[dbg.scala 444:21] + io.sb_axi.r.ready <= UInt<1>("h01") @[dbg.scala 445:21] + node _T_638 = bits(sbcs_reg, 19, 17) @[dbg.scala 446:37] + node _T_639 = eq(_T_638, UInt<1>("h00")) @[dbg.scala 446:46] + node _T_640 = bits(_T_639, 0, 0) @[Bitwise.scala 72:15] + node _T_641 = mux(_T_640, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_642 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 446:84] + node _T_643 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 446:115] + node _T_644 = mul(UInt<4>("h08"), _T_643) @[dbg.scala 446:99] + node _T_645 = dshr(_T_642, _T_644) @[dbg.scala 446:92] + node _T_646 = and(_T_645, UInt<64>("h0ff")) @[dbg.scala 446:123] + node _T_647 = and(_T_641, _T_646) @[dbg.scala 446:59] + node _T_648 = bits(sbcs_reg, 19, 17) @[dbg.scala 447:23] + node _T_649 = eq(_T_648, UInt<1>("h01")) @[dbg.scala 447:32] + node _T_650 = bits(_T_649, 0, 0) @[Bitwise.scala 72:15] + node _T_651 = mux(_T_650, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_652 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 447:70] + node _T_653 = bits(sbaddress0_reg, 2, 1) @[dbg.scala 447:102] + node _T_654 = mul(UInt<5>("h010"), _T_653) @[dbg.scala 447:86] + node _T_655 = dshr(_T_652, _T_654) @[dbg.scala 447:78] + node _T_656 = and(_T_655, UInt<64>("h0ffff")) @[dbg.scala 447:110] + node _T_657 = and(_T_651, _T_656) @[dbg.scala 447:45] + node _T_658 = or(_T_647, _T_657) @[dbg.scala 446:140] + node _T_659 = bits(sbcs_reg, 19, 17) @[dbg.scala 448:23] + node _T_660 = eq(_T_659, UInt<2>("h02")) @[dbg.scala 448:32] + node _T_661 = bits(_T_660, 0, 0) @[Bitwise.scala 72:15] + node _T_662 = mux(_T_661, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_663 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 448:70] + node _T_664 = bits(sbaddress0_reg, 2, 2) @[dbg.scala 448:102] + node _T_665 = mul(UInt<6>("h020"), _T_664) @[dbg.scala 448:86] + node _T_666 = dshr(_T_663, _T_665) @[dbg.scala 448:78] + node _T_667 = and(_T_666, UInt<64>("h0ffffffff")) @[dbg.scala 448:107] + node _T_668 = and(_T_662, _T_667) @[dbg.scala 448:45] + node _T_669 = or(_T_658, _T_668) @[dbg.scala 447:129] + node _T_670 = bits(sbcs_reg, 19, 17) @[dbg.scala 449:23] + node _T_671 = eq(_T_670, UInt<2>("h03")) @[dbg.scala 449:32] + node _T_672 = bits(_T_671, 0, 0) @[Bitwise.scala 72:15] + node _T_673 = mux(_T_672, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_674 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 449:68] + node _T_675 = and(_T_673, _T_674) @[dbg.scala 449:45] + node _T_676 = or(_T_669, _T_675) @[dbg.scala 448:131] + sb_bus_rdata <= _T_676 @[dbg.scala 446:16] + io.dbg_dma.dbg_ib.dbg_cmd_addr <= io.dbg_dec.dbg_ib.dbg_cmd_addr @[dbg.scala 452:39] + io.dbg_dma.dbg_dctl.dbg_cmd_wrdata <= io.dbg_dec.dbg_dctl.dbg_cmd_wrdata @[dbg.scala 453:39] + io.dbg_dma.dbg_ib.dbg_cmd_valid <= io.dbg_dec.dbg_ib.dbg_cmd_valid @[dbg.scala 454:39] + io.dbg_dma.dbg_ib.dbg_cmd_write <= io.dbg_dec.dbg_ib.dbg_cmd_write @[dbg.scala 455:39] + io.dbg_dma.dbg_ib.dbg_cmd_type <= io.dbg_dec.dbg_ib.dbg_cmd_type @[dbg.scala 456:39] extmodule gated_latch_763 : output Q : Clock @@ -83367,13 +83363,61 @@ circuit quasar_wrapper : clkhdr.EN <= io.en @[el2_lib.scala 477:18] clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + extmodule gated_latch_781 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_781 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_781 @[el2_lib.scala 474:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] + clkhdr.CK <= io.clk @[el2_lib.scala 476:18] + clkhdr.EN <= io.en @[el2_lib.scala 477:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + + extmodule gated_latch_782 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_782 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_782 @[el2_lib.scala 474:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] + clkhdr.CK <= io.clk @[el2_lib.scala 476:18] + clkhdr.EN <= io.en @[el2_lib.scala 477:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + module exu_alu_ctl : input clock : Clock input reset : AsyncReset output io : {dec_alu : {flip dec_i0_alu_decode_d : UInt<1>, flip dec_csr_ren_d : UInt<1>, flip dec_i0_br_immed_d : UInt<12>, exu_i0_pc_x : UInt<31>}, flip dec_i0_pc_d : UInt<31>, flip scan_mode : UInt<1>, flip flush_upper_x : UInt<1>, flip dec_tlu_flush_lower_r : UInt<1>, flip enable : UInt<1>, flip i0_ap : {land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, srl : UInt<1>, sra : UInt<1>, beq : UInt<1>, bne : UInt<1>, blt : UInt<1>, bge : UInt<1>, add : UInt<1>, sub : UInt<1>, slt : UInt<1>, unsign : UInt<1>, jal : UInt<1>, predict_t : UInt<1>, predict_nt : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>}, flip a_in : SInt<32>, flip b_in : UInt<32>, flip pp_in : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, result_ff : UInt<32>, flush_upper_out : UInt<1>, flush_final_out : UInt<1>, flush_path_out : UInt<31>, pred_correct_out : UInt<1>, predict_p_out : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}} node _T = bits(io.scan_mode, 0, 0) @[exu_alu_ctl.scala 30:80] - inst rvclkhdr of rvclkhdr_779 @[el2_lib.scala 508:23] + inst rvclkhdr of rvclkhdr_781 @[el2_lib.scala 508:23] rvclkhdr.clock <= clock rvclkhdr.reset <= reset rvclkhdr.io.clk <= clock @[el2_lib.scala 510:18] @@ -83385,7 +83429,7 @@ circuit quasar_wrapper : wire result : UInt<32> result <= UInt<1>("h00") node _T_2 = bits(io.scan_mode, 0, 0) @[exu_alu_ctl.scala 32:62] - inst rvclkhdr_1 of rvclkhdr_780 @[el2_lib.scala 508:23] + inst rvclkhdr_1 of rvclkhdr_782 @[el2_lib.scala 508:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[el2_lib.scala 510:18] @@ -83885,54 +83929,6 @@ circuit quasar_wrapper : io.predict_p_out.bits.ataken <= actual_taken @[exu_alu_ctl.scala 122:35] io.predict_p_out.bits.hist <= newhist @[exu_alu_ctl.scala 123:35] - extmodule gated_latch_781 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_781 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_781 @[el2_lib.scala 474:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] - clkhdr.CK <= io.clk @[el2_lib.scala 476:18] - clkhdr.EN <= io.en @[el2_lib.scala 477:18] - clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] - - extmodule gated_latch_782 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_782 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_782 @[el2_lib.scala 474:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] - clkhdr.CK <= io.clk @[el2_lib.scala 476:18] - clkhdr.EN <= io.en @[el2_lib.scala 477:18] - clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] - extmodule gated_latch_783 : output Q : Clock input CK : Clock @@ -83957,77 +83953,6 @@ circuit quasar_wrapper : clkhdr.EN <= io.en @[el2_lib.scala 477:18] clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] - module exu_mul_ctl : - input clock : Clock - input reset : AsyncReset - output io : {flip scan_mode : UInt<1>, flip mul_p : {valid : UInt<1>, bits : {rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}}, flip rs1_in : UInt<32>, flip rs2_in : UInt<32>, result_x : UInt<32>} - - wire rs1_ext_in : SInt<33> - rs1_ext_in <= asSInt(UInt<1>("h00")) - wire rs2_ext_in : SInt<33> - rs2_ext_in <= asSInt(UInt<1>("h00")) - wire rs1_x : SInt<33> - rs1_x <= asSInt(UInt<1>("h00")) - wire rs2_x : SInt<33> - rs2_x <= asSInt(UInt<1>("h00")) - wire prod_x : SInt<66> - prod_x <= asSInt(UInt<1>("h00")) - wire low_x : UInt<1> - low_x <= UInt<1>("h00") - node _T = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 26:55] - node _T_1 = and(io.mul_p.bits.rs1_sign, _T) @[exu_mul_ctl.scala 26:44] - node _T_2 = cat(_T_1, io.rs1_in) @[Cat.scala 29:58] - node _T_3 = asSInt(_T_2) @[exu_mul_ctl.scala 26:71] - rs1_ext_in <= _T_3 @[exu_mul_ctl.scala 26:14] - node _T_4 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 27:55] - node _T_5 = and(io.mul_p.bits.rs2_sign, _T_4) @[exu_mul_ctl.scala 27:44] - node _T_6 = cat(_T_5, io.rs2_in) @[Cat.scala 29:58] - node _T_7 = asSInt(_T_6) @[exu_mul_ctl.scala 27:71] - rs2_ext_in <= _T_7 @[exu_mul_ctl.scala 27:14] - node _T_8 = bits(io.mul_p.valid, 0, 0) @[exu_mul_ctl.scala 29:52] - inst rvclkhdr of rvclkhdr_781 @[el2_lib.scala 508:23] - rvclkhdr.clock <= clock - rvclkhdr.reset <= reset - rvclkhdr.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr.io.en <= _T_8 @[el2_lib.scala 511:17] - rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg _T_9 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] - _T_9 <= io.mul_p.bits.low @[el2_lib.scala 514:16] - low_x <= _T_9 @[exu_mul_ctl.scala 29:9] - node _T_10 = bits(io.mul_p.valid, 0, 0) @[exu_mul_ctl.scala 30:44] - inst rvclkhdr_1 of rvclkhdr_782 @[el2_lib.scala 528:23] - rvclkhdr_1.clock <= clock - rvclkhdr_1.reset <= reset - rvclkhdr_1.io.clk <= clock @[el2_lib.scala 530:18] - rvclkhdr_1.io.en <= _T_10 @[el2_lib.scala 531:17] - rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 532:24] - reg _T_11 : SInt, rvclkhdr_1.io.l1clk with : (reset => (reset, asSInt(UInt<1>("h00")))) @[el2_lib.scala 534:16] - _T_11 <= rs1_ext_in @[el2_lib.scala 534:16] - rs1_x <= _T_11 @[exu_mul_ctl.scala 30:9] - node _T_12 = bits(io.mul_p.valid, 0, 0) @[exu_mul_ctl.scala 31:45] - inst rvclkhdr_2 of rvclkhdr_783 @[el2_lib.scala 528:23] - rvclkhdr_2.clock <= clock - rvclkhdr_2.reset <= reset - rvclkhdr_2.io.clk <= clock @[el2_lib.scala 530:18] - rvclkhdr_2.io.en <= _T_12 @[el2_lib.scala 531:17] - rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 532:24] - reg _T_13 : SInt, rvclkhdr_2.io.l1clk with : (reset => (reset, asSInt(UInt<1>("h00")))) @[el2_lib.scala 534:16] - _T_13 <= rs2_ext_in @[el2_lib.scala 534:16] - rs2_x <= _T_13 @[exu_mul_ctl.scala 31:9] - node _T_14 = mul(rs1_x, rs2_x) @[exu_mul_ctl.scala 33:20] - prod_x <= _T_14 @[exu_mul_ctl.scala 33:10] - node _T_15 = bits(low_x, 0, 0) @[exu_mul_ctl.scala 34:36] - node _T_16 = eq(_T_15, UInt<1>("h00")) @[exu_mul_ctl.scala 34:29] - node _T_17 = bits(prod_x, 63, 32) @[exu_mul_ctl.scala 34:52] - node _T_18 = bits(low_x, 0, 0) @[exu_mul_ctl.scala 34:67] - node _T_19 = bits(prod_x, 31, 0) @[exu_mul_ctl.scala 34:83] - node _T_20 = mux(_T_16, _T_17, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_21 = mux(_T_18, _T_19, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_22 = or(_T_20, _T_21) @[Mux.scala 27:72] - wire _T_23 : UInt<32> @[Mux.scala 27:72] - _T_23 <= _T_22 @[Mux.scala 27:72] - io.result_x <= _T_23 @[exu_mul_ctl.scala 34:15] - extmodule gated_latch_784 : output Q : Clock input CK : Clock @@ -84076,6 +84001,77 @@ circuit quasar_wrapper : clkhdr.EN <= io.en @[el2_lib.scala 477:18] clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + module exu_mul_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, flip mul_p : {valid : UInt<1>, bits : {rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}}, flip rs1_in : UInt<32>, flip rs2_in : UInt<32>, result_x : UInt<32>} + + wire rs1_ext_in : SInt<33> + rs1_ext_in <= asSInt(UInt<1>("h00")) + wire rs2_ext_in : SInt<33> + rs2_ext_in <= asSInt(UInt<1>("h00")) + wire rs1_x : SInt<33> + rs1_x <= asSInt(UInt<1>("h00")) + wire rs2_x : SInt<33> + rs2_x <= asSInt(UInt<1>("h00")) + wire prod_x : SInt<66> + prod_x <= asSInt(UInt<1>("h00")) + wire low_x : UInt<1> + low_x <= UInt<1>("h00") + node _T = bits(io.rs1_in, 31, 31) @[exu_mul_ctl.scala 26:55] + node _T_1 = and(io.mul_p.bits.rs1_sign, _T) @[exu_mul_ctl.scala 26:44] + node _T_2 = cat(_T_1, io.rs1_in) @[Cat.scala 29:58] + node _T_3 = asSInt(_T_2) @[exu_mul_ctl.scala 26:71] + rs1_ext_in <= _T_3 @[exu_mul_ctl.scala 26:14] + node _T_4 = bits(io.rs2_in, 31, 31) @[exu_mul_ctl.scala 27:55] + node _T_5 = and(io.mul_p.bits.rs2_sign, _T_4) @[exu_mul_ctl.scala 27:44] + node _T_6 = cat(_T_5, io.rs2_in) @[Cat.scala 29:58] + node _T_7 = asSInt(_T_6) @[exu_mul_ctl.scala 27:71] + rs2_ext_in <= _T_7 @[exu_mul_ctl.scala 27:14] + node _T_8 = bits(io.mul_p.valid, 0, 0) @[exu_mul_ctl.scala 29:52] + inst rvclkhdr of rvclkhdr_783 @[el2_lib.scala 508:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[el2_lib.scala 510:18] + rvclkhdr.io.en <= _T_8 @[el2_lib.scala 511:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] + reg _T_9 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + _T_9 <= io.mul_p.bits.low @[el2_lib.scala 514:16] + low_x <= _T_9 @[exu_mul_ctl.scala 29:9] + node _T_10 = bits(io.mul_p.valid, 0, 0) @[exu_mul_ctl.scala 30:44] + inst rvclkhdr_1 of rvclkhdr_784 @[el2_lib.scala 528:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[el2_lib.scala 530:18] + rvclkhdr_1.io.en <= _T_10 @[el2_lib.scala 531:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 532:24] + reg _T_11 : SInt, rvclkhdr_1.io.l1clk with : (reset => (reset, asSInt(UInt<1>("h00")))) @[el2_lib.scala 534:16] + _T_11 <= rs1_ext_in @[el2_lib.scala 534:16] + rs1_x <= _T_11 @[exu_mul_ctl.scala 30:9] + node _T_12 = bits(io.mul_p.valid, 0, 0) @[exu_mul_ctl.scala 31:45] + inst rvclkhdr_2 of rvclkhdr_785 @[el2_lib.scala 528:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[el2_lib.scala 530:18] + rvclkhdr_2.io.en <= _T_12 @[el2_lib.scala 531:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 532:24] + reg _T_13 : SInt, rvclkhdr_2.io.l1clk with : (reset => (reset, asSInt(UInt<1>("h00")))) @[el2_lib.scala 534:16] + _T_13 <= rs2_ext_in @[el2_lib.scala 534:16] + rs2_x <= _T_13 @[exu_mul_ctl.scala 31:9] + node _T_14 = mul(rs1_x, rs2_x) @[exu_mul_ctl.scala 33:20] + prod_x <= _T_14 @[exu_mul_ctl.scala 33:10] + node _T_15 = bits(low_x, 0, 0) @[exu_mul_ctl.scala 34:36] + node _T_16 = eq(_T_15, UInt<1>("h00")) @[exu_mul_ctl.scala 34:29] + node _T_17 = bits(prod_x, 63, 32) @[exu_mul_ctl.scala 34:52] + node _T_18 = bits(low_x, 0, 0) @[exu_mul_ctl.scala 34:67] + node _T_19 = bits(prod_x, 31, 0) @[exu_mul_ctl.scala 34:83] + node _T_20 = mux(_T_16, _T_17, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21 = mux(_T_18, _T_19, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22 = or(_T_20, _T_21) @[Mux.scala 27:72] + wire _T_23 : UInt<32> @[Mux.scala 27:72] + _T_23 <= _T_22 @[Mux.scala 27:72] + io.result_x <= _T_23 @[exu_mul_ctl.scala 34:15] + extmodule gated_latch_786 : output Q : Clock input CK : Clock @@ -84124,6 +84120,54 @@ circuit quasar_wrapper : clkhdr.EN <= io.en @[el2_lib.scala 477:18] clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + extmodule gated_latch_788 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_788 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_788 @[el2_lib.scala 474:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] + clkhdr.CK <= io.clk @[el2_lib.scala 476:18] + clkhdr.EN <= io.en @[el2_lib.scala 477:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + + extmodule gated_latch_789 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_789 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_789 @[el2_lib.scala 474:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] + clkhdr.CK <= io.clk @[el2_lib.scala 476:18] + clkhdr.EN <= io.en @[el2_lib.scala 477:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + module exu_div_ctl : input clock : Clock input reset : AsyncReset @@ -85794,7 +85838,7 @@ circuit quasar_wrapper : _T_1469 <= _T_1468 @[Mux.scala 27:72] io.exu_div_result <= _T_1469 @[exu_div_ctl.scala 186:21] node _T_1470 = bits(div_clken, 0, 0) @[exu_div_ctl.scala 192:46] - inst rvclkhdr of rvclkhdr_784 @[el2_lib.scala 483:22] + inst rvclkhdr of rvclkhdr_786 @[el2_lib.scala 483:22] rvclkhdr.clock <= clock rvclkhdr.reset <= reset rvclkhdr.io.clk <= clock @[el2_lib.scala 484:17] @@ -85855,7 +85899,7 @@ circuit quasar_wrapper : _T_1492 <= shortq_shift @[exu_div_ctl.scala 206:31] shortq_shift_xx <= _T_1492 @[exu_div_ctl.scala 206:21] node _T_1493 = bits(qff_enable, 0, 0) @[exu_div_ctl.scala 208:35] - inst rvclkhdr_1 of rvclkhdr_785 @[el2_lib.scala 508:23] + inst rvclkhdr_1 of rvclkhdr_787 @[el2_lib.scala 508:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[el2_lib.scala 510:18] @@ -85865,7 +85909,7 @@ circuit quasar_wrapper : _T_1494 <= q_in @[el2_lib.scala 514:16] q_ff <= _T_1494 @[exu_div_ctl.scala 208:8] node _T_1495 = bits(aff_enable, 0, 0) @[exu_div_ctl.scala 209:35] - inst rvclkhdr_2 of rvclkhdr_786 @[el2_lib.scala 508:23] + inst rvclkhdr_2 of rvclkhdr_788 @[el2_lib.scala 508:23] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset rvclkhdr_2.io.clk <= clock @[el2_lib.scala 510:18] @@ -85879,7 +85923,7 @@ circuit quasar_wrapper : node _T_1499 = and(_T_1497, _T_1498) @[exu_div_ctl.scala 210:52] node _T_1500 = cat(_T_1499, io.divisor) @[Cat.scala 29:58] node _T_1501 = bits(io.dec_div.div_p.valid, 0, 0) @[exu_div_ctl.scala 210:106] - inst rvclkhdr_3 of rvclkhdr_787 @[el2_lib.scala 508:23] + inst rvclkhdr_3 of rvclkhdr_789 @[el2_lib.scala 508:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset rvclkhdr_3.io.clk <= clock @[el2_lib.scala 510:18] @@ -85924,7 +85968,7 @@ circuit quasar_wrapper : node _T = cat(io.dec_exu.decode_exu.i0_predict_fghr_d, io.dec_exu.decode_exu.i0_predict_index_d) @[Cat.scala 29:58] node predpipe_d = cat(_T, io.dec_exu.decode_exu.i0_predict_btag_d) @[Cat.scala 29:58] node _T_1 = bits(x_data_en, 0, 0) @[exu.scala 63:59] - inst rvclkhdr of rvclkhdr_761 @[el2_lib.scala 508:23] + inst rvclkhdr of rvclkhdr_763 @[el2_lib.scala 508:23] rvclkhdr.clock <= clock rvclkhdr.reset <= reset rvclkhdr.io.clk <= clock @[el2_lib.scala 510:18] @@ -85933,7 +85977,7 @@ circuit quasar_wrapper : reg i0_flush_path_x : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] i0_flush_path_x <= i0_flush_path_d @[el2_lib.scala 514:16] node _T_2 = bits(x_data_en, 0, 0) @[exu.scala 64:89] - inst rvclkhdr_1 of rvclkhdr_762 @[el2_lib.scala 508:23] + inst rvclkhdr_1 of rvclkhdr_764 @[el2_lib.scala 508:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[el2_lib.scala 510:18] @@ -85943,7 +85987,7 @@ circuit quasar_wrapper : _T_3 <= csr_rs1_in_d @[el2_lib.scala 514:16] io.dec_exu.decode_exu.exu_csr_rs1_x <= _T_3 @[exu.scala 64:57] node _T_4 = bits(x_data_en, 0, 0) @[exu.scala 65:83] - inst rvclkhdr_2 of rvclkhdr_763 @[el2_lib.scala 518:23] + inst rvclkhdr_2 of rvclkhdr_765 @[el2_lib.scala 518:23] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset rvclkhdr_2.io.clk <= clock @[el2_lib.scala 520:18] @@ -85994,7 +86038,7 @@ circuit quasar_wrapper : i0_predict_p_x.bits.misp <= _T_6.bits.misp @[exu.scala 65:49] i0_predict_p_x.valid <= _T_6.valid @[exu.scala 65:49] node _T_7 = bits(x_data_en, 0, 0) @[exu.scala 66:70] - inst rvclkhdr_3 of rvclkhdr_764 @[el2_lib.scala 508:23] + inst rvclkhdr_3 of rvclkhdr_766 @[el2_lib.scala 508:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset rvclkhdr_3.io.clk <= clock @[el2_lib.scala 510:18] @@ -86003,7 +86047,7 @@ circuit quasar_wrapper : reg predpipe_x : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] predpipe_x <= predpipe_d @[el2_lib.scala 514:16] node _T_8 = bits(r_data_en, 0, 0) @[exu.scala 67:79] - inst rvclkhdr_4 of rvclkhdr_765 @[el2_lib.scala 508:23] + inst rvclkhdr_4 of rvclkhdr_767 @[el2_lib.scala 508:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset rvclkhdr_4.io.clk <= clock @[el2_lib.scala 510:18] @@ -86012,7 +86056,7 @@ circuit quasar_wrapper : reg predpipe_r : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] predpipe_r <= predpipe_x @[el2_lib.scala 514:16] node _T_9 = bits(x_ctl_en, 0, 0) @[exu.scala 68:80] - inst rvclkhdr_5 of rvclkhdr_766 @[el2_lib.scala 508:23] + inst rvclkhdr_5 of rvclkhdr_768 @[el2_lib.scala 508:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset rvclkhdr_5.io.clk <= clock @[el2_lib.scala 510:18] @@ -86021,7 +86065,7 @@ circuit quasar_wrapper : reg ghr_x : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] ghr_x <= ghr_x_ns @[el2_lib.scala 514:16] node _T_10 = bits(x_ctl_en, 0, 0) @[exu.scala 69:75] - inst rvclkhdr_6 of rvclkhdr_767 @[el2_lib.scala 508:23] + inst rvclkhdr_6 of rvclkhdr_769 @[el2_lib.scala 508:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset rvclkhdr_6.io.clk <= clock @[el2_lib.scala 510:18] @@ -86030,7 +86074,7 @@ circuit quasar_wrapper : reg i0_pred_correct_upper_x : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] i0_pred_correct_upper_x <= i0_pred_correct_upper_d @[el2_lib.scala 514:16] node _T_11 = bits(x_ctl_en, 0, 0) @[exu.scala 70:60] - inst rvclkhdr_7 of rvclkhdr_768 @[el2_lib.scala 508:23] + inst rvclkhdr_7 of rvclkhdr_770 @[el2_lib.scala 508:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset rvclkhdr_7.io.clk <= clock @[el2_lib.scala 510:18] @@ -86039,7 +86083,7 @@ circuit quasar_wrapper : reg i0_flush_upper_x : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] i0_flush_upper_x <= i0_flush_upper_d @[el2_lib.scala 514:16] node _T_12 = bits(x_ctl_en, 0, 0) @[exu.scala 71:78] - inst rvclkhdr_8 of rvclkhdr_769 @[el2_lib.scala 508:23] + inst rvclkhdr_8 of rvclkhdr_771 @[el2_lib.scala 508:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset rvclkhdr_8.io.clk <= clock @[el2_lib.scala 510:18] @@ -86048,7 +86092,7 @@ circuit quasar_wrapper : reg i0_taken_x : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] i0_taken_x <= i0_taken_d @[el2_lib.scala 514:16] node _T_13 = bits(x_ctl_en, 0, 0) @[exu.scala 72:78] - inst rvclkhdr_9 of rvclkhdr_770 @[el2_lib.scala 508:23] + inst rvclkhdr_9 of rvclkhdr_772 @[el2_lib.scala 508:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset rvclkhdr_9.io.clk <= clock @[el2_lib.scala 510:18] @@ -86057,7 +86101,7 @@ circuit quasar_wrapper : reg i0_valid_x : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] i0_valid_x <= i0_valid_d @[el2_lib.scala 514:16] node _T_14 = bits(r_ctl_en, 0, 0) @[exu.scala 73:58] - inst rvclkhdr_10 of rvclkhdr_771 @[el2_lib.scala 518:23] + inst rvclkhdr_10 of rvclkhdr_773 @[el2_lib.scala 518:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[el2_lib.scala 520:18] @@ -86109,7 +86153,7 @@ circuit quasar_wrapper : i0_pp_r.valid <= _T_16.valid @[exu.scala 73:25] node _T_17 = bits(io.dec_exu.decode_exu.pred_correct_npc_x, 5, 0) @[exu.scala 74:85] node _T_18 = bits(r_ctl_en, 0, 0) @[exu.scala 74:101] - inst rvclkhdr_11 of rvclkhdr_772 @[el2_lib.scala 508:23] + inst rvclkhdr_11 of rvclkhdr_774 @[el2_lib.scala 508:23] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset rvclkhdr_11.io.clk <= clock @[el2_lib.scala 510:18] @@ -86118,7 +86162,7 @@ circuit quasar_wrapper : reg pred_temp1 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] pred_temp1 <= _T_17 @[el2_lib.scala 514:16] node _T_19 = bits(r_ctl_en, 0, 0) @[exu.scala 75:75] - inst rvclkhdr_12 of rvclkhdr_773 @[el2_lib.scala 508:23] + inst rvclkhdr_12 of rvclkhdr_775 @[el2_lib.scala 508:23] rvclkhdr_12.clock <= clock rvclkhdr_12.reset <= reset rvclkhdr_12.io.clk <= clock @[el2_lib.scala 510:18] @@ -86127,7 +86171,7 @@ circuit quasar_wrapper : reg i0_pred_correct_upper_r : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] i0_pred_correct_upper_r <= i0_pred_correct_upper_x @[el2_lib.scala 514:16] node _T_20 = bits(r_data_en, 0, 0) @[exu.scala 76:68] - inst rvclkhdr_13 of rvclkhdr_774 @[el2_lib.scala 508:23] + inst rvclkhdr_13 of rvclkhdr_776 @[el2_lib.scala 508:23] rvclkhdr_13.clock <= clock rvclkhdr_13.reset <= reset rvclkhdr_13.io.clk <= clock @[el2_lib.scala 510:18] @@ -86137,7 +86181,7 @@ circuit quasar_wrapper : i0_flush_path_upper_r <= i0_flush_path_x @[el2_lib.scala 514:16] node _T_21 = bits(io.dec_exu.decode_exu.pred_correct_npc_x, 30, 6) @[exu.scala 77:97] node _T_22 = bits(r_data_en, 0, 0) @[exu.scala 77:115] - inst rvclkhdr_14 of rvclkhdr_775 @[el2_lib.scala 508:23] + inst rvclkhdr_14 of rvclkhdr_777 @[el2_lib.scala 508:23] rvclkhdr_14.clock <= clock rvclkhdr_14.reset <= reset rvclkhdr_14.io.clk <= clock @[el2_lib.scala 510:18] @@ -86172,7 +86216,7 @@ circuit quasar_wrapper : skip @[exu.scala 80:58] else : @[exu.scala 84:14] node _T_33 = bits(data_gate_en, 0, 0) @[exu.scala 85:65] - inst rvclkhdr_15 of rvclkhdr_776 @[el2_lib.scala 508:23] + inst rvclkhdr_15 of rvclkhdr_778 @[el2_lib.scala 508:23] rvclkhdr_15.clock <= clock rvclkhdr_15.reset <= reset rvclkhdr_15.io.clk <= clock @[el2_lib.scala 510:18] @@ -86182,7 +86226,7 @@ circuit quasar_wrapper : _T_34 <= ghr_d_ns @[el2_lib.scala 514:16] ghr_d <= _T_34 @[exu.scala 85:33] node _T_35 = bits(data_gate_en, 0, 0) @[exu.scala 86:82] - inst rvclkhdr_16 of rvclkhdr_777 @[el2_lib.scala 508:23] + inst rvclkhdr_16 of rvclkhdr_779 @[el2_lib.scala 508:23] rvclkhdr_16.clock <= clock rvclkhdr_16.reset <= reset rvclkhdr_16.io.clk <= clock @[el2_lib.scala 510:18] @@ -86192,7 +86236,7 @@ circuit quasar_wrapper : _T_36 <= io.dec_exu.decode_exu.mul_p.valid @[el2_lib.scala 514:16] mul_valid_x <= _T_36 @[exu.scala 86:25] node _T_37 = bits(data_gate_en, 0, 0) @[exu.scala 87:89] - inst rvclkhdr_17 of rvclkhdr_778 @[el2_lib.scala 508:23] + inst rvclkhdr_17 of rvclkhdr_780 @[el2_lib.scala 508:23] rvclkhdr_17.clock <= clock rvclkhdr_17.reset <= reset rvclkhdr_17.io.clk <= clock @[el2_lib.scala 510:18] @@ -87336,7 +87380,7 @@ circuit quasar_wrapper : node _T_253 = or(_T_248, _T_252) @[lsu_lsc_ctl.scala 267:144] io.lsu_result_corr_r <= _T_253 @[lsu_lsc_ctl.scala 264:27] - extmodule gated_latch_788 : + extmodule gated_latch_790 : output Q : Clock input CK : Clock input EN : UInt<1> @@ -87345,12 +87389,12 @@ circuit quasar_wrapper : defname = gated_latch - module rvclkhdr_788 : + module rvclkhdr_790 : input clock : Clock input reset : Reset output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - inst clkhdr of gated_latch_788 @[el2_lib.scala 474:26] + inst clkhdr of gated_latch_790 @[el2_lib.scala 474:26] clkhdr.SE is invalid clkhdr.EN is invalid clkhdr.CK is invalid @@ -87360,7 +87404,7 @@ circuit quasar_wrapper : clkhdr.EN <= io.en @[el2_lib.scala 477:18] clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] - extmodule gated_latch_789 : + extmodule gated_latch_791 : output Q : Clock input CK : Clock input EN : UInt<1> @@ -87369,12 +87413,12 @@ circuit quasar_wrapper : defname = gated_latch - module rvclkhdr_789 : + module rvclkhdr_791 : input clock : Clock input reset : Reset output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - inst clkhdr of gated_latch_789 @[el2_lib.scala 474:26] + inst clkhdr of gated_latch_791 @[el2_lib.scala 474:26] clkhdr.SE is invalid clkhdr.EN is invalid clkhdr.CK is invalid @@ -88283,7 +88327,7 @@ circuit quasar_wrapper : node _T_824 = bits(io.end_addr_r, 15, 0) @[lsu_dccm_ctl.scala 171:49] node _T_825 = bits(io.ld_single_ecc_error_r, 0, 0) @[lsu_dccm_ctl.scala 171:90] node _T_826 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 171:116] - inst rvclkhdr of rvclkhdr_788 @[el2_lib.scala 508:23] + inst rvclkhdr of rvclkhdr_790 @[el2_lib.scala 508:23] rvclkhdr.clock <= clock rvclkhdr.reset <= reset rvclkhdr.io.clk <= clock @[el2_lib.scala 510:18] @@ -88294,7 +88338,7 @@ circuit quasar_wrapper : node _T_827 = bits(io.lsu_addr_r, 15, 0) @[lsu_dccm_ctl.scala 172:49] node _T_828 = bits(io.ld_single_ecc_error_r, 0, 0) @[lsu_dccm_ctl.scala 172:90] node _T_829 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 172:116] - inst rvclkhdr_1 of rvclkhdr_789 @[el2_lib.scala 508:23] + inst rvclkhdr_1 of rvclkhdr_791 @[el2_lib.scala 508:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[el2_lib.scala 510:18] @@ -89445,54 +89489,6 @@ circuit quasar_wrapper : _T_1883 <= io.lsu_dccm_rden_m @[lsu_dccm_ctl.scala 280:61] io.lsu_dccm_rden_r <= _T_1883 @[lsu_dccm_ctl.scala 280:24] - extmodule gated_latch_790 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_790 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_790 @[el2_lib.scala 474:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] - clkhdr.CK <= io.clk @[el2_lib.scala 476:18] - clkhdr.EN <= io.en @[el2_lib.scala 477:18] - clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] - - extmodule gated_latch_791 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_791 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_791 @[el2_lib.scala 474:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] - clkhdr.CK <= io.clk @[el2_lib.scala 476:18] - clkhdr.EN <= io.en @[el2_lib.scala 477:18] - clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] - extmodule gated_latch_792 : output Q : Clock input CK : Clock @@ -89637,6 +89633,54 @@ circuit quasar_wrapper : clkhdr.EN <= io.en @[el2_lib.scala 477:18] clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + extmodule gated_latch_798 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_798 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_798 @[el2_lib.scala 474:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] + clkhdr.CK <= io.clk @[el2_lib.scala 476:18] + clkhdr.EN <= io.en @[el2_lib.scala 477:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + + extmodule gated_latch_799 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_799 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_799 @[el2_lib.scala 474:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] + clkhdr.CK <= io.clk @[el2_lib.scala 476:18] + clkhdr.EN <= io.en @[el2_lib.scala 477:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + module lsu_stbuf : input clock : Clock input reset : AsyncReset @@ -90470,7 +90514,7 @@ circuit quasar_wrapper : stbuf_byteen[3] <= _T_662 @[lsu_stbuf.scala 165:16] node _T_663 = bits(stbuf_wr_en, 0, 0) @[lsu_stbuf.scala 167:56] node _T_664 = bits(_T_663, 0, 0) @[lsu_stbuf.scala 167:66] - inst rvclkhdr of rvclkhdr_790 @[el2_lib.scala 508:23] + inst rvclkhdr of rvclkhdr_792 @[el2_lib.scala 508:23] rvclkhdr.clock <= clock rvclkhdr.reset <= reset rvclkhdr.io.clk <= clock @[el2_lib.scala 510:18] @@ -90481,7 +90525,7 @@ circuit quasar_wrapper : stbuf_addr[0] <= _T_665 @[lsu_stbuf.scala 167:19] node _T_666 = bits(stbuf_wr_en, 0, 0) @[lsu_stbuf.scala 168:56] node _T_667 = bits(_T_666, 0, 0) @[lsu_stbuf.scala 168:66] - inst rvclkhdr_1 of rvclkhdr_791 @[el2_lib.scala 508:23] + inst rvclkhdr_1 of rvclkhdr_793 @[el2_lib.scala 508:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[el2_lib.scala 510:18] @@ -90492,7 +90536,7 @@ circuit quasar_wrapper : stbuf_data[0] <= _T_668 @[lsu_stbuf.scala 168:19] node _T_669 = bits(stbuf_wr_en, 1, 1) @[lsu_stbuf.scala 167:56] node _T_670 = bits(_T_669, 0, 0) @[lsu_stbuf.scala 167:66] - inst rvclkhdr_2 of rvclkhdr_792 @[el2_lib.scala 508:23] + inst rvclkhdr_2 of rvclkhdr_794 @[el2_lib.scala 508:23] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset rvclkhdr_2.io.clk <= clock @[el2_lib.scala 510:18] @@ -90503,7 +90547,7 @@ circuit quasar_wrapper : stbuf_addr[1] <= _T_671 @[lsu_stbuf.scala 167:19] node _T_672 = bits(stbuf_wr_en, 1, 1) @[lsu_stbuf.scala 168:56] node _T_673 = bits(_T_672, 0, 0) @[lsu_stbuf.scala 168:66] - inst rvclkhdr_3 of rvclkhdr_793 @[el2_lib.scala 508:23] + inst rvclkhdr_3 of rvclkhdr_795 @[el2_lib.scala 508:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset rvclkhdr_3.io.clk <= clock @[el2_lib.scala 510:18] @@ -90514,7 +90558,7 @@ circuit quasar_wrapper : stbuf_data[1] <= _T_674 @[lsu_stbuf.scala 168:19] node _T_675 = bits(stbuf_wr_en, 2, 2) @[lsu_stbuf.scala 167:56] node _T_676 = bits(_T_675, 0, 0) @[lsu_stbuf.scala 167:66] - inst rvclkhdr_4 of rvclkhdr_794 @[el2_lib.scala 508:23] + inst rvclkhdr_4 of rvclkhdr_796 @[el2_lib.scala 508:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset rvclkhdr_4.io.clk <= clock @[el2_lib.scala 510:18] @@ -90525,7 +90569,7 @@ circuit quasar_wrapper : stbuf_addr[2] <= _T_677 @[lsu_stbuf.scala 167:19] node _T_678 = bits(stbuf_wr_en, 2, 2) @[lsu_stbuf.scala 168:56] node _T_679 = bits(_T_678, 0, 0) @[lsu_stbuf.scala 168:66] - inst rvclkhdr_5 of rvclkhdr_795 @[el2_lib.scala 508:23] + inst rvclkhdr_5 of rvclkhdr_797 @[el2_lib.scala 508:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset rvclkhdr_5.io.clk <= clock @[el2_lib.scala 510:18] @@ -90536,7 +90580,7 @@ circuit quasar_wrapper : stbuf_data[2] <= _T_680 @[lsu_stbuf.scala 168:19] node _T_681 = bits(stbuf_wr_en, 3, 3) @[lsu_stbuf.scala 167:56] node _T_682 = bits(_T_681, 0, 0) @[lsu_stbuf.scala 167:66] - inst rvclkhdr_6 of rvclkhdr_796 @[el2_lib.scala 508:23] + inst rvclkhdr_6 of rvclkhdr_798 @[el2_lib.scala 508:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset rvclkhdr_6.io.clk <= clock @[el2_lib.scala 510:18] @@ -90547,7 +90591,7 @@ circuit quasar_wrapper : stbuf_addr[3] <= _T_683 @[lsu_stbuf.scala 167:19] node _T_684 = bits(stbuf_wr_en, 3, 3) @[lsu_stbuf.scala 168:56] node _T_685 = bits(_T_684, 0, 0) @[lsu_stbuf.scala 168:66] - inst rvclkhdr_7 of rvclkhdr_797 @[el2_lib.scala 508:23] + inst rvclkhdr_7 of rvclkhdr_799 @[el2_lib.scala 508:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset rvclkhdr_7.io.clk <= clock @[el2_lib.scala 510:18] @@ -91309,7 +91353,7 @@ circuit quasar_wrapper : node _T_1314 = cat(_T_1313, _T_1312) @[Cat.scala 29:58] io.stbuf_fwddata_hi_m <= _T_1314 @[lsu_stbuf.scala 266:25] - extmodule gated_latch_798 : + extmodule gated_latch_800 : output Q : Clock input CK : Clock input EN : UInt<1> @@ -91318,12 +91362,12 @@ circuit quasar_wrapper : defname = gated_latch - module rvclkhdr_798 : + module rvclkhdr_800 : input clock : Clock input reset : Reset output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - inst clkhdr of gated_latch_798 @[el2_lib.scala 474:26] + inst clkhdr of gated_latch_800 @[el2_lib.scala 474:26] clkhdr.SE is invalid clkhdr.EN is invalid clkhdr.CK is invalid @@ -91333,7 +91377,7 @@ circuit quasar_wrapper : clkhdr.EN <= io.en @[el2_lib.scala 477:18] clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] - extmodule gated_latch_799 : + extmodule gated_latch_801 : output Q : Clock input CK : Clock input EN : UInt<1> @@ -91342,12 +91386,12 @@ circuit quasar_wrapper : defname = gated_latch - module rvclkhdr_799 : + module rvclkhdr_801 : input clock : Clock input reset : Reset output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - inst clkhdr of gated_latch_799 @[el2_lib.scala 474:26] + inst clkhdr of gated_latch_801 @[el2_lib.scala 474:26] clkhdr.SE is invalid clkhdr.EN is invalid clkhdr.CK is invalid @@ -92895,7 +92939,7 @@ circuit quasar_wrapper : io.stbuf_ecc_any <= dccm_wdata_ecc_lo_any @[lsu_ecc.scala 153:28] io.dma_dccm_wdata_ecc_hi <= dccm_wdata_ecc_hi_any @[lsu_ecc.scala 154:28] io.dma_dccm_wdata_ecc_lo <= dccm_wdata_ecc_lo_any @[lsu_ecc.scala 155:28] - inst rvclkhdr of rvclkhdr_798 @[el2_lib.scala 508:23] + inst rvclkhdr of rvclkhdr_800 @[el2_lib.scala 508:23] rvclkhdr.clock <= clock rvclkhdr.reset <= reset rvclkhdr.io.clk <= clock @[el2_lib.scala 510:18] @@ -92904,7 +92948,7 @@ circuit quasar_wrapper : reg _T_1164 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_1164 <= io.sec_data_hi_r @[el2_lib.scala 514:16] io.sec_data_hi_r_ff <= _T_1164 @[lsu_ecc.scala 157:23] - inst rvclkhdr_1 of rvclkhdr_799 @[el2_lib.scala 508:23] + inst rvclkhdr_1 of rvclkhdr_801 @[el2_lib.scala 508:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[el2_lib.scala 510:18] @@ -93264,953 +93308,909 @@ circuit quasar_wrapper : node _T_301 = cat(_T_300, _T_297) @[el2_lib.scala 245:14] node _T_302 = cat(_T_301, _T_294) @[el2_lib.scala 245:14] node _T_303 = cat(_T_302, _T_287) @[el2_lib.scala 245:14] - node _T_304 = and(_T_46, _T_303) @[lsu_trigger.scala 19:92] - node _T_305 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] - node _T_306 = and(io.lsu_pkt_m.valid, _T_305) @[lsu_trigger.scala 18:69] - node _T_307 = and(io.trigger_pkt_any[1].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] - node _T_308 = and(io.trigger_pkt_any[1].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] - node _T_309 = eq(io.trigger_pkt_any[1].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] - node _T_310 = and(_T_308, _T_309) @[lsu_trigger.scala 19:58] - node _T_311 = or(_T_307, _T_310) @[lsu_trigger.scala 18:152] - node _T_312 = and(_T_306, _T_311) @[lsu_trigger.scala 18:94] - node _T_313 = bits(io.trigger_pkt_any[1].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] - wire _T_314 : UInt<1>[32] @[el2_lib.scala 240:24] - node _T_315 = andr(io.trigger_pkt_any[1].tdata2) @[el2_lib.scala 241:45] - node _T_316 = not(_T_315) @[el2_lib.scala 241:39] - node _T_317 = and(_T_313, _T_316) @[el2_lib.scala 241:37] - node _T_318 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[el2_lib.scala 242:48] - node _T_319 = bits(lsu_match_data_1, 0, 0) @[el2_lib.scala 242:60] - node _T_320 = eq(_T_318, _T_319) @[el2_lib.scala 242:52] - node _T_321 = or(_T_317, _T_320) @[el2_lib.scala 242:41] - _T_314[0] <= _T_321 @[el2_lib.scala 242:18] - node _T_322 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[el2_lib.scala 244:28] - node _T_323 = andr(_T_322) @[el2_lib.scala 244:36] - node _T_324 = and(_T_323, _T_317) @[el2_lib.scala 244:41] - node _T_325 = bits(io.trigger_pkt_any[1].tdata2, 1, 1) @[el2_lib.scala 244:74] - node _T_326 = bits(lsu_match_data_1, 1, 1) @[el2_lib.scala 244:86] - node _T_327 = eq(_T_325, _T_326) @[el2_lib.scala 244:78] - node _T_328 = mux(_T_324, UInt<1>("h01"), _T_327) @[el2_lib.scala 244:23] - _T_314[1] <= _T_328 @[el2_lib.scala 244:17] - node _T_329 = bits(io.trigger_pkt_any[1].tdata2, 1, 0) @[el2_lib.scala 244:28] - node _T_330 = andr(_T_329) @[el2_lib.scala 244:36] - node _T_331 = and(_T_330, _T_317) @[el2_lib.scala 244:41] - node _T_332 = bits(io.trigger_pkt_any[1].tdata2, 2, 2) @[el2_lib.scala 244:74] - node _T_333 = bits(lsu_match_data_1, 2, 2) @[el2_lib.scala 244:86] - node _T_334 = eq(_T_332, _T_333) @[el2_lib.scala 244:78] - node _T_335 = mux(_T_331, UInt<1>("h01"), _T_334) @[el2_lib.scala 244:23] - _T_314[2] <= _T_335 @[el2_lib.scala 244:17] - node _T_336 = bits(io.trigger_pkt_any[1].tdata2, 2, 0) @[el2_lib.scala 244:28] - node _T_337 = andr(_T_336) @[el2_lib.scala 244:36] - node _T_338 = and(_T_337, _T_317) @[el2_lib.scala 244:41] - node _T_339 = bits(io.trigger_pkt_any[1].tdata2, 3, 3) @[el2_lib.scala 244:74] - node _T_340 = bits(lsu_match_data_1, 3, 3) @[el2_lib.scala 244:86] - node _T_341 = eq(_T_339, _T_340) @[el2_lib.scala 244:78] - node _T_342 = mux(_T_338, UInt<1>("h01"), _T_341) @[el2_lib.scala 244:23] - _T_314[3] <= _T_342 @[el2_lib.scala 244:17] - node _T_343 = bits(io.trigger_pkt_any[1].tdata2, 3, 0) @[el2_lib.scala 244:28] - node _T_344 = andr(_T_343) @[el2_lib.scala 244:36] - node _T_345 = and(_T_344, _T_317) @[el2_lib.scala 244:41] - node _T_346 = bits(io.trigger_pkt_any[1].tdata2, 4, 4) @[el2_lib.scala 244:74] - node _T_347 = bits(lsu_match_data_1, 4, 4) @[el2_lib.scala 244:86] - node _T_348 = eq(_T_346, _T_347) @[el2_lib.scala 244:78] - node _T_349 = mux(_T_345, UInt<1>("h01"), _T_348) @[el2_lib.scala 244:23] - _T_314[4] <= _T_349 @[el2_lib.scala 244:17] - node _T_350 = bits(io.trigger_pkt_any[1].tdata2, 4, 0) @[el2_lib.scala 244:28] - node _T_351 = andr(_T_350) @[el2_lib.scala 244:36] - node _T_352 = and(_T_351, _T_317) @[el2_lib.scala 244:41] - node _T_353 = bits(io.trigger_pkt_any[1].tdata2, 5, 5) @[el2_lib.scala 244:74] - node _T_354 = bits(lsu_match_data_1, 5, 5) @[el2_lib.scala 244:86] - node _T_355 = eq(_T_353, _T_354) @[el2_lib.scala 244:78] - node _T_356 = mux(_T_352, UInt<1>("h01"), _T_355) @[el2_lib.scala 244:23] - _T_314[5] <= _T_356 @[el2_lib.scala 244:17] - node _T_357 = bits(io.trigger_pkt_any[1].tdata2, 5, 0) @[el2_lib.scala 244:28] - node _T_358 = andr(_T_357) @[el2_lib.scala 244:36] - node _T_359 = and(_T_358, _T_317) @[el2_lib.scala 244:41] - node _T_360 = bits(io.trigger_pkt_any[1].tdata2, 6, 6) @[el2_lib.scala 244:74] - node _T_361 = bits(lsu_match_data_1, 6, 6) @[el2_lib.scala 244:86] - node _T_362 = eq(_T_360, _T_361) @[el2_lib.scala 244:78] - node _T_363 = mux(_T_359, UInt<1>("h01"), _T_362) @[el2_lib.scala 244:23] - _T_314[6] <= _T_363 @[el2_lib.scala 244:17] - node _T_364 = bits(io.trigger_pkt_any[1].tdata2, 6, 0) @[el2_lib.scala 244:28] - node _T_365 = andr(_T_364) @[el2_lib.scala 244:36] - node _T_366 = and(_T_365, _T_317) @[el2_lib.scala 244:41] - node _T_367 = bits(io.trigger_pkt_any[1].tdata2, 7, 7) @[el2_lib.scala 244:74] - node _T_368 = bits(lsu_match_data_1, 7, 7) @[el2_lib.scala 244:86] - node _T_369 = eq(_T_367, _T_368) @[el2_lib.scala 244:78] - node _T_370 = mux(_T_366, UInt<1>("h01"), _T_369) @[el2_lib.scala 244:23] - _T_314[7] <= _T_370 @[el2_lib.scala 244:17] - node _T_371 = bits(io.trigger_pkt_any[1].tdata2, 7, 0) @[el2_lib.scala 244:28] - node _T_372 = andr(_T_371) @[el2_lib.scala 244:36] - node _T_373 = and(_T_372, _T_317) @[el2_lib.scala 244:41] - node _T_374 = bits(io.trigger_pkt_any[1].tdata2, 8, 8) @[el2_lib.scala 244:74] - node _T_375 = bits(lsu_match_data_1, 8, 8) @[el2_lib.scala 244:86] - node _T_376 = eq(_T_374, _T_375) @[el2_lib.scala 244:78] - node _T_377 = mux(_T_373, UInt<1>("h01"), _T_376) @[el2_lib.scala 244:23] - _T_314[8] <= _T_377 @[el2_lib.scala 244:17] - node _T_378 = bits(io.trigger_pkt_any[1].tdata2, 8, 0) @[el2_lib.scala 244:28] - node _T_379 = andr(_T_378) @[el2_lib.scala 244:36] - node _T_380 = and(_T_379, _T_317) @[el2_lib.scala 244:41] - node _T_381 = bits(io.trigger_pkt_any[1].tdata2, 9, 9) @[el2_lib.scala 244:74] - node _T_382 = bits(lsu_match_data_1, 9, 9) @[el2_lib.scala 244:86] - node _T_383 = eq(_T_381, _T_382) @[el2_lib.scala 244:78] - node _T_384 = mux(_T_380, UInt<1>("h01"), _T_383) @[el2_lib.scala 244:23] - _T_314[9] <= _T_384 @[el2_lib.scala 244:17] - node _T_385 = bits(io.trigger_pkt_any[1].tdata2, 9, 0) @[el2_lib.scala 244:28] - node _T_386 = andr(_T_385) @[el2_lib.scala 244:36] - node _T_387 = and(_T_386, _T_317) @[el2_lib.scala 244:41] - node _T_388 = bits(io.trigger_pkt_any[1].tdata2, 10, 10) @[el2_lib.scala 244:74] - node _T_389 = bits(lsu_match_data_1, 10, 10) @[el2_lib.scala 244:86] - node _T_390 = eq(_T_388, _T_389) @[el2_lib.scala 244:78] - node _T_391 = mux(_T_387, UInt<1>("h01"), _T_390) @[el2_lib.scala 244:23] - _T_314[10] <= _T_391 @[el2_lib.scala 244:17] - node _T_392 = bits(io.trigger_pkt_any[1].tdata2, 10, 0) @[el2_lib.scala 244:28] - node _T_393 = andr(_T_392) @[el2_lib.scala 244:36] - node _T_394 = and(_T_393, _T_317) @[el2_lib.scala 244:41] - node _T_395 = bits(io.trigger_pkt_any[1].tdata2, 11, 11) @[el2_lib.scala 244:74] - node _T_396 = bits(lsu_match_data_1, 11, 11) @[el2_lib.scala 244:86] - node _T_397 = eq(_T_395, _T_396) @[el2_lib.scala 244:78] - node _T_398 = mux(_T_394, UInt<1>("h01"), _T_397) @[el2_lib.scala 244:23] - _T_314[11] <= _T_398 @[el2_lib.scala 244:17] - node _T_399 = bits(io.trigger_pkt_any[1].tdata2, 11, 0) @[el2_lib.scala 244:28] - node _T_400 = andr(_T_399) @[el2_lib.scala 244:36] - node _T_401 = and(_T_400, _T_317) @[el2_lib.scala 244:41] - node _T_402 = bits(io.trigger_pkt_any[1].tdata2, 12, 12) @[el2_lib.scala 244:74] - node _T_403 = bits(lsu_match_data_1, 12, 12) @[el2_lib.scala 244:86] - node _T_404 = eq(_T_402, _T_403) @[el2_lib.scala 244:78] - node _T_405 = mux(_T_401, UInt<1>("h01"), _T_404) @[el2_lib.scala 244:23] - _T_314[12] <= _T_405 @[el2_lib.scala 244:17] - node _T_406 = bits(io.trigger_pkt_any[1].tdata2, 12, 0) @[el2_lib.scala 244:28] - node _T_407 = andr(_T_406) @[el2_lib.scala 244:36] - node _T_408 = and(_T_407, _T_317) @[el2_lib.scala 244:41] - node _T_409 = bits(io.trigger_pkt_any[1].tdata2, 13, 13) @[el2_lib.scala 244:74] - node _T_410 = bits(lsu_match_data_1, 13, 13) @[el2_lib.scala 244:86] - node _T_411 = eq(_T_409, _T_410) @[el2_lib.scala 244:78] - node _T_412 = mux(_T_408, UInt<1>("h01"), _T_411) @[el2_lib.scala 244:23] - _T_314[13] <= _T_412 @[el2_lib.scala 244:17] - node _T_413 = bits(io.trigger_pkt_any[1].tdata2, 13, 0) @[el2_lib.scala 244:28] - node _T_414 = andr(_T_413) @[el2_lib.scala 244:36] - node _T_415 = and(_T_414, _T_317) @[el2_lib.scala 244:41] - node _T_416 = bits(io.trigger_pkt_any[1].tdata2, 14, 14) @[el2_lib.scala 244:74] - node _T_417 = bits(lsu_match_data_1, 14, 14) @[el2_lib.scala 244:86] - node _T_418 = eq(_T_416, _T_417) @[el2_lib.scala 244:78] - node _T_419 = mux(_T_415, UInt<1>("h01"), _T_418) @[el2_lib.scala 244:23] - _T_314[14] <= _T_419 @[el2_lib.scala 244:17] - node _T_420 = bits(io.trigger_pkt_any[1].tdata2, 14, 0) @[el2_lib.scala 244:28] - node _T_421 = andr(_T_420) @[el2_lib.scala 244:36] - node _T_422 = and(_T_421, _T_317) @[el2_lib.scala 244:41] - node _T_423 = bits(io.trigger_pkt_any[1].tdata2, 15, 15) @[el2_lib.scala 244:74] - node _T_424 = bits(lsu_match_data_1, 15, 15) @[el2_lib.scala 244:86] - node _T_425 = eq(_T_423, _T_424) @[el2_lib.scala 244:78] - node _T_426 = mux(_T_422, UInt<1>("h01"), _T_425) @[el2_lib.scala 244:23] - _T_314[15] <= _T_426 @[el2_lib.scala 244:17] - node _T_427 = bits(io.trigger_pkt_any[1].tdata2, 15, 0) @[el2_lib.scala 244:28] - node _T_428 = andr(_T_427) @[el2_lib.scala 244:36] - node _T_429 = and(_T_428, _T_317) @[el2_lib.scala 244:41] - node _T_430 = bits(io.trigger_pkt_any[1].tdata2, 16, 16) @[el2_lib.scala 244:74] - node _T_431 = bits(lsu_match_data_1, 16, 16) @[el2_lib.scala 244:86] - node _T_432 = eq(_T_430, _T_431) @[el2_lib.scala 244:78] - node _T_433 = mux(_T_429, UInt<1>("h01"), _T_432) @[el2_lib.scala 244:23] - _T_314[16] <= _T_433 @[el2_lib.scala 244:17] - node _T_434 = bits(io.trigger_pkt_any[1].tdata2, 16, 0) @[el2_lib.scala 244:28] - node _T_435 = andr(_T_434) @[el2_lib.scala 244:36] - node _T_436 = and(_T_435, _T_317) @[el2_lib.scala 244:41] - node _T_437 = bits(io.trigger_pkt_any[1].tdata2, 17, 17) @[el2_lib.scala 244:74] - node _T_438 = bits(lsu_match_data_1, 17, 17) @[el2_lib.scala 244:86] - node _T_439 = eq(_T_437, _T_438) @[el2_lib.scala 244:78] - node _T_440 = mux(_T_436, UInt<1>("h01"), _T_439) @[el2_lib.scala 244:23] - _T_314[17] <= _T_440 @[el2_lib.scala 244:17] - node _T_441 = bits(io.trigger_pkt_any[1].tdata2, 17, 0) @[el2_lib.scala 244:28] - node _T_442 = andr(_T_441) @[el2_lib.scala 244:36] - node _T_443 = and(_T_442, _T_317) @[el2_lib.scala 244:41] - node _T_444 = bits(io.trigger_pkt_any[1].tdata2, 18, 18) @[el2_lib.scala 244:74] - node _T_445 = bits(lsu_match_data_1, 18, 18) @[el2_lib.scala 244:86] - node _T_446 = eq(_T_444, _T_445) @[el2_lib.scala 244:78] - node _T_447 = mux(_T_443, UInt<1>("h01"), _T_446) @[el2_lib.scala 244:23] - _T_314[18] <= _T_447 @[el2_lib.scala 244:17] - node _T_448 = bits(io.trigger_pkt_any[1].tdata2, 18, 0) @[el2_lib.scala 244:28] - node _T_449 = andr(_T_448) @[el2_lib.scala 244:36] - node _T_450 = and(_T_449, _T_317) @[el2_lib.scala 244:41] - node _T_451 = bits(io.trigger_pkt_any[1].tdata2, 19, 19) @[el2_lib.scala 244:74] - node _T_452 = bits(lsu_match_data_1, 19, 19) @[el2_lib.scala 244:86] - node _T_453 = eq(_T_451, _T_452) @[el2_lib.scala 244:78] - node _T_454 = mux(_T_450, UInt<1>("h01"), _T_453) @[el2_lib.scala 244:23] - _T_314[19] <= _T_454 @[el2_lib.scala 244:17] - node _T_455 = bits(io.trigger_pkt_any[1].tdata2, 19, 0) @[el2_lib.scala 244:28] - node _T_456 = andr(_T_455) @[el2_lib.scala 244:36] - node _T_457 = and(_T_456, _T_317) @[el2_lib.scala 244:41] - node _T_458 = bits(io.trigger_pkt_any[1].tdata2, 20, 20) @[el2_lib.scala 244:74] - node _T_459 = bits(lsu_match_data_1, 20, 20) @[el2_lib.scala 244:86] - node _T_460 = eq(_T_458, _T_459) @[el2_lib.scala 244:78] - node _T_461 = mux(_T_457, UInt<1>("h01"), _T_460) @[el2_lib.scala 244:23] - _T_314[20] <= _T_461 @[el2_lib.scala 244:17] - node _T_462 = bits(io.trigger_pkt_any[1].tdata2, 20, 0) @[el2_lib.scala 244:28] - node _T_463 = andr(_T_462) @[el2_lib.scala 244:36] - node _T_464 = and(_T_463, _T_317) @[el2_lib.scala 244:41] - node _T_465 = bits(io.trigger_pkt_any[1].tdata2, 21, 21) @[el2_lib.scala 244:74] - node _T_466 = bits(lsu_match_data_1, 21, 21) @[el2_lib.scala 244:86] - node _T_467 = eq(_T_465, _T_466) @[el2_lib.scala 244:78] - node _T_468 = mux(_T_464, UInt<1>("h01"), _T_467) @[el2_lib.scala 244:23] - _T_314[21] <= _T_468 @[el2_lib.scala 244:17] - node _T_469 = bits(io.trigger_pkt_any[1].tdata2, 21, 0) @[el2_lib.scala 244:28] - node _T_470 = andr(_T_469) @[el2_lib.scala 244:36] - node _T_471 = and(_T_470, _T_317) @[el2_lib.scala 244:41] - node _T_472 = bits(io.trigger_pkt_any[1].tdata2, 22, 22) @[el2_lib.scala 244:74] - node _T_473 = bits(lsu_match_data_1, 22, 22) @[el2_lib.scala 244:86] - node _T_474 = eq(_T_472, _T_473) @[el2_lib.scala 244:78] - node _T_475 = mux(_T_471, UInt<1>("h01"), _T_474) @[el2_lib.scala 244:23] - _T_314[22] <= _T_475 @[el2_lib.scala 244:17] - node _T_476 = bits(io.trigger_pkt_any[1].tdata2, 22, 0) @[el2_lib.scala 244:28] - node _T_477 = andr(_T_476) @[el2_lib.scala 244:36] - node _T_478 = and(_T_477, _T_317) @[el2_lib.scala 244:41] - node _T_479 = bits(io.trigger_pkt_any[1].tdata2, 23, 23) @[el2_lib.scala 244:74] - node _T_480 = bits(lsu_match_data_1, 23, 23) @[el2_lib.scala 244:86] - node _T_481 = eq(_T_479, _T_480) @[el2_lib.scala 244:78] - node _T_482 = mux(_T_478, UInt<1>("h01"), _T_481) @[el2_lib.scala 244:23] - _T_314[23] <= _T_482 @[el2_lib.scala 244:17] - node _T_483 = bits(io.trigger_pkt_any[1].tdata2, 23, 0) @[el2_lib.scala 244:28] - node _T_484 = andr(_T_483) @[el2_lib.scala 244:36] - node _T_485 = and(_T_484, _T_317) @[el2_lib.scala 244:41] - node _T_486 = bits(io.trigger_pkt_any[1].tdata2, 24, 24) @[el2_lib.scala 244:74] - node _T_487 = bits(lsu_match_data_1, 24, 24) @[el2_lib.scala 244:86] - node _T_488 = eq(_T_486, _T_487) @[el2_lib.scala 244:78] - node _T_489 = mux(_T_485, UInt<1>("h01"), _T_488) @[el2_lib.scala 244:23] - _T_314[24] <= _T_489 @[el2_lib.scala 244:17] - node _T_490 = bits(io.trigger_pkt_any[1].tdata2, 24, 0) @[el2_lib.scala 244:28] - node _T_491 = andr(_T_490) @[el2_lib.scala 244:36] - node _T_492 = and(_T_491, _T_317) @[el2_lib.scala 244:41] - node _T_493 = bits(io.trigger_pkt_any[1].tdata2, 25, 25) @[el2_lib.scala 244:74] - node _T_494 = bits(lsu_match_data_1, 25, 25) @[el2_lib.scala 244:86] - node _T_495 = eq(_T_493, _T_494) @[el2_lib.scala 244:78] - node _T_496 = mux(_T_492, UInt<1>("h01"), _T_495) @[el2_lib.scala 244:23] - _T_314[25] <= _T_496 @[el2_lib.scala 244:17] - node _T_497 = bits(io.trigger_pkt_any[1].tdata2, 25, 0) @[el2_lib.scala 244:28] - node _T_498 = andr(_T_497) @[el2_lib.scala 244:36] - node _T_499 = and(_T_498, _T_317) @[el2_lib.scala 244:41] - node _T_500 = bits(io.trigger_pkt_any[1].tdata2, 26, 26) @[el2_lib.scala 244:74] - node _T_501 = bits(lsu_match_data_1, 26, 26) @[el2_lib.scala 244:86] - node _T_502 = eq(_T_500, _T_501) @[el2_lib.scala 244:78] - node _T_503 = mux(_T_499, UInt<1>("h01"), _T_502) @[el2_lib.scala 244:23] - _T_314[26] <= _T_503 @[el2_lib.scala 244:17] - node _T_504 = bits(io.trigger_pkt_any[1].tdata2, 26, 0) @[el2_lib.scala 244:28] - node _T_505 = andr(_T_504) @[el2_lib.scala 244:36] - node _T_506 = and(_T_505, _T_317) @[el2_lib.scala 244:41] - node _T_507 = bits(io.trigger_pkt_any[1].tdata2, 27, 27) @[el2_lib.scala 244:74] - node _T_508 = bits(lsu_match_data_1, 27, 27) @[el2_lib.scala 244:86] - node _T_509 = eq(_T_507, _T_508) @[el2_lib.scala 244:78] - node _T_510 = mux(_T_506, UInt<1>("h01"), _T_509) @[el2_lib.scala 244:23] - _T_314[27] <= _T_510 @[el2_lib.scala 244:17] - node _T_511 = bits(io.trigger_pkt_any[1].tdata2, 27, 0) @[el2_lib.scala 244:28] - node _T_512 = andr(_T_511) @[el2_lib.scala 244:36] - node _T_513 = and(_T_512, _T_317) @[el2_lib.scala 244:41] - node _T_514 = bits(io.trigger_pkt_any[1].tdata2, 28, 28) @[el2_lib.scala 244:74] - node _T_515 = bits(lsu_match_data_1, 28, 28) @[el2_lib.scala 244:86] - node _T_516 = eq(_T_514, _T_515) @[el2_lib.scala 244:78] - node _T_517 = mux(_T_513, UInt<1>("h01"), _T_516) @[el2_lib.scala 244:23] - _T_314[28] <= _T_517 @[el2_lib.scala 244:17] - node _T_518 = bits(io.trigger_pkt_any[1].tdata2, 28, 0) @[el2_lib.scala 244:28] - node _T_519 = andr(_T_518) @[el2_lib.scala 244:36] - node _T_520 = and(_T_519, _T_317) @[el2_lib.scala 244:41] - node _T_521 = bits(io.trigger_pkt_any[1].tdata2, 29, 29) @[el2_lib.scala 244:74] - node _T_522 = bits(lsu_match_data_1, 29, 29) @[el2_lib.scala 244:86] - node _T_523 = eq(_T_521, _T_522) @[el2_lib.scala 244:78] - node _T_524 = mux(_T_520, UInt<1>("h01"), _T_523) @[el2_lib.scala 244:23] - _T_314[29] <= _T_524 @[el2_lib.scala 244:17] - node _T_525 = bits(io.trigger_pkt_any[1].tdata2, 29, 0) @[el2_lib.scala 244:28] - node _T_526 = andr(_T_525) @[el2_lib.scala 244:36] - node _T_527 = and(_T_526, _T_317) @[el2_lib.scala 244:41] - node _T_528 = bits(io.trigger_pkt_any[1].tdata2, 30, 30) @[el2_lib.scala 244:74] - node _T_529 = bits(lsu_match_data_1, 30, 30) @[el2_lib.scala 244:86] - node _T_530 = eq(_T_528, _T_529) @[el2_lib.scala 244:78] - node _T_531 = mux(_T_527, UInt<1>("h01"), _T_530) @[el2_lib.scala 244:23] - _T_314[30] <= _T_531 @[el2_lib.scala 244:17] - node _T_532 = bits(io.trigger_pkt_any[1].tdata2, 30, 0) @[el2_lib.scala 244:28] - node _T_533 = andr(_T_532) @[el2_lib.scala 244:36] - node _T_534 = and(_T_533, _T_317) @[el2_lib.scala 244:41] - node _T_535 = bits(io.trigger_pkt_any[1].tdata2, 31, 31) @[el2_lib.scala 244:74] - node _T_536 = bits(lsu_match_data_1, 31, 31) @[el2_lib.scala 244:86] - node _T_537 = eq(_T_535, _T_536) @[el2_lib.scala 244:78] - node _T_538 = mux(_T_534, UInt<1>("h01"), _T_537) @[el2_lib.scala 244:23] - _T_314[31] <= _T_538 @[el2_lib.scala 244:17] - node _T_539 = cat(_T_314[1], _T_314[0]) @[el2_lib.scala 245:14] - node _T_540 = cat(_T_314[3], _T_314[2]) @[el2_lib.scala 245:14] - node _T_541 = cat(_T_540, _T_539) @[el2_lib.scala 245:14] - node _T_542 = cat(_T_314[5], _T_314[4]) @[el2_lib.scala 245:14] - node _T_543 = cat(_T_314[7], _T_314[6]) @[el2_lib.scala 245:14] - node _T_544 = cat(_T_543, _T_542) @[el2_lib.scala 245:14] - node _T_545 = cat(_T_544, _T_541) @[el2_lib.scala 245:14] - node _T_546 = cat(_T_314[9], _T_314[8]) @[el2_lib.scala 245:14] - node _T_547 = cat(_T_314[11], _T_314[10]) @[el2_lib.scala 245:14] - node _T_548 = cat(_T_547, _T_546) @[el2_lib.scala 245:14] - node _T_549 = cat(_T_314[13], _T_314[12]) @[el2_lib.scala 245:14] - node _T_550 = cat(_T_314[15], _T_314[14]) @[el2_lib.scala 245:14] - node _T_551 = cat(_T_550, _T_549) @[el2_lib.scala 245:14] - node _T_552 = cat(_T_551, _T_548) @[el2_lib.scala 245:14] - node _T_553 = cat(_T_552, _T_545) @[el2_lib.scala 245:14] - node _T_554 = cat(_T_314[17], _T_314[16]) @[el2_lib.scala 245:14] - node _T_555 = cat(_T_314[19], _T_314[18]) @[el2_lib.scala 245:14] - node _T_556 = cat(_T_555, _T_554) @[el2_lib.scala 245:14] - node _T_557 = cat(_T_314[21], _T_314[20]) @[el2_lib.scala 245:14] - node _T_558 = cat(_T_314[23], _T_314[22]) @[el2_lib.scala 245:14] - node _T_559 = cat(_T_558, _T_557) @[el2_lib.scala 245:14] - node _T_560 = cat(_T_559, _T_556) @[el2_lib.scala 245:14] - node _T_561 = cat(_T_314[25], _T_314[24]) @[el2_lib.scala 245:14] - node _T_562 = cat(_T_314[27], _T_314[26]) @[el2_lib.scala 245:14] - node _T_563 = cat(_T_562, _T_561) @[el2_lib.scala 245:14] - node _T_564 = cat(_T_314[29], _T_314[28]) @[el2_lib.scala 245:14] - node _T_565 = cat(_T_314[31], _T_314[30]) @[el2_lib.scala 245:14] - node _T_566 = cat(_T_565, _T_564) @[el2_lib.scala 245:14] - node _T_567 = cat(_T_566, _T_563) @[el2_lib.scala 245:14] - node _T_568 = cat(_T_567, _T_560) @[el2_lib.scala 245:14] - node _T_569 = cat(_T_568, _T_553) @[el2_lib.scala 245:14] - node _T_570 = and(_T_312, _T_569) @[lsu_trigger.scala 19:92] - node _T_571 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] - node _T_572 = and(io.lsu_pkt_m.valid, _T_571) @[lsu_trigger.scala 18:69] - node _T_573 = and(io.trigger_pkt_any[2].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] - node _T_574 = and(io.trigger_pkt_any[2].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] - node _T_575 = eq(io.trigger_pkt_any[2].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] - node _T_576 = and(_T_574, _T_575) @[lsu_trigger.scala 19:58] - node _T_577 = or(_T_573, _T_576) @[lsu_trigger.scala 18:152] - node _T_578 = and(_T_572, _T_577) @[lsu_trigger.scala 18:94] - node _T_579 = bits(io.trigger_pkt_any[2].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] - wire _T_580 : UInt<1>[32] @[el2_lib.scala 240:24] - node _T_581 = andr(io.trigger_pkt_any[2].tdata2) @[el2_lib.scala 241:45] - node _T_582 = not(_T_581) @[el2_lib.scala 241:39] - node _T_583 = and(_T_579, _T_582) @[el2_lib.scala 241:37] - node _T_584 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[el2_lib.scala 242:48] - node _T_585 = bits(lsu_match_data_2, 0, 0) @[el2_lib.scala 242:60] - node _T_586 = eq(_T_584, _T_585) @[el2_lib.scala 242:52] - node _T_587 = or(_T_583, _T_586) @[el2_lib.scala 242:41] - _T_580[0] <= _T_587 @[el2_lib.scala 242:18] - node _T_588 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[el2_lib.scala 244:28] - node _T_589 = andr(_T_588) @[el2_lib.scala 244:36] - node _T_590 = and(_T_589, _T_583) @[el2_lib.scala 244:41] - node _T_591 = bits(io.trigger_pkt_any[2].tdata2, 1, 1) @[el2_lib.scala 244:74] - node _T_592 = bits(lsu_match_data_2, 1, 1) @[el2_lib.scala 244:86] - node _T_593 = eq(_T_591, _T_592) @[el2_lib.scala 244:78] - node _T_594 = mux(_T_590, UInt<1>("h01"), _T_593) @[el2_lib.scala 244:23] - _T_580[1] <= _T_594 @[el2_lib.scala 244:17] - node _T_595 = bits(io.trigger_pkt_any[2].tdata2, 1, 0) @[el2_lib.scala 244:28] - node _T_596 = andr(_T_595) @[el2_lib.scala 244:36] - node _T_597 = and(_T_596, _T_583) @[el2_lib.scala 244:41] - node _T_598 = bits(io.trigger_pkt_any[2].tdata2, 2, 2) @[el2_lib.scala 244:74] - node _T_599 = bits(lsu_match_data_2, 2, 2) @[el2_lib.scala 244:86] - node _T_600 = eq(_T_598, _T_599) @[el2_lib.scala 244:78] - node _T_601 = mux(_T_597, UInt<1>("h01"), _T_600) @[el2_lib.scala 244:23] - _T_580[2] <= _T_601 @[el2_lib.scala 244:17] - node _T_602 = bits(io.trigger_pkt_any[2].tdata2, 2, 0) @[el2_lib.scala 244:28] - node _T_603 = andr(_T_602) @[el2_lib.scala 244:36] - node _T_604 = and(_T_603, _T_583) @[el2_lib.scala 244:41] - node _T_605 = bits(io.trigger_pkt_any[2].tdata2, 3, 3) @[el2_lib.scala 244:74] - node _T_606 = bits(lsu_match_data_2, 3, 3) @[el2_lib.scala 244:86] - node _T_607 = eq(_T_605, _T_606) @[el2_lib.scala 244:78] - node _T_608 = mux(_T_604, UInt<1>("h01"), _T_607) @[el2_lib.scala 244:23] - _T_580[3] <= _T_608 @[el2_lib.scala 244:17] - node _T_609 = bits(io.trigger_pkt_any[2].tdata2, 3, 0) @[el2_lib.scala 244:28] - node _T_610 = andr(_T_609) @[el2_lib.scala 244:36] - node _T_611 = and(_T_610, _T_583) @[el2_lib.scala 244:41] - node _T_612 = bits(io.trigger_pkt_any[2].tdata2, 4, 4) @[el2_lib.scala 244:74] - node _T_613 = bits(lsu_match_data_2, 4, 4) @[el2_lib.scala 244:86] - node _T_614 = eq(_T_612, _T_613) @[el2_lib.scala 244:78] - node _T_615 = mux(_T_611, UInt<1>("h01"), _T_614) @[el2_lib.scala 244:23] - _T_580[4] <= _T_615 @[el2_lib.scala 244:17] - node _T_616 = bits(io.trigger_pkt_any[2].tdata2, 4, 0) @[el2_lib.scala 244:28] - node _T_617 = andr(_T_616) @[el2_lib.scala 244:36] - node _T_618 = and(_T_617, _T_583) @[el2_lib.scala 244:41] - node _T_619 = bits(io.trigger_pkt_any[2].tdata2, 5, 5) @[el2_lib.scala 244:74] - node _T_620 = bits(lsu_match_data_2, 5, 5) @[el2_lib.scala 244:86] - node _T_621 = eq(_T_619, _T_620) @[el2_lib.scala 244:78] - node _T_622 = mux(_T_618, UInt<1>("h01"), _T_621) @[el2_lib.scala 244:23] - _T_580[5] <= _T_622 @[el2_lib.scala 244:17] - node _T_623 = bits(io.trigger_pkt_any[2].tdata2, 5, 0) @[el2_lib.scala 244:28] - node _T_624 = andr(_T_623) @[el2_lib.scala 244:36] - node _T_625 = and(_T_624, _T_583) @[el2_lib.scala 244:41] - node _T_626 = bits(io.trigger_pkt_any[2].tdata2, 6, 6) @[el2_lib.scala 244:74] - node _T_627 = bits(lsu_match_data_2, 6, 6) @[el2_lib.scala 244:86] - node _T_628 = eq(_T_626, _T_627) @[el2_lib.scala 244:78] - node _T_629 = mux(_T_625, UInt<1>("h01"), _T_628) @[el2_lib.scala 244:23] - _T_580[6] <= _T_629 @[el2_lib.scala 244:17] - node _T_630 = bits(io.trigger_pkt_any[2].tdata2, 6, 0) @[el2_lib.scala 244:28] - node _T_631 = andr(_T_630) @[el2_lib.scala 244:36] - node _T_632 = and(_T_631, _T_583) @[el2_lib.scala 244:41] - node _T_633 = bits(io.trigger_pkt_any[2].tdata2, 7, 7) @[el2_lib.scala 244:74] - node _T_634 = bits(lsu_match_data_2, 7, 7) @[el2_lib.scala 244:86] - node _T_635 = eq(_T_633, _T_634) @[el2_lib.scala 244:78] - node _T_636 = mux(_T_632, UInt<1>("h01"), _T_635) @[el2_lib.scala 244:23] - _T_580[7] <= _T_636 @[el2_lib.scala 244:17] - node _T_637 = bits(io.trigger_pkt_any[2].tdata2, 7, 0) @[el2_lib.scala 244:28] - node _T_638 = andr(_T_637) @[el2_lib.scala 244:36] - node _T_639 = and(_T_638, _T_583) @[el2_lib.scala 244:41] - node _T_640 = bits(io.trigger_pkt_any[2].tdata2, 8, 8) @[el2_lib.scala 244:74] - node _T_641 = bits(lsu_match_data_2, 8, 8) @[el2_lib.scala 244:86] - node _T_642 = eq(_T_640, _T_641) @[el2_lib.scala 244:78] - node _T_643 = mux(_T_639, UInt<1>("h01"), _T_642) @[el2_lib.scala 244:23] - _T_580[8] <= _T_643 @[el2_lib.scala 244:17] - node _T_644 = bits(io.trigger_pkt_any[2].tdata2, 8, 0) @[el2_lib.scala 244:28] - node _T_645 = andr(_T_644) @[el2_lib.scala 244:36] - node _T_646 = and(_T_645, _T_583) @[el2_lib.scala 244:41] - node _T_647 = bits(io.trigger_pkt_any[2].tdata2, 9, 9) @[el2_lib.scala 244:74] - node _T_648 = bits(lsu_match_data_2, 9, 9) @[el2_lib.scala 244:86] - node _T_649 = eq(_T_647, _T_648) @[el2_lib.scala 244:78] - node _T_650 = mux(_T_646, UInt<1>("h01"), _T_649) @[el2_lib.scala 244:23] - _T_580[9] <= _T_650 @[el2_lib.scala 244:17] - node _T_651 = bits(io.trigger_pkt_any[2].tdata2, 9, 0) @[el2_lib.scala 244:28] - node _T_652 = andr(_T_651) @[el2_lib.scala 244:36] - node _T_653 = and(_T_652, _T_583) @[el2_lib.scala 244:41] - node _T_654 = bits(io.trigger_pkt_any[2].tdata2, 10, 10) @[el2_lib.scala 244:74] - node _T_655 = bits(lsu_match_data_2, 10, 10) @[el2_lib.scala 244:86] - node _T_656 = eq(_T_654, _T_655) @[el2_lib.scala 244:78] - node _T_657 = mux(_T_653, UInt<1>("h01"), _T_656) @[el2_lib.scala 244:23] - _T_580[10] <= _T_657 @[el2_lib.scala 244:17] - node _T_658 = bits(io.trigger_pkt_any[2].tdata2, 10, 0) @[el2_lib.scala 244:28] - node _T_659 = andr(_T_658) @[el2_lib.scala 244:36] - node _T_660 = and(_T_659, _T_583) @[el2_lib.scala 244:41] - node _T_661 = bits(io.trigger_pkt_any[2].tdata2, 11, 11) @[el2_lib.scala 244:74] - node _T_662 = bits(lsu_match_data_2, 11, 11) @[el2_lib.scala 244:86] - node _T_663 = eq(_T_661, _T_662) @[el2_lib.scala 244:78] - node _T_664 = mux(_T_660, UInt<1>("h01"), _T_663) @[el2_lib.scala 244:23] - _T_580[11] <= _T_664 @[el2_lib.scala 244:17] - node _T_665 = bits(io.trigger_pkt_any[2].tdata2, 11, 0) @[el2_lib.scala 244:28] - node _T_666 = andr(_T_665) @[el2_lib.scala 244:36] - node _T_667 = and(_T_666, _T_583) @[el2_lib.scala 244:41] - node _T_668 = bits(io.trigger_pkt_any[2].tdata2, 12, 12) @[el2_lib.scala 244:74] - node _T_669 = bits(lsu_match_data_2, 12, 12) @[el2_lib.scala 244:86] - node _T_670 = eq(_T_668, _T_669) @[el2_lib.scala 244:78] - node _T_671 = mux(_T_667, UInt<1>("h01"), _T_670) @[el2_lib.scala 244:23] - _T_580[12] <= _T_671 @[el2_lib.scala 244:17] - node _T_672 = bits(io.trigger_pkt_any[2].tdata2, 12, 0) @[el2_lib.scala 244:28] - node _T_673 = andr(_T_672) @[el2_lib.scala 244:36] - node _T_674 = and(_T_673, _T_583) @[el2_lib.scala 244:41] - node _T_675 = bits(io.trigger_pkt_any[2].tdata2, 13, 13) @[el2_lib.scala 244:74] - node _T_676 = bits(lsu_match_data_2, 13, 13) @[el2_lib.scala 244:86] - node _T_677 = eq(_T_675, _T_676) @[el2_lib.scala 244:78] - node _T_678 = mux(_T_674, UInt<1>("h01"), _T_677) @[el2_lib.scala 244:23] - _T_580[13] <= _T_678 @[el2_lib.scala 244:17] - node _T_679 = bits(io.trigger_pkt_any[2].tdata2, 13, 0) @[el2_lib.scala 244:28] - node _T_680 = andr(_T_679) @[el2_lib.scala 244:36] - node _T_681 = and(_T_680, _T_583) @[el2_lib.scala 244:41] - node _T_682 = bits(io.trigger_pkt_any[2].tdata2, 14, 14) @[el2_lib.scala 244:74] - node _T_683 = bits(lsu_match_data_2, 14, 14) @[el2_lib.scala 244:86] - node _T_684 = eq(_T_682, _T_683) @[el2_lib.scala 244:78] - node _T_685 = mux(_T_681, UInt<1>("h01"), _T_684) @[el2_lib.scala 244:23] - _T_580[14] <= _T_685 @[el2_lib.scala 244:17] - node _T_686 = bits(io.trigger_pkt_any[2].tdata2, 14, 0) @[el2_lib.scala 244:28] - node _T_687 = andr(_T_686) @[el2_lib.scala 244:36] - node _T_688 = and(_T_687, _T_583) @[el2_lib.scala 244:41] - node _T_689 = bits(io.trigger_pkt_any[2].tdata2, 15, 15) @[el2_lib.scala 244:74] - node _T_690 = bits(lsu_match_data_2, 15, 15) @[el2_lib.scala 244:86] - node _T_691 = eq(_T_689, _T_690) @[el2_lib.scala 244:78] - node _T_692 = mux(_T_688, UInt<1>("h01"), _T_691) @[el2_lib.scala 244:23] - _T_580[15] <= _T_692 @[el2_lib.scala 244:17] - node _T_693 = bits(io.trigger_pkt_any[2].tdata2, 15, 0) @[el2_lib.scala 244:28] - node _T_694 = andr(_T_693) @[el2_lib.scala 244:36] - node _T_695 = and(_T_694, _T_583) @[el2_lib.scala 244:41] - node _T_696 = bits(io.trigger_pkt_any[2].tdata2, 16, 16) @[el2_lib.scala 244:74] - node _T_697 = bits(lsu_match_data_2, 16, 16) @[el2_lib.scala 244:86] - node _T_698 = eq(_T_696, _T_697) @[el2_lib.scala 244:78] - node _T_699 = mux(_T_695, UInt<1>("h01"), _T_698) @[el2_lib.scala 244:23] - _T_580[16] <= _T_699 @[el2_lib.scala 244:17] - node _T_700 = bits(io.trigger_pkt_any[2].tdata2, 16, 0) @[el2_lib.scala 244:28] - node _T_701 = andr(_T_700) @[el2_lib.scala 244:36] - node _T_702 = and(_T_701, _T_583) @[el2_lib.scala 244:41] - node _T_703 = bits(io.trigger_pkt_any[2].tdata2, 17, 17) @[el2_lib.scala 244:74] - node _T_704 = bits(lsu_match_data_2, 17, 17) @[el2_lib.scala 244:86] - node _T_705 = eq(_T_703, _T_704) @[el2_lib.scala 244:78] - node _T_706 = mux(_T_702, UInt<1>("h01"), _T_705) @[el2_lib.scala 244:23] - _T_580[17] <= _T_706 @[el2_lib.scala 244:17] - node _T_707 = bits(io.trigger_pkt_any[2].tdata2, 17, 0) @[el2_lib.scala 244:28] - node _T_708 = andr(_T_707) @[el2_lib.scala 244:36] - node _T_709 = and(_T_708, _T_583) @[el2_lib.scala 244:41] - node _T_710 = bits(io.trigger_pkt_any[2].tdata2, 18, 18) @[el2_lib.scala 244:74] - node _T_711 = bits(lsu_match_data_2, 18, 18) @[el2_lib.scala 244:86] - node _T_712 = eq(_T_710, _T_711) @[el2_lib.scala 244:78] - node _T_713 = mux(_T_709, UInt<1>("h01"), _T_712) @[el2_lib.scala 244:23] - _T_580[18] <= _T_713 @[el2_lib.scala 244:17] - node _T_714 = bits(io.trigger_pkt_any[2].tdata2, 18, 0) @[el2_lib.scala 244:28] - node _T_715 = andr(_T_714) @[el2_lib.scala 244:36] - node _T_716 = and(_T_715, _T_583) @[el2_lib.scala 244:41] - node _T_717 = bits(io.trigger_pkt_any[2].tdata2, 19, 19) @[el2_lib.scala 244:74] - node _T_718 = bits(lsu_match_data_2, 19, 19) @[el2_lib.scala 244:86] - node _T_719 = eq(_T_717, _T_718) @[el2_lib.scala 244:78] - node _T_720 = mux(_T_716, UInt<1>("h01"), _T_719) @[el2_lib.scala 244:23] - _T_580[19] <= _T_720 @[el2_lib.scala 244:17] - node _T_721 = bits(io.trigger_pkt_any[2].tdata2, 19, 0) @[el2_lib.scala 244:28] - node _T_722 = andr(_T_721) @[el2_lib.scala 244:36] - node _T_723 = and(_T_722, _T_583) @[el2_lib.scala 244:41] - node _T_724 = bits(io.trigger_pkt_any[2].tdata2, 20, 20) @[el2_lib.scala 244:74] - node _T_725 = bits(lsu_match_data_2, 20, 20) @[el2_lib.scala 244:86] - node _T_726 = eq(_T_724, _T_725) @[el2_lib.scala 244:78] - node _T_727 = mux(_T_723, UInt<1>("h01"), _T_726) @[el2_lib.scala 244:23] - _T_580[20] <= _T_727 @[el2_lib.scala 244:17] - node _T_728 = bits(io.trigger_pkt_any[2].tdata2, 20, 0) @[el2_lib.scala 244:28] - node _T_729 = andr(_T_728) @[el2_lib.scala 244:36] - node _T_730 = and(_T_729, _T_583) @[el2_lib.scala 244:41] - node _T_731 = bits(io.trigger_pkt_any[2].tdata2, 21, 21) @[el2_lib.scala 244:74] - node _T_732 = bits(lsu_match_data_2, 21, 21) @[el2_lib.scala 244:86] - node _T_733 = eq(_T_731, _T_732) @[el2_lib.scala 244:78] - node _T_734 = mux(_T_730, UInt<1>("h01"), _T_733) @[el2_lib.scala 244:23] - _T_580[21] <= _T_734 @[el2_lib.scala 244:17] - node _T_735 = bits(io.trigger_pkt_any[2].tdata2, 21, 0) @[el2_lib.scala 244:28] - node _T_736 = andr(_T_735) @[el2_lib.scala 244:36] - node _T_737 = and(_T_736, _T_583) @[el2_lib.scala 244:41] - node _T_738 = bits(io.trigger_pkt_any[2].tdata2, 22, 22) @[el2_lib.scala 244:74] - node _T_739 = bits(lsu_match_data_2, 22, 22) @[el2_lib.scala 244:86] - node _T_740 = eq(_T_738, _T_739) @[el2_lib.scala 244:78] - node _T_741 = mux(_T_737, UInt<1>("h01"), _T_740) @[el2_lib.scala 244:23] - _T_580[22] <= _T_741 @[el2_lib.scala 244:17] - node _T_742 = bits(io.trigger_pkt_any[2].tdata2, 22, 0) @[el2_lib.scala 244:28] - node _T_743 = andr(_T_742) @[el2_lib.scala 244:36] - node _T_744 = and(_T_743, _T_583) @[el2_lib.scala 244:41] - node _T_745 = bits(io.trigger_pkt_any[2].tdata2, 23, 23) @[el2_lib.scala 244:74] - node _T_746 = bits(lsu_match_data_2, 23, 23) @[el2_lib.scala 244:86] - node _T_747 = eq(_T_745, _T_746) @[el2_lib.scala 244:78] - node _T_748 = mux(_T_744, UInt<1>("h01"), _T_747) @[el2_lib.scala 244:23] - _T_580[23] <= _T_748 @[el2_lib.scala 244:17] - node _T_749 = bits(io.trigger_pkt_any[2].tdata2, 23, 0) @[el2_lib.scala 244:28] - node _T_750 = andr(_T_749) @[el2_lib.scala 244:36] - node _T_751 = and(_T_750, _T_583) @[el2_lib.scala 244:41] - node _T_752 = bits(io.trigger_pkt_any[2].tdata2, 24, 24) @[el2_lib.scala 244:74] - node _T_753 = bits(lsu_match_data_2, 24, 24) @[el2_lib.scala 244:86] - node _T_754 = eq(_T_752, _T_753) @[el2_lib.scala 244:78] - node _T_755 = mux(_T_751, UInt<1>("h01"), _T_754) @[el2_lib.scala 244:23] - _T_580[24] <= _T_755 @[el2_lib.scala 244:17] - node _T_756 = bits(io.trigger_pkt_any[2].tdata2, 24, 0) @[el2_lib.scala 244:28] - node _T_757 = andr(_T_756) @[el2_lib.scala 244:36] - node _T_758 = and(_T_757, _T_583) @[el2_lib.scala 244:41] - node _T_759 = bits(io.trigger_pkt_any[2].tdata2, 25, 25) @[el2_lib.scala 244:74] - node _T_760 = bits(lsu_match_data_2, 25, 25) @[el2_lib.scala 244:86] - node _T_761 = eq(_T_759, _T_760) @[el2_lib.scala 244:78] - node _T_762 = mux(_T_758, UInt<1>("h01"), _T_761) @[el2_lib.scala 244:23] - _T_580[25] <= _T_762 @[el2_lib.scala 244:17] - node _T_763 = bits(io.trigger_pkt_any[2].tdata2, 25, 0) @[el2_lib.scala 244:28] - node _T_764 = andr(_T_763) @[el2_lib.scala 244:36] - node _T_765 = and(_T_764, _T_583) @[el2_lib.scala 244:41] - node _T_766 = bits(io.trigger_pkt_any[2].tdata2, 26, 26) @[el2_lib.scala 244:74] - node _T_767 = bits(lsu_match_data_2, 26, 26) @[el2_lib.scala 244:86] - node _T_768 = eq(_T_766, _T_767) @[el2_lib.scala 244:78] - node _T_769 = mux(_T_765, UInt<1>("h01"), _T_768) @[el2_lib.scala 244:23] - _T_580[26] <= _T_769 @[el2_lib.scala 244:17] - node _T_770 = bits(io.trigger_pkt_any[2].tdata2, 26, 0) @[el2_lib.scala 244:28] - node _T_771 = andr(_T_770) @[el2_lib.scala 244:36] - node _T_772 = and(_T_771, _T_583) @[el2_lib.scala 244:41] - node _T_773 = bits(io.trigger_pkt_any[2].tdata2, 27, 27) @[el2_lib.scala 244:74] - node _T_774 = bits(lsu_match_data_2, 27, 27) @[el2_lib.scala 244:86] - node _T_775 = eq(_T_773, _T_774) @[el2_lib.scala 244:78] - node _T_776 = mux(_T_772, UInt<1>("h01"), _T_775) @[el2_lib.scala 244:23] - _T_580[27] <= _T_776 @[el2_lib.scala 244:17] - node _T_777 = bits(io.trigger_pkt_any[2].tdata2, 27, 0) @[el2_lib.scala 244:28] - node _T_778 = andr(_T_777) @[el2_lib.scala 244:36] - node _T_779 = and(_T_778, _T_583) @[el2_lib.scala 244:41] - node _T_780 = bits(io.trigger_pkt_any[2].tdata2, 28, 28) @[el2_lib.scala 244:74] - node _T_781 = bits(lsu_match_data_2, 28, 28) @[el2_lib.scala 244:86] - node _T_782 = eq(_T_780, _T_781) @[el2_lib.scala 244:78] - node _T_783 = mux(_T_779, UInt<1>("h01"), _T_782) @[el2_lib.scala 244:23] - _T_580[28] <= _T_783 @[el2_lib.scala 244:17] - node _T_784 = bits(io.trigger_pkt_any[2].tdata2, 28, 0) @[el2_lib.scala 244:28] - node _T_785 = andr(_T_784) @[el2_lib.scala 244:36] - node _T_786 = and(_T_785, _T_583) @[el2_lib.scala 244:41] - node _T_787 = bits(io.trigger_pkt_any[2].tdata2, 29, 29) @[el2_lib.scala 244:74] - node _T_788 = bits(lsu_match_data_2, 29, 29) @[el2_lib.scala 244:86] - node _T_789 = eq(_T_787, _T_788) @[el2_lib.scala 244:78] - node _T_790 = mux(_T_786, UInt<1>("h01"), _T_789) @[el2_lib.scala 244:23] - _T_580[29] <= _T_790 @[el2_lib.scala 244:17] - node _T_791 = bits(io.trigger_pkt_any[2].tdata2, 29, 0) @[el2_lib.scala 244:28] - node _T_792 = andr(_T_791) @[el2_lib.scala 244:36] - node _T_793 = and(_T_792, _T_583) @[el2_lib.scala 244:41] - node _T_794 = bits(io.trigger_pkt_any[2].tdata2, 30, 30) @[el2_lib.scala 244:74] - node _T_795 = bits(lsu_match_data_2, 30, 30) @[el2_lib.scala 244:86] - node _T_796 = eq(_T_794, _T_795) @[el2_lib.scala 244:78] - node _T_797 = mux(_T_793, UInt<1>("h01"), _T_796) @[el2_lib.scala 244:23] - _T_580[30] <= _T_797 @[el2_lib.scala 244:17] - node _T_798 = bits(io.trigger_pkt_any[2].tdata2, 30, 0) @[el2_lib.scala 244:28] - node _T_799 = andr(_T_798) @[el2_lib.scala 244:36] - node _T_800 = and(_T_799, _T_583) @[el2_lib.scala 244:41] - node _T_801 = bits(io.trigger_pkt_any[2].tdata2, 31, 31) @[el2_lib.scala 244:74] - node _T_802 = bits(lsu_match_data_2, 31, 31) @[el2_lib.scala 244:86] - node _T_803 = eq(_T_801, _T_802) @[el2_lib.scala 244:78] - node _T_804 = mux(_T_800, UInt<1>("h01"), _T_803) @[el2_lib.scala 244:23] - _T_580[31] <= _T_804 @[el2_lib.scala 244:17] - node _T_805 = cat(_T_580[1], _T_580[0]) @[el2_lib.scala 245:14] - node _T_806 = cat(_T_580[3], _T_580[2]) @[el2_lib.scala 245:14] - node _T_807 = cat(_T_806, _T_805) @[el2_lib.scala 245:14] - node _T_808 = cat(_T_580[5], _T_580[4]) @[el2_lib.scala 245:14] - node _T_809 = cat(_T_580[7], _T_580[6]) @[el2_lib.scala 245:14] - node _T_810 = cat(_T_809, _T_808) @[el2_lib.scala 245:14] - node _T_811 = cat(_T_810, _T_807) @[el2_lib.scala 245:14] - node _T_812 = cat(_T_580[9], _T_580[8]) @[el2_lib.scala 245:14] - node _T_813 = cat(_T_580[11], _T_580[10]) @[el2_lib.scala 245:14] - node _T_814 = cat(_T_813, _T_812) @[el2_lib.scala 245:14] - node _T_815 = cat(_T_580[13], _T_580[12]) @[el2_lib.scala 245:14] - node _T_816 = cat(_T_580[15], _T_580[14]) @[el2_lib.scala 245:14] - node _T_817 = cat(_T_816, _T_815) @[el2_lib.scala 245:14] - node _T_818 = cat(_T_817, _T_814) @[el2_lib.scala 245:14] - node _T_819 = cat(_T_818, _T_811) @[el2_lib.scala 245:14] - node _T_820 = cat(_T_580[17], _T_580[16]) @[el2_lib.scala 245:14] - node _T_821 = cat(_T_580[19], _T_580[18]) @[el2_lib.scala 245:14] - node _T_822 = cat(_T_821, _T_820) @[el2_lib.scala 245:14] - node _T_823 = cat(_T_580[21], _T_580[20]) @[el2_lib.scala 245:14] - node _T_824 = cat(_T_580[23], _T_580[22]) @[el2_lib.scala 245:14] - node _T_825 = cat(_T_824, _T_823) @[el2_lib.scala 245:14] - node _T_826 = cat(_T_825, _T_822) @[el2_lib.scala 245:14] - node _T_827 = cat(_T_580[25], _T_580[24]) @[el2_lib.scala 245:14] - node _T_828 = cat(_T_580[27], _T_580[26]) @[el2_lib.scala 245:14] - node _T_829 = cat(_T_828, _T_827) @[el2_lib.scala 245:14] - node _T_830 = cat(_T_580[29], _T_580[28]) @[el2_lib.scala 245:14] - node _T_831 = cat(_T_580[31], _T_580[30]) @[el2_lib.scala 245:14] - node _T_832 = cat(_T_831, _T_830) @[el2_lib.scala 245:14] - node _T_833 = cat(_T_832, _T_829) @[el2_lib.scala 245:14] - node _T_834 = cat(_T_833, _T_826) @[el2_lib.scala 245:14] - node _T_835 = cat(_T_834, _T_819) @[el2_lib.scala 245:14] - node _T_836 = and(_T_578, _T_835) @[lsu_trigger.scala 19:92] - node _T_837 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] - node _T_838 = and(io.lsu_pkt_m.valid, _T_837) @[lsu_trigger.scala 18:69] - node _T_839 = and(io.trigger_pkt_any[3].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] - node _T_840 = and(io.trigger_pkt_any[3].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] - node _T_841 = eq(io.trigger_pkt_any[3].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] - node _T_842 = and(_T_840, _T_841) @[lsu_trigger.scala 19:58] - node _T_843 = or(_T_839, _T_842) @[lsu_trigger.scala 18:152] - node _T_844 = and(_T_838, _T_843) @[lsu_trigger.scala 18:94] - node _T_845 = bits(io.trigger_pkt_any[3].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] - wire _T_846 : UInt<1>[32] @[el2_lib.scala 240:24] - node _T_847 = andr(io.trigger_pkt_any[3].tdata2) @[el2_lib.scala 241:45] - node _T_848 = not(_T_847) @[el2_lib.scala 241:39] - node _T_849 = and(_T_845, _T_848) @[el2_lib.scala 241:37] - node _T_850 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[el2_lib.scala 242:48] - node _T_851 = bits(lsu_match_data_3, 0, 0) @[el2_lib.scala 242:60] - node _T_852 = eq(_T_850, _T_851) @[el2_lib.scala 242:52] - node _T_853 = or(_T_849, _T_852) @[el2_lib.scala 242:41] - _T_846[0] <= _T_853 @[el2_lib.scala 242:18] - node _T_854 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[el2_lib.scala 244:28] - node _T_855 = andr(_T_854) @[el2_lib.scala 244:36] - node _T_856 = and(_T_855, _T_849) @[el2_lib.scala 244:41] - node _T_857 = bits(io.trigger_pkt_any[3].tdata2, 1, 1) @[el2_lib.scala 244:74] - node _T_858 = bits(lsu_match_data_3, 1, 1) @[el2_lib.scala 244:86] - node _T_859 = eq(_T_857, _T_858) @[el2_lib.scala 244:78] - node _T_860 = mux(_T_856, UInt<1>("h01"), _T_859) @[el2_lib.scala 244:23] - _T_846[1] <= _T_860 @[el2_lib.scala 244:17] - node _T_861 = bits(io.trigger_pkt_any[3].tdata2, 1, 0) @[el2_lib.scala 244:28] - node _T_862 = andr(_T_861) @[el2_lib.scala 244:36] - node _T_863 = and(_T_862, _T_849) @[el2_lib.scala 244:41] - node _T_864 = bits(io.trigger_pkt_any[3].tdata2, 2, 2) @[el2_lib.scala 244:74] - node _T_865 = bits(lsu_match_data_3, 2, 2) @[el2_lib.scala 244:86] - node _T_866 = eq(_T_864, _T_865) @[el2_lib.scala 244:78] - node _T_867 = mux(_T_863, UInt<1>("h01"), _T_866) @[el2_lib.scala 244:23] - _T_846[2] <= _T_867 @[el2_lib.scala 244:17] - node _T_868 = bits(io.trigger_pkt_any[3].tdata2, 2, 0) @[el2_lib.scala 244:28] - node _T_869 = andr(_T_868) @[el2_lib.scala 244:36] - node _T_870 = and(_T_869, _T_849) @[el2_lib.scala 244:41] - node _T_871 = bits(io.trigger_pkt_any[3].tdata2, 3, 3) @[el2_lib.scala 244:74] - node _T_872 = bits(lsu_match_data_3, 3, 3) @[el2_lib.scala 244:86] - node _T_873 = eq(_T_871, _T_872) @[el2_lib.scala 244:78] - node _T_874 = mux(_T_870, UInt<1>("h01"), _T_873) @[el2_lib.scala 244:23] - _T_846[3] <= _T_874 @[el2_lib.scala 244:17] - node _T_875 = bits(io.trigger_pkt_any[3].tdata2, 3, 0) @[el2_lib.scala 244:28] - node _T_876 = andr(_T_875) @[el2_lib.scala 244:36] - node _T_877 = and(_T_876, _T_849) @[el2_lib.scala 244:41] - node _T_878 = bits(io.trigger_pkt_any[3].tdata2, 4, 4) @[el2_lib.scala 244:74] - node _T_879 = bits(lsu_match_data_3, 4, 4) @[el2_lib.scala 244:86] - node _T_880 = eq(_T_878, _T_879) @[el2_lib.scala 244:78] - node _T_881 = mux(_T_877, UInt<1>("h01"), _T_880) @[el2_lib.scala 244:23] - _T_846[4] <= _T_881 @[el2_lib.scala 244:17] - node _T_882 = bits(io.trigger_pkt_any[3].tdata2, 4, 0) @[el2_lib.scala 244:28] - node _T_883 = andr(_T_882) @[el2_lib.scala 244:36] - node _T_884 = and(_T_883, _T_849) @[el2_lib.scala 244:41] - node _T_885 = bits(io.trigger_pkt_any[3].tdata2, 5, 5) @[el2_lib.scala 244:74] - node _T_886 = bits(lsu_match_data_3, 5, 5) @[el2_lib.scala 244:86] - node _T_887 = eq(_T_885, _T_886) @[el2_lib.scala 244:78] - node _T_888 = mux(_T_884, UInt<1>("h01"), _T_887) @[el2_lib.scala 244:23] - _T_846[5] <= _T_888 @[el2_lib.scala 244:17] - node _T_889 = bits(io.trigger_pkt_any[3].tdata2, 5, 0) @[el2_lib.scala 244:28] - node _T_890 = andr(_T_889) @[el2_lib.scala 244:36] - node _T_891 = and(_T_890, _T_849) @[el2_lib.scala 244:41] - node _T_892 = bits(io.trigger_pkt_any[3].tdata2, 6, 6) @[el2_lib.scala 244:74] - node _T_893 = bits(lsu_match_data_3, 6, 6) @[el2_lib.scala 244:86] - node _T_894 = eq(_T_892, _T_893) @[el2_lib.scala 244:78] - node _T_895 = mux(_T_891, UInt<1>("h01"), _T_894) @[el2_lib.scala 244:23] - _T_846[6] <= _T_895 @[el2_lib.scala 244:17] - node _T_896 = bits(io.trigger_pkt_any[3].tdata2, 6, 0) @[el2_lib.scala 244:28] - node _T_897 = andr(_T_896) @[el2_lib.scala 244:36] - node _T_898 = and(_T_897, _T_849) @[el2_lib.scala 244:41] - node _T_899 = bits(io.trigger_pkt_any[3].tdata2, 7, 7) @[el2_lib.scala 244:74] - node _T_900 = bits(lsu_match_data_3, 7, 7) @[el2_lib.scala 244:86] - node _T_901 = eq(_T_899, _T_900) @[el2_lib.scala 244:78] - node _T_902 = mux(_T_898, UInt<1>("h01"), _T_901) @[el2_lib.scala 244:23] - _T_846[7] <= _T_902 @[el2_lib.scala 244:17] - node _T_903 = bits(io.trigger_pkt_any[3].tdata2, 7, 0) @[el2_lib.scala 244:28] - node _T_904 = andr(_T_903) @[el2_lib.scala 244:36] - node _T_905 = and(_T_904, _T_849) @[el2_lib.scala 244:41] - node _T_906 = bits(io.trigger_pkt_any[3].tdata2, 8, 8) @[el2_lib.scala 244:74] - node _T_907 = bits(lsu_match_data_3, 8, 8) @[el2_lib.scala 244:86] - node _T_908 = eq(_T_906, _T_907) @[el2_lib.scala 244:78] - node _T_909 = mux(_T_905, UInt<1>("h01"), _T_908) @[el2_lib.scala 244:23] - _T_846[8] <= _T_909 @[el2_lib.scala 244:17] - node _T_910 = bits(io.trigger_pkt_any[3].tdata2, 8, 0) @[el2_lib.scala 244:28] - node _T_911 = andr(_T_910) @[el2_lib.scala 244:36] - node _T_912 = and(_T_911, _T_849) @[el2_lib.scala 244:41] - node _T_913 = bits(io.trigger_pkt_any[3].tdata2, 9, 9) @[el2_lib.scala 244:74] - node _T_914 = bits(lsu_match_data_3, 9, 9) @[el2_lib.scala 244:86] - node _T_915 = eq(_T_913, _T_914) @[el2_lib.scala 244:78] - node _T_916 = mux(_T_912, UInt<1>("h01"), _T_915) @[el2_lib.scala 244:23] - _T_846[9] <= _T_916 @[el2_lib.scala 244:17] - node _T_917 = bits(io.trigger_pkt_any[3].tdata2, 9, 0) @[el2_lib.scala 244:28] - node _T_918 = andr(_T_917) @[el2_lib.scala 244:36] - node _T_919 = and(_T_918, _T_849) @[el2_lib.scala 244:41] - node _T_920 = bits(io.trigger_pkt_any[3].tdata2, 10, 10) @[el2_lib.scala 244:74] - node _T_921 = bits(lsu_match_data_3, 10, 10) @[el2_lib.scala 244:86] - node _T_922 = eq(_T_920, _T_921) @[el2_lib.scala 244:78] - node _T_923 = mux(_T_919, UInt<1>("h01"), _T_922) @[el2_lib.scala 244:23] - _T_846[10] <= _T_923 @[el2_lib.scala 244:17] - node _T_924 = bits(io.trigger_pkt_any[3].tdata2, 10, 0) @[el2_lib.scala 244:28] - node _T_925 = andr(_T_924) @[el2_lib.scala 244:36] - node _T_926 = and(_T_925, _T_849) @[el2_lib.scala 244:41] - node _T_927 = bits(io.trigger_pkt_any[3].tdata2, 11, 11) @[el2_lib.scala 244:74] - node _T_928 = bits(lsu_match_data_3, 11, 11) @[el2_lib.scala 244:86] - node _T_929 = eq(_T_927, _T_928) @[el2_lib.scala 244:78] - node _T_930 = mux(_T_926, UInt<1>("h01"), _T_929) @[el2_lib.scala 244:23] - _T_846[11] <= _T_930 @[el2_lib.scala 244:17] - node _T_931 = bits(io.trigger_pkt_any[3].tdata2, 11, 0) @[el2_lib.scala 244:28] - node _T_932 = andr(_T_931) @[el2_lib.scala 244:36] - node _T_933 = and(_T_932, _T_849) @[el2_lib.scala 244:41] - node _T_934 = bits(io.trigger_pkt_any[3].tdata2, 12, 12) @[el2_lib.scala 244:74] - node _T_935 = bits(lsu_match_data_3, 12, 12) @[el2_lib.scala 244:86] - node _T_936 = eq(_T_934, _T_935) @[el2_lib.scala 244:78] - node _T_937 = mux(_T_933, UInt<1>("h01"), _T_936) @[el2_lib.scala 244:23] - _T_846[12] <= _T_937 @[el2_lib.scala 244:17] - node _T_938 = bits(io.trigger_pkt_any[3].tdata2, 12, 0) @[el2_lib.scala 244:28] - node _T_939 = andr(_T_938) @[el2_lib.scala 244:36] - node _T_940 = and(_T_939, _T_849) @[el2_lib.scala 244:41] - node _T_941 = bits(io.trigger_pkt_any[3].tdata2, 13, 13) @[el2_lib.scala 244:74] - node _T_942 = bits(lsu_match_data_3, 13, 13) @[el2_lib.scala 244:86] - node _T_943 = eq(_T_941, _T_942) @[el2_lib.scala 244:78] - node _T_944 = mux(_T_940, UInt<1>("h01"), _T_943) @[el2_lib.scala 244:23] - _T_846[13] <= _T_944 @[el2_lib.scala 244:17] - node _T_945 = bits(io.trigger_pkt_any[3].tdata2, 13, 0) @[el2_lib.scala 244:28] - node _T_946 = andr(_T_945) @[el2_lib.scala 244:36] - node _T_947 = and(_T_946, _T_849) @[el2_lib.scala 244:41] - node _T_948 = bits(io.trigger_pkt_any[3].tdata2, 14, 14) @[el2_lib.scala 244:74] - node _T_949 = bits(lsu_match_data_3, 14, 14) @[el2_lib.scala 244:86] - node _T_950 = eq(_T_948, _T_949) @[el2_lib.scala 244:78] - node _T_951 = mux(_T_947, UInt<1>("h01"), _T_950) @[el2_lib.scala 244:23] - _T_846[14] <= _T_951 @[el2_lib.scala 244:17] - node _T_952 = bits(io.trigger_pkt_any[3].tdata2, 14, 0) @[el2_lib.scala 244:28] - node _T_953 = andr(_T_952) @[el2_lib.scala 244:36] - node _T_954 = and(_T_953, _T_849) @[el2_lib.scala 244:41] - node _T_955 = bits(io.trigger_pkt_any[3].tdata2, 15, 15) @[el2_lib.scala 244:74] - node _T_956 = bits(lsu_match_data_3, 15, 15) @[el2_lib.scala 244:86] - node _T_957 = eq(_T_955, _T_956) @[el2_lib.scala 244:78] - node _T_958 = mux(_T_954, UInt<1>("h01"), _T_957) @[el2_lib.scala 244:23] - _T_846[15] <= _T_958 @[el2_lib.scala 244:17] - node _T_959 = bits(io.trigger_pkt_any[3].tdata2, 15, 0) @[el2_lib.scala 244:28] - node _T_960 = andr(_T_959) @[el2_lib.scala 244:36] - node _T_961 = and(_T_960, _T_849) @[el2_lib.scala 244:41] - node _T_962 = bits(io.trigger_pkt_any[3].tdata2, 16, 16) @[el2_lib.scala 244:74] - node _T_963 = bits(lsu_match_data_3, 16, 16) @[el2_lib.scala 244:86] - node _T_964 = eq(_T_962, _T_963) @[el2_lib.scala 244:78] - node _T_965 = mux(_T_961, UInt<1>("h01"), _T_964) @[el2_lib.scala 244:23] - _T_846[16] <= _T_965 @[el2_lib.scala 244:17] - node _T_966 = bits(io.trigger_pkt_any[3].tdata2, 16, 0) @[el2_lib.scala 244:28] - node _T_967 = andr(_T_966) @[el2_lib.scala 244:36] - node _T_968 = and(_T_967, _T_849) @[el2_lib.scala 244:41] - node _T_969 = bits(io.trigger_pkt_any[3].tdata2, 17, 17) @[el2_lib.scala 244:74] - node _T_970 = bits(lsu_match_data_3, 17, 17) @[el2_lib.scala 244:86] - node _T_971 = eq(_T_969, _T_970) @[el2_lib.scala 244:78] - node _T_972 = mux(_T_968, UInt<1>("h01"), _T_971) @[el2_lib.scala 244:23] - _T_846[17] <= _T_972 @[el2_lib.scala 244:17] - node _T_973 = bits(io.trigger_pkt_any[3].tdata2, 17, 0) @[el2_lib.scala 244:28] - node _T_974 = andr(_T_973) @[el2_lib.scala 244:36] - node _T_975 = and(_T_974, _T_849) @[el2_lib.scala 244:41] - node _T_976 = bits(io.trigger_pkt_any[3].tdata2, 18, 18) @[el2_lib.scala 244:74] - node _T_977 = bits(lsu_match_data_3, 18, 18) @[el2_lib.scala 244:86] - node _T_978 = eq(_T_976, _T_977) @[el2_lib.scala 244:78] - node _T_979 = mux(_T_975, UInt<1>("h01"), _T_978) @[el2_lib.scala 244:23] - _T_846[18] <= _T_979 @[el2_lib.scala 244:17] - node _T_980 = bits(io.trigger_pkt_any[3].tdata2, 18, 0) @[el2_lib.scala 244:28] - node _T_981 = andr(_T_980) @[el2_lib.scala 244:36] - node _T_982 = and(_T_981, _T_849) @[el2_lib.scala 244:41] - node _T_983 = bits(io.trigger_pkt_any[3].tdata2, 19, 19) @[el2_lib.scala 244:74] - node _T_984 = bits(lsu_match_data_3, 19, 19) @[el2_lib.scala 244:86] - node _T_985 = eq(_T_983, _T_984) @[el2_lib.scala 244:78] - node _T_986 = mux(_T_982, UInt<1>("h01"), _T_985) @[el2_lib.scala 244:23] - _T_846[19] <= _T_986 @[el2_lib.scala 244:17] - node _T_987 = bits(io.trigger_pkt_any[3].tdata2, 19, 0) @[el2_lib.scala 244:28] - node _T_988 = andr(_T_987) @[el2_lib.scala 244:36] - node _T_989 = and(_T_988, _T_849) @[el2_lib.scala 244:41] - node _T_990 = bits(io.trigger_pkt_any[3].tdata2, 20, 20) @[el2_lib.scala 244:74] - node _T_991 = bits(lsu_match_data_3, 20, 20) @[el2_lib.scala 244:86] - node _T_992 = eq(_T_990, _T_991) @[el2_lib.scala 244:78] - node _T_993 = mux(_T_989, UInt<1>("h01"), _T_992) @[el2_lib.scala 244:23] - _T_846[20] <= _T_993 @[el2_lib.scala 244:17] - node _T_994 = bits(io.trigger_pkt_any[3].tdata2, 20, 0) @[el2_lib.scala 244:28] - node _T_995 = andr(_T_994) @[el2_lib.scala 244:36] - node _T_996 = and(_T_995, _T_849) @[el2_lib.scala 244:41] - node _T_997 = bits(io.trigger_pkt_any[3].tdata2, 21, 21) @[el2_lib.scala 244:74] - node _T_998 = bits(lsu_match_data_3, 21, 21) @[el2_lib.scala 244:86] - node _T_999 = eq(_T_997, _T_998) @[el2_lib.scala 244:78] - node _T_1000 = mux(_T_996, UInt<1>("h01"), _T_999) @[el2_lib.scala 244:23] - _T_846[21] <= _T_1000 @[el2_lib.scala 244:17] - node _T_1001 = bits(io.trigger_pkt_any[3].tdata2, 21, 0) @[el2_lib.scala 244:28] - node _T_1002 = andr(_T_1001) @[el2_lib.scala 244:36] - node _T_1003 = and(_T_1002, _T_849) @[el2_lib.scala 244:41] - node _T_1004 = bits(io.trigger_pkt_any[3].tdata2, 22, 22) @[el2_lib.scala 244:74] - node _T_1005 = bits(lsu_match_data_3, 22, 22) @[el2_lib.scala 244:86] - node _T_1006 = eq(_T_1004, _T_1005) @[el2_lib.scala 244:78] - node _T_1007 = mux(_T_1003, UInt<1>("h01"), _T_1006) @[el2_lib.scala 244:23] - _T_846[22] <= _T_1007 @[el2_lib.scala 244:17] - node _T_1008 = bits(io.trigger_pkt_any[3].tdata2, 22, 0) @[el2_lib.scala 244:28] - node _T_1009 = andr(_T_1008) @[el2_lib.scala 244:36] - node _T_1010 = and(_T_1009, _T_849) @[el2_lib.scala 244:41] - node _T_1011 = bits(io.trigger_pkt_any[3].tdata2, 23, 23) @[el2_lib.scala 244:74] - node _T_1012 = bits(lsu_match_data_3, 23, 23) @[el2_lib.scala 244:86] - node _T_1013 = eq(_T_1011, _T_1012) @[el2_lib.scala 244:78] - node _T_1014 = mux(_T_1010, UInt<1>("h01"), _T_1013) @[el2_lib.scala 244:23] - _T_846[23] <= _T_1014 @[el2_lib.scala 244:17] - node _T_1015 = bits(io.trigger_pkt_any[3].tdata2, 23, 0) @[el2_lib.scala 244:28] - node _T_1016 = andr(_T_1015) @[el2_lib.scala 244:36] - node _T_1017 = and(_T_1016, _T_849) @[el2_lib.scala 244:41] - node _T_1018 = bits(io.trigger_pkt_any[3].tdata2, 24, 24) @[el2_lib.scala 244:74] - node _T_1019 = bits(lsu_match_data_3, 24, 24) @[el2_lib.scala 244:86] - node _T_1020 = eq(_T_1018, _T_1019) @[el2_lib.scala 244:78] - node _T_1021 = mux(_T_1017, UInt<1>("h01"), _T_1020) @[el2_lib.scala 244:23] - _T_846[24] <= _T_1021 @[el2_lib.scala 244:17] - node _T_1022 = bits(io.trigger_pkt_any[3].tdata2, 24, 0) @[el2_lib.scala 244:28] - node _T_1023 = andr(_T_1022) @[el2_lib.scala 244:36] - node _T_1024 = and(_T_1023, _T_849) @[el2_lib.scala 244:41] - node _T_1025 = bits(io.trigger_pkt_any[3].tdata2, 25, 25) @[el2_lib.scala 244:74] - node _T_1026 = bits(lsu_match_data_3, 25, 25) @[el2_lib.scala 244:86] - node _T_1027 = eq(_T_1025, _T_1026) @[el2_lib.scala 244:78] - node _T_1028 = mux(_T_1024, UInt<1>("h01"), _T_1027) @[el2_lib.scala 244:23] - _T_846[25] <= _T_1028 @[el2_lib.scala 244:17] - node _T_1029 = bits(io.trigger_pkt_any[3].tdata2, 25, 0) @[el2_lib.scala 244:28] - node _T_1030 = andr(_T_1029) @[el2_lib.scala 244:36] - node _T_1031 = and(_T_1030, _T_849) @[el2_lib.scala 244:41] - node _T_1032 = bits(io.trigger_pkt_any[3].tdata2, 26, 26) @[el2_lib.scala 244:74] - node _T_1033 = bits(lsu_match_data_3, 26, 26) @[el2_lib.scala 244:86] - node _T_1034 = eq(_T_1032, _T_1033) @[el2_lib.scala 244:78] - node _T_1035 = mux(_T_1031, UInt<1>("h01"), _T_1034) @[el2_lib.scala 244:23] - _T_846[26] <= _T_1035 @[el2_lib.scala 244:17] - node _T_1036 = bits(io.trigger_pkt_any[3].tdata2, 26, 0) @[el2_lib.scala 244:28] - node _T_1037 = andr(_T_1036) @[el2_lib.scala 244:36] - node _T_1038 = and(_T_1037, _T_849) @[el2_lib.scala 244:41] - node _T_1039 = bits(io.trigger_pkt_any[3].tdata2, 27, 27) @[el2_lib.scala 244:74] - node _T_1040 = bits(lsu_match_data_3, 27, 27) @[el2_lib.scala 244:86] - node _T_1041 = eq(_T_1039, _T_1040) @[el2_lib.scala 244:78] - node _T_1042 = mux(_T_1038, UInt<1>("h01"), _T_1041) @[el2_lib.scala 244:23] - _T_846[27] <= _T_1042 @[el2_lib.scala 244:17] - node _T_1043 = bits(io.trigger_pkt_any[3].tdata2, 27, 0) @[el2_lib.scala 244:28] - node _T_1044 = andr(_T_1043) @[el2_lib.scala 244:36] - node _T_1045 = and(_T_1044, _T_849) @[el2_lib.scala 244:41] - node _T_1046 = bits(io.trigger_pkt_any[3].tdata2, 28, 28) @[el2_lib.scala 244:74] - node _T_1047 = bits(lsu_match_data_3, 28, 28) @[el2_lib.scala 244:86] - node _T_1048 = eq(_T_1046, _T_1047) @[el2_lib.scala 244:78] - node _T_1049 = mux(_T_1045, UInt<1>("h01"), _T_1048) @[el2_lib.scala 244:23] - _T_846[28] <= _T_1049 @[el2_lib.scala 244:17] - node _T_1050 = bits(io.trigger_pkt_any[3].tdata2, 28, 0) @[el2_lib.scala 244:28] - node _T_1051 = andr(_T_1050) @[el2_lib.scala 244:36] - node _T_1052 = and(_T_1051, _T_849) @[el2_lib.scala 244:41] - node _T_1053 = bits(io.trigger_pkt_any[3].tdata2, 29, 29) @[el2_lib.scala 244:74] - node _T_1054 = bits(lsu_match_data_3, 29, 29) @[el2_lib.scala 244:86] - node _T_1055 = eq(_T_1053, _T_1054) @[el2_lib.scala 244:78] - node _T_1056 = mux(_T_1052, UInt<1>("h01"), _T_1055) @[el2_lib.scala 244:23] - _T_846[29] <= _T_1056 @[el2_lib.scala 244:17] - node _T_1057 = bits(io.trigger_pkt_any[3].tdata2, 29, 0) @[el2_lib.scala 244:28] - node _T_1058 = andr(_T_1057) @[el2_lib.scala 244:36] - node _T_1059 = and(_T_1058, _T_849) @[el2_lib.scala 244:41] - node _T_1060 = bits(io.trigger_pkt_any[3].tdata2, 30, 30) @[el2_lib.scala 244:74] - node _T_1061 = bits(lsu_match_data_3, 30, 30) @[el2_lib.scala 244:86] - node _T_1062 = eq(_T_1060, _T_1061) @[el2_lib.scala 244:78] - node _T_1063 = mux(_T_1059, UInt<1>("h01"), _T_1062) @[el2_lib.scala 244:23] - _T_846[30] <= _T_1063 @[el2_lib.scala 244:17] - node _T_1064 = bits(io.trigger_pkt_any[3].tdata2, 30, 0) @[el2_lib.scala 244:28] - node _T_1065 = andr(_T_1064) @[el2_lib.scala 244:36] - node _T_1066 = and(_T_1065, _T_849) @[el2_lib.scala 244:41] - node _T_1067 = bits(io.trigger_pkt_any[3].tdata2, 31, 31) @[el2_lib.scala 244:74] - node _T_1068 = bits(lsu_match_data_3, 31, 31) @[el2_lib.scala 244:86] - node _T_1069 = eq(_T_1067, _T_1068) @[el2_lib.scala 244:78] - node _T_1070 = mux(_T_1066, UInt<1>("h01"), _T_1069) @[el2_lib.scala 244:23] - _T_846[31] <= _T_1070 @[el2_lib.scala 244:17] - node _T_1071 = cat(_T_846[1], _T_846[0]) @[el2_lib.scala 245:14] - node _T_1072 = cat(_T_846[3], _T_846[2]) @[el2_lib.scala 245:14] - node _T_1073 = cat(_T_1072, _T_1071) @[el2_lib.scala 245:14] - node _T_1074 = cat(_T_846[5], _T_846[4]) @[el2_lib.scala 245:14] - node _T_1075 = cat(_T_846[7], _T_846[6]) @[el2_lib.scala 245:14] + node _T_304 = andr(_T_303) @[el2_lib.scala 245:25] + node _T_305 = and(_T_46, _T_304) @[lsu_trigger.scala 19:92] + node _T_306 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] + node _T_307 = and(io.lsu_pkt_m.valid, _T_306) @[lsu_trigger.scala 18:69] + node _T_308 = and(io.trigger_pkt_any[1].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] + node _T_309 = and(io.trigger_pkt_any[1].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] + node _T_310 = eq(io.trigger_pkt_any[1].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] + node _T_311 = and(_T_309, _T_310) @[lsu_trigger.scala 19:58] + node _T_312 = or(_T_308, _T_311) @[lsu_trigger.scala 18:152] + node _T_313 = and(_T_307, _T_312) @[lsu_trigger.scala 18:94] + node _T_314 = bits(io.trigger_pkt_any[1].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] + wire _T_315 : UInt<1>[32] @[el2_lib.scala 240:24] + node _T_316 = andr(io.trigger_pkt_any[1].tdata2) @[el2_lib.scala 241:45] + node _T_317 = not(_T_316) @[el2_lib.scala 241:39] + node _T_318 = and(_T_314, _T_317) @[el2_lib.scala 241:37] + node _T_319 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[el2_lib.scala 242:48] + node _T_320 = bits(lsu_match_data_1, 0, 0) @[el2_lib.scala 242:60] + node _T_321 = eq(_T_319, _T_320) @[el2_lib.scala 242:52] + node _T_322 = or(_T_318, _T_321) @[el2_lib.scala 242:41] + _T_315[0] <= _T_322 @[el2_lib.scala 242:18] + node _T_323 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[el2_lib.scala 244:28] + node _T_324 = andr(_T_323) @[el2_lib.scala 244:36] + node _T_325 = and(_T_324, _T_318) @[el2_lib.scala 244:41] + node _T_326 = bits(io.trigger_pkt_any[1].tdata2, 1, 1) @[el2_lib.scala 244:74] + node _T_327 = bits(lsu_match_data_1, 1, 1) @[el2_lib.scala 244:86] + node _T_328 = eq(_T_326, _T_327) @[el2_lib.scala 244:78] + node _T_329 = mux(_T_325, UInt<1>("h01"), _T_328) @[el2_lib.scala 244:23] + _T_315[1] <= _T_329 @[el2_lib.scala 244:17] + node _T_330 = bits(io.trigger_pkt_any[1].tdata2, 1, 0) @[el2_lib.scala 244:28] + node _T_331 = andr(_T_330) @[el2_lib.scala 244:36] + node _T_332 = and(_T_331, _T_318) @[el2_lib.scala 244:41] + node _T_333 = bits(io.trigger_pkt_any[1].tdata2, 2, 2) @[el2_lib.scala 244:74] + node _T_334 = bits(lsu_match_data_1, 2, 2) @[el2_lib.scala 244:86] + node _T_335 = eq(_T_333, _T_334) @[el2_lib.scala 244:78] + node _T_336 = mux(_T_332, UInt<1>("h01"), _T_335) @[el2_lib.scala 244:23] + _T_315[2] <= _T_336 @[el2_lib.scala 244:17] + node _T_337 = bits(io.trigger_pkt_any[1].tdata2, 2, 0) @[el2_lib.scala 244:28] + node _T_338 = andr(_T_337) @[el2_lib.scala 244:36] + node _T_339 = and(_T_338, _T_318) @[el2_lib.scala 244:41] + node _T_340 = bits(io.trigger_pkt_any[1].tdata2, 3, 3) @[el2_lib.scala 244:74] + node _T_341 = bits(lsu_match_data_1, 3, 3) @[el2_lib.scala 244:86] + node _T_342 = eq(_T_340, _T_341) @[el2_lib.scala 244:78] + node _T_343 = mux(_T_339, UInt<1>("h01"), _T_342) @[el2_lib.scala 244:23] + _T_315[3] <= _T_343 @[el2_lib.scala 244:17] + node _T_344 = bits(io.trigger_pkt_any[1].tdata2, 3, 0) @[el2_lib.scala 244:28] + node _T_345 = andr(_T_344) @[el2_lib.scala 244:36] + node _T_346 = and(_T_345, _T_318) @[el2_lib.scala 244:41] + node _T_347 = bits(io.trigger_pkt_any[1].tdata2, 4, 4) @[el2_lib.scala 244:74] + node _T_348 = bits(lsu_match_data_1, 4, 4) @[el2_lib.scala 244:86] + node _T_349 = eq(_T_347, _T_348) @[el2_lib.scala 244:78] + node _T_350 = mux(_T_346, UInt<1>("h01"), _T_349) @[el2_lib.scala 244:23] + _T_315[4] <= _T_350 @[el2_lib.scala 244:17] + node _T_351 = bits(io.trigger_pkt_any[1].tdata2, 4, 0) @[el2_lib.scala 244:28] + node _T_352 = andr(_T_351) @[el2_lib.scala 244:36] + node _T_353 = and(_T_352, _T_318) @[el2_lib.scala 244:41] + node _T_354 = bits(io.trigger_pkt_any[1].tdata2, 5, 5) @[el2_lib.scala 244:74] + node _T_355 = bits(lsu_match_data_1, 5, 5) @[el2_lib.scala 244:86] + node _T_356 = eq(_T_354, _T_355) @[el2_lib.scala 244:78] + node _T_357 = mux(_T_353, UInt<1>("h01"), _T_356) @[el2_lib.scala 244:23] + _T_315[5] <= _T_357 @[el2_lib.scala 244:17] + node _T_358 = bits(io.trigger_pkt_any[1].tdata2, 5, 0) @[el2_lib.scala 244:28] + node _T_359 = andr(_T_358) @[el2_lib.scala 244:36] + node _T_360 = and(_T_359, _T_318) @[el2_lib.scala 244:41] + node _T_361 = bits(io.trigger_pkt_any[1].tdata2, 6, 6) @[el2_lib.scala 244:74] + node _T_362 = bits(lsu_match_data_1, 6, 6) @[el2_lib.scala 244:86] + node _T_363 = eq(_T_361, _T_362) @[el2_lib.scala 244:78] + node _T_364 = mux(_T_360, UInt<1>("h01"), _T_363) @[el2_lib.scala 244:23] + _T_315[6] <= _T_364 @[el2_lib.scala 244:17] + node _T_365 = bits(io.trigger_pkt_any[1].tdata2, 6, 0) @[el2_lib.scala 244:28] + node _T_366 = andr(_T_365) @[el2_lib.scala 244:36] + node _T_367 = and(_T_366, _T_318) @[el2_lib.scala 244:41] + node _T_368 = bits(io.trigger_pkt_any[1].tdata2, 7, 7) @[el2_lib.scala 244:74] + node _T_369 = bits(lsu_match_data_1, 7, 7) @[el2_lib.scala 244:86] + node _T_370 = eq(_T_368, _T_369) @[el2_lib.scala 244:78] + node _T_371 = mux(_T_367, UInt<1>("h01"), _T_370) @[el2_lib.scala 244:23] + _T_315[7] <= _T_371 @[el2_lib.scala 244:17] + node _T_372 = bits(io.trigger_pkt_any[1].tdata2, 7, 0) @[el2_lib.scala 244:28] + node _T_373 = andr(_T_372) @[el2_lib.scala 244:36] + node _T_374 = and(_T_373, _T_318) @[el2_lib.scala 244:41] + node _T_375 = bits(io.trigger_pkt_any[1].tdata2, 8, 8) @[el2_lib.scala 244:74] + node _T_376 = bits(lsu_match_data_1, 8, 8) @[el2_lib.scala 244:86] + node _T_377 = eq(_T_375, _T_376) @[el2_lib.scala 244:78] + node _T_378 = mux(_T_374, UInt<1>("h01"), _T_377) @[el2_lib.scala 244:23] + _T_315[8] <= _T_378 @[el2_lib.scala 244:17] + node _T_379 = bits(io.trigger_pkt_any[1].tdata2, 8, 0) @[el2_lib.scala 244:28] + node _T_380 = andr(_T_379) @[el2_lib.scala 244:36] + node _T_381 = and(_T_380, _T_318) @[el2_lib.scala 244:41] + node _T_382 = bits(io.trigger_pkt_any[1].tdata2, 9, 9) @[el2_lib.scala 244:74] + node _T_383 = bits(lsu_match_data_1, 9, 9) @[el2_lib.scala 244:86] + node _T_384 = eq(_T_382, _T_383) @[el2_lib.scala 244:78] + node _T_385 = mux(_T_381, UInt<1>("h01"), _T_384) @[el2_lib.scala 244:23] + _T_315[9] <= _T_385 @[el2_lib.scala 244:17] + node _T_386 = bits(io.trigger_pkt_any[1].tdata2, 9, 0) @[el2_lib.scala 244:28] + node _T_387 = andr(_T_386) @[el2_lib.scala 244:36] + node _T_388 = and(_T_387, _T_318) @[el2_lib.scala 244:41] + node _T_389 = bits(io.trigger_pkt_any[1].tdata2, 10, 10) @[el2_lib.scala 244:74] + node _T_390 = bits(lsu_match_data_1, 10, 10) @[el2_lib.scala 244:86] + node _T_391 = eq(_T_389, _T_390) @[el2_lib.scala 244:78] + node _T_392 = mux(_T_388, UInt<1>("h01"), _T_391) @[el2_lib.scala 244:23] + _T_315[10] <= _T_392 @[el2_lib.scala 244:17] + node _T_393 = bits(io.trigger_pkt_any[1].tdata2, 10, 0) @[el2_lib.scala 244:28] + node _T_394 = andr(_T_393) @[el2_lib.scala 244:36] + node _T_395 = and(_T_394, _T_318) @[el2_lib.scala 244:41] + node _T_396 = bits(io.trigger_pkt_any[1].tdata2, 11, 11) @[el2_lib.scala 244:74] + node _T_397 = bits(lsu_match_data_1, 11, 11) @[el2_lib.scala 244:86] + node _T_398 = eq(_T_396, _T_397) @[el2_lib.scala 244:78] + node _T_399 = mux(_T_395, UInt<1>("h01"), _T_398) @[el2_lib.scala 244:23] + _T_315[11] <= _T_399 @[el2_lib.scala 244:17] + node _T_400 = bits(io.trigger_pkt_any[1].tdata2, 11, 0) @[el2_lib.scala 244:28] + node _T_401 = andr(_T_400) @[el2_lib.scala 244:36] + node _T_402 = and(_T_401, _T_318) @[el2_lib.scala 244:41] + node _T_403 = bits(io.trigger_pkt_any[1].tdata2, 12, 12) @[el2_lib.scala 244:74] + node _T_404 = bits(lsu_match_data_1, 12, 12) @[el2_lib.scala 244:86] + node _T_405 = eq(_T_403, _T_404) @[el2_lib.scala 244:78] + node _T_406 = mux(_T_402, UInt<1>("h01"), _T_405) @[el2_lib.scala 244:23] + _T_315[12] <= _T_406 @[el2_lib.scala 244:17] + node _T_407 = bits(io.trigger_pkt_any[1].tdata2, 12, 0) @[el2_lib.scala 244:28] + node _T_408 = andr(_T_407) @[el2_lib.scala 244:36] + node _T_409 = and(_T_408, _T_318) @[el2_lib.scala 244:41] + node _T_410 = bits(io.trigger_pkt_any[1].tdata2, 13, 13) @[el2_lib.scala 244:74] + node _T_411 = bits(lsu_match_data_1, 13, 13) @[el2_lib.scala 244:86] + node _T_412 = eq(_T_410, _T_411) @[el2_lib.scala 244:78] + node _T_413 = mux(_T_409, UInt<1>("h01"), _T_412) @[el2_lib.scala 244:23] + _T_315[13] <= _T_413 @[el2_lib.scala 244:17] + node _T_414 = bits(io.trigger_pkt_any[1].tdata2, 13, 0) @[el2_lib.scala 244:28] + node _T_415 = andr(_T_414) @[el2_lib.scala 244:36] + node _T_416 = and(_T_415, _T_318) @[el2_lib.scala 244:41] + node _T_417 = bits(io.trigger_pkt_any[1].tdata2, 14, 14) @[el2_lib.scala 244:74] + node _T_418 = bits(lsu_match_data_1, 14, 14) @[el2_lib.scala 244:86] + node _T_419 = eq(_T_417, _T_418) @[el2_lib.scala 244:78] + node _T_420 = mux(_T_416, UInt<1>("h01"), _T_419) @[el2_lib.scala 244:23] + _T_315[14] <= _T_420 @[el2_lib.scala 244:17] + node _T_421 = bits(io.trigger_pkt_any[1].tdata2, 14, 0) @[el2_lib.scala 244:28] + node _T_422 = andr(_T_421) @[el2_lib.scala 244:36] + node _T_423 = and(_T_422, _T_318) @[el2_lib.scala 244:41] + node _T_424 = bits(io.trigger_pkt_any[1].tdata2, 15, 15) @[el2_lib.scala 244:74] + node _T_425 = bits(lsu_match_data_1, 15, 15) @[el2_lib.scala 244:86] + node _T_426 = eq(_T_424, _T_425) @[el2_lib.scala 244:78] + node _T_427 = mux(_T_423, UInt<1>("h01"), _T_426) @[el2_lib.scala 244:23] + _T_315[15] <= _T_427 @[el2_lib.scala 244:17] + node _T_428 = bits(io.trigger_pkt_any[1].tdata2, 15, 0) @[el2_lib.scala 244:28] + node _T_429 = andr(_T_428) @[el2_lib.scala 244:36] + node _T_430 = and(_T_429, _T_318) @[el2_lib.scala 244:41] + node _T_431 = bits(io.trigger_pkt_any[1].tdata2, 16, 16) @[el2_lib.scala 244:74] + node _T_432 = bits(lsu_match_data_1, 16, 16) @[el2_lib.scala 244:86] + node _T_433 = eq(_T_431, _T_432) @[el2_lib.scala 244:78] + node _T_434 = mux(_T_430, UInt<1>("h01"), _T_433) @[el2_lib.scala 244:23] + _T_315[16] <= _T_434 @[el2_lib.scala 244:17] + node _T_435 = bits(io.trigger_pkt_any[1].tdata2, 16, 0) @[el2_lib.scala 244:28] + node _T_436 = andr(_T_435) @[el2_lib.scala 244:36] + node _T_437 = and(_T_436, _T_318) @[el2_lib.scala 244:41] + node _T_438 = bits(io.trigger_pkt_any[1].tdata2, 17, 17) @[el2_lib.scala 244:74] + node _T_439 = bits(lsu_match_data_1, 17, 17) @[el2_lib.scala 244:86] + node _T_440 = eq(_T_438, _T_439) @[el2_lib.scala 244:78] + node _T_441 = mux(_T_437, UInt<1>("h01"), _T_440) @[el2_lib.scala 244:23] + _T_315[17] <= _T_441 @[el2_lib.scala 244:17] + node _T_442 = bits(io.trigger_pkt_any[1].tdata2, 17, 0) @[el2_lib.scala 244:28] + node _T_443 = andr(_T_442) @[el2_lib.scala 244:36] + node _T_444 = and(_T_443, _T_318) @[el2_lib.scala 244:41] + node _T_445 = bits(io.trigger_pkt_any[1].tdata2, 18, 18) @[el2_lib.scala 244:74] + node _T_446 = bits(lsu_match_data_1, 18, 18) @[el2_lib.scala 244:86] + node _T_447 = eq(_T_445, _T_446) @[el2_lib.scala 244:78] + node _T_448 = mux(_T_444, UInt<1>("h01"), _T_447) @[el2_lib.scala 244:23] + _T_315[18] <= _T_448 @[el2_lib.scala 244:17] + node _T_449 = bits(io.trigger_pkt_any[1].tdata2, 18, 0) @[el2_lib.scala 244:28] + node _T_450 = andr(_T_449) @[el2_lib.scala 244:36] + node _T_451 = and(_T_450, _T_318) @[el2_lib.scala 244:41] + node _T_452 = bits(io.trigger_pkt_any[1].tdata2, 19, 19) @[el2_lib.scala 244:74] + node _T_453 = bits(lsu_match_data_1, 19, 19) @[el2_lib.scala 244:86] + node _T_454 = eq(_T_452, _T_453) @[el2_lib.scala 244:78] + node _T_455 = mux(_T_451, UInt<1>("h01"), _T_454) @[el2_lib.scala 244:23] + _T_315[19] <= _T_455 @[el2_lib.scala 244:17] + node _T_456 = bits(io.trigger_pkt_any[1].tdata2, 19, 0) @[el2_lib.scala 244:28] + node _T_457 = andr(_T_456) @[el2_lib.scala 244:36] + node _T_458 = and(_T_457, _T_318) @[el2_lib.scala 244:41] + node _T_459 = bits(io.trigger_pkt_any[1].tdata2, 20, 20) @[el2_lib.scala 244:74] + node _T_460 = bits(lsu_match_data_1, 20, 20) @[el2_lib.scala 244:86] + node _T_461 = eq(_T_459, _T_460) @[el2_lib.scala 244:78] + node _T_462 = mux(_T_458, UInt<1>("h01"), _T_461) @[el2_lib.scala 244:23] + _T_315[20] <= _T_462 @[el2_lib.scala 244:17] + node _T_463 = bits(io.trigger_pkt_any[1].tdata2, 20, 0) @[el2_lib.scala 244:28] + node _T_464 = andr(_T_463) @[el2_lib.scala 244:36] + node _T_465 = and(_T_464, _T_318) @[el2_lib.scala 244:41] + node _T_466 = bits(io.trigger_pkt_any[1].tdata2, 21, 21) @[el2_lib.scala 244:74] + node _T_467 = bits(lsu_match_data_1, 21, 21) @[el2_lib.scala 244:86] + node _T_468 = eq(_T_466, _T_467) @[el2_lib.scala 244:78] + node _T_469 = mux(_T_465, UInt<1>("h01"), _T_468) @[el2_lib.scala 244:23] + _T_315[21] <= _T_469 @[el2_lib.scala 244:17] + node _T_470 = bits(io.trigger_pkt_any[1].tdata2, 21, 0) @[el2_lib.scala 244:28] + node _T_471 = andr(_T_470) @[el2_lib.scala 244:36] + node _T_472 = and(_T_471, _T_318) @[el2_lib.scala 244:41] + node _T_473 = bits(io.trigger_pkt_any[1].tdata2, 22, 22) @[el2_lib.scala 244:74] + node _T_474 = bits(lsu_match_data_1, 22, 22) @[el2_lib.scala 244:86] + node _T_475 = eq(_T_473, _T_474) @[el2_lib.scala 244:78] + node _T_476 = mux(_T_472, UInt<1>("h01"), _T_475) @[el2_lib.scala 244:23] + _T_315[22] <= _T_476 @[el2_lib.scala 244:17] + node _T_477 = bits(io.trigger_pkt_any[1].tdata2, 22, 0) @[el2_lib.scala 244:28] + node _T_478 = andr(_T_477) @[el2_lib.scala 244:36] + node _T_479 = and(_T_478, _T_318) @[el2_lib.scala 244:41] + node _T_480 = bits(io.trigger_pkt_any[1].tdata2, 23, 23) @[el2_lib.scala 244:74] + node _T_481 = bits(lsu_match_data_1, 23, 23) @[el2_lib.scala 244:86] + node _T_482 = eq(_T_480, _T_481) @[el2_lib.scala 244:78] + node _T_483 = mux(_T_479, UInt<1>("h01"), _T_482) @[el2_lib.scala 244:23] + _T_315[23] <= _T_483 @[el2_lib.scala 244:17] + node _T_484 = bits(io.trigger_pkt_any[1].tdata2, 23, 0) @[el2_lib.scala 244:28] + node _T_485 = andr(_T_484) @[el2_lib.scala 244:36] + node _T_486 = and(_T_485, _T_318) @[el2_lib.scala 244:41] + node _T_487 = bits(io.trigger_pkt_any[1].tdata2, 24, 24) @[el2_lib.scala 244:74] + node _T_488 = bits(lsu_match_data_1, 24, 24) @[el2_lib.scala 244:86] + node _T_489 = eq(_T_487, _T_488) @[el2_lib.scala 244:78] + node _T_490 = mux(_T_486, UInt<1>("h01"), _T_489) @[el2_lib.scala 244:23] + _T_315[24] <= _T_490 @[el2_lib.scala 244:17] + node _T_491 = bits(io.trigger_pkt_any[1].tdata2, 24, 0) @[el2_lib.scala 244:28] + node _T_492 = andr(_T_491) @[el2_lib.scala 244:36] + node _T_493 = and(_T_492, _T_318) @[el2_lib.scala 244:41] + node _T_494 = bits(io.trigger_pkt_any[1].tdata2, 25, 25) @[el2_lib.scala 244:74] + node _T_495 = bits(lsu_match_data_1, 25, 25) @[el2_lib.scala 244:86] + node _T_496 = eq(_T_494, _T_495) @[el2_lib.scala 244:78] + node _T_497 = mux(_T_493, UInt<1>("h01"), _T_496) @[el2_lib.scala 244:23] + _T_315[25] <= _T_497 @[el2_lib.scala 244:17] + node _T_498 = bits(io.trigger_pkt_any[1].tdata2, 25, 0) @[el2_lib.scala 244:28] + node _T_499 = andr(_T_498) @[el2_lib.scala 244:36] + node _T_500 = and(_T_499, _T_318) @[el2_lib.scala 244:41] + node _T_501 = bits(io.trigger_pkt_any[1].tdata2, 26, 26) @[el2_lib.scala 244:74] + node _T_502 = bits(lsu_match_data_1, 26, 26) @[el2_lib.scala 244:86] + node _T_503 = eq(_T_501, _T_502) @[el2_lib.scala 244:78] + node _T_504 = mux(_T_500, UInt<1>("h01"), _T_503) @[el2_lib.scala 244:23] + _T_315[26] <= _T_504 @[el2_lib.scala 244:17] + node _T_505 = bits(io.trigger_pkt_any[1].tdata2, 26, 0) @[el2_lib.scala 244:28] + node _T_506 = andr(_T_505) @[el2_lib.scala 244:36] + node _T_507 = and(_T_506, _T_318) @[el2_lib.scala 244:41] + node _T_508 = bits(io.trigger_pkt_any[1].tdata2, 27, 27) @[el2_lib.scala 244:74] + node _T_509 = bits(lsu_match_data_1, 27, 27) @[el2_lib.scala 244:86] + node _T_510 = eq(_T_508, _T_509) @[el2_lib.scala 244:78] + node _T_511 = mux(_T_507, UInt<1>("h01"), _T_510) @[el2_lib.scala 244:23] + _T_315[27] <= _T_511 @[el2_lib.scala 244:17] + node _T_512 = bits(io.trigger_pkt_any[1].tdata2, 27, 0) @[el2_lib.scala 244:28] + node _T_513 = andr(_T_512) @[el2_lib.scala 244:36] + node _T_514 = and(_T_513, _T_318) @[el2_lib.scala 244:41] + node _T_515 = bits(io.trigger_pkt_any[1].tdata2, 28, 28) @[el2_lib.scala 244:74] + node _T_516 = bits(lsu_match_data_1, 28, 28) @[el2_lib.scala 244:86] + node _T_517 = eq(_T_515, _T_516) @[el2_lib.scala 244:78] + node _T_518 = mux(_T_514, UInt<1>("h01"), _T_517) @[el2_lib.scala 244:23] + _T_315[28] <= _T_518 @[el2_lib.scala 244:17] + node _T_519 = bits(io.trigger_pkt_any[1].tdata2, 28, 0) @[el2_lib.scala 244:28] + node _T_520 = andr(_T_519) @[el2_lib.scala 244:36] + node _T_521 = and(_T_520, _T_318) @[el2_lib.scala 244:41] + node _T_522 = bits(io.trigger_pkt_any[1].tdata2, 29, 29) @[el2_lib.scala 244:74] + node _T_523 = bits(lsu_match_data_1, 29, 29) @[el2_lib.scala 244:86] + node _T_524 = eq(_T_522, _T_523) @[el2_lib.scala 244:78] + node _T_525 = mux(_T_521, UInt<1>("h01"), _T_524) @[el2_lib.scala 244:23] + _T_315[29] <= _T_525 @[el2_lib.scala 244:17] + node _T_526 = bits(io.trigger_pkt_any[1].tdata2, 29, 0) @[el2_lib.scala 244:28] + node _T_527 = andr(_T_526) @[el2_lib.scala 244:36] + node _T_528 = and(_T_527, _T_318) @[el2_lib.scala 244:41] + node _T_529 = bits(io.trigger_pkt_any[1].tdata2, 30, 30) @[el2_lib.scala 244:74] + node _T_530 = bits(lsu_match_data_1, 30, 30) @[el2_lib.scala 244:86] + node _T_531 = eq(_T_529, _T_530) @[el2_lib.scala 244:78] + node _T_532 = mux(_T_528, UInt<1>("h01"), _T_531) @[el2_lib.scala 244:23] + _T_315[30] <= _T_532 @[el2_lib.scala 244:17] + node _T_533 = bits(io.trigger_pkt_any[1].tdata2, 30, 0) @[el2_lib.scala 244:28] + node _T_534 = andr(_T_533) @[el2_lib.scala 244:36] + node _T_535 = and(_T_534, _T_318) @[el2_lib.scala 244:41] + node _T_536 = bits(io.trigger_pkt_any[1].tdata2, 31, 31) @[el2_lib.scala 244:74] + node _T_537 = bits(lsu_match_data_1, 31, 31) @[el2_lib.scala 244:86] + node _T_538 = eq(_T_536, _T_537) @[el2_lib.scala 244:78] + node _T_539 = mux(_T_535, UInt<1>("h01"), _T_538) @[el2_lib.scala 244:23] + _T_315[31] <= _T_539 @[el2_lib.scala 244:17] + node _T_540 = cat(_T_315[1], _T_315[0]) @[el2_lib.scala 245:14] + node _T_541 = cat(_T_315[3], _T_315[2]) @[el2_lib.scala 245:14] + node _T_542 = cat(_T_541, _T_540) @[el2_lib.scala 245:14] + node _T_543 = cat(_T_315[5], _T_315[4]) @[el2_lib.scala 245:14] + node _T_544 = cat(_T_315[7], _T_315[6]) @[el2_lib.scala 245:14] + node _T_545 = cat(_T_544, _T_543) @[el2_lib.scala 245:14] + node _T_546 = cat(_T_545, _T_542) @[el2_lib.scala 245:14] + node _T_547 = cat(_T_315[9], _T_315[8]) @[el2_lib.scala 245:14] + node _T_548 = cat(_T_315[11], _T_315[10]) @[el2_lib.scala 245:14] + node _T_549 = cat(_T_548, _T_547) @[el2_lib.scala 245:14] + node _T_550 = cat(_T_315[13], _T_315[12]) @[el2_lib.scala 245:14] + node _T_551 = cat(_T_315[15], _T_315[14]) @[el2_lib.scala 245:14] + node _T_552 = cat(_T_551, _T_550) @[el2_lib.scala 245:14] + node _T_553 = cat(_T_552, _T_549) @[el2_lib.scala 245:14] + node _T_554 = cat(_T_553, _T_546) @[el2_lib.scala 245:14] + node _T_555 = cat(_T_315[17], _T_315[16]) @[el2_lib.scala 245:14] + node _T_556 = cat(_T_315[19], _T_315[18]) @[el2_lib.scala 245:14] + node _T_557 = cat(_T_556, _T_555) @[el2_lib.scala 245:14] + node _T_558 = cat(_T_315[21], _T_315[20]) @[el2_lib.scala 245:14] + node _T_559 = cat(_T_315[23], _T_315[22]) @[el2_lib.scala 245:14] + node _T_560 = cat(_T_559, _T_558) @[el2_lib.scala 245:14] + node _T_561 = cat(_T_560, _T_557) @[el2_lib.scala 245:14] + node _T_562 = cat(_T_315[25], _T_315[24]) @[el2_lib.scala 245:14] + node _T_563 = cat(_T_315[27], _T_315[26]) @[el2_lib.scala 245:14] + node _T_564 = cat(_T_563, _T_562) @[el2_lib.scala 245:14] + node _T_565 = cat(_T_315[29], _T_315[28]) @[el2_lib.scala 245:14] + node _T_566 = cat(_T_315[31], _T_315[30]) @[el2_lib.scala 245:14] + node _T_567 = cat(_T_566, _T_565) @[el2_lib.scala 245:14] + node _T_568 = cat(_T_567, _T_564) @[el2_lib.scala 245:14] + node _T_569 = cat(_T_568, _T_561) @[el2_lib.scala 245:14] + node _T_570 = cat(_T_569, _T_554) @[el2_lib.scala 245:14] + node _T_571 = andr(_T_570) @[el2_lib.scala 245:25] + node _T_572 = and(_T_313, _T_571) @[lsu_trigger.scala 19:92] + node _T_573 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] + node _T_574 = and(io.lsu_pkt_m.valid, _T_573) @[lsu_trigger.scala 18:69] + node _T_575 = and(io.trigger_pkt_any[2].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] + node _T_576 = and(io.trigger_pkt_any[2].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] + node _T_577 = eq(io.trigger_pkt_any[2].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] + node _T_578 = and(_T_576, _T_577) @[lsu_trigger.scala 19:58] + node _T_579 = or(_T_575, _T_578) @[lsu_trigger.scala 18:152] + node _T_580 = and(_T_574, _T_579) @[lsu_trigger.scala 18:94] + node _T_581 = bits(io.trigger_pkt_any[2].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] + wire _T_582 : UInt<1>[32] @[el2_lib.scala 240:24] + node _T_583 = andr(io.trigger_pkt_any[2].tdata2) @[el2_lib.scala 241:45] + node _T_584 = not(_T_583) @[el2_lib.scala 241:39] + node _T_585 = and(_T_581, _T_584) @[el2_lib.scala 241:37] + node _T_586 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[el2_lib.scala 242:48] + node _T_587 = bits(lsu_match_data_2, 0, 0) @[el2_lib.scala 242:60] + node _T_588 = eq(_T_586, _T_587) @[el2_lib.scala 242:52] + node _T_589 = or(_T_585, _T_588) @[el2_lib.scala 242:41] + _T_582[0] <= _T_589 @[el2_lib.scala 242:18] + node _T_590 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[el2_lib.scala 244:28] + node _T_591 = andr(_T_590) @[el2_lib.scala 244:36] + node _T_592 = and(_T_591, _T_585) @[el2_lib.scala 244:41] + node _T_593 = bits(io.trigger_pkt_any[2].tdata2, 1, 1) @[el2_lib.scala 244:74] + node _T_594 = bits(lsu_match_data_2, 1, 1) @[el2_lib.scala 244:86] + node _T_595 = eq(_T_593, _T_594) @[el2_lib.scala 244:78] + node _T_596 = mux(_T_592, UInt<1>("h01"), _T_595) @[el2_lib.scala 244:23] + _T_582[1] <= _T_596 @[el2_lib.scala 244:17] + node _T_597 = bits(io.trigger_pkt_any[2].tdata2, 1, 0) @[el2_lib.scala 244:28] + node _T_598 = andr(_T_597) @[el2_lib.scala 244:36] + node _T_599 = and(_T_598, _T_585) @[el2_lib.scala 244:41] + node _T_600 = bits(io.trigger_pkt_any[2].tdata2, 2, 2) @[el2_lib.scala 244:74] + node _T_601 = bits(lsu_match_data_2, 2, 2) @[el2_lib.scala 244:86] + node _T_602 = eq(_T_600, _T_601) @[el2_lib.scala 244:78] + node _T_603 = mux(_T_599, UInt<1>("h01"), _T_602) @[el2_lib.scala 244:23] + _T_582[2] <= _T_603 @[el2_lib.scala 244:17] + node _T_604 = bits(io.trigger_pkt_any[2].tdata2, 2, 0) @[el2_lib.scala 244:28] + node _T_605 = andr(_T_604) @[el2_lib.scala 244:36] + node _T_606 = and(_T_605, _T_585) @[el2_lib.scala 244:41] + node _T_607 = bits(io.trigger_pkt_any[2].tdata2, 3, 3) @[el2_lib.scala 244:74] + node _T_608 = bits(lsu_match_data_2, 3, 3) @[el2_lib.scala 244:86] + node _T_609 = eq(_T_607, _T_608) @[el2_lib.scala 244:78] + node _T_610 = mux(_T_606, UInt<1>("h01"), _T_609) @[el2_lib.scala 244:23] + _T_582[3] <= _T_610 @[el2_lib.scala 244:17] + node _T_611 = bits(io.trigger_pkt_any[2].tdata2, 3, 0) @[el2_lib.scala 244:28] + node _T_612 = andr(_T_611) @[el2_lib.scala 244:36] + node _T_613 = and(_T_612, _T_585) @[el2_lib.scala 244:41] + node _T_614 = bits(io.trigger_pkt_any[2].tdata2, 4, 4) @[el2_lib.scala 244:74] + node _T_615 = bits(lsu_match_data_2, 4, 4) @[el2_lib.scala 244:86] + node _T_616 = eq(_T_614, _T_615) @[el2_lib.scala 244:78] + node _T_617 = mux(_T_613, UInt<1>("h01"), _T_616) @[el2_lib.scala 244:23] + _T_582[4] <= _T_617 @[el2_lib.scala 244:17] + node _T_618 = bits(io.trigger_pkt_any[2].tdata2, 4, 0) @[el2_lib.scala 244:28] + node _T_619 = andr(_T_618) @[el2_lib.scala 244:36] + node _T_620 = and(_T_619, _T_585) @[el2_lib.scala 244:41] + node _T_621 = bits(io.trigger_pkt_any[2].tdata2, 5, 5) @[el2_lib.scala 244:74] + node _T_622 = bits(lsu_match_data_2, 5, 5) @[el2_lib.scala 244:86] + node _T_623 = eq(_T_621, _T_622) @[el2_lib.scala 244:78] + node _T_624 = mux(_T_620, UInt<1>("h01"), _T_623) @[el2_lib.scala 244:23] + _T_582[5] <= _T_624 @[el2_lib.scala 244:17] + node _T_625 = bits(io.trigger_pkt_any[2].tdata2, 5, 0) @[el2_lib.scala 244:28] + node _T_626 = andr(_T_625) @[el2_lib.scala 244:36] + node _T_627 = and(_T_626, _T_585) @[el2_lib.scala 244:41] + node _T_628 = bits(io.trigger_pkt_any[2].tdata2, 6, 6) @[el2_lib.scala 244:74] + node _T_629 = bits(lsu_match_data_2, 6, 6) @[el2_lib.scala 244:86] + node _T_630 = eq(_T_628, _T_629) @[el2_lib.scala 244:78] + node _T_631 = mux(_T_627, UInt<1>("h01"), _T_630) @[el2_lib.scala 244:23] + _T_582[6] <= _T_631 @[el2_lib.scala 244:17] + node _T_632 = bits(io.trigger_pkt_any[2].tdata2, 6, 0) @[el2_lib.scala 244:28] + node _T_633 = andr(_T_632) @[el2_lib.scala 244:36] + node _T_634 = and(_T_633, _T_585) @[el2_lib.scala 244:41] + node _T_635 = bits(io.trigger_pkt_any[2].tdata2, 7, 7) @[el2_lib.scala 244:74] + node _T_636 = bits(lsu_match_data_2, 7, 7) @[el2_lib.scala 244:86] + node _T_637 = eq(_T_635, _T_636) @[el2_lib.scala 244:78] + node _T_638 = mux(_T_634, UInt<1>("h01"), _T_637) @[el2_lib.scala 244:23] + _T_582[7] <= _T_638 @[el2_lib.scala 244:17] + node _T_639 = bits(io.trigger_pkt_any[2].tdata2, 7, 0) @[el2_lib.scala 244:28] + node _T_640 = andr(_T_639) @[el2_lib.scala 244:36] + node _T_641 = and(_T_640, _T_585) @[el2_lib.scala 244:41] + node _T_642 = bits(io.trigger_pkt_any[2].tdata2, 8, 8) @[el2_lib.scala 244:74] + node _T_643 = bits(lsu_match_data_2, 8, 8) @[el2_lib.scala 244:86] + node _T_644 = eq(_T_642, _T_643) @[el2_lib.scala 244:78] + node _T_645 = mux(_T_641, UInt<1>("h01"), _T_644) @[el2_lib.scala 244:23] + _T_582[8] <= _T_645 @[el2_lib.scala 244:17] + node _T_646 = bits(io.trigger_pkt_any[2].tdata2, 8, 0) @[el2_lib.scala 244:28] + node _T_647 = andr(_T_646) @[el2_lib.scala 244:36] + node _T_648 = and(_T_647, _T_585) @[el2_lib.scala 244:41] + node _T_649 = bits(io.trigger_pkt_any[2].tdata2, 9, 9) @[el2_lib.scala 244:74] + node _T_650 = bits(lsu_match_data_2, 9, 9) @[el2_lib.scala 244:86] + node _T_651 = eq(_T_649, _T_650) @[el2_lib.scala 244:78] + node _T_652 = mux(_T_648, UInt<1>("h01"), _T_651) @[el2_lib.scala 244:23] + _T_582[9] <= _T_652 @[el2_lib.scala 244:17] + node _T_653 = bits(io.trigger_pkt_any[2].tdata2, 9, 0) @[el2_lib.scala 244:28] + node _T_654 = andr(_T_653) @[el2_lib.scala 244:36] + node _T_655 = and(_T_654, _T_585) @[el2_lib.scala 244:41] + node _T_656 = bits(io.trigger_pkt_any[2].tdata2, 10, 10) @[el2_lib.scala 244:74] + node _T_657 = bits(lsu_match_data_2, 10, 10) @[el2_lib.scala 244:86] + node _T_658 = eq(_T_656, _T_657) @[el2_lib.scala 244:78] + node _T_659 = mux(_T_655, UInt<1>("h01"), _T_658) @[el2_lib.scala 244:23] + _T_582[10] <= _T_659 @[el2_lib.scala 244:17] + node _T_660 = bits(io.trigger_pkt_any[2].tdata2, 10, 0) @[el2_lib.scala 244:28] + node _T_661 = andr(_T_660) @[el2_lib.scala 244:36] + node _T_662 = and(_T_661, _T_585) @[el2_lib.scala 244:41] + node _T_663 = bits(io.trigger_pkt_any[2].tdata2, 11, 11) @[el2_lib.scala 244:74] + node _T_664 = bits(lsu_match_data_2, 11, 11) @[el2_lib.scala 244:86] + node _T_665 = eq(_T_663, _T_664) @[el2_lib.scala 244:78] + node _T_666 = mux(_T_662, UInt<1>("h01"), _T_665) @[el2_lib.scala 244:23] + _T_582[11] <= _T_666 @[el2_lib.scala 244:17] + node _T_667 = bits(io.trigger_pkt_any[2].tdata2, 11, 0) @[el2_lib.scala 244:28] + node _T_668 = andr(_T_667) @[el2_lib.scala 244:36] + node _T_669 = and(_T_668, _T_585) @[el2_lib.scala 244:41] + node _T_670 = bits(io.trigger_pkt_any[2].tdata2, 12, 12) @[el2_lib.scala 244:74] + node _T_671 = bits(lsu_match_data_2, 12, 12) @[el2_lib.scala 244:86] + node _T_672 = eq(_T_670, _T_671) @[el2_lib.scala 244:78] + node _T_673 = mux(_T_669, UInt<1>("h01"), _T_672) @[el2_lib.scala 244:23] + _T_582[12] <= _T_673 @[el2_lib.scala 244:17] + node _T_674 = bits(io.trigger_pkt_any[2].tdata2, 12, 0) @[el2_lib.scala 244:28] + node _T_675 = andr(_T_674) @[el2_lib.scala 244:36] + node _T_676 = and(_T_675, _T_585) @[el2_lib.scala 244:41] + node _T_677 = bits(io.trigger_pkt_any[2].tdata2, 13, 13) @[el2_lib.scala 244:74] + node _T_678 = bits(lsu_match_data_2, 13, 13) @[el2_lib.scala 244:86] + node _T_679 = eq(_T_677, _T_678) @[el2_lib.scala 244:78] + node _T_680 = mux(_T_676, UInt<1>("h01"), _T_679) @[el2_lib.scala 244:23] + _T_582[13] <= _T_680 @[el2_lib.scala 244:17] + node _T_681 = bits(io.trigger_pkt_any[2].tdata2, 13, 0) @[el2_lib.scala 244:28] + node _T_682 = andr(_T_681) @[el2_lib.scala 244:36] + node _T_683 = and(_T_682, _T_585) @[el2_lib.scala 244:41] + node _T_684 = bits(io.trigger_pkt_any[2].tdata2, 14, 14) @[el2_lib.scala 244:74] + node _T_685 = bits(lsu_match_data_2, 14, 14) @[el2_lib.scala 244:86] + node _T_686 = eq(_T_684, _T_685) @[el2_lib.scala 244:78] + node _T_687 = mux(_T_683, UInt<1>("h01"), _T_686) @[el2_lib.scala 244:23] + _T_582[14] <= _T_687 @[el2_lib.scala 244:17] + node _T_688 = bits(io.trigger_pkt_any[2].tdata2, 14, 0) @[el2_lib.scala 244:28] + node _T_689 = andr(_T_688) @[el2_lib.scala 244:36] + node _T_690 = and(_T_689, _T_585) @[el2_lib.scala 244:41] + node _T_691 = bits(io.trigger_pkt_any[2].tdata2, 15, 15) @[el2_lib.scala 244:74] + node _T_692 = bits(lsu_match_data_2, 15, 15) @[el2_lib.scala 244:86] + node _T_693 = eq(_T_691, _T_692) @[el2_lib.scala 244:78] + node _T_694 = mux(_T_690, UInt<1>("h01"), _T_693) @[el2_lib.scala 244:23] + _T_582[15] <= _T_694 @[el2_lib.scala 244:17] + node _T_695 = bits(io.trigger_pkt_any[2].tdata2, 15, 0) @[el2_lib.scala 244:28] + node _T_696 = andr(_T_695) @[el2_lib.scala 244:36] + node _T_697 = and(_T_696, _T_585) @[el2_lib.scala 244:41] + node _T_698 = bits(io.trigger_pkt_any[2].tdata2, 16, 16) @[el2_lib.scala 244:74] + node _T_699 = bits(lsu_match_data_2, 16, 16) @[el2_lib.scala 244:86] + node _T_700 = eq(_T_698, _T_699) @[el2_lib.scala 244:78] + node _T_701 = mux(_T_697, UInt<1>("h01"), _T_700) @[el2_lib.scala 244:23] + _T_582[16] <= _T_701 @[el2_lib.scala 244:17] + node _T_702 = bits(io.trigger_pkt_any[2].tdata2, 16, 0) @[el2_lib.scala 244:28] + node _T_703 = andr(_T_702) @[el2_lib.scala 244:36] + node _T_704 = and(_T_703, _T_585) @[el2_lib.scala 244:41] + node _T_705 = bits(io.trigger_pkt_any[2].tdata2, 17, 17) @[el2_lib.scala 244:74] + node _T_706 = bits(lsu_match_data_2, 17, 17) @[el2_lib.scala 244:86] + node _T_707 = eq(_T_705, _T_706) @[el2_lib.scala 244:78] + node _T_708 = mux(_T_704, UInt<1>("h01"), _T_707) @[el2_lib.scala 244:23] + _T_582[17] <= _T_708 @[el2_lib.scala 244:17] + node _T_709 = bits(io.trigger_pkt_any[2].tdata2, 17, 0) @[el2_lib.scala 244:28] + node _T_710 = andr(_T_709) @[el2_lib.scala 244:36] + node _T_711 = and(_T_710, _T_585) @[el2_lib.scala 244:41] + node _T_712 = bits(io.trigger_pkt_any[2].tdata2, 18, 18) @[el2_lib.scala 244:74] + node _T_713 = bits(lsu_match_data_2, 18, 18) @[el2_lib.scala 244:86] + node _T_714 = eq(_T_712, _T_713) @[el2_lib.scala 244:78] + node _T_715 = mux(_T_711, UInt<1>("h01"), _T_714) @[el2_lib.scala 244:23] + _T_582[18] <= _T_715 @[el2_lib.scala 244:17] + node _T_716 = bits(io.trigger_pkt_any[2].tdata2, 18, 0) @[el2_lib.scala 244:28] + node _T_717 = andr(_T_716) @[el2_lib.scala 244:36] + node _T_718 = and(_T_717, _T_585) @[el2_lib.scala 244:41] + node _T_719 = bits(io.trigger_pkt_any[2].tdata2, 19, 19) @[el2_lib.scala 244:74] + node _T_720 = bits(lsu_match_data_2, 19, 19) @[el2_lib.scala 244:86] + node _T_721 = eq(_T_719, _T_720) @[el2_lib.scala 244:78] + node _T_722 = mux(_T_718, UInt<1>("h01"), _T_721) @[el2_lib.scala 244:23] + _T_582[19] <= _T_722 @[el2_lib.scala 244:17] + node _T_723 = bits(io.trigger_pkt_any[2].tdata2, 19, 0) @[el2_lib.scala 244:28] + node _T_724 = andr(_T_723) @[el2_lib.scala 244:36] + node _T_725 = and(_T_724, _T_585) @[el2_lib.scala 244:41] + node _T_726 = bits(io.trigger_pkt_any[2].tdata2, 20, 20) @[el2_lib.scala 244:74] + node _T_727 = bits(lsu_match_data_2, 20, 20) @[el2_lib.scala 244:86] + node _T_728 = eq(_T_726, _T_727) @[el2_lib.scala 244:78] + node _T_729 = mux(_T_725, UInt<1>("h01"), _T_728) @[el2_lib.scala 244:23] + _T_582[20] <= _T_729 @[el2_lib.scala 244:17] + node _T_730 = bits(io.trigger_pkt_any[2].tdata2, 20, 0) @[el2_lib.scala 244:28] + node _T_731 = andr(_T_730) @[el2_lib.scala 244:36] + node _T_732 = and(_T_731, _T_585) @[el2_lib.scala 244:41] + node _T_733 = bits(io.trigger_pkt_any[2].tdata2, 21, 21) @[el2_lib.scala 244:74] + node _T_734 = bits(lsu_match_data_2, 21, 21) @[el2_lib.scala 244:86] + node _T_735 = eq(_T_733, _T_734) @[el2_lib.scala 244:78] + node _T_736 = mux(_T_732, UInt<1>("h01"), _T_735) @[el2_lib.scala 244:23] + _T_582[21] <= _T_736 @[el2_lib.scala 244:17] + node _T_737 = bits(io.trigger_pkt_any[2].tdata2, 21, 0) @[el2_lib.scala 244:28] + node _T_738 = andr(_T_737) @[el2_lib.scala 244:36] + node _T_739 = and(_T_738, _T_585) @[el2_lib.scala 244:41] + node _T_740 = bits(io.trigger_pkt_any[2].tdata2, 22, 22) @[el2_lib.scala 244:74] + node _T_741 = bits(lsu_match_data_2, 22, 22) @[el2_lib.scala 244:86] + node _T_742 = eq(_T_740, _T_741) @[el2_lib.scala 244:78] + node _T_743 = mux(_T_739, UInt<1>("h01"), _T_742) @[el2_lib.scala 244:23] + _T_582[22] <= _T_743 @[el2_lib.scala 244:17] + node _T_744 = bits(io.trigger_pkt_any[2].tdata2, 22, 0) @[el2_lib.scala 244:28] + node _T_745 = andr(_T_744) @[el2_lib.scala 244:36] + node _T_746 = and(_T_745, _T_585) @[el2_lib.scala 244:41] + node _T_747 = bits(io.trigger_pkt_any[2].tdata2, 23, 23) @[el2_lib.scala 244:74] + node _T_748 = bits(lsu_match_data_2, 23, 23) @[el2_lib.scala 244:86] + node _T_749 = eq(_T_747, _T_748) @[el2_lib.scala 244:78] + node _T_750 = mux(_T_746, UInt<1>("h01"), _T_749) @[el2_lib.scala 244:23] + _T_582[23] <= _T_750 @[el2_lib.scala 244:17] + node _T_751 = bits(io.trigger_pkt_any[2].tdata2, 23, 0) @[el2_lib.scala 244:28] + node _T_752 = andr(_T_751) @[el2_lib.scala 244:36] + node _T_753 = and(_T_752, _T_585) @[el2_lib.scala 244:41] + node _T_754 = bits(io.trigger_pkt_any[2].tdata2, 24, 24) @[el2_lib.scala 244:74] + node _T_755 = bits(lsu_match_data_2, 24, 24) @[el2_lib.scala 244:86] + node _T_756 = eq(_T_754, _T_755) @[el2_lib.scala 244:78] + node _T_757 = mux(_T_753, UInt<1>("h01"), _T_756) @[el2_lib.scala 244:23] + _T_582[24] <= _T_757 @[el2_lib.scala 244:17] + node _T_758 = bits(io.trigger_pkt_any[2].tdata2, 24, 0) @[el2_lib.scala 244:28] + node _T_759 = andr(_T_758) @[el2_lib.scala 244:36] + node _T_760 = and(_T_759, _T_585) @[el2_lib.scala 244:41] + node _T_761 = bits(io.trigger_pkt_any[2].tdata2, 25, 25) @[el2_lib.scala 244:74] + node _T_762 = bits(lsu_match_data_2, 25, 25) @[el2_lib.scala 244:86] + node _T_763 = eq(_T_761, _T_762) @[el2_lib.scala 244:78] + node _T_764 = mux(_T_760, UInt<1>("h01"), _T_763) @[el2_lib.scala 244:23] + _T_582[25] <= _T_764 @[el2_lib.scala 244:17] + node _T_765 = bits(io.trigger_pkt_any[2].tdata2, 25, 0) @[el2_lib.scala 244:28] + node _T_766 = andr(_T_765) @[el2_lib.scala 244:36] + node _T_767 = and(_T_766, _T_585) @[el2_lib.scala 244:41] + node _T_768 = bits(io.trigger_pkt_any[2].tdata2, 26, 26) @[el2_lib.scala 244:74] + node _T_769 = bits(lsu_match_data_2, 26, 26) @[el2_lib.scala 244:86] + node _T_770 = eq(_T_768, _T_769) @[el2_lib.scala 244:78] + node _T_771 = mux(_T_767, UInt<1>("h01"), _T_770) @[el2_lib.scala 244:23] + _T_582[26] <= _T_771 @[el2_lib.scala 244:17] + node _T_772 = bits(io.trigger_pkt_any[2].tdata2, 26, 0) @[el2_lib.scala 244:28] + node _T_773 = andr(_T_772) @[el2_lib.scala 244:36] + node _T_774 = and(_T_773, _T_585) @[el2_lib.scala 244:41] + node _T_775 = bits(io.trigger_pkt_any[2].tdata2, 27, 27) @[el2_lib.scala 244:74] + node _T_776 = bits(lsu_match_data_2, 27, 27) @[el2_lib.scala 244:86] + node _T_777 = eq(_T_775, _T_776) @[el2_lib.scala 244:78] + node _T_778 = mux(_T_774, UInt<1>("h01"), _T_777) @[el2_lib.scala 244:23] + _T_582[27] <= _T_778 @[el2_lib.scala 244:17] + node _T_779 = bits(io.trigger_pkt_any[2].tdata2, 27, 0) @[el2_lib.scala 244:28] + node _T_780 = andr(_T_779) @[el2_lib.scala 244:36] + node _T_781 = and(_T_780, _T_585) @[el2_lib.scala 244:41] + node _T_782 = bits(io.trigger_pkt_any[2].tdata2, 28, 28) @[el2_lib.scala 244:74] + node _T_783 = bits(lsu_match_data_2, 28, 28) @[el2_lib.scala 244:86] + node _T_784 = eq(_T_782, _T_783) @[el2_lib.scala 244:78] + node _T_785 = mux(_T_781, UInt<1>("h01"), _T_784) @[el2_lib.scala 244:23] + _T_582[28] <= _T_785 @[el2_lib.scala 244:17] + node _T_786 = bits(io.trigger_pkt_any[2].tdata2, 28, 0) @[el2_lib.scala 244:28] + node _T_787 = andr(_T_786) @[el2_lib.scala 244:36] + node _T_788 = and(_T_787, _T_585) @[el2_lib.scala 244:41] + node _T_789 = bits(io.trigger_pkt_any[2].tdata2, 29, 29) @[el2_lib.scala 244:74] + node _T_790 = bits(lsu_match_data_2, 29, 29) @[el2_lib.scala 244:86] + node _T_791 = eq(_T_789, _T_790) @[el2_lib.scala 244:78] + node _T_792 = mux(_T_788, UInt<1>("h01"), _T_791) @[el2_lib.scala 244:23] + _T_582[29] <= _T_792 @[el2_lib.scala 244:17] + node _T_793 = bits(io.trigger_pkt_any[2].tdata2, 29, 0) @[el2_lib.scala 244:28] + node _T_794 = andr(_T_793) @[el2_lib.scala 244:36] + node _T_795 = and(_T_794, _T_585) @[el2_lib.scala 244:41] + node _T_796 = bits(io.trigger_pkt_any[2].tdata2, 30, 30) @[el2_lib.scala 244:74] + node _T_797 = bits(lsu_match_data_2, 30, 30) @[el2_lib.scala 244:86] + node _T_798 = eq(_T_796, _T_797) @[el2_lib.scala 244:78] + node _T_799 = mux(_T_795, UInt<1>("h01"), _T_798) @[el2_lib.scala 244:23] + _T_582[30] <= _T_799 @[el2_lib.scala 244:17] + node _T_800 = bits(io.trigger_pkt_any[2].tdata2, 30, 0) @[el2_lib.scala 244:28] + node _T_801 = andr(_T_800) @[el2_lib.scala 244:36] + node _T_802 = and(_T_801, _T_585) @[el2_lib.scala 244:41] + node _T_803 = bits(io.trigger_pkt_any[2].tdata2, 31, 31) @[el2_lib.scala 244:74] + node _T_804 = bits(lsu_match_data_2, 31, 31) @[el2_lib.scala 244:86] + node _T_805 = eq(_T_803, _T_804) @[el2_lib.scala 244:78] + node _T_806 = mux(_T_802, UInt<1>("h01"), _T_805) @[el2_lib.scala 244:23] + _T_582[31] <= _T_806 @[el2_lib.scala 244:17] + node _T_807 = cat(_T_582[1], _T_582[0]) @[el2_lib.scala 245:14] + node _T_808 = cat(_T_582[3], _T_582[2]) @[el2_lib.scala 245:14] + node _T_809 = cat(_T_808, _T_807) @[el2_lib.scala 245:14] + node _T_810 = cat(_T_582[5], _T_582[4]) @[el2_lib.scala 245:14] + node _T_811 = cat(_T_582[7], _T_582[6]) @[el2_lib.scala 245:14] + node _T_812 = cat(_T_811, _T_810) @[el2_lib.scala 245:14] + node _T_813 = cat(_T_812, _T_809) @[el2_lib.scala 245:14] + node _T_814 = cat(_T_582[9], _T_582[8]) @[el2_lib.scala 245:14] + node _T_815 = cat(_T_582[11], _T_582[10]) @[el2_lib.scala 245:14] + node _T_816 = cat(_T_815, _T_814) @[el2_lib.scala 245:14] + node _T_817 = cat(_T_582[13], _T_582[12]) @[el2_lib.scala 245:14] + node _T_818 = cat(_T_582[15], _T_582[14]) @[el2_lib.scala 245:14] + node _T_819 = cat(_T_818, _T_817) @[el2_lib.scala 245:14] + node _T_820 = cat(_T_819, _T_816) @[el2_lib.scala 245:14] + node _T_821 = cat(_T_820, _T_813) @[el2_lib.scala 245:14] + node _T_822 = cat(_T_582[17], _T_582[16]) @[el2_lib.scala 245:14] + node _T_823 = cat(_T_582[19], _T_582[18]) @[el2_lib.scala 245:14] + node _T_824 = cat(_T_823, _T_822) @[el2_lib.scala 245:14] + node _T_825 = cat(_T_582[21], _T_582[20]) @[el2_lib.scala 245:14] + node _T_826 = cat(_T_582[23], _T_582[22]) @[el2_lib.scala 245:14] + node _T_827 = cat(_T_826, _T_825) @[el2_lib.scala 245:14] + node _T_828 = cat(_T_827, _T_824) @[el2_lib.scala 245:14] + node _T_829 = cat(_T_582[25], _T_582[24]) @[el2_lib.scala 245:14] + node _T_830 = cat(_T_582[27], _T_582[26]) @[el2_lib.scala 245:14] + node _T_831 = cat(_T_830, _T_829) @[el2_lib.scala 245:14] + node _T_832 = cat(_T_582[29], _T_582[28]) @[el2_lib.scala 245:14] + node _T_833 = cat(_T_582[31], _T_582[30]) @[el2_lib.scala 245:14] + node _T_834 = cat(_T_833, _T_832) @[el2_lib.scala 245:14] + node _T_835 = cat(_T_834, _T_831) @[el2_lib.scala 245:14] + node _T_836 = cat(_T_835, _T_828) @[el2_lib.scala 245:14] + node _T_837 = cat(_T_836, _T_821) @[el2_lib.scala 245:14] + node _T_838 = andr(_T_837) @[el2_lib.scala 245:25] + node _T_839 = and(_T_580, _T_838) @[lsu_trigger.scala 19:92] + node _T_840 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] + node _T_841 = and(io.lsu_pkt_m.valid, _T_840) @[lsu_trigger.scala 18:69] + node _T_842 = and(io.trigger_pkt_any[3].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] + node _T_843 = and(io.trigger_pkt_any[3].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] + node _T_844 = eq(io.trigger_pkt_any[3].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] + node _T_845 = and(_T_843, _T_844) @[lsu_trigger.scala 19:58] + node _T_846 = or(_T_842, _T_845) @[lsu_trigger.scala 18:152] + node _T_847 = and(_T_841, _T_846) @[lsu_trigger.scala 18:94] + node _T_848 = bits(io.trigger_pkt_any[3].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] + wire _T_849 : UInt<1>[32] @[el2_lib.scala 240:24] + node _T_850 = andr(io.trigger_pkt_any[3].tdata2) @[el2_lib.scala 241:45] + node _T_851 = not(_T_850) @[el2_lib.scala 241:39] + node _T_852 = and(_T_848, _T_851) @[el2_lib.scala 241:37] + node _T_853 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[el2_lib.scala 242:48] + node _T_854 = bits(lsu_match_data_3, 0, 0) @[el2_lib.scala 242:60] + node _T_855 = eq(_T_853, _T_854) @[el2_lib.scala 242:52] + node _T_856 = or(_T_852, _T_855) @[el2_lib.scala 242:41] + _T_849[0] <= _T_856 @[el2_lib.scala 242:18] + node _T_857 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[el2_lib.scala 244:28] + node _T_858 = andr(_T_857) @[el2_lib.scala 244:36] + node _T_859 = and(_T_858, _T_852) @[el2_lib.scala 244:41] + node _T_860 = bits(io.trigger_pkt_any[3].tdata2, 1, 1) @[el2_lib.scala 244:74] + node _T_861 = bits(lsu_match_data_3, 1, 1) @[el2_lib.scala 244:86] + node _T_862 = eq(_T_860, _T_861) @[el2_lib.scala 244:78] + node _T_863 = mux(_T_859, UInt<1>("h01"), _T_862) @[el2_lib.scala 244:23] + _T_849[1] <= _T_863 @[el2_lib.scala 244:17] + node _T_864 = bits(io.trigger_pkt_any[3].tdata2, 1, 0) @[el2_lib.scala 244:28] + node _T_865 = andr(_T_864) @[el2_lib.scala 244:36] + node _T_866 = and(_T_865, _T_852) @[el2_lib.scala 244:41] + node _T_867 = bits(io.trigger_pkt_any[3].tdata2, 2, 2) @[el2_lib.scala 244:74] + node _T_868 = bits(lsu_match_data_3, 2, 2) @[el2_lib.scala 244:86] + node _T_869 = eq(_T_867, _T_868) @[el2_lib.scala 244:78] + node _T_870 = mux(_T_866, UInt<1>("h01"), _T_869) @[el2_lib.scala 244:23] + _T_849[2] <= _T_870 @[el2_lib.scala 244:17] + node _T_871 = bits(io.trigger_pkt_any[3].tdata2, 2, 0) @[el2_lib.scala 244:28] + node _T_872 = andr(_T_871) @[el2_lib.scala 244:36] + node _T_873 = and(_T_872, _T_852) @[el2_lib.scala 244:41] + node _T_874 = bits(io.trigger_pkt_any[3].tdata2, 3, 3) @[el2_lib.scala 244:74] + node _T_875 = bits(lsu_match_data_3, 3, 3) @[el2_lib.scala 244:86] + node _T_876 = eq(_T_874, _T_875) @[el2_lib.scala 244:78] + node _T_877 = mux(_T_873, UInt<1>("h01"), _T_876) @[el2_lib.scala 244:23] + _T_849[3] <= _T_877 @[el2_lib.scala 244:17] + node _T_878 = bits(io.trigger_pkt_any[3].tdata2, 3, 0) @[el2_lib.scala 244:28] + node _T_879 = andr(_T_878) @[el2_lib.scala 244:36] + node _T_880 = and(_T_879, _T_852) @[el2_lib.scala 244:41] + node _T_881 = bits(io.trigger_pkt_any[3].tdata2, 4, 4) @[el2_lib.scala 244:74] + node _T_882 = bits(lsu_match_data_3, 4, 4) @[el2_lib.scala 244:86] + node _T_883 = eq(_T_881, _T_882) @[el2_lib.scala 244:78] + node _T_884 = mux(_T_880, UInt<1>("h01"), _T_883) @[el2_lib.scala 244:23] + _T_849[4] <= _T_884 @[el2_lib.scala 244:17] + node _T_885 = bits(io.trigger_pkt_any[3].tdata2, 4, 0) @[el2_lib.scala 244:28] + node _T_886 = andr(_T_885) @[el2_lib.scala 244:36] + node _T_887 = and(_T_886, _T_852) @[el2_lib.scala 244:41] + node _T_888 = bits(io.trigger_pkt_any[3].tdata2, 5, 5) @[el2_lib.scala 244:74] + node _T_889 = bits(lsu_match_data_3, 5, 5) @[el2_lib.scala 244:86] + node _T_890 = eq(_T_888, _T_889) @[el2_lib.scala 244:78] + node _T_891 = mux(_T_887, UInt<1>("h01"), _T_890) @[el2_lib.scala 244:23] + _T_849[5] <= _T_891 @[el2_lib.scala 244:17] + node _T_892 = bits(io.trigger_pkt_any[3].tdata2, 5, 0) @[el2_lib.scala 244:28] + node _T_893 = andr(_T_892) @[el2_lib.scala 244:36] + node _T_894 = and(_T_893, _T_852) @[el2_lib.scala 244:41] + node _T_895 = bits(io.trigger_pkt_any[3].tdata2, 6, 6) @[el2_lib.scala 244:74] + node _T_896 = bits(lsu_match_data_3, 6, 6) @[el2_lib.scala 244:86] + node _T_897 = eq(_T_895, _T_896) @[el2_lib.scala 244:78] + node _T_898 = mux(_T_894, UInt<1>("h01"), _T_897) @[el2_lib.scala 244:23] + _T_849[6] <= _T_898 @[el2_lib.scala 244:17] + node _T_899 = bits(io.trigger_pkt_any[3].tdata2, 6, 0) @[el2_lib.scala 244:28] + node _T_900 = andr(_T_899) @[el2_lib.scala 244:36] + node _T_901 = and(_T_900, _T_852) @[el2_lib.scala 244:41] + node _T_902 = bits(io.trigger_pkt_any[3].tdata2, 7, 7) @[el2_lib.scala 244:74] + node _T_903 = bits(lsu_match_data_3, 7, 7) @[el2_lib.scala 244:86] + node _T_904 = eq(_T_902, _T_903) @[el2_lib.scala 244:78] + node _T_905 = mux(_T_901, UInt<1>("h01"), _T_904) @[el2_lib.scala 244:23] + _T_849[7] <= _T_905 @[el2_lib.scala 244:17] + node _T_906 = bits(io.trigger_pkt_any[3].tdata2, 7, 0) @[el2_lib.scala 244:28] + node _T_907 = andr(_T_906) @[el2_lib.scala 244:36] + node _T_908 = and(_T_907, _T_852) @[el2_lib.scala 244:41] + node _T_909 = bits(io.trigger_pkt_any[3].tdata2, 8, 8) @[el2_lib.scala 244:74] + node _T_910 = bits(lsu_match_data_3, 8, 8) @[el2_lib.scala 244:86] + node _T_911 = eq(_T_909, _T_910) @[el2_lib.scala 244:78] + node _T_912 = mux(_T_908, UInt<1>("h01"), _T_911) @[el2_lib.scala 244:23] + _T_849[8] <= _T_912 @[el2_lib.scala 244:17] + node _T_913 = bits(io.trigger_pkt_any[3].tdata2, 8, 0) @[el2_lib.scala 244:28] + node _T_914 = andr(_T_913) @[el2_lib.scala 244:36] + node _T_915 = and(_T_914, _T_852) @[el2_lib.scala 244:41] + node _T_916 = bits(io.trigger_pkt_any[3].tdata2, 9, 9) @[el2_lib.scala 244:74] + node _T_917 = bits(lsu_match_data_3, 9, 9) @[el2_lib.scala 244:86] + node _T_918 = eq(_T_916, _T_917) @[el2_lib.scala 244:78] + node _T_919 = mux(_T_915, UInt<1>("h01"), _T_918) @[el2_lib.scala 244:23] + _T_849[9] <= _T_919 @[el2_lib.scala 244:17] + node _T_920 = bits(io.trigger_pkt_any[3].tdata2, 9, 0) @[el2_lib.scala 244:28] + node _T_921 = andr(_T_920) @[el2_lib.scala 244:36] + node _T_922 = and(_T_921, _T_852) @[el2_lib.scala 244:41] + node _T_923 = bits(io.trigger_pkt_any[3].tdata2, 10, 10) @[el2_lib.scala 244:74] + node _T_924 = bits(lsu_match_data_3, 10, 10) @[el2_lib.scala 244:86] + node _T_925 = eq(_T_923, _T_924) @[el2_lib.scala 244:78] + node _T_926 = mux(_T_922, UInt<1>("h01"), _T_925) @[el2_lib.scala 244:23] + _T_849[10] <= _T_926 @[el2_lib.scala 244:17] + node _T_927 = bits(io.trigger_pkt_any[3].tdata2, 10, 0) @[el2_lib.scala 244:28] + node _T_928 = andr(_T_927) @[el2_lib.scala 244:36] + node _T_929 = and(_T_928, _T_852) @[el2_lib.scala 244:41] + node _T_930 = bits(io.trigger_pkt_any[3].tdata2, 11, 11) @[el2_lib.scala 244:74] + node _T_931 = bits(lsu_match_data_3, 11, 11) @[el2_lib.scala 244:86] + node _T_932 = eq(_T_930, _T_931) @[el2_lib.scala 244:78] + node _T_933 = mux(_T_929, UInt<1>("h01"), _T_932) @[el2_lib.scala 244:23] + _T_849[11] <= _T_933 @[el2_lib.scala 244:17] + node _T_934 = bits(io.trigger_pkt_any[3].tdata2, 11, 0) @[el2_lib.scala 244:28] + node _T_935 = andr(_T_934) @[el2_lib.scala 244:36] + node _T_936 = and(_T_935, _T_852) @[el2_lib.scala 244:41] + node _T_937 = bits(io.trigger_pkt_any[3].tdata2, 12, 12) @[el2_lib.scala 244:74] + node _T_938 = bits(lsu_match_data_3, 12, 12) @[el2_lib.scala 244:86] + node _T_939 = eq(_T_937, _T_938) @[el2_lib.scala 244:78] + node _T_940 = mux(_T_936, UInt<1>("h01"), _T_939) @[el2_lib.scala 244:23] + _T_849[12] <= _T_940 @[el2_lib.scala 244:17] + node _T_941 = bits(io.trigger_pkt_any[3].tdata2, 12, 0) @[el2_lib.scala 244:28] + node _T_942 = andr(_T_941) @[el2_lib.scala 244:36] + node _T_943 = and(_T_942, _T_852) @[el2_lib.scala 244:41] + node _T_944 = bits(io.trigger_pkt_any[3].tdata2, 13, 13) @[el2_lib.scala 244:74] + node _T_945 = bits(lsu_match_data_3, 13, 13) @[el2_lib.scala 244:86] + node _T_946 = eq(_T_944, _T_945) @[el2_lib.scala 244:78] + node _T_947 = mux(_T_943, UInt<1>("h01"), _T_946) @[el2_lib.scala 244:23] + _T_849[13] <= _T_947 @[el2_lib.scala 244:17] + node _T_948 = bits(io.trigger_pkt_any[3].tdata2, 13, 0) @[el2_lib.scala 244:28] + node _T_949 = andr(_T_948) @[el2_lib.scala 244:36] + node _T_950 = and(_T_949, _T_852) @[el2_lib.scala 244:41] + node _T_951 = bits(io.trigger_pkt_any[3].tdata2, 14, 14) @[el2_lib.scala 244:74] + node _T_952 = bits(lsu_match_data_3, 14, 14) @[el2_lib.scala 244:86] + node _T_953 = eq(_T_951, _T_952) @[el2_lib.scala 244:78] + node _T_954 = mux(_T_950, UInt<1>("h01"), _T_953) @[el2_lib.scala 244:23] + _T_849[14] <= _T_954 @[el2_lib.scala 244:17] + node _T_955 = bits(io.trigger_pkt_any[3].tdata2, 14, 0) @[el2_lib.scala 244:28] + node _T_956 = andr(_T_955) @[el2_lib.scala 244:36] + node _T_957 = and(_T_956, _T_852) @[el2_lib.scala 244:41] + node _T_958 = bits(io.trigger_pkt_any[3].tdata2, 15, 15) @[el2_lib.scala 244:74] + node _T_959 = bits(lsu_match_data_3, 15, 15) @[el2_lib.scala 244:86] + node _T_960 = eq(_T_958, _T_959) @[el2_lib.scala 244:78] + node _T_961 = mux(_T_957, UInt<1>("h01"), _T_960) @[el2_lib.scala 244:23] + _T_849[15] <= _T_961 @[el2_lib.scala 244:17] + node _T_962 = bits(io.trigger_pkt_any[3].tdata2, 15, 0) @[el2_lib.scala 244:28] + node _T_963 = andr(_T_962) @[el2_lib.scala 244:36] + node _T_964 = and(_T_963, _T_852) @[el2_lib.scala 244:41] + node _T_965 = bits(io.trigger_pkt_any[3].tdata2, 16, 16) @[el2_lib.scala 244:74] + node _T_966 = bits(lsu_match_data_3, 16, 16) @[el2_lib.scala 244:86] + node _T_967 = eq(_T_965, _T_966) @[el2_lib.scala 244:78] + node _T_968 = mux(_T_964, UInt<1>("h01"), _T_967) @[el2_lib.scala 244:23] + _T_849[16] <= _T_968 @[el2_lib.scala 244:17] + node _T_969 = bits(io.trigger_pkt_any[3].tdata2, 16, 0) @[el2_lib.scala 244:28] + node _T_970 = andr(_T_969) @[el2_lib.scala 244:36] + node _T_971 = and(_T_970, _T_852) @[el2_lib.scala 244:41] + node _T_972 = bits(io.trigger_pkt_any[3].tdata2, 17, 17) @[el2_lib.scala 244:74] + node _T_973 = bits(lsu_match_data_3, 17, 17) @[el2_lib.scala 244:86] + node _T_974 = eq(_T_972, _T_973) @[el2_lib.scala 244:78] + node _T_975 = mux(_T_971, UInt<1>("h01"), _T_974) @[el2_lib.scala 244:23] + _T_849[17] <= _T_975 @[el2_lib.scala 244:17] + node _T_976 = bits(io.trigger_pkt_any[3].tdata2, 17, 0) @[el2_lib.scala 244:28] + node _T_977 = andr(_T_976) @[el2_lib.scala 244:36] + node _T_978 = and(_T_977, _T_852) @[el2_lib.scala 244:41] + node _T_979 = bits(io.trigger_pkt_any[3].tdata2, 18, 18) @[el2_lib.scala 244:74] + node _T_980 = bits(lsu_match_data_3, 18, 18) @[el2_lib.scala 244:86] + node _T_981 = eq(_T_979, _T_980) @[el2_lib.scala 244:78] + node _T_982 = mux(_T_978, UInt<1>("h01"), _T_981) @[el2_lib.scala 244:23] + _T_849[18] <= _T_982 @[el2_lib.scala 244:17] + node _T_983 = bits(io.trigger_pkt_any[3].tdata2, 18, 0) @[el2_lib.scala 244:28] + node _T_984 = andr(_T_983) @[el2_lib.scala 244:36] + node _T_985 = and(_T_984, _T_852) @[el2_lib.scala 244:41] + node _T_986 = bits(io.trigger_pkt_any[3].tdata2, 19, 19) @[el2_lib.scala 244:74] + node _T_987 = bits(lsu_match_data_3, 19, 19) @[el2_lib.scala 244:86] + node _T_988 = eq(_T_986, _T_987) @[el2_lib.scala 244:78] + node _T_989 = mux(_T_985, UInt<1>("h01"), _T_988) @[el2_lib.scala 244:23] + _T_849[19] <= _T_989 @[el2_lib.scala 244:17] + node _T_990 = bits(io.trigger_pkt_any[3].tdata2, 19, 0) @[el2_lib.scala 244:28] + node _T_991 = andr(_T_990) @[el2_lib.scala 244:36] + node _T_992 = and(_T_991, _T_852) @[el2_lib.scala 244:41] + node _T_993 = bits(io.trigger_pkt_any[3].tdata2, 20, 20) @[el2_lib.scala 244:74] + node _T_994 = bits(lsu_match_data_3, 20, 20) @[el2_lib.scala 244:86] + node _T_995 = eq(_T_993, _T_994) @[el2_lib.scala 244:78] + node _T_996 = mux(_T_992, UInt<1>("h01"), _T_995) @[el2_lib.scala 244:23] + _T_849[20] <= _T_996 @[el2_lib.scala 244:17] + node _T_997 = bits(io.trigger_pkt_any[3].tdata2, 20, 0) @[el2_lib.scala 244:28] + node _T_998 = andr(_T_997) @[el2_lib.scala 244:36] + node _T_999 = and(_T_998, _T_852) @[el2_lib.scala 244:41] + node _T_1000 = bits(io.trigger_pkt_any[3].tdata2, 21, 21) @[el2_lib.scala 244:74] + node _T_1001 = bits(lsu_match_data_3, 21, 21) @[el2_lib.scala 244:86] + node _T_1002 = eq(_T_1000, _T_1001) @[el2_lib.scala 244:78] + node _T_1003 = mux(_T_999, UInt<1>("h01"), _T_1002) @[el2_lib.scala 244:23] + _T_849[21] <= _T_1003 @[el2_lib.scala 244:17] + node _T_1004 = bits(io.trigger_pkt_any[3].tdata2, 21, 0) @[el2_lib.scala 244:28] + node _T_1005 = andr(_T_1004) @[el2_lib.scala 244:36] + node _T_1006 = and(_T_1005, _T_852) @[el2_lib.scala 244:41] + node _T_1007 = bits(io.trigger_pkt_any[3].tdata2, 22, 22) @[el2_lib.scala 244:74] + node _T_1008 = bits(lsu_match_data_3, 22, 22) @[el2_lib.scala 244:86] + node _T_1009 = eq(_T_1007, _T_1008) @[el2_lib.scala 244:78] + node _T_1010 = mux(_T_1006, UInt<1>("h01"), _T_1009) @[el2_lib.scala 244:23] + _T_849[22] <= _T_1010 @[el2_lib.scala 244:17] + node _T_1011 = bits(io.trigger_pkt_any[3].tdata2, 22, 0) @[el2_lib.scala 244:28] + node _T_1012 = andr(_T_1011) @[el2_lib.scala 244:36] + node _T_1013 = and(_T_1012, _T_852) @[el2_lib.scala 244:41] + node _T_1014 = bits(io.trigger_pkt_any[3].tdata2, 23, 23) @[el2_lib.scala 244:74] + node _T_1015 = bits(lsu_match_data_3, 23, 23) @[el2_lib.scala 244:86] + node _T_1016 = eq(_T_1014, _T_1015) @[el2_lib.scala 244:78] + node _T_1017 = mux(_T_1013, UInt<1>("h01"), _T_1016) @[el2_lib.scala 244:23] + _T_849[23] <= _T_1017 @[el2_lib.scala 244:17] + node _T_1018 = bits(io.trigger_pkt_any[3].tdata2, 23, 0) @[el2_lib.scala 244:28] + node _T_1019 = andr(_T_1018) @[el2_lib.scala 244:36] + node _T_1020 = and(_T_1019, _T_852) @[el2_lib.scala 244:41] + node _T_1021 = bits(io.trigger_pkt_any[3].tdata2, 24, 24) @[el2_lib.scala 244:74] + node _T_1022 = bits(lsu_match_data_3, 24, 24) @[el2_lib.scala 244:86] + node _T_1023 = eq(_T_1021, _T_1022) @[el2_lib.scala 244:78] + node _T_1024 = mux(_T_1020, UInt<1>("h01"), _T_1023) @[el2_lib.scala 244:23] + _T_849[24] <= _T_1024 @[el2_lib.scala 244:17] + node _T_1025 = bits(io.trigger_pkt_any[3].tdata2, 24, 0) @[el2_lib.scala 244:28] + node _T_1026 = andr(_T_1025) @[el2_lib.scala 244:36] + node _T_1027 = and(_T_1026, _T_852) @[el2_lib.scala 244:41] + node _T_1028 = bits(io.trigger_pkt_any[3].tdata2, 25, 25) @[el2_lib.scala 244:74] + node _T_1029 = bits(lsu_match_data_3, 25, 25) @[el2_lib.scala 244:86] + node _T_1030 = eq(_T_1028, _T_1029) @[el2_lib.scala 244:78] + node _T_1031 = mux(_T_1027, UInt<1>("h01"), _T_1030) @[el2_lib.scala 244:23] + _T_849[25] <= _T_1031 @[el2_lib.scala 244:17] + node _T_1032 = bits(io.trigger_pkt_any[3].tdata2, 25, 0) @[el2_lib.scala 244:28] + node _T_1033 = andr(_T_1032) @[el2_lib.scala 244:36] + node _T_1034 = and(_T_1033, _T_852) @[el2_lib.scala 244:41] + node _T_1035 = bits(io.trigger_pkt_any[3].tdata2, 26, 26) @[el2_lib.scala 244:74] + node _T_1036 = bits(lsu_match_data_3, 26, 26) @[el2_lib.scala 244:86] + node _T_1037 = eq(_T_1035, _T_1036) @[el2_lib.scala 244:78] + node _T_1038 = mux(_T_1034, UInt<1>("h01"), _T_1037) @[el2_lib.scala 244:23] + _T_849[26] <= _T_1038 @[el2_lib.scala 244:17] + node _T_1039 = bits(io.trigger_pkt_any[3].tdata2, 26, 0) @[el2_lib.scala 244:28] + node _T_1040 = andr(_T_1039) @[el2_lib.scala 244:36] + node _T_1041 = and(_T_1040, _T_852) @[el2_lib.scala 244:41] + node _T_1042 = bits(io.trigger_pkt_any[3].tdata2, 27, 27) @[el2_lib.scala 244:74] + node _T_1043 = bits(lsu_match_data_3, 27, 27) @[el2_lib.scala 244:86] + node _T_1044 = eq(_T_1042, _T_1043) @[el2_lib.scala 244:78] + node _T_1045 = mux(_T_1041, UInt<1>("h01"), _T_1044) @[el2_lib.scala 244:23] + _T_849[27] <= _T_1045 @[el2_lib.scala 244:17] + node _T_1046 = bits(io.trigger_pkt_any[3].tdata2, 27, 0) @[el2_lib.scala 244:28] + node _T_1047 = andr(_T_1046) @[el2_lib.scala 244:36] + node _T_1048 = and(_T_1047, _T_852) @[el2_lib.scala 244:41] + node _T_1049 = bits(io.trigger_pkt_any[3].tdata2, 28, 28) @[el2_lib.scala 244:74] + node _T_1050 = bits(lsu_match_data_3, 28, 28) @[el2_lib.scala 244:86] + node _T_1051 = eq(_T_1049, _T_1050) @[el2_lib.scala 244:78] + node _T_1052 = mux(_T_1048, UInt<1>("h01"), _T_1051) @[el2_lib.scala 244:23] + _T_849[28] <= _T_1052 @[el2_lib.scala 244:17] + node _T_1053 = bits(io.trigger_pkt_any[3].tdata2, 28, 0) @[el2_lib.scala 244:28] + node _T_1054 = andr(_T_1053) @[el2_lib.scala 244:36] + node _T_1055 = and(_T_1054, _T_852) @[el2_lib.scala 244:41] + node _T_1056 = bits(io.trigger_pkt_any[3].tdata2, 29, 29) @[el2_lib.scala 244:74] + node _T_1057 = bits(lsu_match_data_3, 29, 29) @[el2_lib.scala 244:86] + node _T_1058 = eq(_T_1056, _T_1057) @[el2_lib.scala 244:78] + node _T_1059 = mux(_T_1055, UInt<1>("h01"), _T_1058) @[el2_lib.scala 244:23] + _T_849[29] <= _T_1059 @[el2_lib.scala 244:17] + node _T_1060 = bits(io.trigger_pkt_any[3].tdata2, 29, 0) @[el2_lib.scala 244:28] + node _T_1061 = andr(_T_1060) @[el2_lib.scala 244:36] + node _T_1062 = and(_T_1061, _T_852) @[el2_lib.scala 244:41] + node _T_1063 = bits(io.trigger_pkt_any[3].tdata2, 30, 30) @[el2_lib.scala 244:74] + node _T_1064 = bits(lsu_match_data_3, 30, 30) @[el2_lib.scala 244:86] + node _T_1065 = eq(_T_1063, _T_1064) @[el2_lib.scala 244:78] + node _T_1066 = mux(_T_1062, UInt<1>("h01"), _T_1065) @[el2_lib.scala 244:23] + _T_849[30] <= _T_1066 @[el2_lib.scala 244:17] + node _T_1067 = bits(io.trigger_pkt_any[3].tdata2, 30, 0) @[el2_lib.scala 244:28] + node _T_1068 = andr(_T_1067) @[el2_lib.scala 244:36] + node _T_1069 = and(_T_1068, _T_852) @[el2_lib.scala 244:41] + node _T_1070 = bits(io.trigger_pkt_any[3].tdata2, 31, 31) @[el2_lib.scala 244:74] + node _T_1071 = bits(lsu_match_data_3, 31, 31) @[el2_lib.scala 244:86] + node _T_1072 = eq(_T_1070, _T_1071) @[el2_lib.scala 244:78] + node _T_1073 = mux(_T_1069, UInt<1>("h01"), _T_1072) @[el2_lib.scala 244:23] + _T_849[31] <= _T_1073 @[el2_lib.scala 244:17] + node _T_1074 = cat(_T_849[1], _T_849[0]) @[el2_lib.scala 245:14] + node _T_1075 = cat(_T_849[3], _T_849[2]) @[el2_lib.scala 245:14] node _T_1076 = cat(_T_1075, _T_1074) @[el2_lib.scala 245:14] - node _T_1077 = cat(_T_1076, _T_1073) @[el2_lib.scala 245:14] - node _T_1078 = cat(_T_846[9], _T_846[8]) @[el2_lib.scala 245:14] - node _T_1079 = cat(_T_846[11], _T_846[10]) @[el2_lib.scala 245:14] - node _T_1080 = cat(_T_1079, _T_1078) @[el2_lib.scala 245:14] - node _T_1081 = cat(_T_846[13], _T_846[12]) @[el2_lib.scala 245:14] - node _T_1082 = cat(_T_846[15], _T_846[14]) @[el2_lib.scala 245:14] + node _T_1077 = cat(_T_849[5], _T_849[4]) @[el2_lib.scala 245:14] + node _T_1078 = cat(_T_849[7], _T_849[6]) @[el2_lib.scala 245:14] + node _T_1079 = cat(_T_1078, _T_1077) @[el2_lib.scala 245:14] + node _T_1080 = cat(_T_1079, _T_1076) @[el2_lib.scala 245:14] + node _T_1081 = cat(_T_849[9], _T_849[8]) @[el2_lib.scala 245:14] + node _T_1082 = cat(_T_849[11], _T_849[10]) @[el2_lib.scala 245:14] node _T_1083 = cat(_T_1082, _T_1081) @[el2_lib.scala 245:14] - node _T_1084 = cat(_T_1083, _T_1080) @[el2_lib.scala 245:14] - node _T_1085 = cat(_T_1084, _T_1077) @[el2_lib.scala 245:14] - node _T_1086 = cat(_T_846[17], _T_846[16]) @[el2_lib.scala 245:14] - node _T_1087 = cat(_T_846[19], _T_846[18]) @[el2_lib.scala 245:14] - node _T_1088 = cat(_T_1087, _T_1086) @[el2_lib.scala 245:14] - node _T_1089 = cat(_T_846[21], _T_846[20]) @[el2_lib.scala 245:14] - node _T_1090 = cat(_T_846[23], _T_846[22]) @[el2_lib.scala 245:14] + node _T_1084 = cat(_T_849[13], _T_849[12]) @[el2_lib.scala 245:14] + node _T_1085 = cat(_T_849[15], _T_849[14]) @[el2_lib.scala 245:14] + node _T_1086 = cat(_T_1085, _T_1084) @[el2_lib.scala 245:14] + node _T_1087 = cat(_T_1086, _T_1083) @[el2_lib.scala 245:14] + node _T_1088 = cat(_T_1087, _T_1080) @[el2_lib.scala 245:14] + node _T_1089 = cat(_T_849[17], _T_849[16]) @[el2_lib.scala 245:14] + node _T_1090 = cat(_T_849[19], _T_849[18]) @[el2_lib.scala 245:14] node _T_1091 = cat(_T_1090, _T_1089) @[el2_lib.scala 245:14] - node _T_1092 = cat(_T_1091, _T_1088) @[el2_lib.scala 245:14] - node _T_1093 = cat(_T_846[25], _T_846[24]) @[el2_lib.scala 245:14] - node _T_1094 = cat(_T_846[27], _T_846[26]) @[el2_lib.scala 245:14] - node _T_1095 = cat(_T_1094, _T_1093) @[el2_lib.scala 245:14] - node _T_1096 = cat(_T_846[29], _T_846[28]) @[el2_lib.scala 245:14] - node _T_1097 = cat(_T_846[31], _T_846[30]) @[el2_lib.scala 245:14] + node _T_1092 = cat(_T_849[21], _T_849[20]) @[el2_lib.scala 245:14] + node _T_1093 = cat(_T_849[23], _T_849[22]) @[el2_lib.scala 245:14] + node _T_1094 = cat(_T_1093, _T_1092) @[el2_lib.scala 245:14] + node _T_1095 = cat(_T_1094, _T_1091) @[el2_lib.scala 245:14] + node _T_1096 = cat(_T_849[25], _T_849[24]) @[el2_lib.scala 245:14] + node _T_1097 = cat(_T_849[27], _T_849[26]) @[el2_lib.scala 245:14] node _T_1098 = cat(_T_1097, _T_1096) @[el2_lib.scala 245:14] - node _T_1099 = cat(_T_1098, _T_1095) @[el2_lib.scala 245:14] - node _T_1100 = cat(_T_1099, _T_1092) @[el2_lib.scala 245:14] - node _T_1101 = cat(_T_1100, _T_1085) @[el2_lib.scala 245:14] - node _T_1102 = and(_T_844, _T_1101) @[lsu_trigger.scala 19:92] - node _T_1103 = cat(_T_1102, _T_836) @[Cat.scala 29:58] - node _T_1104 = cat(_T_1103, _T_570) @[Cat.scala 29:58] - node _T_1105 = cat(_T_1104, _T_304) @[Cat.scala 29:58] - io.lsu_trigger_match_m <= _T_1105 @[lsu_trigger.scala 18:26] - - extmodule gated_latch_800 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_800 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_800 @[el2_lib.scala 474:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] - clkhdr.CK <= io.clk @[el2_lib.scala 476:18] - clkhdr.EN <= io.en @[el2_lib.scala 477:18] - clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] - - extmodule gated_latch_801 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_801 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_801 @[el2_lib.scala 474:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] - clkhdr.CK <= io.clk @[el2_lib.scala 476:18] - clkhdr.EN <= io.en @[el2_lib.scala 477:18] - clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + node _T_1099 = cat(_T_849[29], _T_849[28]) @[el2_lib.scala 245:14] + node _T_1100 = cat(_T_849[31], _T_849[30]) @[el2_lib.scala 245:14] + node _T_1101 = cat(_T_1100, _T_1099) @[el2_lib.scala 245:14] + node _T_1102 = cat(_T_1101, _T_1098) @[el2_lib.scala 245:14] + node _T_1103 = cat(_T_1102, _T_1095) @[el2_lib.scala 245:14] + node _T_1104 = cat(_T_1103, _T_1088) @[el2_lib.scala 245:14] + node _T_1105 = andr(_T_1104) @[el2_lib.scala 245:25] + node _T_1106 = and(_T_847, _T_1105) @[lsu_trigger.scala 19:92] + node _T_1107 = cat(_T_1106, _T_839) @[Cat.scala 29:58] + node _T_1108 = cat(_T_1107, _T_572) @[Cat.scala 29:58] + node _T_1109 = cat(_T_1108, _T_305) @[Cat.scala 29:58] + io.lsu_trigger_match_m <= _T_1109 @[lsu_trigger.scala 18:26] extmodule gated_latch_802 : output Q : Clock @@ -94452,6 +94452,54 @@ circuit quasar_wrapper : clkhdr.EN <= io.en @[el2_lib.scala 477:18] clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + extmodule gated_latch_812 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_812 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_812 @[el2_lib.scala 474:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] + clkhdr.CK <= io.clk @[el2_lib.scala 476:18] + clkhdr.EN <= io.en @[el2_lib.scala 477:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + + extmodule gated_latch_813 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_813 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_813 @[el2_lib.scala 474:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] + clkhdr.CK <= io.clk @[el2_lib.scala 476:18] + clkhdr.EN <= io.en @[el2_lib.scala 477:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + module lsu_clkdomain : input clock : Clock input reset : AsyncReset @@ -94508,7 +94556,7 @@ circuit quasar_wrapper : _T_24 <= lsu_c1_r_clken @[lsu_clkdomain.scala 84:67] lsu_c1_r_clken_q <= _T_24 @[lsu_clkdomain.scala 84:26] node _T_25 = bits(lsu_c1_m_clken, 0, 0) @[lsu_clkdomain.scala 86:59] - inst rvclkhdr of rvclkhdr_800 @[el2_lib.scala 483:22] + inst rvclkhdr of rvclkhdr_802 @[el2_lib.scala 483:22] rvclkhdr.clock <= clock rvclkhdr.reset <= reset rvclkhdr.io.clk <= clock @[el2_lib.scala 484:17] @@ -94516,7 +94564,7 @@ circuit quasar_wrapper : rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] io.lsu_c1_m_clk <= rvclkhdr.io.l1clk @[lsu_clkdomain.scala 86:26] node _T_26 = bits(lsu_c1_r_clken, 0, 0) @[lsu_clkdomain.scala 87:59] - inst rvclkhdr_1 of rvclkhdr_801 @[el2_lib.scala 483:22] + inst rvclkhdr_1 of rvclkhdr_803 @[el2_lib.scala 483:22] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[el2_lib.scala 484:17] @@ -94524,7 +94572,7 @@ circuit quasar_wrapper : rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] io.lsu_c1_r_clk <= rvclkhdr_1.io.l1clk @[lsu_clkdomain.scala 87:26] node _T_27 = bits(lsu_c2_m_clken, 0, 0) @[lsu_clkdomain.scala 88:59] - inst rvclkhdr_2 of rvclkhdr_802 @[el2_lib.scala 483:22] + inst rvclkhdr_2 of rvclkhdr_804 @[el2_lib.scala 483:22] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset rvclkhdr_2.io.clk <= clock @[el2_lib.scala 484:17] @@ -94532,7 +94580,7 @@ circuit quasar_wrapper : rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] io.lsu_c2_m_clk <= rvclkhdr_2.io.l1clk @[lsu_clkdomain.scala 88:26] node _T_28 = bits(lsu_c2_r_clken, 0, 0) @[lsu_clkdomain.scala 89:59] - inst rvclkhdr_3 of rvclkhdr_803 @[el2_lib.scala 483:22] + inst rvclkhdr_3 of rvclkhdr_805 @[el2_lib.scala 483:22] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset rvclkhdr_3.io.clk <= clock @[el2_lib.scala 484:17] @@ -94540,7 +94588,7 @@ circuit quasar_wrapper : rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] io.lsu_c2_r_clk <= rvclkhdr_3.io.l1clk @[lsu_clkdomain.scala 89:26] node _T_29 = bits(lsu_store_c1_m_clken, 0, 0) @[lsu_clkdomain.scala 90:65] - inst rvclkhdr_4 of rvclkhdr_804 @[el2_lib.scala 483:22] + inst rvclkhdr_4 of rvclkhdr_806 @[el2_lib.scala 483:22] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset rvclkhdr_4.io.clk <= clock @[el2_lib.scala 484:17] @@ -94548,7 +94596,7 @@ circuit quasar_wrapper : rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] io.lsu_store_c1_m_clk <= rvclkhdr_4.io.l1clk @[lsu_clkdomain.scala 90:26] node _T_30 = bits(lsu_store_c1_r_clken, 0, 0) @[lsu_clkdomain.scala 91:65] - inst rvclkhdr_5 of rvclkhdr_805 @[el2_lib.scala 483:22] + inst rvclkhdr_5 of rvclkhdr_807 @[el2_lib.scala 483:22] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset rvclkhdr_5.io.clk <= clock @[el2_lib.scala 484:17] @@ -94556,7 +94604,7 @@ circuit quasar_wrapper : rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] io.lsu_store_c1_r_clk <= rvclkhdr_5.io.l1clk @[lsu_clkdomain.scala 91:26] node _T_31 = bits(lsu_stbuf_c1_clken, 0, 0) @[lsu_clkdomain.scala 92:63] - inst rvclkhdr_6 of rvclkhdr_806 @[el2_lib.scala 483:22] + inst rvclkhdr_6 of rvclkhdr_808 @[el2_lib.scala 483:22] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset rvclkhdr_6.io.clk <= clock @[el2_lib.scala 484:17] @@ -94564,7 +94612,7 @@ circuit quasar_wrapper : rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] io.lsu_stbuf_c1_clk <= rvclkhdr_6.io.l1clk @[lsu_clkdomain.scala 92:26] node _T_32 = bits(lsu_bus_ibuf_c1_clken, 0, 0) @[lsu_clkdomain.scala 93:66] - inst rvclkhdr_7 of rvclkhdr_807 @[el2_lib.scala 483:22] + inst rvclkhdr_7 of rvclkhdr_809 @[el2_lib.scala 483:22] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset rvclkhdr_7.io.clk <= clock @[el2_lib.scala 484:17] @@ -94572,7 +94620,7 @@ circuit quasar_wrapper : rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] io.lsu_bus_ibuf_c1_clk <= rvclkhdr_7.io.l1clk @[lsu_clkdomain.scala 93:26] node _T_33 = bits(lsu_bus_obuf_c1_clken, 0, 0) @[lsu_clkdomain.scala 94:66] - inst rvclkhdr_8 of rvclkhdr_808 @[el2_lib.scala 483:22] + inst rvclkhdr_8 of rvclkhdr_810 @[el2_lib.scala 483:22] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset rvclkhdr_8.io.clk <= clock @[el2_lib.scala 484:17] @@ -94580,7 +94628,7 @@ circuit quasar_wrapper : rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] io.lsu_bus_obuf_c1_clk <= rvclkhdr_8.io.l1clk @[lsu_clkdomain.scala 94:26] node _T_34 = bits(lsu_bus_buf_c1_clken, 0, 0) @[lsu_clkdomain.scala 95:65] - inst rvclkhdr_9 of rvclkhdr_809 @[el2_lib.scala 483:22] + inst rvclkhdr_9 of rvclkhdr_811 @[el2_lib.scala 483:22] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset rvclkhdr_9.io.clk <= clock @[el2_lib.scala 484:17] @@ -94588,7 +94636,7 @@ circuit quasar_wrapper : rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] io.lsu_bus_buf_c1_clk <= rvclkhdr_9.io.l1clk @[lsu_clkdomain.scala 95:26] node _T_35 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_clkdomain.scala 96:62] - inst rvclkhdr_10 of rvclkhdr_810 @[el2_lib.scala 483:22] + inst rvclkhdr_10 of rvclkhdr_812 @[el2_lib.scala 483:22] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[el2_lib.scala 484:17] @@ -94596,7 +94644,7 @@ circuit quasar_wrapper : rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] io.lsu_busm_clk <= rvclkhdr_10.io.l1clk @[lsu_clkdomain.scala 96:26] node _T_36 = bits(lsu_free_c2_clken, 0, 0) @[lsu_clkdomain.scala 97:62] - inst rvclkhdr_11 of rvclkhdr_811 @[el2_lib.scala 483:22] + inst rvclkhdr_11 of rvclkhdr_813 @[el2_lib.scala 483:22] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset rvclkhdr_11.io.clk <= clock @[el2_lib.scala 484:17] @@ -94604,54 +94652,6 @@ circuit quasar_wrapper : rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] io.lsu_free_c2_clk <= rvclkhdr_11.io.l1clk @[lsu_clkdomain.scala 97:26] - extmodule gated_latch_812 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_812 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_812 @[el2_lib.scala 474:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] - clkhdr.CK <= io.clk @[el2_lib.scala 476:18] - clkhdr.EN <= io.en @[el2_lib.scala 477:18] - clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] - - extmodule gated_latch_813 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_813 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_813 @[el2_lib.scala 474:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] - clkhdr.CK <= io.clk @[el2_lib.scala 476:18] - clkhdr.EN <= io.en @[el2_lib.scala 477:18] - clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] - extmodule gated_latch_814 : output Q : Clock input CK : Clock @@ -94892,6 +94892,54 @@ circuit quasar_wrapper : clkhdr.EN <= io.en @[el2_lib.scala 477:18] clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + extmodule gated_latch_824 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_824 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_824 @[el2_lib.scala 474:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] + clkhdr.CK <= io.clk @[el2_lib.scala 476:18] + clkhdr.EN <= io.en @[el2_lib.scala 477:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + + extmodule gated_latch_825 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_825 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_825 @[el2_lib.scala 474:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] + clkhdr.CK <= io.clk @[el2_lib.scala 476:18] + clkhdr.EN <= io.en @[el2_lib.scala 477:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + module lsu_bus_buffer : input clock : Clock input reset : AsyncReset @@ -96188,7 +96236,7 @@ circuit quasar_wrapper : when ibuf_wr_en : @[Reg.scala 28:19] ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - inst rvclkhdr of rvclkhdr_812 @[el2_lib.scala 508:23] + inst rvclkhdr of rvclkhdr_814 @[el2_lib.scala 508:23] rvclkhdr.clock <= clock rvclkhdr.reset <= reset rvclkhdr.io.clk <= clock @[el2_lib.scala 510:18] @@ -96202,7 +96250,7 @@ circuit quasar_wrapper : _T_1013 <= ibuf_byteen_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] ibuf_byteen <= _T_1013 @[lsu_bus_buffer.scala 250:15] - inst rvclkhdr_1 of rvclkhdr_813 @[el2_lib.scala 508:23] + inst rvclkhdr_1 of rvclkhdr_815 @[el2_lib.scala 508:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[el2_lib.scala 510:18] @@ -96586,37 +96634,37 @@ circuit quasar_wrapper : wire obuf_merge_en : UInt<1> obuf_merge_en <= UInt<1>("h00") node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[lsu_bus_buffer.scala 298:25] - node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, CmdPtr1) @[lsu_bus_buffer.scala 301:25] + node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, CmdPtr1) @[lsu_bus_buffer.scala 300:25] wire obuf_cmd_done : UInt<1> obuf_cmd_done <= UInt<1>("h00") wire bus_wcmd_sent : UInt<1> bus_wcmd_sent <= UInt<1>("h00") - node _T_1304 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 304:39] - node _T_1305 = eq(_T_1304, UInt<1>("h00")) @[lsu_bus_buffer.scala 304:26] - node _T_1306 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 304:68] - node obuf_cmd_done_in = and(_T_1305, _T_1306) @[lsu_bus_buffer.scala 304:51] + node _T_1304 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 303:39] + node _T_1305 = eq(_T_1304, UInt<1>("h00")) @[lsu_bus_buffer.scala 303:26] + node _T_1306 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 303:68] + node obuf_cmd_done_in = and(_T_1305, _T_1306) @[lsu_bus_buffer.scala 303:51] wire obuf_data_done : UInt<1> obuf_data_done <= UInt<1>("h00") wire bus_wdata_sent : UInt<1> bus_wdata_sent <= UInt<1>("h00") - node _T_1307 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 307:40] - node _T_1308 = eq(_T_1307, UInt<1>("h00")) @[lsu_bus_buffer.scala 307:27] - node _T_1309 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 307:70] - node obuf_data_done_in = and(_T_1308, _T_1309) @[lsu_bus_buffer.scala 307:52] - node _T_1310 = bits(obuf_sz_in, 1, 0) @[lsu_bus_buffer.scala 308:67] - node _T_1311 = eq(_T_1310, UInt<1>("h00")) @[lsu_bus_buffer.scala 308:72] - node _T_1312 = bits(obuf_sz_in, 0, 0) @[lsu_bus_buffer.scala 308:92] - node _T_1313 = bits(obuf_addr_in, 0, 0) @[lsu_bus_buffer.scala 308:111] - node _T_1314 = eq(_T_1313, UInt<1>("h00")) @[lsu_bus_buffer.scala 308:98] - node _T_1315 = and(_T_1312, _T_1314) @[lsu_bus_buffer.scala 308:96] - node _T_1316 = or(_T_1311, _T_1315) @[lsu_bus_buffer.scala 308:79] - node _T_1317 = bits(obuf_sz_in, 1, 1) @[lsu_bus_buffer.scala 308:129] - node _T_1318 = bits(obuf_addr_in, 1, 0) @[lsu_bus_buffer.scala 308:147] - node _T_1319 = orr(_T_1318) @[lsu_bus_buffer.scala 308:153] - node _T_1320 = eq(_T_1319, UInt<1>("h00")) @[lsu_bus_buffer.scala 308:134] - node _T_1321 = and(_T_1317, _T_1320) @[lsu_bus_buffer.scala 308:132] - node _T_1322 = or(_T_1316, _T_1321) @[lsu_bus_buffer.scala 308:116] - node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1322) @[lsu_bus_buffer.scala 308:28] + node _T_1307 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 306:40] + node _T_1308 = eq(_T_1307, UInt<1>("h00")) @[lsu_bus_buffer.scala 306:27] + node _T_1309 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 306:70] + node obuf_data_done_in = and(_T_1308, _T_1309) @[lsu_bus_buffer.scala 306:52] + node _T_1310 = bits(obuf_sz_in, 1, 0) @[lsu_bus_buffer.scala 307:67] + node _T_1311 = eq(_T_1310, UInt<1>("h00")) @[lsu_bus_buffer.scala 307:72] + node _T_1312 = bits(obuf_sz_in, 0, 0) @[lsu_bus_buffer.scala 307:92] + node _T_1313 = bits(obuf_addr_in, 0, 0) @[lsu_bus_buffer.scala 307:111] + node _T_1314 = eq(_T_1313, UInt<1>("h00")) @[lsu_bus_buffer.scala 307:98] + node _T_1315 = and(_T_1312, _T_1314) @[lsu_bus_buffer.scala 307:96] + node _T_1316 = or(_T_1311, _T_1315) @[lsu_bus_buffer.scala 307:79] + node _T_1317 = bits(obuf_sz_in, 1, 1) @[lsu_bus_buffer.scala 307:129] + node _T_1318 = bits(obuf_addr_in, 1, 0) @[lsu_bus_buffer.scala 307:147] + node _T_1319 = orr(_T_1318) @[lsu_bus_buffer.scala 307:153] + node _T_1320 = eq(_T_1319, UInt<1>("h00")) @[lsu_bus_buffer.scala 307:134] + node _T_1321 = and(_T_1317, _T_1320) @[lsu_bus_buffer.scala 307:132] + node _T_1322 = or(_T_1316, _T_1321) @[lsu_bus_buffer.scala 307:116] + node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1322) @[lsu_bus_buffer.scala 307:28] wire obuf_nosend_in : UInt<1> obuf_nosend_in <= UInt<1>("h00") wire obuf_rdrsp_pend : UInt<1> @@ -96629,53 +96677,53 @@ circuit quasar_wrapper : obuf_rdrsp_tag <= UInt<1>("h00") wire obuf_write : UInt<1> obuf_write <= UInt<1>("h00") - node _T_1323 = eq(obuf_nosend_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 316:44] - node _T_1324 = and(obuf_wr_en, _T_1323) @[lsu_bus_buffer.scala 316:42] - node _T_1325 = eq(_T_1324, UInt<1>("h00")) @[lsu_bus_buffer.scala 316:29] - node _T_1326 = and(_T_1325, obuf_rdrsp_pend) @[lsu_bus_buffer.scala 316:61] - node _T_1327 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 316:116] - node _T_1328 = and(bus_rsp_read, _T_1327) @[lsu_bus_buffer.scala 316:96] - node _T_1329 = eq(_T_1328, UInt<1>("h00")) @[lsu_bus_buffer.scala 316:81] - node _T_1330 = and(_T_1326, _T_1329) @[lsu_bus_buffer.scala 316:79] - node _T_1331 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 317:22] - node _T_1332 = and(bus_cmd_sent, _T_1331) @[lsu_bus_buffer.scala 317:20] - node _T_1333 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 317:37] - node _T_1334 = and(_T_1332, _T_1333) @[lsu_bus_buffer.scala 317:35] - node obuf_rdrsp_pend_in = or(_T_1330, _T_1334) @[lsu_bus_buffer.scala 316:138] + node _T_1323 = eq(obuf_nosend_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 315:44] + node _T_1324 = and(obuf_wr_en, _T_1323) @[lsu_bus_buffer.scala 315:42] + node _T_1325 = eq(_T_1324, UInt<1>("h00")) @[lsu_bus_buffer.scala 315:29] + node _T_1326 = and(_T_1325, obuf_rdrsp_pend) @[lsu_bus_buffer.scala 315:61] + node _T_1327 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 315:116] + node _T_1328 = and(bus_rsp_read, _T_1327) @[lsu_bus_buffer.scala 315:96] + node _T_1329 = eq(_T_1328, UInt<1>("h00")) @[lsu_bus_buffer.scala 315:81] + node _T_1330 = and(_T_1326, _T_1329) @[lsu_bus_buffer.scala 315:79] + node _T_1331 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 316:22] + node _T_1332 = and(bus_cmd_sent, _T_1331) @[lsu_bus_buffer.scala 316:20] + node _T_1333 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 316:37] + node _T_1334 = and(_T_1332, _T_1333) @[lsu_bus_buffer.scala 316:35] + node obuf_rdrsp_pend_in = or(_T_1330, _T_1334) @[lsu_bus_buffer.scala 315:138] wire obuf_tag0 : UInt<3> obuf_tag0 <= UInt<1>("h00") - node _T_1335 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 319:46] - node _T_1336 = and(bus_cmd_sent, _T_1335) @[lsu_bus_buffer.scala 319:44] - node obuf_rdrsp_tag_in = mux(_T_1336, obuf_tag0, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 319:30] + node _T_1335 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 318:46] + node _T_1336 = and(bus_cmd_sent, _T_1335) @[lsu_bus_buffer.scala 318:44] + node obuf_rdrsp_tag_in = mux(_T_1336, obuf_tag0, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 318:30] wire obuf_addr : UInt<32> obuf_addr <= UInt<1>("h00") wire obuf_sideeffect : UInt<1> obuf_sideeffect <= UInt<1>("h00") - node _T_1337 = bits(obuf_addr_in, 31, 3) @[lsu_bus_buffer.scala 322:34] - node _T_1338 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 322:52] - node _T_1339 = eq(_T_1337, _T_1338) @[lsu_bus_buffer.scala 322:40] - node _T_1340 = and(_T_1339, obuf_aligned_in) @[lsu_bus_buffer.scala 322:60] - node _T_1341 = eq(obuf_sideeffect, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:80] - node _T_1342 = and(_T_1340, _T_1341) @[lsu_bus_buffer.scala 322:78] - node _T_1343 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:99] - node _T_1344 = and(_T_1342, _T_1343) @[lsu_bus_buffer.scala 322:97] - node _T_1345 = eq(obuf_write_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:113] - node _T_1346 = and(_T_1344, _T_1345) @[lsu_bus_buffer.scala 322:111] - node _T_1347 = eq(io.tlu_busbuff.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:130] - node _T_1348 = and(_T_1346, _T_1347) @[lsu_bus_buffer.scala 322:128] - node _T_1349 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 323:20] - node _T_1350 = and(obuf_valid, _T_1349) @[lsu_bus_buffer.scala 323:18] - node _T_1351 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 323:90] - node _T_1352 = and(bus_rsp_read, _T_1351) @[lsu_bus_buffer.scala 323:70] - node _T_1353 = eq(_T_1352, UInt<1>("h00")) @[lsu_bus_buffer.scala 323:55] - node _T_1354 = and(obuf_rdrsp_pend, _T_1353) @[lsu_bus_buffer.scala 323:53] - node _T_1355 = or(_T_1350, _T_1354) @[lsu_bus_buffer.scala 323:34] - node _T_1356 = and(_T_1348, _T_1355) @[lsu_bus_buffer.scala 322:177] - obuf_nosend_in <= _T_1356 @[lsu_bus_buffer.scala 322:18] - node _T_1357 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 324:60] + node _T_1337 = bits(obuf_addr_in, 31, 3) @[lsu_bus_buffer.scala 321:34] + node _T_1338 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 321:52] + node _T_1339 = eq(_T_1337, _T_1338) @[lsu_bus_buffer.scala 321:40] + node _T_1340 = and(_T_1339, obuf_aligned_in) @[lsu_bus_buffer.scala 321:60] + node _T_1341 = eq(obuf_sideeffect, UInt<1>("h00")) @[lsu_bus_buffer.scala 321:80] + node _T_1342 = and(_T_1340, _T_1341) @[lsu_bus_buffer.scala 321:78] + node _T_1343 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 321:99] + node _T_1344 = and(_T_1342, _T_1343) @[lsu_bus_buffer.scala 321:97] + node _T_1345 = eq(obuf_write_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 321:113] + node _T_1346 = and(_T_1344, _T_1345) @[lsu_bus_buffer.scala 321:111] + node _T_1347 = eq(io.tlu_busbuff.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 321:130] + node _T_1348 = and(_T_1346, _T_1347) @[lsu_bus_buffer.scala 321:128] + node _T_1349 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:20] + node _T_1350 = and(obuf_valid, _T_1349) @[lsu_bus_buffer.scala 322:18] + node _T_1351 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 322:90] + node _T_1352 = and(bus_rsp_read, _T_1351) @[lsu_bus_buffer.scala 322:70] + node _T_1353 = eq(_T_1352, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:55] + node _T_1354 = and(obuf_rdrsp_pend, _T_1353) @[lsu_bus_buffer.scala 322:53] + node _T_1355 = or(_T_1350, _T_1354) @[lsu_bus_buffer.scala 322:34] + node _T_1356 = and(_T_1348, _T_1355) @[lsu_bus_buffer.scala 321:177] + obuf_nosend_in <= _T_1356 @[lsu_bus_buffer.scala 321:18] + node _T_1357 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 323:60] node _T_1358 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1359 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] - node _T_1360 = mux(_T_1357, _T_1358, _T_1359) @[lsu_bus_buffer.scala 324:46] + node _T_1360 = mux(_T_1357, _T_1358, _T_1359) @[lsu_bus_buffer.scala 323:46] node _T_1361 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1362 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1363 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -96689,8 +96737,8 @@ circuit quasar_wrapper : node _T_1371 = or(_T_1370, _T_1368) @[Mux.scala 27:72] wire _T_1372 : UInt<32> @[Mux.scala 27:72] _T_1372 <= _T_1371 @[Mux.scala 27:72] - node _T_1373 = bits(_T_1372, 2, 2) @[lsu_bus_buffer.scala 325:36] - node _T_1374 = bits(_T_1373, 0, 0) @[lsu_bus_buffer.scala 325:46] + node _T_1373 = bits(_T_1372, 2, 2) @[lsu_bus_buffer.scala 324:36] + node _T_1374 = bits(_T_1373, 0, 0) @[lsu_bus_buffer.scala 324:46] node _T_1375 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1376 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1377 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -96719,12 +96767,12 @@ circuit quasar_wrapper : wire _T_1399 : UInt<4> @[Mux.scala 27:72] _T_1399 <= _T_1398 @[Mux.scala 27:72] node _T_1400 = cat(UInt<4>("h00"), _T_1399) @[Cat.scala 29:58] - node _T_1401 = mux(_T_1374, _T_1387, _T_1400) @[lsu_bus_buffer.scala 325:8] - node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1360, _T_1401) @[lsu_bus_buffer.scala 324:28] - node _T_1402 = bits(io.end_addr_r, 2, 2) @[lsu_bus_buffer.scala 326:60] + node _T_1401 = mux(_T_1374, _T_1387, _T_1400) @[lsu_bus_buffer.scala 324:8] + node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1360, _T_1401) @[lsu_bus_buffer.scala 323:28] + node _T_1402 = bits(io.end_addr_r, 2, 2) @[lsu_bus_buffer.scala 325:60] node _T_1403 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1404 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] - node _T_1405 = mux(_T_1402, _T_1403, _T_1404) @[lsu_bus_buffer.scala 326:46] + node _T_1405 = mux(_T_1402, _T_1403, _T_1404) @[lsu_bus_buffer.scala 325:46] node _T_1406 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1407 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1408 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -96738,8 +96786,8 @@ circuit quasar_wrapper : node _T_1416 = or(_T_1415, _T_1413) @[Mux.scala 27:72] wire _T_1417 : UInt<32> @[Mux.scala 27:72] _T_1417 <= _T_1416 @[Mux.scala 27:72] - node _T_1418 = bits(_T_1417, 2, 2) @[lsu_bus_buffer.scala 327:36] - node _T_1419 = bits(_T_1418, 0, 0) @[lsu_bus_buffer.scala 327:46] + node _T_1418 = bits(_T_1417, 2, 2) @[lsu_bus_buffer.scala 326:36] + node _T_1419 = bits(_T_1418, 0, 0) @[lsu_bus_buffer.scala 326:46] node _T_1420 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1421 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1422 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -96768,12 +96816,12 @@ circuit quasar_wrapper : wire _T_1444 : UInt<4> @[Mux.scala 27:72] _T_1444 <= _T_1443 @[Mux.scala 27:72] node _T_1445 = cat(UInt<4>("h00"), _T_1444) @[Cat.scala 29:58] - node _T_1446 = mux(_T_1419, _T_1432, _T_1445) @[lsu_bus_buffer.scala 327:8] - node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1405, _T_1446) @[lsu_bus_buffer.scala 326:28] - node _T_1447 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 329:58] + node _T_1446 = mux(_T_1419, _T_1432, _T_1445) @[lsu_bus_buffer.scala 326:8] + node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1405, _T_1446) @[lsu_bus_buffer.scala 325:28] + node _T_1447 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 328:58] node _T_1448 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1449 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] - node _T_1450 = mux(_T_1447, _T_1448, _T_1449) @[lsu_bus_buffer.scala 329:44] + node _T_1450 = mux(_T_1447, _T_1448, _T_1449) @[lsu_bus_buffer.scala 328:44] node _T_1451 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1452 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1453 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -96787,8 +96835,8 @@ circuit quasar_wrapper : node _T_1461 = or(_T_1460, _T_1458) @[Mux.scala 27:72] wire _T_1462 : UInt<32> @[Mux.scala 27:72] _T_1462 <= _T_1461 @[Mux.scala 27:72] - node _T_1463 = bits(_T_1462, 2, 2) @[lsu_bus_buffer.scala 330:36] - node _T_1464 = bits(_T_1463, 0, 0) @[lsu_bus_buffer.scala 330:46] + node _T_1463 = bits(_T_1462, 2, 2) @[lsu_bus_buffer.scala 329:36] + node _T_1464 = bits(_T_1463, 0, 0) @[lsu_bus_buffer.scala 329:46] node _T_1465 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1466 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1467 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -96817,12 +96865,12 @@ circuit quasar_wrapper : wire _T_1489 : UInt<32> @[Mux.scala 27:72] _T_1489 <= _T_1488 @[Mux.scala 27:72] node _T_1490 = cat(UInt<32>("h00"), _T_1489) @[Cat.scala 29:58] - node _T_1491 = mux(_T_1464, _T_1477, _T_1490) @[lsu_bus_buffer.scala 330:8] - node obuf_data0_in = mux(ibuf_buf_byp, _T_1450, _T_1491) @[lsu_bus_buffer.scala 329:26] - node _T_1492 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 331:58] + node _T_1491 = mux(_T_1464, _T_1477, _T_1490) @[lsu_bus_buffer.scala 329:8] + node obuf_data0_in = mux(ibuf_buf_byp, _T_1450, _T_1491) @[lsu_bus_buffer.scala 328:26] + node _T_1492 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 330:58] node _T_1493 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1494 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] - node _T_1495 = mux(_T_1492, _T_1493, _T_1494) @[lsu_bus_buffer.scala 331:44] + node _T_1495 = mux(_T_1492, _T_1493, _T_1494) @[lsu_bus_buffer.scala 330:44] node _T_1496 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1497 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1498 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -96836,8 +96884,8 @@ circuit quasar_wrapper : node _T_1506 = or(_T_1505, _T_1503) @[Mux.scala 27:72] wire _T_1507 : UInt<32> @[Mux.scala 27:72] _T_1507 <= _T_1506 @[Mux.scala 27:72] - node _T_1508 = bits(_T_1507, 2, 2) @[lsu_bus_buffer.scala 332:36] - node _T_1509 = bits(_T_1508, 0, 0) @[lsu_bus_buffer.scala 332:46] + node _T_1508 = bits(_T_1507, 2, 2) @[lsu_bus_buffer.scala 331:36] + node _T_1509 = bits(_T_1508, 0, 0) @[lsu_bus_buffer.scala 331:46] node _T_1510 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1511 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1512 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -96866,40 +96914,40 @@ circuit quasar_wrapper : wire _T_1534 : UInt<32> @[Mux.scala 27:72] _T_1534 <= _T_1533 @[Mux.scala 27:72] node _T_1535 = cat(UInt<32>("h00"), _T_1534) @[Cat.scala 29:58] - node _T_1536 = mux(_T_1509, _T_1522, _T_1535) @[lsu_bus_buffer.scala 332:8] - node obuf_data1_in = mux(ibuf_buf_byp, _T_1495, _T_1536) @[lsu_bus_buffer.scala 331:26] - node _T_1537 = bits(obuf_byteen0_in, 0, 0) @[lsu_bus_buffer.scala 333:59] - node _T_1538 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 333:97] - node _T_1539 = and(obuf_merge_en, _T_1538) @[lsu_bus_buffer.scala 333:80] - node _T_1540 = or(_T_1537, _T_1539) @[lsu_bus_buffer.scala 333:63] - node _T_1541 = bits(obuf_byteen0_in, 1, 1) @[lsu_bus_buffer.scala 333:59] - node _T_1542 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 333:97] - node _T_1543 = and(obuf_merge_en, _T_1542) @[lsu_bus_buffer.scala 333:80] - node _T_1544 = or(_T_1541, _T_1543) @[lsu_bus_buffer.scala 333:63] - node _T_1545 = bits(obuf_byteen0_in, 2, 2) @[lsu_bus_buffer.scala 333:59] - node _T_1546 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 333:97] - node _T_1547 = and(obuf_merge_en, _T_1546) @[lsu_bus_buffer.scala 333:80] - node _T_1548 = or(_T_1545, _T_1547) @[lsu_bus_buffer.scala 333:63] - node _T_1549 = bits(obuf_byteen0_in, 3, 3) @[lsu_bus_buffer.scala 333:59] - node _T_1550 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 333:97] - node _T_1551 = and(obuf_merge_en, _T_1550) @[lsu_bus_buffer.scala 333:80] - node _T_1552 = or(_T_1549, _T_1551) @[lsu_bus_buffer.scala 333:63] - node _T_1553 = bits(obuf_byteen0_in, 4, 4) @[lsu_bus_buffer.scala 333:59] - node _T_1554 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 333:97] - node _T_1555 = and(obuf_merge_en, _T_1554) @[lsu_bus_buffer.scala 333:80] - node _T_1556 = or(_T_1553, _T_1555) @[lsu_bus_buffer.scala 333:63] - node _T_1557 = bits(obuf_byteen0_in, 5, 5) @[lsu_bus_buffer.scala 333:59] - node _T_1558 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 333:97] - node _T_1559 = and(obuf_merge_en, _T_1558) @[lsu_bus_buffer.scala 333:80] - node _T_1560 = or(_T_1557, _T_1559) @[lsu_bus_buffer.scala 333:63] - node _T_1561 = bits(obuf_byteen0_in, 6, 6) @[lsu_bus_buffer.scala 333:59] - node _T_1562 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 333:97] - node _T_1563 = and(obuf_merge_en, _T_1562) @[lsu_bus_buffer.scala 333:80] - node _T_1564 = or(_T_1561, _T_1563) @[lsu_bus_buffer.scala 333:63] - node _T_1565 = bits(obuf_byteen0_in, 7, 7) @[lsu_bus_buffer.scala 333:59] - node _T_1566 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 333:97] - node _T_1567 = and(obuf_merge_en, _T_1566) @[lsu_bus_buffer.scala 333:80] - node _T_1568 = or(_T_1565, _T_1567) @[lsu_bus_buffer.scala 333:63] + node _T_1536 = mux(_T_1509, _T_1522, _T_1535) @[lsu_bus_buffer.scala 331:8] + node obuf_data1_in = mux(ibuf_buf_byp, _T_1495, _T_1536) @[lsu_bus_buffer.scala 330:26] + node _T_1537 = bits(obuf_byteen0_in, 0, 0) @[lsu_bus_buffer.scala 332:59] + node _T_1538 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 332:97] + node _T_1539 = and(obuf_merge_en, _T_1538) @[lsu_bus_buffer.scala 332:80] + node _T_1540 = or(_T_1537, _T_1539) @[lsu_bus_buffer.scala 332:63] + node _T_1541 = bits(obuf_byteen0_in, 1, 1) @[lsu_bus_buffer.scala 332:59] + node _T_1542 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 332:97] + node _T_1543 = and(obuf_merge_en, _T_1542) @[lsu_bus_buffer.scala 332:80] + node _T_1544 = or(_T_1541, _T_1543) @[lsu_bus_buffer.scala 332:63] + node _T_1545 = bits(obuf_byteen0_in, 2, 2) @[lsu_bus_buffer.scala 332:59] + node _T_1546 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 332:97] + node _T_1547 = and(obuf_merge_en, _T_1546) @[lsu_bus_buffer.scala 332:80] + node _T_1548 = or(_T_1545, _T_1547) @[lsu_bus_buffer.scala 332:63] + node _T_1549 = bits(obuf_byteen0_in, 3, 3) @[lsu_bus_buffer.scala 332:59] + node _T_1550 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 332:97] + node _T_1551 = and(obuf_merge_en, _T_1550) @[lsu_bus_buffer.scala 332:80] + node _T_1552 = or(_T_1549, _T_1551) @[lsu_bus_buffer.scala 332:63] + node _T_1553 = bits(obuf_byteen0_in, 4, 4) @[lsu_bus_buffer.scala 332:59] + node _T_1554 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 332:97] + node _T_1555 = and(obuf_merge_en, _T_1554) @[lsu_bus_buffer.scala 332:80] + node _T_1556 = or(_T_1553, _T_1555) @[lsu_bus_buffer.scala 332:63] + node _T_1557 = bits(obuf_byteen0_in, 5, 5) @[lsu_bus_buffer.scala 332:59] + node _T_1558 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 332:97] + node _T_1559 = and(obuf_merge_en, _T_1558) @[lsu_bus_buffer.scala 332:80] + node _T_1560 = or(_T_1557, _T_1559) @[lsu_bus_buffer.scala 332:63] + node _T_1561 = bits(obuf_byteen0_in, 6, 6) @[lsu_bus_buffer.scala 332:59] + node _T_1562 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 332:97] + node _T_1563 = and(obuf_merge_en, _T_1562) @[lsu_bus_buffer.scala 332:80] + node _T_1564 = or(_T_1561, _T_1563) @[lsu_bus_buffer.scala 332:63] + node _T_1565 = bits(obuf_byteen0_in, 7, 7) @[lsu_bus_buffer.scala 332:59] + node _T_1566 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 332:97] + node _T_1567 = and(obuf_merge_en, _T_1566) @[lsu_bus_buffer.scala 332:80] + node _T_1568 = or(_T_1565, _T_1567) @[lsu_bus_buffer.scala 332:63] node _T_1569 = cat(_T_1568, _T_1564) @[Cat.scala 29:58] node _T_1570 = cat(_T_1569, _T_1560) @[Cat.scala 29:58] node _T_1571 = cat(_T_1570, _T_1556) @[Cat.scala 29:58] @@ -96907,46 +96955,46 @@ circuit quasar_wrapper : node _T_1573 = cat(_T_1572, _T_1548) @[Cat.scala 29:58] node _T_1574 = cat(_T_1573, _T_1544) @[Cat.scala 29:58] node obuf_byteen_in = cat(_T_1574, _T_1540) @[Cat.scala 29:58] - node _T_1575 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 334:76] - node _T_1576 = and(obuf_merge_en, _T_1575) @[lsu_bus_buffer.scala 334:59] - node _T_1577 = bits(obuf_data1_in, 7, 0) @[lsu_bus_buffer.scala 334:94] - node _T_1578 = bits(obuf_data0_in, 7, 0) @[lsu_bus_buffer.scala 334:123] - node _T_1579 = mux(_T_1576, _T_1577, _T_1578) @[lsu_bus_buffer.scala 334:44] - node _T_1580 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 334:76] - node _T_1581 = and(obuf_merge_en, _T_1580) @[lsu_bus_buffer.scala 334:59] - node _T_1582 = bits(obuf_data1_in, 15, 8) @[lsu_bus_buffer.scala 334:94] - node _T_1583 = bits(obuf_data0_in, 15, 8) @[lsu_bus_buffer.scala 334:123] - node _T_1584 = mux(_T_1581, _T_1582, _T_1583) @[lsu_bus_buffer.scala 334:44] - node _T_1585 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 334:76] - node _T_1586 = and(obuf_merge_en, _T_1585) @[lsu_bus_buffer.scala 334:59] - node _T_1587 = bits(obuf_data1_in, 23, 16) @[lsu_bus_buffer.scala 334:94] - node _T_1588 = bits(obuf_data0_in, 23, 16) @[lsu_bus_buffer.scala 334:123] - node _T_1589 = mux(_T_1586, _T_1587, _T_1588) @[lsu_bus_buffer.scala 334:44] - node _T_1590 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 334:76] - node _T_1591 = and(obuf_merge_en, _T_1590) @[lsu_bus_buffer.scala 334:59] - node _T_1592 = bits(obuf_data1_in, 31, 24) @[lsu_bus_buffer.scala 334:94] - node _T_1593 = bits(obuf_data0_in, 31, 24) @[lsu_bus_buffer.scala 334:123] - node _T_1594 = mux(_T_1591, _T_1592, _T_1593) @[lsu_bus_buffer.scala 334:44] - node _T_1595 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 334:76] - node _T_1596 = and(obuf_merge_en, _T_1595) @[lsu_bus_buffer.scala 334:59] - node _T_1597 = bits(obuf_data1_in, 39, 32) @[lsu_bus_buffer.scala 334:94] - node _T_1598 = bits(obuf_data0_in, 39, 32) @[lsu_bus_buffer.scala 334:123] - node _T_1599 = mux(_T_1596, _T_1597, _T_1598) @[lsu_bus_buffer.scala 334:44] - node _T_1600 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 334:76] - node _T_1601 = and(obuf_merge_en, _T_1600) @[lsu_bus_buffer.scala 334:59] - node _T_1602 = bits(obuf_data1_in, 47, 40) @[lsu_bus_buffer.scala 334:94] - node _T_1603 = bits(obuf_data0_in, 47, 40) @[lsu_bus_buffer.scala 334:123] - node _T_1604 = mux(_T_1601, _T_1602, _T_1603) @[lsu_bus_buffer.scala 334:44] - node _T_1605 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 334:76] - node _T_1606 = and(obuf_merge_en, _T_1605) @[lsu_bus_buffer.scala 334:59] - node _T_1607 = bits(obuf_data1_in, 55, 48) @[lsu_bus_buffer.scala 334:94] - node _T_1608 = bits(obuf_data0_in, 55, 48) @[lsu_bus_buffer.scala 334:123] - node _T_1609 = mux(_T_1606, _T_1607, _T_1608) @[lsu_bus_buffer.scala 334:44] - node _T_1610 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 334:76] - node _T_1611 = and(obuf_merge_en, _T_1610) @[lsu_bus_buffer.scala 334:59] - node _T_1612 = bits(obuf_data1_in, 63, 56) @[lsu_bus_buffer.scala 334:94] - node _T_1613 = bits(obuf_data0_in, 63, 56) @[lsu_bus_buffer.scala 334:123] - node _T_1614 = mux(_T_1611, _T_1612, _T_1613) @[lsu_bus_buffer.scala 334:44] + node _T_1575 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 333:76] + node _T_1576 = and(obuf_merge_en, _T_1575) @[lsu_bus_buffer.scala 333:59] + node _T_1577 = bits(obuf_data1_in, 7, 0) @[lsu_bus_buffer.scala 333:94] + node _T_1578 = bits(obuf_data0_in, 7, 0) @[lsu_bus_buffer.scala 333:123] + node _T_1579 = mux(_T_1576, _T_1577, _T_1578) @[lsu_bus_buffer.scala 333:44] + node _T_1580 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 333:76] + node _T_1581 = and(obuf_merge_en, _T_1580) @[lsu_bus_buffer.scala 333:59] + node _T_1582 = bits(obuf_data1_in, 15, 8) @[lsu_bus_buffer.scala 333:94] + node _T_1583 = bits(obuf_data0_in, 15, 8) @[lsu_bus_buffer.scala 333:123] + node _T_1584 = mux(_T_1581, _T_1582, _T_1583) @[lsu_bus_buffer.scala 333:44] + node _T_1585 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 333:76] + node _T_1586 = and(obuf_merge_en, _T_1585) @[lsu_bus_buffer.scala 333:59] + node _T_1587 = bits(obuf_data1_in, 23, 16) @[lsu_bus_buffer.scala 333:94] + node _T_1588 = bits(obuf_data0_in, 23, 16) @[lsu_bus_buffer.scala 333:123] + node _T_1589 = mux(_T_1586, _T_1587, _T_1588) @[lsu_bus_buffer.scala 333:44] + node _T_1590 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 333:76] + node _T_1591 = and(obuf_merge_en, _T_1590) @[lsu_bus_buffer.scala 333:59] + node _T_1592 = bits(obuf_data1_in, 31, 24) @[lsu_bus_buffer.scala 333:94] + node _T_1593 = bits(obuf_data0_in, 31, 24) @[lsu_bus_buffer.scala 333:123] + node _T_1594 = mux(_T_1591, _T_1592, _T_1593) @[lsu_bus_buffer.scala 333:44] + node _T_1595 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 333:76] + node _T_1596 = and(obuf_merge_en, _T_1595) @[lsu_bus_buffer.scala 333:59] + node _T_1597 = bits(obuf_data1_in, 39, 32) @[lsu_bus_buffer.scala 333:94] + node _T_1598 = bits(obuf_data0_in, 39, 32) @[lsu_bus_buffer.scala 333:123] + node _T_1599 = mux(_T_1596, _T_1597, _T_1598) @[lsu_bus_buffer.scala 333:44] + node _T_1600 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 333:76] + node _T_1601 = and(obuf_merge_en, _T_1600) @[lsu_bus_buffer.scala 333:59] + node _T_1602 = bits(obuf_data1_in, 47, 40) @[lsu_bus_buffer.scala 333:94] + node _T_1603 = bits(obuf_data0_in, 47, 40) @[lsu_bus_buffer.scala 333:123] + node _T_1604 = mux(_T_1601, _T_1602, _T_1603) @[lsu_bus_buffer.scala 333:44] + node _T_1605 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 333:76] + node _T_1606 = and(obuf_merge_en, _T_1605) @[lsu_bus_buffer.scala 333:59] + node _T_1607 = bits(obuf_data1_in, 55, 48) @[lsu_bus_buffer.scala 333:94] + node _T_1608 = bits(obuf_data0_in, 55, 48) @[lsu_bus_buffer.scala 333:123] + node _T_1609 = mux(_T_1606, _T_1607, _T_1608) @[lsu_bus_buffer.scala 333:44] + node _T_1610 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 333:76] + node _T_1611 = and(obuf_merge_en, _T_1610) @[lsu_bus_buffer.scala 333:59] + node _T_1612 = bits(obuf_data1_in, 63, 56) @[lsu_bus_buffer.scala 333:94] + node _T_1613 = bits(obuf_data0_in, 63, 56) @[lsu_bus_buffer.scala 333:123] + node _T_1614 = mux(_T_1611, _T_1612, _T_1613) @[lsu_bus_buffer.scala 333:44] node _T_1615 = cat(_T_1614, _T_1609) @[Cat.scala 29:58] node _T_1616 = cat(_T_1615, _T_1604) @[Cat.scala 29:58] node _T_1617 = cat(_T_1616, _T_1599) @[Cat.scala 29:58] @@ -96954,14 +97002,14 @@ circuit quasar_wrapper : node _T_1619 = cat(_T_1618, _T_1589) @[Cat.scala 29:58] node _T_1620 = cat(_T_1619, _T_1584) @[Cat.scala 29:58] node obuf_data_in = cat(_T_1620, _T_1579) @[Cat.scala 29:58] - wire buf_dualhi : UInt<1>[4] @[lsu_bus_buffer.scala 336:24] - buf_dualhi[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 337:14] - buf_dualhi[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 337:14] - buf_dualhi[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 337:14] - buf_dualhi[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 337:14] - node _T_1621 = neq(CmdPtr0, CmdPtr1) @[lsu_bus_buffer.scala 338:30] - node _T_1622 = and(_T_1621, found_cmdptr0) @[lsu_bus_buffer.scala 338:43] - node _T_1623 = and(_T_1622, found_cmdptr1) @[lsu_bus_buffer.scala 338:59] + wire buf_dualhi : UInt<1>[4] @[lsu_bus_buffer.scala 335:24] + buf_dualhi[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 336:14] + buf_dualhi[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 336:14] + buf_dualhi[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 336:14] + buf_dualhi[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 336:14] + node _T_1621 = neq(CmdPtr0, CmdPtr1) @[lsu_bus_buffer.scala 337:30] + node _T_1622 = and(_T_1621, found_cmdptr0) @[lsu_bus_buffer.scala 337:43] + node _T_1623 = and(_T_1622, found_cmdptr1) @[lsu_bus_buffer.scala 337:59] node _T_1624 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1625 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1626 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -96975,8 +97023,8 @@ circuit quasar_wrapper : node _T_1634 = or(_T_1633, _T_1631) @[Mux.scala 27:72] wire _T_1635 : UInt<3> @[Mux.scala 27:72] _T_1635 <= _T_1634 @[Mux.scala 27:72] - node _T_1636 = eq(_T_1635, UInt<3>("h02")) @[lsu_bus_buffer.scala 338:107] - node _T_1637 = and(_T_1623, _T_1636) @[lsu_bus_buffer.scala 338:75] + node _T_1636 = eq(_T_1635, UInt<3>("h02")) @[lsu_bus_buffer.scala 337:107] + node _T_1637 = and(_T_1623, _T_1636) @[lsu_bus_buffer.scala 337:75] node _T_1638 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1639 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1640 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -96990,8 +97038,8 @@ circuit quasar_wrapper : node _T_1648 = or(_T_1647, _T_1645) @[Mux.scala 27:72] wire _T_1649 : UInt<3> @[Mux.scala 27:72] _T_1649 <= _T_1648 @[Mux.scala 27:72] - node _T_1650 = eq(_T_1649, UInt<3>("h02")) @[lsu_bus_buffer.scala 338:150] - node _T_1651 = and(_T_1637, _T_1650) @[lsu_bus_buffer.scala 338:118] + node _T_1650 = eq(_T_1649, UInt<3>("h02")) @[lsu_bus_buffer.scala 337:150] + node _T_1651 = and(_T_1637, _T_1650) @[lsu_bus_buffer.scala 337:118] node _T_1652 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1653 = cat(_T_1652, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1654 = cat(_T_1653, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] @@ -97012,8 +97060,8 @@ circuit quasar_wrapper : node _T_1669 = or(_T_1668, _T_1666) @[Mux.scala 27:72] wire _T_1670 : UInt<1> @[Mux.scala 27:72] _T_1670 <= _T_1669 @[Mux.scala 27:72] - node _T_1671 = eq(_T_1670, UInt<1>("h00")) @[lsu_bus_buffer.scala 339:5] - node _T_1672 = and(_T_1651, _T_1671) @[lsu_bus_buffer.scala 338:161] + node _T_1671 = eq(_T_1670, UInt<1>("h00")) @[lsu_bus_buffer.scala 338:5] + node _T_1672 = and(_T_1651, _T_1671) @[lsu_bus_buffer.scala 337:161] node _T_1673 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] node _T_1674 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 57:129] node _T_1675 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] @@ -97031,8 +97079,8 @@ circuit quasar_wrapper : node _T_1687 = or(_T_1686, _T_1684) @[Mux.scala 27:72] wire _T_1688 : UInt<1> @[Mux.scala 27:72] _T_1688 <= _T_1687 @[Mux.scala 27:72] - node _T_1689 = eq(_T_1688, UInt<1>("h00")) @[lsu_bus_buffer.scala 339:87] - node _T_1690 = and(_T_1672, _T_1689) @[lsu_bus_buffer.scala 339:85] + node _T_1689 = eq(_T_1688, UInt<1>("h00")) @[lsu_bus_buffer.scala 338:87] + node _T_1690 = and(_T_1672, _T_1689) @[lsu_bus_buffer.scala 338:85] node _T_1691 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] node _T_1692 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] node _T_1693 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] @@ -97067,7 +97115,7 @@ circuit quasar_wrapper : node _T_1721 = or(_T_1720, _T_1718) @[Mux.scala 27:72] wire _T_1722 : UInt<1> @[Mux.scala 27:72] _T_1722 <= _T_1721 @[Mux.scala 27:72] - node _T_1723 = and(_T_1706, _T_1722) @[lsu_bus_buffer.scala 340:36] + node _T_1723 = and(_T_1706, _T_1722) @[lsu_bus_buffer.scala 339:36] node _T_1724 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1725 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1726 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -97081,7 +97129,7 @@ circuit quasar_wrapper : node _T_1734 = or(_T_1733, _T_1731) @[Mux.scala 27:72] wire _T_1735 : UInt<32> @[Mux.scala 27:72] _T_1735 <= _T_1734 @[Mux.scala 27:72] - node _T_1736 = bits(_T_1735, 31, 3) @[lsu_bus_buffer.scala 341:35] + node _T_1736 = bits(_T_1735, 31, 3) @[lsu_bus_buffer.scala 340:35] node _T_1737 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_1738 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_1739 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -97095,13 +97143,13 @@ circuit quasar_wrapper : node _T_1747 = or(_T_1746, _T_1744) @[Mux.scala 27:72] wire _T_1748 : UInt<32> @[Mux.scala 27:72] _T_1748 <= _T_1747 @[Mux.scala 27:72] - node _T_1749 = bits(_T_1748, 31, 3) @[lsu_bus_buffer.scala 341:71] - node _T_1750 = eq(_T_1736, _T_1749) @[lsu_bus_buffer.scala 341:41] - node _T_1751 = and(_T_1723, _T_1750) @[lsu_bus_buffer.scala 340:67] - node _T_1752 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 341:81] - node _T_1753 = and(_T_1751, _T_1752) @[lsu_bus_buffer.scala 341:79] - node _T_1754 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 341:107] - node _T_1755 = and(_T_1753, _T_1754) @[lsu_bus_buffer.scala 341:105] + node _T_1749 = bits(_T_1748, 31, 3) @[lsu_bus_buffer.scala 340:71] + node _T_1750 = eq(_T_1736, _T_1749) @[lsu_bus_buffer.scala 340:41] + node _T_1751 = and(_T_1723, _T_1750) @[lsu_bus_buffer.scala 339:67] + node _T_1752 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 340:81] + node _T_1753 = and(_T_1751, _T_1752) @[lsu_bus_buffer.scala 340:79] + node _T_1754 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 340:107] + node _T_1755 = and(_T_1753, _T_1754) @[lsu_bus_buffer.scala 340:105] node _T_1756 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] node _T_1757 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] node _T_1758 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] @@ -97119,7 +97167,7 @@ circuit quasar_wrapper : node _T_1770 = or(_T_1769, _T_1767) @[Mux.scala 27:72] wire _T_1771 : UInt<1> @[Mux.scala 27:72] _T_1771 <= _T_1770 @[Mux.scala 27:72] - node _T_1772 = eq(_T_1771, UInt<1>("h00")) @[lsu_bus_buffer.scala 342:8] + node _T_1772 = eq(_T_1771, UInt<1>("h00")) @[lsu_bus_buffer.scala 341:8] node _T_1773 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1774 = cat(_T_1773, buf_dual[1]) @[Cat.scala 29:58] node _T_1775 = cat(_T_1774, buf_dual[0]) @[Cat.scala 29:58] @@ -97140,7 +97188,7 @@ circuit quasar_wrapper : node _T_1790 = or(_T_1789, _T_1787) @[Mux.scala 27:72] wire _T_1791 : UInt<1> @[Mux.scala 27:72] _T_1791 <= _T_1790 @[Mux.scala 27:72] - node _T_1792 = and(_T_1772, _T_1791) @[lsu_bus_buffer.scala 342:38] + node _T_1792 = and(_T_1772, _T_1791) @[lsu_bus_buffer.scala 341:38] node _T_1793 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] node _T_1794 = cat(_T_1793, buf_dualhi[1]) @[Cat.scala 29:58] node _T_1795 = cat(_T_1794, buf_dualhi[0]) @[Cat.scala 29:58] @@ -97161,8 +97209,8 @@ circuit quasar_wrapper : node _T_1810 = or(_T_1809, _T_1807) @[Mux.scala 27:72] wire _T_1811 : UInt<1> @[Mux.scala 27:72] _T_1811 <= _T_1810 @[Mux.scala 27:72] - node _T_1812 = eq(_T_1811, UInt<1>("h00")) @[lsu_bus_buffer.scala 342:109] - node _T_1813 = and(_T_1792, _T_1812) @[lsu_bus_buffer.scala 342:107] + node _T_1812 = eq(_T_1811, UInt<1>("h00")) @[lsu_bus_buffer.scala 341:109] + node _T_1813 = and(_T_1792, _T_1812) @[lsu_bus_buffer.scala 341:107] node _T_1814 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] node _T_1815 = cat(_T_1814, buf_samedw[1]) @[Cat.scala 29:58] node _T_1816 = cat(_T_1815, buf_samedw[0]) @[Cat.scala 29:58] @@ -97183,43 +97231,43 @@ circuit quasar_wrapper : node _T_1831 = or(_T_1830, _T_1828) @[Mux.scala 27:72] wire _T_1832 : UInt<1> @[Mux.scala 27:72] _T_1832 <= _T_1831 @[Mux.scala 27:72] - node _T_1833 = and(_T_1813, _T_1832) @[lsu_bus_buffer.scala 342:179] - node _T_1834 = or(_T_1755, _T_1833) @[lsu_bus_buffer.scala 341:128] - node _T_1835 = and(_T_1690, _T_1834) @[lsu_bus_buffer.scala 339:122] - node _T_1836 = and(ibuf_buf_byp, ldst_samedw_r) @[lsu_bus_buffer.scala 343:19] - node _T_1837 = and(_T_1836, io.ldst_dual_r) @[lsu_bus_buffer.scala 343:35] - node _T_1838 = or(_T_1835, _T_1837) @[lsu_bus_buffer.scala 342:253] - obuf_merge_en <= _T_1838 @[lsu_bus_buffer.scala 338:17] - reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 345:55] - obuf_wr_enQ <= obuf_wr_en @[lsu_bus_buffer.scala 345:55] - node _T_1839 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 346:58] - node _T_1840 = eq(obuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 346:93] - node _T_1841 = and(_T_1839, _T_1840) @[lsu_bus_buffer.scala 346:91] - reg _T_1842 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 346:54] - _T_1842 <= _T_1841 @[lsu_bus_buffer.scala 346:54] - obuf_valid <= _T_1842 @[lsu_bus_buffer.scala 346:14] + node _T_1833 = and(_T_1813, _T_1832) @[lsu_bus_buffer.scala 341:179] + node _T_1834 = or(_T_1755, _T_1833) @[lsu_bus_buffer.scala 340:128] + node _T_1835 = and(_T_1690, _T_1834) @[lsu_bus_buffer.scala 338:122] + node _T_1836 = and(ibuf_buf_byp, ldst_samedw_r) @[lsu_bus_buffer.scala 342:19] + node _T_1837 = and(_T_1836, io.ldst_dual_r) @[lsu_bus_buffer.scala 342:35] + node _T_1838 = or(_T_1835, _T_1837) @[lsu_bus_buffer.scala 341:253] + obuf_merge_en <= _T_1838 @[lsu_bus_buffer.scala 337:17] + reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 344:55] + obuf_wr_enQ <= obuf_wr_en @[lsu_bus_buffer.scala 344:55] + node _T_1839 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 345:58] + node _T_1840 = eq(obuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 345:93] + node _T_1841 = and(_T_1839, _T_1840) @[lsu_bus_buffer.scala 345:91] + reg _T_1842 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 345:54] + _T_1842 <= _T_1841 @[lsu_bus_buffer.scala 345:54] + obuf_valid <= _T_1842 @[lsu_bus_buffer.scala 345:14] reg _T_1843 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1843 <= obuf_nosend_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_nosend <= _T_1843 @[lsu_bus_buffer.scala 347:15] - reg _T_1844 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 348:54] - _T_1844 <= obuf_cmd_done_in @[lsu_bus_buffer.scala 348:54] - obuf_cmd_done <= _T_1844 @[lsu_bus_buffer.scala 348:17] - reg _T_1845 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 349:55] - _T_1845 <= obuf_data_done_in @[lsu_bus_buffer.scala 349:55] - obuf_data_done <= _T_1845 @[lsu_bus_buffer.scala 349:18] - reg _T_1846 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 350:56] - _T_1846 <= obuf_rdrsp_pend_in @[lsu_bus_buffer.scala 350:56] - obuf_rdrsp_pend <= _T_1846 @[lsu_bus_buffer.scala 350:19] - reg _T_1847 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 351:55] - _T_1847 <= obuf_rdrsp_tag_in @[lsu_bus_buffer.scala 351:55] - obuf_rdrsp_tag <= _T_1847 @[lsu_bus_buffer.scala 351:18] + obuf_nosend <= _T_1843 @[lsu_bus_buffer.scala 346:15] + reg _T_1844 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 347:54] + _T_1844 <= obuf_cmd_done_in @[lsu_bus_buffer.scala 347:54] + obuf_cmd_done <= _T_1844 @[lsu_bus_buffer.scala 347:17] + reg _T_1845 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 348:55] + _T_1845 <= obuf_data_done_in @[lsu_bus_buffer.scala 348:55] + obuf_data_done <= _T_1845 @[lsu_bus_buffer.scala 348:18] + reg _T_1846 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 349:56] + _T_1846 <= obuf_rdrsp_pend_in @[lsu_bus_buffer.scala 349:56] + obuf_rdrsp_pend <= _T_1846 @[lsu_bus_buffer.scala 349:19] + reg _T_1847 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 350:55] + _T_1847 <= obuf_rdrsp_tag_in @[lsu_bus_buffer.scala 350:55] + obuf_rdrsp_tag <= _T_1847 @[lsu_bus_buffer.scala 350:18] reg _T_1848 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1848 <= obuf_tag0_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_tag0 <= _T_1848 @[lsu_bus_buffer.scala 352:13] + obuf_tag0 <= _T_1848 @[lsu_bus_buffer.scala 351:13] reg obuf_tag1 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_tag1 <= obuf_tag1_in @[Reg.scala 28:23] @@ -97232,17 +97280,17 @@ circuit quasar_wrapper : when obuf_wr_en : @[Reg.scala 28:19] _T_1849 <= obuf_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_write <= _T_1849 @[lsu_bus_buffer.scala 355:14] + obuf_write <= _T_1849 @[lsu_bus_buffer.scala 354:14] reg _T_1850 : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1850 <= obuf_sideeffect_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_sideeffect <= _T_1850 @[lsu_bus_buffer.scala 356:19] + obuf_sideeffect <= _T_1850 @[lsu_bus_buffer.scala 355:19] reg obuf_sz : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_sz <= obuf_sz_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - inst rvclkhdr_2 of rvclkhdr_814 @[el2_lib.scala 508:23] + inst rvclkhdr_2 of rvclkhdr_816 @[el2_lib.scala 508:23] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset rvclkhdr_2.io.clk <= clock @[el2_lib.scala 510:18] @@ -97250,12 +97298,12 @@ circuit quasar_wrapper : rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] reg _T_1851 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_1851 <= obuf_addr_in @[el2_lib.scala 514:16] - obuf_addr <= _T_1851 @[lsu_bus_buffer.scala 358:13] + obuf_addr <= _T_1851 @[lsu_bus_buffer.scala 357:13] reg obuf_byteen : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_byteen <= obuf_byteen_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - inst rvclkhdr_3 of rvclkhdr_815 @[el2_lib.scala 508:23] + inst rvclkhdr_3 of rvclkhdr_817 @[el2_lib.scala 508:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset rvclkhdr_3.io.clk <= clock @[el2_lib.scala 510:18] @@ -97263,1901 +97311,1901 @@ circuit quasar_wrapper : rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] obuf_data <= obuf_data_in @[el2_lib.scala 514:16] - reg _T_1852 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 361:54] - _T_1852 <= obuf_wr_timer_in @[lsu_bus_buffer.scala 361:54] - obuf_wr_timer <= _T_1852 @[lsu_bus_buffer.scala 361:17] + reg _T_1852 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 360:54] + _T_1852 <= obuf_wr_timer_in @[lsu_bus_buffer.scala 360:54] + obuf_wr_timer <= _T_1852 @[lsu_bus_buffer.scala 360:17] wire WrPtr0_m : UInt<2> WrPtr0_m <= UInt<1>("h00") - node _T_1853 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 364:65] - node _T_1854 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:30] - node _T_1855 = and(ibuf_valid, _T_1854) @[lsu_bus_buffer.scala 365:19] - node _T_1856 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 366:18] - node _T_1857 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 366:57] - node _T_1858 = and(io.ldst_dual_r, _T_1857) @[lsu_bus_buffer.scala 366:45] - node _T_1859 = or(_T_1856, _T_1858) @[lsu_bus_buffer.scala 366:27] - node _T_1860 = and(io.lsu_busreq_r, _T_1859) @[lsu_bus_buffer.scala 365:58] - node _T_1861 = or(_T_1855, _T_1860) @[lsu_bus_buffer.scala 365:39] - node _T_1862 = eq(_T_1861, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:5] - node _T_1863 = and(_T_1853, _T_1862) @[lsu_bus_buffer.scala 364:76] - node _T_1864 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 364:65] - node _T_1865 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 365:30] - node _T_1866 = and(ibuf_valid, _T_1865) @[lsu_bus_buffer.scala 365:19] - node _T_1867 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 366:18] - node _T_1868 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 366:57] - node _T_1869 = and(io.ldst_dual_r, _T_1868) @[lsu_bus_buffer.scala 366:45] - node _T_1870 = or(_T_1867, _T_1869) @[lsu_bus_buffer.scala 366:27] - node _T_1871 = and(io.lsu_busreq_r, _T_1870) @[lsu_bus_buffer.scala 365:58] - node _T_1872 = or(_T_1866, _T_1871) @[lsu_bus_buffer.scala 365:39] - node _T_1873 = eq(_T_1872, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:5] - node _T_1874 = and(_T_1864, _T_1873) @[lsu_bus_buffer.scala 364:76] - node _T_1875 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 364:65] - node _T_1876 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 365:30] - node _T_1877 = and(ibuf_valid, _T_1876) @[lsu_bus_buffer.scala 365:19] - node _T_1878 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 366:18] - node _T_1879 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 366:57] - node _T_1880 = and(io.ldst_dual_r, _T_1879) @[lsu_bus_buffer.scala 366:45] - node _T_1881 = or(_T_1878, _T_1880) @[lsu_bus_buffer.scala 366:27] - node _T_1882 = and(io.lsu_busreq_r, _T_1881) @[lsu_bus_buffer.scala 365:58] - node _T_1883 = or(_T_1877, _T_1882) @[lsu_bus_buffer.scala 365:39] - node _T_1884 = eq(_T_1883, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:5] - node _T_1885 = and(_T_1875, _T_1884) @[lsu_bus_buffer.scala 364:76] - node _T_1886 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 364:65] - node _T_1887 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 365:30] - node _T_1888 = and(ibuf_valid, _T_1887) @[lsu_bus_buffer.scala 365:19] - node _T_1889 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 366:18] - node _T_1890 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 366:57] - node _T_1891 = and(io.ldst_dual_r, _T_1890) @[lsu_bus_buffer.scala 366:45] - node _T_1892 = or(_T_1889, _T_1891) @[lsu_bus_buffer.scala 366:27] - node _T_1893 = and(io.lsu_busreq_r, _T_1892) @[lsu_bus_buffer.scala 365:58] - node _T_1894 = or(_T_1888, _T_1893) @[lsu_bus_buffer.scala 365:39] - node _T_1895 = eq(_T_1894, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:5] - node _T_1896 = and(_T_1886, _T_1895) @[lsu_bus_buffer.scala 364:76] + node _T_1853 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 363:65] + node _T_1854 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 364:30] + node _T_1855 = and(ibuf_valid, _T_1854) @[lsu_bus_buffer.scala 364:19] + node _T_1856 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:18] + node _T_1857 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:57] + node _T_1858 = and(io.ldst_dual_r, _T_1857) @[lsu_bus_buffer.scala 365:45] + node _T_1859 = or(_T_1856, _T_1858) @[lsu_bus_buffer.scala 365:27] + node _T_1860 = and(io.lsu_busreq_r, _T_1859) @[lsu_bus_buffer.scala 364:58] + node _T_1861 = or(_T_1855, _T_1860) @[lsu_bus_buffer.scala 364:39] + node _T_1862 = eq(_T_1861, UInt<1>("h00")) @[lsu_bus_buffer.scala 364:5] + node _T_1863 = and(_T_1853, _T_1862) @[lsu_bus_buffer.scala 363:76] + node _T_1864 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 363:65] + node _T_1865 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 364:30] + node _T_1866 = and(ibuf_valid, _T_1865) @[lsu_bus_buffer.scala 364:19] + node _T_1867 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 365:18] + node _T_1868 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 365:57] + node _T_1869 = and(io.ldst_dual_r, _T_1868) @[lsu_bus_buffer.scala 365:45] + node _T_1870 = or(_T_1867, _T_1869) @[lsu_bus_buffer.scala 365:27] + node _T_1871 = and(io.lsu_busreq_r, _T_1870) @[lsu_bus_buffer.scala 364:58] + node _T_1872 = or(_T_1866, _T_1871) @[lsu_bus_buffer.scala 364:39] + node _T_1873 = eq(_T_1872, UInt<1>("h00")) @[lsu_bus_buffer.scala 364:5] + node _T_1874 = and(_T_1864, _T_1873) @[lsu_bus_buffer.scala 363:76] + node _T_1875 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 363:65] + node _T_1876 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 364:30] + node _T_1877 = and(ibuf_valid, _T_1876) @[lsu_bus_buffer.scala 364:19] + node _T_1878 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 365:18] + node _T_1879 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 365:57] + node _T_1880 = and(io.ldst_dual_r, _T_1879) @[lsu_bus_buffer.scala 365:45] + node _T_1881 = or(_T_1878, _T_1880) @[lsu_bus_buffer.scala 365:27] + node _T_1882 = and(io.lsu_busreq_r, _T_1881) @[lsu_bus_buffer.scala 364:58] + node _T_1883 = or(_T_1877, _T_1882) @[lsu_bus_buffer.scala 364:39] + node _T_1884 = eq(_T_1883, UInt<1>("h00")) @[lsu_bus_buffer.scala 364:5] + node _T_1885 = and(_T_1875, _T_1884) @[lsu_bus_buffer.scala 363:76] + node _T_1886 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 363:65] + node _T_1887 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 364:30] + node _T_1888 = and(ibuf_valid, _T_1887) @[lsu_bus_buffer.scala 364:19] + node _T_1889 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 365:18] + node _T_1890 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 365:57] + node _T_1891 = and(io.ldst_dual_r, _T_1890) @[lsu_bus_buffer.scala 365:45] + node _T_1892 = or(_T_1889, _T_1891) @[lsu_bus_buffer.scala 365:27] + node _T_1893 = and(io.lsu_busreq_r, _T_1892) @[lsu_bus_buffer.scala 364:58] + node _T_1894 = or(_T_1888, _T_1893) @[lsu_bus_buffer.scala 364:39] + node _T_1895 = eq(_T_1894, UInt<1>("h00")) @[lsu_bus_buffer.scala 364:5] + node _T_1896 = and(_T_1886, _T_1895) @[lsu_bus_buffer.scala 363:76] node _T_1897 = mux(_T_1896, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] node _T_1898 = mux(_T_1885, UInt<2>("h02"), _T_1897) @[Mux.scala 98:16] node _T_1899 = mux(_T_1874, UInt<1>("h01"), _T_1898) @[Mux.scala 98:16] node _T_1900 = mux(_T_1863, UInt<1>("h00"), _T_1899) @[Mux.scala 98:16] - WrPtr0_m <= _T_1900 @[lsu_bus_buffer.scala 364:12] + WrPtr0_m <= _T_1900 @[lsu_bus_buffer.scala 363:12] wire WrPtr1_m : UInt<2> WrPtr1_m <= UInt<1>("h00") - node _T_1901 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 370:65] - node _T_1902 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:103] - node _T_1903 = and(ibuf_valid, _T_1902) @[lsu_bus_buffer.scala 370:92] - node _T_1904 = eq(WrPtr0_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 371:33] - node _T_1905 = and(io.lsu_busreq_m, _T_1904) @[lsu_bus_buffer.scala 371:22] - node _T_1906 = or(_T_1903, _T_1905) @[lsu_bus_buffer.scala 370:112] - node _T_1907 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:36] - node _T_1908 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 373:34] - node _T_1909 = and(io.ldst_dual_r, _T_1908) @[lsu_bus_buffer.scala 373:23] - node _T_1910 = or(_T_1907, _T_1909) @[lsu_bus_buffer.scala 372:46] - node _T_1911 = and(io.lsu_busreq_r, _T_1910) @[lsu_bus_buffer.scala 372:22] - node _T_1912 = or(_T_1906, _T_1911) @[lsu_bus_buffer.scala 371:42] - node _T_1913 = eq(_T_1912, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:78] - node _T_1914 = and(_T_1901, _T_1913) @[lsu_bus_buffer.scala 370:76] - node _T_1915 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 370:65] - node _T_1916 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 370:103] - node _T_1917 = and(ibuf_valid, _T_1916) @[lsu_bus_buffer.scala 370:92] - node _T_1918 = eq(WrPtr0_m, UInt<1>("h01")) @[lsu_bus_buffer.scala 371:33] - node _T_1919 = and(io.lsu_busreq_m, _T_1918) @[lsu_bus_buffer.scala 371:22] - node _T_1920 = or(_T_1917, _T_1919) @[lsu_bus_buffer.scala 370:112] - node _T_1921 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 372:36] - node _T_1922 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 373:34] - node _T_1923 = and(io.ldst_dual_r, _T_1922) @[lsu_bus_buffer.scala 373:23] - node _T_1924 = or(_T_1921, _T_1923) @[lsu_bus_buffer.scala 372:46] - node _T_1925 = and(io.lsu_busreq_r, _T_1924) @[lsu_bus_buffer.scala 372:22] - node _T_1926 = or(_T_1920, _T_1925) @[lsu_bus_buffer.scala 371:42] - node _T_1927 = eq(_T_1926, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:78] - node _T_1928 = and(_T_1915, _T_1927) @[lsu_bus_buffer.scala 370:76] - node _T_1929 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 370:65] - node _T_1930 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 370:103] - node _T_1931 = and(ibuf_valid, _T_1930) @[lsu_bus_buffer.scala 370:92] - node _T_1932 = eq(WrPtr0_m, UInt<2>("h02")) @[lsu_bus_buffer.scala 371:33] - node _T_1933 = and(io.lsu_busreq_m, _T_1932) @[lsu_bus_buffer.scala 371:22] - node _T_1934 = or(_T_1931, _T_1933) @[lsu_bus_buffer.scala 370:112] - node _T_1935 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 372:36] - node _T_1936 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 373:34] - node _T_1937 = and(io.ldst_dual_r, _T_1936) @[lsu_bus_buffer.scala 373:23] - node _T_1938 = or(_T_1935, _T_1937) @[lsu_bus_buffer.scala 372:46] - node _T_1939 = and(io.lsu_busreq_r, _T_1938) @[lsu_bus_buffer.scala 372:22] - node _T_1940 = or(_T_1934, _T_1939) @[lsu_bus_buffer.scala 371:42] - node _T_1941 = eq(_T_1940, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:78] - node _T_1942 = and(_T_1929, _T_1941) @[lsu_bus_buffer.scala 370:76] - node _T_1943 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 370:65] - node _T_1944 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 370:103] - node _T_1945 = and(ibuf_valid, _T_1944) @[lsu_bus_buffer.scala 370:92] - node _T_1946 = eq(WrPtr0_m, UInt<2>("h03")) @[lsu_bus_buffer.scala 371:33] - node _T_1947 = and(io.lsu_busreq_m, _T_1946) @[lsu_bus_buffer.scala 371:22] - node _T_1948 = or(_T_1945, _T_1947) @[lsu_bus_buffer.scala 370:112] - node _T_1949 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 372:36] - node _T_1950 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 373:34] - node _T_1951 = and(io.ldst_dual_r, _T_1950) @[lsu_bus_buffer.scala 373:23] - node _T_1952 = or(_T_1949, _T_1951) @[lsu_bus_buffer.scala 372:46] - node _T_1953 = and(io.lsu_busreq_r, _T_1952) @[lsu_bus_buffer.scala 372:22] - node _T_1954 = or(_T_1948, _T_1953) @[lsu_bus_buffer.scala 371:42] - node _T_1955 = eq(_T_1954, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:78] - node _T_1956 = and(_T_1943, _T_1955) @[lsu_bus_buffer.scala 370:76] + node _T_1901 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] + node _T_1902 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:103] + node _T_1903 = and(ibuf_valid, _T_1902) @[lsu_bus_buffer.scala 369:92] + node _T_1904 = eq(WrPtr0_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:33] + node _T_1905 = and(io.lsu_busreq_m, _T_1904) @[lsu_bus_buffer.scala 370:22] + node _T_1906 = or(_T_1903, _T_1905) @[lsu_bus_buffer.scala 369:112] + node _T_1907 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 371:36] + node _T_1908 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:34] + node _T_1909 = and(io.ldst_dual_r, _T_1908) @[lsu_bus_buffer.scala 372:23] + node _T_1910 = or(_T_1907, _T_1909) @[lsu_bus_buffer.scala 371:46] + node _T_1911 = and(io.lsu_busreq_r, _T_1910) @[lsu_bus_buffer.scala 371:22] + node _T_1912 = or(_T_1906, _T_1911) @[lsu_bus_buffer.scala 370:42] + node _T_1913 = eq(_T_1912, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:78] + node _T_1914 = and(_T_1901, _T_1913) @[lsu_bus_buffer.scala 369:76] + node _T_1915 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] + node _T_1916 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 369:103] + node _T_1917 = and(ibuf_valid, _T_1916) @[lsu_bus_buffer.scala 369:92] + node _T_1918 = eq(WrPtr0_m, UInt<1>("h01")) @[lsu_bus_buffer.scala 370:33] + node _T_1919 = and(io.lsu_busreq_m, _T_1918) @[lsu_bus_buffer.scala 370:22] + node _T_1920 = or(_T_1917, _T_1919) @[lsu_bus_buffer.scala 369:112] + node _T_1921 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 371:36] + node _T_1922 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 372:34] + node _T_1923 = and(io.ldst_dual_r, _T_1922) @[lsu_bus_buffer.scala 372:23] + node _T_1924 = or(_T_1921, _T_1923) @[lsu_bus_buffer.scala 371:46] + node _T_1925 = and(io.lsu_busreq_r, _T_1924) @[lsu_bus_buffer.scala 371:22] + node _T_1926 = or(_T_1920, _T_1925) @[lsu_bus_buffer.scala 370:42] + node _T_1927 = eq(_T_1926, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:78] + node _T_1928 = and(_T_1915, _T_1927) @[lsu_bus_buffer.scala 369:76] + node _T_1929 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] + node _T_1930 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 369:103] + node _T_1931 = and(ibuf_valid, _T_1930) @[lsu_bus_buffer.scala 369:92] + node _T_1932 = eq(WrPtr0_m, UInt<2>("h02")) @[lsu_bus_buffer.scala 370:33] + node _T_1933 = and(io.lsu_busreq_m, _T_1932) @[lsu_bus_buffer.scala 370:22] + node _T_1934 = or(_T_1931, _T_1933) @[lsu_bus_buffer.scala 369:112] + node _T_1935 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 371:36] + node _T_1936 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 372:34] + node _T_1937 = and(io.ldst_dual_r, _T_1936) @[lsu_bus_buffer.scala 372:23] + node _T_1938 = or(_T_1935, _T_1937) @[lsu_bus_buffer.scala 371:46] + node _T_1939 = and(io.lsu_busreq_r, _T_1938) @[lsu_bus_buffer.scala 371:22] + node _T_1940 = or(_T_1934, _T_1939) @[lsu_bus_buffer.scala 370:42] + node _T_1941 = eq(_T_1940, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:78] + node _T_1942 = and(_T_1929, _T_1941) @[lsu_bus_buffer.scala 369:76] + node _T_1943 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] + node _T_1944 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 369:103] + node _T_1945 = and(ibuf_valid, _T_1944) @[lsu_bus_buffer.scala 369:92] + node _T_1946 = eq(WrPtr0_m, UInt<2>("h03")) @[lsu_bus_buffer.scala 370:33] + node _T_1947 = and(io.lsu_busreq_m, _T_1946) @[lsu_bus_buffer.scala 370:22] + node _T_1948 = or(_T_1945, _T_1947) @[lsu_bus_buffer.scala 369:112] + node _T_1949 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 371:36] + node _T_1950 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 372:34] + node _T_1951 = and(io.ldst_dual_r, _T_1950) @[lsu_bus_buffer.scala 372:23] + node _T_1952 = or(_T_1949, _T_1951) @[lsu_bus_buffer.scala 371:46] + node _T_1953 = and(io.lsu_busreq_r, _T_1952) @[lsu_bus_buffer.scala 371:22] + node _T_1954 = or(_T_1948, _T_1953) @[lsu_bus_buffer.scala 370:42] + node _T_1955 = eq(_T_1954, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:78] + node _T_1956 = and(_T_1943, _T_1955) @[lsu_bus_buffer.scala 369:76] node _T_1957 = mux(_T_1956, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] node _T_1958 = mux(_T_1942, UInt<2>("h02"), _T_1957) @[Mux.scala 98:16] node _T_1959 = mux(_T_1928, UInt<1>("h01"), _T_1958) @[Mux.scala 98:16] node _T_1960 = mux(_T_1914, UInt<1>("h00"), _T_1959) @[Mux.scala 98:16] - WrPtr1_m <= _T_1960 @[lsu_bus_buffer.scala 370:12] - wire buf_age : UInt<4>[4] @[lsu_bus_buffer.scala 375:21] - buf_age[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 376:11] - buf_age[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 376:11] - buf_age[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 376:11] - buf_age[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 376:11] - node _T_1961 = orr(buf_age[0]) @[lsu_bus_buffer.scala 378:58] - node _T_1962 = eq(_T_1961, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] - node _T_1963 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:78] - node _T_1964 = and(_T_1962, _T_1963) @[lsu_bus_buffer.scala 378:63] - node _T_1965 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:90] - node _T_1966 = and(_T_1964, _T_1965) @[lsu_bus_buffer.scala 378:88] - node _T_1967 = orr(buf_age[1]) @[lsu_bus_buffer.scala 378:58] - node _T_1968 = eq(_T_1967, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] - node _T_1969 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:78] - node _T_1970 = and(_T_1968, _T_1969) @[lsu_bus_buffer.scala 378:63] - node _T_1971 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:90] - node _T_1972 = and(_T_1970, _T_1971) @[lsu_bus_buffer.scala 378:88] - node _T_1973 = orr(buf_age[2]) @[lsu_bus_buffer.scala 378:58] - node _T_1974 = eq(_T_1973, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] - node _T_1975 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:78] - node _T_1976 = and(_T_1974, _T_1975) @[lsu_bus_buffer.scala 378:63] - node _T_1977 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:90] - node _T_1978 = and(_T_1976, _T_1977) @[lsu_bus_buffer.scala 378:88] - node _T_1979 = orr(buf_age[3]) @[lsu_bus_buffer.scala 378:58] - node _T_1980 = eq(_T_1979, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] - node _T_1981 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:78] - node _T_1982 = and(_T_1980, _T_1981) @[lsu_bus_buffer.scala 378:63] - node _T_1983 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:90] - node _T_1984 = and(_T_1982, _T_1983) @[lsu_bus_buffer.scala 378:88] + WrPtr1_m <= _T_1960 @[lsu_bus_buffer.scala 369:12] + wire buf_age : UInt<4>[4] @[lsu_bus_buffer.scala 374:21] + buf_age[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 375:11] + buf_age[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 375:11] + buf_age[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 375:11] + buf_age[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 375:11] + node _T_1961 = orr(buf_age[0]) @[lsu_bus_buffer.scala 377:58] + node _T_1962 = eq(_T_1961, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:45] + node _T_1963 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 377:78] + node _T_1964 = and(_T_1962, _T_1963) @[lsu_bus_buffer.scala 377:63] + node _T_1965 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 377:90] + node _T_1966 = and(_T_1964, _T_1965) @[lsu_bus_buffer.scala 377:88] + node _T_1967 = orr(buf_age[1]) @[lsu_bus_buffer.scala 377:58] + node _T_1968 = eq(_T_1967, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:45] + node _T_1969 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 377:78] + node _T_1970 = and(_T_1968, _T_1969) @[lsu_bus_buffer.scala 377:63] + node _T_1971 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 377:90] + node _T_1972 = and(_T_1970, _T_1971) @[lsu_bus_buffer.scala 377:88] + node _T_1973 = orr(buf_age[2]) @[lsu_bus_buffer.scala 377:58] + node _T_1974 = eq(_T_1973, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:45] + node _T_1975 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 377:78] + node _T_1976 = and(_T_1974, _T_1975) @[lsu_bus_buffer.scala 377:63] + node _T_1977 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 377:90] + node _T_1978 = and(_T_1976, _T_1977) @[lsu_bus_buffer.scala 377:88] + node _T_1979 = orr(buf_age[3]) @[lsu_bus_buffer.scala 377:58] + node _T_1980 = eq(_T_1979, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:45] + node _T_1981 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 377:78] + node _T_1982 = and(_T_1980, _T_1981) @[lsu_bus_buffer.scala 377:63] + node _T_1983 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 377:90] + node _T_1984 = and(_T_1982, _T_1983) @[lsu_bus_buffer.scala 377:88] node _T_1985 = cat(_T_1984, _T_1978) @[Cat.scala 29:58] node _T_1986 = cat(_T_1985, _T_1972) @[Cat.scala 29:58] node CmdPtr0Dec = cat(_T_1986, _T_1966) @[Cat.scala 29:58] - node _T_1987 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 379:62] - node _T_1988 = and(buf_age[0], _T_1987) @[lsu_bus_buffer.scala 379:59] - node _T_1989 = orr(_T_1988) @[lsu_bus_buffer.scala 379:76] - node _T_1990 = eq(_T_1989, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:45] - node _T_1991 = bits(CmdPtr0Dec, 0, 0) @[lsu_bus_buffer.scala 379:94] - node _T_1992 = eq(_T_1991, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:83] - node _T_1993 = and(_T_1990, _T_1992) @[lsu_bus_buffer.scala 379:81] - node _T_1994 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 379:113] - node _T_1995 = and(_T_1993, _T_1994) @[lsu_bus_buffer.scala 379:98] - node _T_1996 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 379:125] - node _T_1997 = and(_T_1995, _T_1996) @[lsu_bus_buffer.scala 379:123] - node _T_1998 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 379:62] - node _T_1999 = and(buf_age[1], _T_1998) @[lsu_bus_buffer.scala 379:59] - node _T_2000 = orr(_T_1999) @[lsu_bus_buffer.scala 379:76] - node _T_2001 = eq(_T_2000, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:45] - node _T_2002 = bits(CmdPtr0Dec, 1, 1) @[lsu_bus_buffer.scala 379:94] - node _T_2003 = eq(_T_2002, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:83] - node _T_2004 = and(_T_2001, _T_2003) @[lsu_bus_buffer.scala 379:81] - node _T_2005 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 379:113] - node _T_2006 = and(_T_2004, _T_2005) @[lsu_bus_buffer.scala 379:98] - node _T_2007 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 379:125] - node _T_2008 = and(_T_2006, _T_2007) @[lsu_bus_buffer.scala 379:123] - node _T_2009 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 379:62] - node _T_2010 = and(buf_age[2], _T_2009) @[lsu_bus_buffer.scala 379:59] - node _T_2011 = orr(_T_2010) @[lsu_bus_buffer.scala 379:76] - node _T_2012 = eq(_T_2011, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:45] - node _T_2013 = bits(CmdPtr0Dec, 2, 2) @[lsu_bus_buffer.scala 379:94] - node _T_2014 = eq(_T_2013, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:83] - node _T_2015 = and(_T_2012, _T_2014) @[lsu_bus_buffer.scala 379:81] - node _T_2016 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 379:113] - node _T_2017 = and(_T_2015, _T_2016) @[lsu_bus_buffer.scala 379:98] - node _T_2018 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 379:125] - node _T_2019 = and(_T_2017, _T_2018) @[lsu_bus_buffer.scala 379:123] - node _T_2020 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 379:62] - node _T_2021 = and(buf_age[3], _T_2020) @[lsu_bus_buffer.scala 379:59] - node _T_2022 = orr(_T_2021) @[lsu_bus_buffer.scala 379:76] - node _T_2023 = eq(_T_2022, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:45] - node _T_2024 = bits(CmdPtr0Dec, 3, 3) @[lsu_bus_buffer.scala 379:94] - node _T_2025 = eq(_T_2024, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:83] - node _T_2026 = and(_T_2023, _T_2025) @[lsu_bus_buffer.scala 379:81] - node _T_2027 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 379:113] - node _T_2028 = and(_T_2026, _T_2027) @[lsu_bus_buffer.scala 379:98] - node _T_2029 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 379:125] - node _T_2030 = and(_T_2028, _T_2029) @[lsu_bus_buffer.scala 379:123] + node _T_1987 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 378:62] + node _T_1988 = and(buf_age[0], _T_1987) @[lsu_bus_buffer.scala 378:59] + node _T_1989 = orr(_T_1988) @[lsu_bus_buffer.scala 378:76] + node _T_1990 = eq(_T_1989, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] + node _T_1991 = bits(CmdPtr0Dec, 0, 0) @[lsu_bus_buffer.scala 378:94] + node _T_1992 = eq(_T_1991, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:83] + node _T_1993 = and(_T_1990, _T_1992) @[lsu_bus_buffer.scala 378:81] + node _T_1994 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:113] + node _T_1995 = and(_T_1993, _T_1994) @[lsu_bus_buffer.scala 378:98] + node _T_1996 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:125] + node _T_1997 = and(_T_1995, _T_1996) @[lsu_bus_buffer.scala 378:123] + node _T_1998 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 378:62] + node _T_1999 = and(buf_age[1], _T_1998) @[lsu_bus_buffer.scala 378:59] + node _T_2000 = orr(_T_1999) @[lsu_bus_buffer.scala 378:76] + node _T_2001 = eq(_T_2000, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] + node _T_2002 = bits(CmdPtr0Dec, 1, 1) @[lsu_bus_buffer.scala 378:94] + node _T_2003 = eq(_T_2002, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:83] + node _T_2004 = and(_T_2001, _T_2003) @[lsu_bus_buffer.scala 378:81] + node _T_2005 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:113] + node _T_2006 = and(_T_2004, _T_2005) @[lsu_bus_buffer.scala 378:98] + node _T_2007 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:125] + node _T_2008 = and(_T_2006, _T_2007) @[lsu_bus_buffer.scala 378:123] + node _T_2009 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 378:62] + node _T_2010 = and(buf_age[2], _T_2009) @[lsu_bus_buffer.scala 378:59] + node _T_2011 = orr(_T_2010) @[lsu_bus_buffer.scala 378:76] + node _T_2012 = eq(_T_2011, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] + node _T_2013 = bits(CmdPtr0Dec, 2, 2) @[lsu_bus_buffer.scala 378:94] + node _T_2014 = eq(_T_2013, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:83] + node _T_2015 = and(_T_2012, _T_2014) @[lsu_bus_buffer.scala 378:81] + node _T_2016 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:113] + node _T_2017 = and(_T_2015, _T_2016) @[lsu_bus_buffer.scala 378:98] + node _T_2018 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:125] + node _T_2019 = and(_T_2017, _T_2018) @[lsu_bus_buffer.scala 378:123] + node _T_2020 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 378:62] + node _T_2021 = and(buf_age[3], _T_2020) @[lsu_bus_buffer.scala 378:59] + node _T_2022 = orr(_T_2021) @[lsu_bus_buffer.scala 378:76] + node _T_2023 = eq(_T_2022, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] + node _T_2024 = bits(CmdPtr0Dec, 3, 3) @[lsu_bus_buffer.scala 378:94] + node _T_2025 = eq(_T_2024, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:83] + node _T_2026 = and(_T_2023, _T_2025) @[lsu_bus_buffer.scala 378:81] + node _T_2027 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:113] + node _T_2028 = and(_T_2026, _T_2027) @[lsu_bus_buffer.scala 378:98] + node _T_2029 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:125] + node _T_2030 = and(_T_2028, _T_2029) @[lsu_bus_buffer.scala 378:123] node _T_2031 = cat(_T_2030, _T_2019) @[Cat.scala 29:58] node _T_2032 = cat(_T_2031, _T_2008) @[Cat.scala 29:58] node CmdPtr1Dec = cat(_T_2032, _T_1997) @[Cat.scala 29:58] - wire buf_rsp_pickage : UInt<4>[4] @[lsu_bus_buffer.scala 380:29] - buf_rsp_pickage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:19] - buf_rsp_pickage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:19] - buf_rsp_pickage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:19] - buf_rsp_pickage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:19] - node _T_2033 = orr(buf_rsp_pickage[0]) @[lsu_bus_buffer.scala 382:65] - node _T_2034 = eq(_T_2033, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:44] - node _T_2035 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 382:85] - node _T_2036 = and(_T_2034, _T_2035) @[lsu_bus_buffer.scala 382:70] - node _T_2037 = orr(buf_rsp_pickage[1]) @[lsu_bus_buffer.scala 382:65] - node _T_2038 = eq(_T_2037, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:44] - node _T_2039 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 382:85] - node _T_2040 = and(_T_2038, _T_2039) @[lsu_bus_buffer.scala 382:70] - node _T_2041 = orr(buf_rsp_pickage[2]) @[lsu_bus_buffer.scala 382:65] - node _T_2042 = eq(_T_2041, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:44] - node _T_2043 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 382:85] - node _T_2044 = and(_T_2042, _T_2043) @[lsu_bus_buffer.scala 382:70] - node _T_2045 = orr(buf_rsp_pickage[3]) @[lsu_bus_buffer.scala 382:65] - node _T_2046 = eq(_T_2045, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:44] - node _T_2047 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 382:85] - node _T_2048 = and(_T_2046, _T_2047) @[lsu_bus_buffer.scala 382:70] + wire buf_rsp_pickage : UInt<4>[4] @[lsu_bus_buffer.scala 379:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 380:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 380:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 380:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 380:19] + node _T_2033 = orr(buf_rsp_pickage[0]) @[lsu_bus_buffer.scala 381:65] + node _T_2034 = eq(_T_2033, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:44] + node _T_2035 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 381:85] + node _T_2036 = and(_T_2034, _T_2035) @[lsu_bus_buffer.scala 381:70] + node _T_2037 = orr(buf_rsp_pickage[1]) @[lsu_bus_buffer.scala 381:65] + node _T_2038 = eq(_T_2037, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:44] + node _T_2039 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 381:85] + node _T_2040 = and(_T_2038, _T_2039) @[lsu_bus_buffer.scala 381:70] + node _T_2041 = orr(buf_rsp_pickage[2]) @[lsu_bus_buffer.scala 381:65] + node _T_2042 = eq(_T_2041, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:44] + node _T_2043 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 381:85] + node _T_2044 = and(_T_2042, _T_2043) @[lsu_bus_buffer.scala 381:70] + node _T_2045 = orr(buf_rsp_pickage[3]) @[lsu_bus_buffer.scala 381:65] + node _T_2046 = eq(_T_2045, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:44] + node _T_2047 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 381:85] + node _T_2048 = and(_T_2046, _T_2047) @[lsu_bus_buffer.scala 381:70] node _T_2049 = cat(_T_2048, _T_2044) @[Cat.scala 29:58] node _T_2050 = cat(_T_2049, _T_2040) @[Cat.scala 29:58] node RspPtrDec = cat(_T_2050, _T_2036) @[Cat.scala 29:58] - node _T_2051 = orr(CmdPtr0Dec) @[lsu_bus_buffer.scala 383:31] - found_cmdptr0 <= _T_2051 @[lsu_bus_buffer.scala 383:17] - node _T_2052 = orr(CmdPtr1Dec) @[lsu_bus_buffer.scala 384:31] - found_cmdptr1 <= _T_2052 @[lsu_bus_buffer.scala 384:17] + node _T_2051 = orr(CmdPtr0Dec) @[lsu_bus_buffer.scala 382:31] + found_cmdptr0 <= _T_2051 @[lsu_bus_buffer.scala 382:17] + node _T_2052 = orr(CmdPtr1Dec) @[lsu_bus_buffer.scala 383:31] + found_cmdptr1 <= _T_2052 @[lsu_bus_buffer.scala 383:17] wire RspPtr : UInt<2> RspPtr <= UInt<1>("h00") node _T_2053 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2054 = cat(_T_2053, CmdPtr0Dec) @[Cat.scala 29:58] - node _T_2055 = bits(_T_2054, 4, 4) @[lsu_bus_buffer.scala 386:39] - node _T_2056 = bits(_T_2054, 5, 5) @[lsu_bus_buffer.scala 386:45] - node _T_2057 = or(_T_2055, _T_2056) @[lsu_bus_buffer.scala 386:42] - node _T_2058 = bits(_T_2054, 6, 6) @[lsu_bus_buffer.scala 386:51] - node _T_2059 = or(_T_2057, _T_2058) @[lsu_bus_buffer.scala 386:48] - node _T_2060 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 386:57] - node _T_2061 = or(_T_2059, _T_2060) @[lsu_bus_buffer.scala 386:54] - node _T_2062 = bits(_T_2054, 2, 2) @[lsu_bus_buffer.scala 386:64] - node _T_2063 = bits(_T_2054, 3, 3) @[lsu_bus_buffer.scala 386:70] - node _T_2064 = or(_T_2062, _T_2063) @[lsu_bus_buffer.scala 386:67] - node _T_2065 = bits(_T_2054, 6, 6) @[lsu_bus_buffer.scala 386:76] - node _T_2066 = or(_T_2064, _T_2065) @[lsu_bus_buffer.scala 386:73] - node _T_2067 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 386:82] - node _T_2068 = or(_T_2066, _T_2067) @[lsu_bus_buffer.scala 386:79] - node _T_2069 = bits(_T_2054, 1, 1) @[lsu_bus_buffer.scala 386:89] - node _T_2070 = bits(_T_2054, 3, 3) @[lsu_bus_buffer.scala 386:95] - node _T_2071 = or(_T_2069, _T_2070) @[lsu_bus_buffer.scala 386:92] - node _T_2072 = bits(_T_2054, 5, 5) @[lsu_bus_buffer.scala 386:101] - node _T_2073 = or(_T_2071, _T_2072) @[lsu_bus_buffer.scala 386:98] - node _T_2074 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 386:107] - node _T_2075 = or(_T_2073, _T_2074) @[lsu_bus_buffer.scala 386:104] + node _T_2055 = bits(_T_2054, 4, 4) @[lsu_bus_buffer.scala 385:39] + node _T_2056 = bits(_T_2054, 5, 5) @[lsu_bus_buffer.scala 385:45] + node _T_2057 = or(_T_2055, _T_2056) @[lsu_bus_buffer.scala 385:42] + node _T_2058 = bits(_T_2054, 6, 6) @[lsu_bus_buffer.scala 385:51] + node _T_2059 = or(_T_2057, _T_2058) @[lsu_bus_buffer.scala 385:48] + node _T_2060 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 385:57] + node _T_2061 = or(_T_2059, _T_2060) @[lsu_bus_buffer.scala 385:54] + node _T_2062 = bits(_T_2054, 2, 2) @[lsu_bus_buffer.scala 385:64] + node _T_2063 = bits(_T_2054, 3, 3) @[lsu_bus_buffer.scala 385:70] + node _T_2064 = or(_T_2062, _T_2063) @[lsu_bus_buffer.scala 385:67] + node _T_2065 = bits(_T_2054, 6, 6) @[lsu_bus_buffer.scala 385:76] + node _T_2066 = or(_T_2064, _T_2065) @[lsu_bus_buffer.scala 385:73] + node _T_2067 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 385:82] + node _T_2068 = or(_T_2066, _T_2067) @[lsu_bus_buffer.scala 385:79] + node _T_2069 = bits(_T_2054, 1, 1) @[lsu_bus_buffer.scala 385:89] + node _T_2070 = bits(_T_2054, 3, 3) @[lsu_bus_buffer.scala 385:95] + node _T_2071 = or(_T_2069, _T_2070) @[lsu_bus_buffer.scala 385:92] + node _T_2072 = bits(_T_2054, 5, 5) @[lsu_bus_buffer.scala 385:101] + node _T_2073 = or(_T_2071, _T_2072) @[lsu_bus_buffer.scala 385:98] + node _T_2074 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 385:107] + node _T_2075 = or(_T_2073, _T_2074) @[lsu_bus_buffer.scala 385:104] node _T_2076 = cat(_T_2061, _T_2068) @[Cat.scala 29:58] node _T_2077 = cat(_T_2076, _T_2075) @[Cat.scala 29:58] - CmdPtr0 <= _T_2077 @[lsu_bus_buffer.scala 391:11] + CmdPtr0 <= _T_2077 @[lsu_bus_buffer.scala 390:11] node _T_2078 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2079 = cat(_T_2078, CmdPtr1Dec) @[Cat.scala 29:58] - node _T_2080 = bits(_T_2079, 4, 4) @[lsu_bus_buffer.scala 386:39] - node _T_2081 = bits(_T_2079, 5, 5) @[lsu_bus_buffer.scala 386:45] - node _T_2082 = or(_T_2080, _T_2081) @[lsu_bus_buffer.scala 386:42] - node _T_2083 = bits(_T_2079, 6, 6) @[lsu_bus_buffer.scala 386:51] - node _T_2084 = or(_T_2082, _T_2083) @[lsu_bus_buffer.scala 386:48] - node _T_2085 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 386:57] - node _T_2086 = or(_T_2084, _T_2085) @[lsu_bus_buffer.scala 386:54] - node _T_2087 = bits(_T_2079, 2, 2) @[lsu_bus_buffer.scala 386:64] - node _T_2088 = bits(_T_2079, 3, 3) @[lsu_bus_buffer.scala 386:70] - node _T_2089 = or(_T_2087, _T_2088) @[lsu_bus_buffer.scala 386:67] - node _T_2090 = bits(_T_2079, 6, 6) @[lsu_bus_buffer.scala 386:76] - node _T_2091 = or(_T_2089, _T_2090) @[lsu_bus_buffer.scala 386:73] - node _T_2092 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 386:82] - node _T_2093 = or(_T_2091, _T_2092) @[lsu_bus_buffer.scala 386:79] - node _T_2094 = bits(_T_2079, 1, 1) @[lsu_bus_buffer.scala 386:89] - node _T_2095 = bits(_T_2079, 3, 3) @[lsu_bus_buffer.scala 386:95] - node _T_2096 = or(_T_2094, _T_2095) @[lsu_bus_buffer.scala 386:92] - node _T_2097 = bits(_T_2079, 5, 5) @[lsu_bus_buffer.scala 386:101] - node _T_2098 = or(_T_2096, _T_2097) @[lsu_bus_buffer.scala 386:98] - node _T_2099 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 386:107] - node _T_2100 = or(_T_2098, _T_2099) @[lsu_bus_buffer.scala 386:104] + node _T_2080 = bits(_T_2079, 4, 4) @[lsu_bus_buffer.scala 385:39] + node _T_2081 = bits(_T_2079, 5, 5) @[lsu_bus_buffer.scala 385:45] + node _T_2082 = or(_T_2080, _T_2081) @[lsu_bus_buffer.scala 385:42] + node _T_2083 = bits(_T_2079, 6, 6) @[lsu_bus_buffer.scala 385:51] + node _T_2084 = or(_T_2082, _T_2083) @[lsu_bus_buffer.scala 385:48] + node _T_2085 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 385:57] + node _T_2086 = or(_T_2084, _T_2085) @[lsu_bus_buffer.scala 385:54] + node _T_2087 = bits(_T_2079, 2, 2) @[lsu_bus_buffer.scala 385:64] + node _T_2088 = bits(_T_2079, 3, 3) @[lsu_bus_buffer.scala 385:70] + node _T_2089 = or(_T_2087, _T_2088) @[lsu_bus_buffer.scala 385:67] + node _T_2090 = bits(_T_2079, 6, 6) @[lsu_bus_buffer.scala 385:76] + node _T_2091 = or(_T_2089, _T_2090) @[lsu_bus_buffer.scala 385:73] + node _T_2092 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 385:82] + node _T_2093 = or(_T_2091, _T_2092) @[lsu_bus_buffer.scala 385:79] + node _T_2094 = bits(_T_2079, 1, 1) @[lsu_bus_buffer.scala 385:89] + node _T_2095 = bits(_T_2079, 3, 3) @[lsu_bus_buffer.scala 385:95] + node _T_2096 = or(_T_2094, _T_2095) @[lsu_bus_buffer.scala 385:92] + node _T_2097 = bits(_T_2079, 5, 5) @[lsu_bus_buffer.scala 385:101] + node _T_2098 = or(_T_2096, _T_2097) @[lsu_bus_buffer.scala 385:98] + node _T_2099 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 385:107] + node _T_2100 = or(_T_2098, _T_2099) @[lsu_bus_buffer.scala 385:104] node _T_2101 = cat(_T_2086, _T_2093) @[Cat.scala 29:58] node _T_2102 = cat(_T_2101, _T_2100) @[Cat.scala 29:58] - CmdPtr1 <= _T_2102 @[lsu_bus_buffer.scala 393:11] + CmdPtr1 <= _T_2102 @[lsu_bus_buffer.scala 392:11] node _T_2103 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2104 = cat(_T_2103, RspPtrDec) @[Cat.scala 29:58] - node _T_2105 = bits(_T_2104, 4, 4) @[lsu_bus_buffer.scala 386:39] - node _T_2106 = bits(_T_2104, 5, 5) @[lsu_bus_buffer.scala 386:45] - node _T_2107 = or(_T_2105, _T_2106) @[lsu_bus_buffer.scala 386:42] - node _T_2108 = bits(_T_2104, 6, 6) @[lsu_bus_buffer.scala 386:51] - node _T_2109 = or(_T_2107, _T_2108) @[lsu_bus_buffer.scala 386:48] - node _T_2110 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 386:57] - node _T_2111 = or(_T_2109, _T_2110) @[lsu_bus_buffer.scala 386:54] - node _T_2112 = bits(_T_2104, 2, 2) @[lsu_bus_buffer.scala 386:64] - node _T_2113 = bits(_T_2104, 3, 3) @[lsu_bus_buffer.scala 386:70] - node _T_2114 = or(_T_2112, _T_2113) @[lsu_bus_buffer.scala 386:67] - node _T_2115 = bits(_T_2104, 6, 6) @[lsu_bus_buffer.scala 386:76] - node _T_2116 = or(_T_2114, _T_2115) @[lsu_bus_buffer.scala 386:73] - node _T_2117 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 386:82] - node _T_2118 = or(_T_2116, _T_2117) @[lsu_bus_buffer.scala 386:79] - node _T_2119 = bits(_T_2104, 1, 1) @[lsu_bus_buffer.scala 386:89] - node _T_2120 = bits(_T_2104, 3, 3) @[lsu_bus_buffer.scala 386:95] - node _T_2121 = or(_T_2119, _T_2120) @[lsu_bus_buffer.scala 386:92] - node _T_2122 = bits(_T_2104, 5, 5) @[lsu_bus_buffer.scala 386:101] - node _T_2123 = or(_T_2121, _T_2122) @[lsu_bus_buffer.scala 386:98] - node _T_2124 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 386:107] - node _T_2125 = or(_T_2123, _T_2124) @[lsu_bus_buffer.scala 386:104] + node _T_2105 = bits(_T_2104, 4, 4) @[lsu_bus_buffer.scala 385:39] + node _T_2106 = bits(_T_2104, 5, 5) @[lsu_bus_buffer.scala 385:45] + node _T_2107 = or(_T_2105, _T_2106) @[lsu_bus_buffer.scala 385:42] + node _T_2108 = bits(_T_2104, 6, 6) @[lsu_bus_buffer.scala 385:51] + node _T_2109 = or(_T_2107, _T_2108) @[lsu_bus_buffer.scala 385:48] + node _T_2110 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 385:57] + node _T_2111 = or(_T_2109, _T_2110) @[lsu_bus_buffer.scala 385:54] + node _T_2112 = bits(_T_2104, 2, 2) @[lsu_bus_buffer.scala 385:64] + node _T_2113 = bits(_T_2104, 3, 3) @[lsu_bus_buffer.scala 385:70] + node _T_2114 = or(_T_2112, _T_2113) @[lsu_bus_buffer.scala 385:67] + node _T_2115 = bits(_T_2104, 6, 6) @[lsu_bus_buffer.scala 385:76] + node _T_2116 = or(_T_2114, _T_2115) @[lsu_bus_buffer.scala 385:73] + node _T_2117 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 385:82] + node _T_2118 = or(_T_2116, _T_2117) @[lsu_bus_buffer.scala 385:79] + node _T_2119 = bits(_T_2104, 1, 1) @[lsu_bus_buffer.scala 385:89] + node _T_2120 = bits(_T_2104, 3, 3) @[lsu_bus_buffer.scala 385:95] + node _T_2121 = or(_T_2119, _T_2120) @[lsu_bus_buffer.scala 385:92] + node _T_2122 = bits(_T_2104, 5, 5) @[lsu_bus_buffer.scala 385:101] + node _T_2123 = or(_T_2121, _T_2122) @[lsu_bus_buffer.scala 385:98] + node _T_2124 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 385:107] + node _T_2125 = or(_T_2123, _T_2124) @[lsu_bus_buffer.scala 385:104] node _T_2126 = cat(_T_2111, _T_2118) @[Cat.scala 29:58] node _T_2127 = cat(_T_2126, _T_2125) @[Cat.scala 29:58] - RspPtr <= _T_2127 @[lsu_bus_buffer.scala 394:10] - wire buf_state_en : UInt<1>[4] @[lsu_bus_buffer.scala 395:26] - buf_state_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 396:16] - buf_state_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 396:16] - buf_state_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 396:16] - buf_state_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 396:16] - wire buf_rspageQ : UInt<4>[4] @[lsu_bus_buffer.scala 397:25] - buf_rspageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:15] - buf_rspageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:15] - buf_rspageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:15] - buf_rspageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:15] - wire buf_rspage_set : UInt<4>[4] @[lsu_bus_buffer.scala 399:28] - buf_rspage_set[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:18] - buf_rspage_set[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:18] - buf_rspage_set[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:18] - buf_rspage_set[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:18] - wire buf_rspage_in : UInt<4>[4] @[lsu_bus_buffer.scala 401:27] - buf_rspage_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:17] - buf_rspage_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:17] - buf_rspage_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:17] - buf_rspage_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:17] - wire buf_rspage : UInt<4>[4] @[lsu_bus_buffer.scala 403:24] - buf_rspage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:14] - buf_rspage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:14] - buf_rspage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:14] - buf_rspage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:14] - node _T_2128 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] - node _T_2129 = and(_T_2128, buf_state_en[0]) @[lsu_bus_buffer.scala 406:94] - node _T_2130 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] - node _T_2131 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] - node _T_2132 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] - node _T_2133 = and(_T_2131, _T_2132) @[lsu_bus_buffer.scala 407:57] - node _T_2134 = or(_T_2130, _T_2133) @[lsu_bus_buffer.scala 407:31] - node _T_2135 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] - node _T_2136 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] - node _T_2137 = and(_T_2135, _T_2136) @[lsu_bus_buffer.scala 408:41] - node _T_2138 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:83] - node _T_2139 = and(_T_2137, _T_2138) @[lsu_bus_buffer.scala 408:71] - node _T_2140 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:104] - node _T_2141 = and(_T_2139, _T_2140) @[lsu_bus_buffer.scala 408:92] - node _T_2142 = or(_T_2134, _T_2141) @[lsu_bus_buffer.scala 407:86] - node _T_2143 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] - node _T_2144 = and(_T_2143, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] - node _T_2145 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:64] - node _T_2146 = and(_T_2144, _T_2145) @[lsu_bus_buffer.scala 409:52] - node _T_2147 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:85] - node _T_2148 = and(_T_2146, _T_2147) @[lsu_bus_buffer.scala 409:73] - node _T_2149 = or(_T_2142, _T_2148) @[lsu_bus_buffer.scala 408:114] - node _T_2150 = and(_T_2129, _T_2149) @[lsu_bus_buffer.scala 406:113] - node _T_2151 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 409:109] - node _T_2152 = or(_T_2150, _T_2151) @[lsu_bus_buffer.scala 409:97] - node _T_2153 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] - node _T_2154 = and(_T_2153, buf_state_en[0]) @[lsu_bus_buffer.scala 406:94] - node _T_2155 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] - node _T_2156 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] - node _T_2157 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] - node _T_2158 = and(_T_2156, _T_2157) @[lsu_bus_buffer.scala 407:57] - node _T_2159 = or(_T_2155, _T_2158) @[lsu_bus_buffer.scala 407:31] - node _T_2160 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] - node _T_2161 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] - node _T_2162 = and(_T_2160, _T_2161) @[lsu_bus_buffer.scala 408:41] - node _T_2163 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:83] - node _T_2164 = and(_T_2162, _T_2163) @[lsu_bus_buffer.scala 408:71] - node _T_2165 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:104] - node _T_2166 = and(_T_2164, _T_2165) @[lsu_bus_buffer.scala 408:92] - node _T_2167 = or(_T_2159, _T_2166) @[lsu_bus_buffer.scala 407:86] - node _T_2168 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] - node _T_2169 = and(_T_2168, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] - node _T_2170 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:64] - node _T_2171 = and(_T_2169, _T_2170) @[lsu_bus_buffer.scala 409:52] - node _T_2172 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:85] - node _T_2173 = and(_T_2171, _T_2172) @[lsu_bus_buffer.scala 409:73] - node _T_2174 = or(_T_2167, _T_2173) @[lsu_bus_buffer.scala 408:114] - node _T_2175 = and(_T_2154, _T_2174) @[lsu_bus_buffer.scala 406:113] - node _T_2176 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 409:109] - node _T_2177 = or(_T_2175, _T_2176) @[lsu_bus_buffer.scala 409:97] - node _T_2178 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] - node _T_2179 = and(_T_2178, buf_state_en[0]) @[lsu_bus_buffer.scala 406:94] - node _T_2180 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] - node _T_2181 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] - node _T_2182 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] - node _T_2183 = and(_T_2181, _T_2182) @[lsu_bus_buffer.scala 407:57] - node _T_2184 = or(_T_2180, _T_2183) @[lsu_bus_buffer.scala 407:31] - node _T_2185 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] - node _T_2186 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] - node _T_2187 = and(_T_2185, _T_2186) @[lsu_bus_buffer.scala 408:41] - node _T_2188 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:83] - node _T_2189 = and(_T_2187, _T_2188) @[lsu_bus_buffer.scala 408:71] - node _T_2190 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:104] - node _T_2191 = and(_T_2189, _T_2190) @[lsu_bus_buffer.scala 408:92] - node _T_2192 = or(_T_2184, _T_2191) @[lsu_bus_buffer.scala 407:86] - node _T_2193 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] - node _T_2194 = and(_T_2193, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] - node _T_2195 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:64] - node _T_2196 = and(_T_2194, _T_2195) @[lsu_bus_buffer.scala 409:52] - node _T_2197 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:85] - node _T_2198 = and(_T_2196, _T_2197) @[lsu_bus_buffer.scala 409:73] - node _T_2199 = or(_T_2192, _T_2198) @[lsu_bus_buffer.scala 408:114] - node _T_2200 = and(_T_2179, _T_2199) @[lsu_bus_buffer.scala 406:113] - node _T_2201 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 409:109] - node _T_2202 = or(_T_2200, _T_2201) @[lsu_bus_buffer.scala 409:97] - node _T_2203 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] - node _T_2204 = and(_T_2203, buf_state_en[0]) @[lsu_bus_buffer.scala 406:94] - node _T_2205 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] - node _T_2206 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] - node _T_2207 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] - node _T_2208 = and(_T_2206, _T_2207) @[lsu_bus_buffer.scala 407:57] - node _T_2209 = or(_T_2205, _T_2208) @[lsu_bus_buffer.scala 407:31] - node _T_2210 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] - node _T_2211 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] - node _T_2212 = and(_T_2210, _T_2211) @[lsu_bus_buffer.scala 408:41] - node _T_2213 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:83] - node _T_2214 = and(_T_2212, _T_2213) @[lsu_bus_buffer.scala 408:71] - node _T_2215 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:104] - node _T_2216 = and(_T_2214, _T_2215) @[lsu_bus_buffer.scala 408:92] - node _T_2217 = or(_T_2209, _T_2216) @[lsu_bus_buffer.scala 407:86] - node _T_2218 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] - node _T_2219 = and(_T_2218, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] - node _T_2220 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:64] - node _T_2221 = and(_T_2219, _T_2220) @[lsu_bus_buffer.scala 409:52] - node _T_2222 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:85] - node _T_2223 = and(_T_2221, _T_2222) @[lsu_bus_buffer.scala 409:73] - node _T_2224 = or(_T_2217, _T_2223) @[lsu_bus_buffer.scala 408:114] - node _T_2225 = and(_T_2204, _T_2224) @[lsu_bus_buffer.scala 406:113] - node _T_2226 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 409:109] - node _T_2227 = or(_T_2225, _T_2226) @[lsu_bus_buffer.scala 409:97] + RspPtr <= _T_2127 @[lsu_bus_buffer.scala 393:10] + wire buf_state_en : UInt<1>[4] @[lsu_bus_buffer.scala 394:26] + buf_state_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 395:16] + buf_state_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 395:16] + buf_state_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 395:16] + buf_state_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 395:16] + wire buf_rspageQ : UInt<4>[4] @[lsu_bus_buffer.scala 396:25] + buf_rspageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 397:15] + buf_rspageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 397:15] + buf_rspageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 397:15] + buf_rspageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 397:15] + wire buf_rspage_set : UInt<4>[4] @[lsu_bus_buffer.scala 398:28] + buf_rspage_set[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 399:18] + buf_rspage_set[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 399:18] + buf_rspage_set[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 399:18] + buf_rspage_set[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 399:18] + wire buf_rspage_in : UInt<4>[4] @[lsu_bus_buffer.scala 400:27] + buf_rspage_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:17] + buf_rspage_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:17] + buf_rspage_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:17] + buf_rspage_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:17] + wire buf_rspage : UInt<4>[4] @[lsu_bus_buffer.scala 402:24] + buf_rspage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:14] + buf_rspage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:14] + buf_rspage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:14] + buf_rspage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:14] + node _T_2128 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2129 = and(_T_2128, buf_state_en[0]) @[lsu_bus_buffer.scala 405:94] + node _T_2130 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2131 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2132 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2133 = and(_T_2131, _T_2132) @[lsu_bus_buffer.scala 406:57] + node _T_2134 = or(_T_2130, _T_2133) @[lsu_bus_buffer.scala 406:31] + node _T_2135 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2136 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2137 = and(_T_2135, _T_2136) @[lsu_bus_buffer.scala 407:41] + node _T_2138 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:83] + node _T_2139 = and(_T_2137, _T_2138) @[lsu_bus_buffer.scala 407:71] + node _T_2140 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:104] + node _T_2141 = and(_T_2139, _T_2140) @[lsu_bus_buffer.scala 407:92] + node _T_2142 = or(_T_2134, _T_2141) @[lsu_bus_buffer.scala 406:86] + node _T_2143 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2144 = and(_T_2143, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2145 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:64] + node _T_2146 = and(_T_2144, _T_2145) @[lsu_bus_buffer.scala 408:52] + node _T_2147 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:85] + node _T_2148 = and(_T_2146, _T_2147) @[lsu_bus_buffer.scala 408:73] + node _T_2149 = or(_T_2142, _T_2148) @[lsu_bus_buffer.scala 407:114] + node _T_2150 = and(_T_2129, _T_2149) @[lsu_bus_buffer.scala 405:113] + node _T_2151 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 408:109] + node _T_2152 = or(_T_2150, _T_2151) @[lsu_bus_buffer.scala 408:97] + node _T_2153 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2154 = and(_T_2153, buf_state_en[0]) @[lsu_bus_buffer.scala 405:94] + node _T_2155 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2156 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2157 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2158 = and(_T_2156, _T_2157) @[lsu_bus_buffer.scala 406:57] + node _T_2159 = or(_T_2155, _T_2158) @[lsu_bus_buffer.scala 406:31] + node _T_2160 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2161 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2162 = and(_T_2160, _T_2161) @[lsu_bus_buffer.scala 407:41] + node _T_2163 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:83] + node _T_2164 = and(_T_2162, _T_2163) @[lsu_bus_buffer.scala 407:71] + node _T_2165 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:104] + node _T_2166 = and(_T_2164, _T_2165) @[lsu_bus_buffer.scala 407:92] + node _T_2167 = or(_T_2159, _T_2166) @[lsu_bus_buffer.scala 406:86] + node _T_2168 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2169 = and(_T_2168, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2170 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:64] + node _T_2171 = and(_T_2169, _T_2170) @[lsu_bus_buffer.scala 408:52] + node _T_2172 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:85] + node _T_2173 = and(_T_2171, _T_2172) @[lsu_bus_buffer.scala 408:73] + node _T_2174 = or(_T_2167, _T_2173) @[lsu_bus_buffer.scala 407:114] + node _T_2175 = and(_T_2154, _T_2174) @[lsu_bus_buffer.scala 405:113] + node _T_2176 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 408:109] + node _T_2177 = or(_T_2175, _T_2176) @[lsu_bus_buffer.scala 408:97] + node _T_2178 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2179 = and(_T_2178, buf_state_en[0]) @[lsu_bus_buffer.scala 405:94] + node _T_2180 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2181 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2182 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2183 = and(_T_2181, _T_2182) @[lsu_bus_buffer.scala 406:57] + node _T_2184 = or(_T_2180, _T_2183) @[lsu_bus_buffer.scala 406:31] + node _T_2185 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2186 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2187 = and(_T_2185, _T_2186) @[lsu_bus_buffer.scala 407:41] + node _T_2188 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:83] + node _T_2189 = and(_T_2187, _T_2188) @[lsu_bus_buffer.scala 407:71] + node _T_2190 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:104] + node _T_2191 = and(_T_2189, _T_2190) @[lsu_bus_buffer.scala 407:92] + node _T_2192 = or(_T_2184, _T_2191) @[lsu_bus_buffer.scala 406:86] + node _T_2193 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2194 = and(_T_2193, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2195 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:64] + node _T_2196 = and(_T_2194, _T_2195) @[lsu_bus_buffer.scala 408:52] + node _T_2197 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:85] + node _T_2198 = and(_T_2196, _T_2197) @[lsu_bus_buffer.scala 408:73] + node _T_2199 = or(_T_2192, _T_2198) @[lsu_bus_buffer.scala 407:114] + node _T_2200 = and(_T_2179, _T_2199) @[lsu_bus_buffer.scala 405:113] + node _T_2201 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 408:109] + node _T_2202 = or(_T_2200, _T_2201) @[lsu_bus_buffer.scala 408:97] + node _T_2203 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2204 = and(_T_2203, buf_state_en[0]) @[lsu_bus_buffer.scala 405:94] + node _T_2205 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2206 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2207 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2208 = and(_T_2206, _T_2207) @[lsu_bus_buffer.scala 406:57] + node _T_2209 = or(_T_2205, _T_2208) @[lsu_bus_buffer.scala 406:31] + node _T_2210 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2211 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2212 = and(_T_2210, _T_2211) @[lsu_bus_buffer.scala 407:41] + node _T_2213 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:83] + node _T_2214 = and(_T_2212, _T_2213) @[lsu_bus_buffer.scala 407:71] + node _T_2215 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:104] + node _T_2216 = and(_T_2214, _T_2215) @[lsu_bus_buffer.scala 407:92] + node _T_2217 = or(_T_2209, _T_2216) @[lsu_bus_buffer.scala 406:86] + node _T_2218 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2219 = and(_T_2218, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2220 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:64] + node _T_2221 = and(_T_2219, _T_2220) @[lsu_bus_buffer.scala 408:52] + node _T_2222 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:85] + node _T_2223 = and(_T_2221, _T_2222) @[lsu_bus_buffer.scala 408:73] + node _T_2224 = or(_T_2217, _T_2223) @[lsu_bus_buffer.scala 407:114] + node _T_2225 = and(_T_2204, _T_2224) @[lsu_bus_buffer.scala 405:113] + node _T_2226 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 408:109] + node _T_2227 = or(_T_2225, _T_2226) @[lsu_bus_buffer.scala 408:97] node _T_2228 = cat(_T_2227, _T_2202) @[Cat.scala 29:58] node _T_2229 = cat(_T_2228, _T_2177) @[Cat.scala 29:58] node buf_age_in_0 = cat(_T_2229, _T_2152) @[Cat.scala 29:58] - node _T_2230 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] - node _T_2231 = and(_T_2230, buf_state_en[1]) @[lsu_bus_buffer.scala 406:94] - node _T_2232 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] - node _T_2233 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] - node _T_2234 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] - node _T_2235 = and(_T_2233, _T_2234) @[lsu_bus_buffer.scala 407:57] - node _T_2236 = or(_T_2232, _T_2235) @[lsu_bus_buffer.scala 407:31] - node _T_2237 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] - node _T_2238 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] - node _T_2239 = and(_T_2237, _T_2238) @[lsu_bus_buffer.scala 408:41] - node _T_2240 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:83] - node _T_2241 = and(_T_2239, _T_2240) @[lsu_bus_buffer.scala 408:71] - node _T_2242 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:104] - node _T_2243 = and(_T_2241, _T_2242) @[lsu_bus_buffer.scala 408:92] - node _T_2244 = or(_T_2236, _T_2243) @[lsu_bus_buffer.scala 407:86] - node _T_2245 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] - node _T_2246 = and(_T_2245, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] - node _T_2247 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:64] - node _T_2248 = and(_T_2246, _T_2247) @[lsu_bus_buffer.scala 409:52] - node _T_2249 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:85] - node _T_2250 = and(_T_2248, _T_2249) @[lsu_bus_buffer.scala 409:73] - node _T_2251 = or(_T_2244, _T_2250) @[lsu_bus_buffer.scala 408:114] - node _T_2252 = and(_T_2231, _T_2251) @[lsu_bus_buffer.scala 406:113] - node _T_2253 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 409:109] - node _T_2254 = or(_T_2252, _T_2253) @[lsu_bus_buffer.scala 409:97] - node _T_2255 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] - node _T_2256 = and(_T_2255, buf_state_en[1]) @[lsu_bus_buffer.scala 406:94] - node _T_2257 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] - node _T_2258 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] - node _T_2259 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] - node _T_2260 = and(_T_2258, _T_2259) @[lsu_bus_buffer.scala 407:57] - node _T_2261 = or(_T_2257, _T_2260) @[lsu_bus_buffer.scala 407:31] - node _T_2262 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] - node _T_2263 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] - node _T_2264 = and(_T_2262, _T_2263) @[lsu_bus_buffer.scala 408:41] - node _T_2265 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:83] - node _T_2266 = and(_T_2264, _T_2265) @[lsu_bus_buffer.scala 408:71] - node _T_2267 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:104] - node _T_2268 = and(_T_2266, _T_2267) @[lsu_bus_buffer.scala 408:92] - node _T_2269 = or(_T_2261, _T_2268) @[lsu_bus_buffer.scala 407:86] - node _T_2270 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] - node _T_2271 = and(_T_2270, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] - node _T_2272 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:64] - node _T_2273 = and(_T_2271, _T_2272) @[lsu_bus_buffer.scala 409:52] - node _T_2274 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:85] - node _T_2275 = and(_T_2273, _T_2274) @[lsu_bus_buffer.scala 409:73] - node _T_2276 = or(_T_2269, _T_2275) @[lsu_bus_buffer.scala 408:114] - node _T_2277 = and(_T_2256, _T_2276) @[lsu_bus_buffer.scala 406:113] - node _T_2278 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 409:109] - node _T_2279 = or(_T_2277, _T_2278) @[lsu_bus_buffer.scala 409:97] - node _T_2280 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] - node _T_2281 = and(_T_2280, buf_state_en[1]) @[lsu_bus_buffer.scala 406:94] - node _T_2282 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] - node _T_2283 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] - node _T_2284 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] - node _T_2285 = and(_T_2283, _T_2284) @[lsu_bus_buffer.scala 407:57] - node _T_2286 = or(_T_2282, _T_2285) @[lsu_bus_buffer.scala 407:31] - node _T_2287 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] - node _T_2288 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] - node _T_2289 = and(_T_2287, _T_2288) @[lsu_bus_buffer.scala 408:41] - node _T_2290 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:83] - node _T_2291 = and(_T_2289, _T_2290) @[lsu_bus_buffer.scala 408:71] - node _T_2292 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:104] - node _T_2293 = and(_T_2291, _T_2292) @[lsu_bus_buffer.scala 408:92] - node _T_2294 = or(_T_2286, _T_2293) @[lsu_bus_buffer.scala 407:86] - node _T_2295 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] - node _T_2296 = and(_T_2295, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] - node _T_2297 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:64] - node _T_2298 = and(_T_2296, _T_2297) @[lsu_bus_buffer.scala 409:52] - node _T_2299 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:85] - node _T_2300 = and(_T_2298, _T_2299) @[lsu_bus_buffer.scala 409:73] - node _T_2301 = or(_T_2294, _T_2300) @[lsu_bus_buffer.scala 408:114] - node _T_2302 = and(_T_2281, _T_2301) @[lsu_bus_buffer.scala 406:113] - node _T_2303 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 409:109] - node _T_2304 = or(_T_2302, _T_2303) @[lsu_bus_buffer.scala 409:97] - node _T_2305 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] - node _T_2306 = and(_T_2305, buf_state_en[1]) @[lsu_bus_buffer.scala 406:94] - node _T_2307 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] - node _T_2308 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] - node _T_2309 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] - node _T_2310 = and(_T_2308, _T_2309) @[lsu_bus_buffer.scala 407:57] - node _T_2311 = or(_T_2307, _T_2310) @[lsu_bus_buffer.scala 407:31] - node _T_2312 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] - node _T_2313 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] - node _T_2314 = and(_T_2312, _T_2313) @[lsu_bus_buffer.scala 408:41] - node _T_2315 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:83] - node _T_2316 = and(_T_2314, _T_2315) @[lsu_bus_buffer.scala 408:71] - node _T_2317 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:104] - node _T_2318 = and(_T_2316, _T_2317) @[lsu_bus_buffer.scala 408:92] - node _T_2319 = or(_T_2311, _T_2318) @[lsu_bus_buffer.scala 407:86] - node _T_2320 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] - node _T_2321 = and(_T_2320, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] - node _T_2322 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:64] - node _T_2323 = and(_T_2321, _T_2322) @[lsu_bus_buffer.scala 409:52] - node _T_2324 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:85] - node _T_2325 = and(_T_2323, _T_2324) @[lsu_bus_buffer.scala 409:73] - node _T_2326 = or(_T_2319, _T_2325) @[lsu_bus_buffer.scala 408:114] - node _T_2327 = and(_T_2306, _T_2326) @[lsu_bus_buffer.scala 406:113] - node _T_2328 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 409:109] - node _T_2329 = or(_T_2327, _T_2328) @[lsu_bus_buffer.scala 409:97] + node _T_2230 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2231 = and(_T_2230, buf_state_en[1]) @[lsu_bus_buffer.scala 405:94] + node _T_2232 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2233 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2234 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2235 = and(_T_2233, _T_2234) @[lsu_bus_buffer.scala 406:57] + node _T_2236 = or(_T_2232, _T_2235) @[lsu_bus_buffer.scala 406:31] + node _T_2237 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2238 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2239 = and(_T_2237, _T_2238) @[lsu_bus_buffer.scala 407:41] + node _T_2240 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:83] + node _T_2241 = and(_T_2239, _T_2240) @[lsu_bus_buffer.scala 407:71] + node _T_2242 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:104] + node _T_2243 = and(_T_2241, _T_2242) @[lsu_bus_buffer.scala 407:92] + node _T_2244 = or(_T_2236, _T_2243) @[lsu_bus_buffer.scala 406:86] + node _T_2245 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2246 = and(_T_2245, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2247 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:64] + node _T_2248 = and(_T_2246, _T_2247) @[lsu_bus_buffer.scala 408:52] + node _T_2249 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:85] + node _T_2250 = and(_T_2248, _T_2249) @[lsu_bus_buffer.scala 408:73] + node _T_2251 = or(_T_2244, _T_2250) @[lsu_bus_buffer.scala 407:114] + node _T_2252 = and(_T_2231, _T_2251) @[lsu_bus_buffer.scala 405:113] + node _T_2253 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 408:109] + node _T_2254 = or(_T_2252, _T_2253) @[lsu_bus_buffer.scala 408:97] + node _T_2255 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2256 = and(_T_2255, buf_state_en[1]) @[lsu_bus_buffer.scala 405:94] + node _T_2257 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2258 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2259 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2260 = and(_T_2258, _T_2259) @[lsu_bus_buffer.scala 406:57] + node _T_2261 = or(_T_2257, _T_2260) @[lsu_bus_buffer.scala 406:31] + node _T_2262 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2263 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2264 = and(_T_2262, _T_2263) @[lsu_bus_buffer.scala 407:41] + node _T_2265 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:83] + node _T_2266 = and(_T_2264, _T_2265) @[lsu_bus_buffer.scala 407:71] + node _T_2267 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:104] + node _T_2268 = and(_T_2266, _T_2267) @[lsu_bus_buffer.scala 407:92] + node _T_2269 = or(_T_2261, _T_2268) @[lsu_bus_buffer.scala 406:86] + node _T_2270 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2271 = and(_T_2270, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2272 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:64] + node _T_2273 = and(_T_2271, _T_2272) @[lsu_bus_buffer.scala 408:52] + node _T_2274 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:85] + node _T_2275 = and(_T_2273, _T_2274) @[lsu_bus_buffer.scala 408:73] + node _T_2276 = or(_T_2269, _T_2275) @[lsu_bus_buffer.scala 407:114] + node _T_2277 = and(_T_2256, _T_2276) @[lsu_bus_buffer.scala 405:113] + node _T_2278 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 408:109] + node _T_2279 = or(_T_2277, _T_2278) @[lsu_bus_buffer.scala 408:97] + node _T_2280 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2281 = and(_T_2280, buf_state_en[1]) @[lsu_bus_buffer.scala 405:94] + node _T_2282 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2283 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2284 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2285 = and(_T_2283, _T_2284) @[lsu_bus_buffer.scala 406:57] + node _T_2286 = or(_T_2282, _T_2285) @[lsu_bus_buffer.scala 406:31] + node _T_2287 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2288 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2289 = and(_T_2287, _T_2288) @[lsu_bus_buffer.scala 407:41] + node _T_2290 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:83] + node _T_2291 = and(_T_2289, _T_2290) @[lsu_bus_buffer.scala 407:71] + node _T_2292 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:104] + node _T_2293 = and(_T_2291, _T_2292) @[lsu_bus_buffer.scala 407:92] + node _T_2294 = or(_T_2286, _T_2293) @[lsu_bus_buffer.scala 406:86] + node _T_2295 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2296 = and(_T_2295, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2297 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:64] + node _T_2298 = and(_T_2296, _T_2297) @[lsu_bus_buffer.scala 408:52] + node _T_2299 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:85] + node _T_2300 = and(_T_2298, _T_2299) @[lsu_bus_buffer.scala 408:73] + node _T_2301 = or(_T_2294, _T_2300) @[lsu_bus_buffer.scala 407:114] + node _T_2302 = and(_T_2281, _T_2301) @[lsu_bus_buffer.scala 405:113] + node _T_2303 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 408:109] + node _T_2304 = or(_T_2302, _T_2303) @[lsu_bus_buffer.scala 408:97] + node _T_2305 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2306 = and(_T_2305, buf_state_en[1]) @[lsu_bus_buffer.scala 405:94] + node _T_2307 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2308 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2309 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2310 = and(_T_2308, _T_2309) @[lsu_bus_buffer.scala 406:57] + node _T_2311 = or(_T_2307, _T_2310) @[lsu_bus_buffer.scala 406:31] + node _T_2312 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2313 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2314 = and(_T_2312, _T_2313) @[lsu_bus_buffer.scala 407:41] + node _T_2315 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:83] + node _T_2316 = and(_T_2314, _T_2315) @[lsu_bus_buffer.scala 407:71] + node _T_2317 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:104] + node _T_2318 = and(_T_2316, _T_2317) @[lsu_bus_buffer.scala 407:92] + node _T_2319 = or(_T_2311, _T_2318) @[lsu_bus_buffer.scala 406:86] + node _T_2320 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2321 = and(_T_2320, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2322 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:64] + node _T_2323 = and(_T_2321, _T_2322) @[lsu_bus_buffer.scala 408:52] + node _T_2324 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:85] + node _T_2325 = and(_T_2323, _T_2324) @[lsu_bus_buffer.scala 408:73] + node _T_2326 = or(_T_2319, _T_2325) @[lsu_bus_buffer.scala 407:114] + node _T_2327 = and(_T_2306, _T_2326) @[lsu_bus_buffer.scala 405:113] + node _T_2328 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 408:109] + node _T_2329 = or(_T_2327, _T_2328) @[lsu_bus_buffer.scala 408:97] node _T_2330 = cat(_T_2329, _T_2304) @[Cat.scala 29:58] node _T_2331 = cat(_T_2330, _T_2279) @[Cat.scala 29:58] node buf_age_in_1 = cat(_T_2331, _T_2254) @[Cat.scala 29:58] - node _T_2332 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] - node _T_2333 = and(_T_2332, buf_state_en[2]) @[lsu_bus_buffer.scala 406:94] - node _T_2334 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] - node _T_2335 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] - node _T_2336 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] - node _T_2337 = and(_T_2335, _T_2336) @[lsu_bus_buffer.scala 407:57] - node _T_2338 = or(_T_2334, _T_2337) @[lsu_bus_buffer.scala 407:31] - node _T_2339 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] - node _T_2340 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] - node _T_2341 = and(_T_2339, _T_2340) @[lsu_bus_buffer.scala 408:41] - node _T_2342 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:83] - node _T_2343 = and(_T_2341, _T_2342) @[lsu_bus_buffer.scala 408:71] - node _T_2344 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:104] - node _T_2345 = and(_T_2343, _T_2344) @[lsu_bus_buffer.scala 408:92] - node _T_2346 = or(_T_2338, _T_2345) @[lsu_bus_buffer.scala 407:86] - node _T_2347 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] - node _T_2348 = and(_T_2347, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] - node _T_2349 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:64] - node _T_2350 = and(_T_2348, _T_2349) @[lsu_bus_buffer.scala 409:52] - node _T_2351 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:85] - node _T_2352 = and(_T_2350, _T_2351) @[lsu_bus_buffer.scala 409:73] - node _T_2353 = or(_T_2346, _T_2352) @[lsu_bus_buffer.scala 408:114] - node _T_2354 = and(_T_2333, _T_2353) @[lsu_bus_buffer.scala 406:113] - node _T_2355 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 409:109] - node _T_2356 = or(_T_2354, _T_2355) @[lsu_bus_buffer.scala 409:97] - node _T_2357 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] - node _T_2358 = and(_T_2357, buf_state_en[2]) @[lsu_bus_buffer.scala 406:94] - node _T_2359 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] - node _T_2360 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] - node _T_2361 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] - node _T_2362 = and(_T_2360, _T_2361) @[lsu_bus_buffer.scala 407:57] - node _T_2363 = or(_T_2359, _T_2362) @[lsu_bus_buffer.scala 407:31] - node _T_2364 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] - node _T_2365 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] - node _T_2366 = and(_T_2364, _T_2365) @[lsu_bus_buffer.scala 408:41] - node _T_2367 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:83] - node _T_2368 = and(_T_2366, _T_2367) @[lsu_bus_buffer.scala 408:71] - node _T_2369 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:104] - node _T_2370 = and(_T_2368, _T_2369) @[lsu_bus_buffer.scala 408:92] - node _T_2371 = or(_T_2363, _T_2370) @[lsu_bus_buffer.scala 407:86] - node _T_2372 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] - node _T_2373 = and(_T_2372, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] - node _T_2374 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:64] - node _T_2375 = and(_T_2373, _T_2374) @[lsu_bus_buffer.scala 409:52] - node _T_2376 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:85] - node _T_2377 = and(_T_2375, _T_2376) @[lsu_bus_buffer.scala 409:73] - node _T_2378 = or(_T_2371, _T_2377) @[lsu_bus_buffer.scala 408:114] - node _T_2379 = and(_T_2358, _T_2378) @[lsu_bus_buffer.scala 406:113] - node _T_2380 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 409:109] - node _T_2381 = or(_T_2379, _T_2380) @[lsu_bus_buffer.scala 409:97] - node _T_2382 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] - node _T_2383 = and(_T_2382, buf_state_en[2]) @[lsu_bus_buffer.scala 406:94] - node _T_2384 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] - node _T_2385 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] - node _T_2386 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] - node _T_2387 = and(_T_2385, _T_2386) @[lsu_bus_buffer.scala 407:57] - node _T_2388 = or(_T_2384, _T_2387) @[lsu_bus_buffer.scala 407:31] - node _T_2389 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] - node _T_2390 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] - node _T_2391 = and(_T_2389, _T_2390) @[lsu_bus_buffer.scala 408:41] - node _T_2392 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:83] - node _T_2393 = and(_T_2391, _T_2392) @[lsu_bus_buffer.scala 408:71] - node _T_2394 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:104] - node _T_2395 = and(_T_2393, _T_2394) @[lsu_bus_buffer.scala 408:92] - node _T_2396 = or(_T_2388, _T_2395) @[lsu_bus_buffer.scala 407:86] - node _T_2397 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] - node _T_2398 = and(_T_2397, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] - node _T_2399 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:64] - node _T_2400 = and(_T_2398, _T_2399) @[lsu_bus_buffer.scala 409:52] - node _T_2401 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:85] - node _T_2402 = and(_T_2400, _T_2401) @[lsu_bus_buffer.scala 409:73] - node _T_2403 = or(_T_2396, _T_2402) @[lsu_bus_buffer.scala 408:114] - node _T_2404 = and(_T_2383, _T_2403) @[lsu_bus_buffer.scala 406:113] - node _T_2405 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 409:109] - node _T_2406 = or(_T_2404, _T_2405) @[lsu_bus_buffer.scala 409:97] - node _T_2407 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] - node _T_2408 = and(_T_2407, buf_state_en[2]) @[lsu_bus_buffer.scala 406:94] - node _T_2409 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] - node _T_2410 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] - node _T_2411 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] - node _T_2412 = and(_T_2410, _T_2411) @[lsu_bus_buffer.scala 407:57] - node _T_2413 = or(_T_2409, _T_2412) @[lsu_bus_buffer.scala 407:31] - node _T_2414 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] - node _T_2415 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] - node _T_2416 = and(_T_2414, _T_2415) @[lsu_bus_buffer.scala 408:41] - node _T_2417 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:83] - node _T_2418 = and(_T_2416, _T_2417) @[lsu_bus_buffer.scala 408:71] - node _T_2419 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:104] - node _T_2420 = and(_T_2418, _T_2419) @[lsu_bus_buffer.scala 408:92] - node _T_2421 = or(_T_2413, _T_2420) @[lsu_bus_buffer.scala 407:86] - node _T_2422 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] - node _T_2423 = and(_T_2422, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] - node _T_2424 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:64] - node _T_2425 = and(_T_2423, _T_2424) @[lsu_bus_buffer.scala 409:52] - node _T_2426 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:85] - node _T_2427 = and(_T_2425, _T_2426) @[lsu_bus_buffer.scala 409:73] - node _T_2428 = or(_T_2421, _T_2427) @[lsu_bus_buffer.scala 408:114] - node _T_2429 = and(_T_2408, _T_2428) @[lsu_bus_buffer.scala 406:113] - node _T_2430 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 409:109] - node _T_2431 = or(_T_2429, _T_2430) @[lsu_bus_buffer.scala 409:97] + node _T_2332 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2333 = and(_T_2332, buf_state_en[2]) @[lsu_bus_buffer.scala 405:94] + node _T_2334 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2335 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2336 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2337 = and(_T_2335, _T_2336) @[lsu_bus_buffer.scala 406:57] + node _T_2338 = or(_T_2334, _T_2337) @[lsu_bus_buffer.scala 406:31] + node _T_2339 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2340 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2341 = and(_T_2339, _T_2340) @[lsu_bus_buffer.scala 407:41] + node _T_2342 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:83] + node _T_2343 = and(_T_2341, _T_2342) @[lsu_bus_buffer.scala 407:71] + node _T_2344 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:104] + node _T_2345 = and(_T_2343, _T_2344) @[lsu_bus_buffer.scala 407:92] + node _T_2346 = or(_T_2338, _T_2345) @[lsu_bus_buffer.scala 406:86] + node _T_2347 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2348 = and(_T_2347, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2349 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:64] + node _T_2350 = and(_T_2348, _T_2349) @[lsu_bus_buffer.scala 408:52] + node _T_2351 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:85] + node _T_2352 = and(_T_2350, _T_2351) @[lsu_bus_buffer.scala 408:73] + node _T_2353 = or(_T_2346, _T_2352) @[lsu_bus_buffer.scala 407:114] + node _T_2354 = and(_T_2333, _T_2353) @[lsu_bus_buffer.scala 405:113] + node _T_2355 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 408:109] + node _T_2356 = or(_T_2354, _T_2355) @[lsu_bus_buffer.scala 408:97] + node _T_2357 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2358 = and(_T_2357, buf_state_en[2]) @[lsu_bus_buffer.scala 405:94] + node _T_2359 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2360 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2361 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2362 = and(_T_2360, _T_2361) @[lsu_bus_buffer.scala 406:57] + node _T_2363 = or(_T_2359, _T_2362) @[lsu_bus_buffer.scala 406:31] + node _T_2364 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2365 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2366 = and(_T_2364, _T_2365) @[lsu_bus_buffer.scala 407:41] + node _T_2367 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:83] + node _T_2368 = and(_T_2366, _T_2367) @[lsu_bus_buffer.scala 407:71] + node _T_2369 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:104] + node _T_2370 = and(_T_2368, _T_2369) @[lsu_bus_buffer.scala 407:92] + node _T_2371 = or(_T_2363, _T_2370) @[lsu_bus_buffer.scala 406:86] + node _T_2372 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2373 = and(_T_2372, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2374 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:64] + node _T_2375 = and(_T_2373, _T_2374) @[lsu_bus_buffer.scala 408:52] + node _T_2376 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:85] + node _T_2377 = and(_T_2375, _T_2376) @[lsu_bus_buffer.scala 408:73] + node _T_2378 = or(_T_2371, _T_2377) @[lsu_bus_buffer.scala 407:114] + node _T_2379 = and(_T_2358, _T_2378) @[lsu_bus_buffer.scala 405:113] + node _T_2380 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 408:109] + node _T_2381 = or(_T_2379, _T_2380) @[lsu_bus_buffer.scala 408:97] + node _T_2382 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2383 = and(_T_2382, buf_state_en[2]) @[lsu_bus_buffer.scala 405:94] + node _T_2384 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2385 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2386 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2387 = and(_T_2385, _T_2386) @[lsu_bus_buffer.scala 406:57] + node _T_2388 = or(_T_2384, _T_2387) @[lsu_bus_buffer.scala 406:31] + node _T_2389 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2390 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2391 = and(_T_2389, _T_2390) @[lsu_bus_buffer.scala 407:41] + node _T_2392 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:83] + node _T_2393 = and(_T_2391, _T_2392) @[lsu_bus_buffer.scala 407:71] + node _T_2394 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:104] + node _T_2395 = and(_T_2393, _T_2394) @[lsu_bus_buffer.scala 407:92] + node _T_2396 = or(_T_2388, _T_2395) @[lsu_bus_buffer.scala 406:86] + node _T_2397 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2398 = and(_T_2397, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2399 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:64] + node _T_2400 = and(_T_2398, _T_2399) @[lsu_bus_buffer.scala 408:52] + node _T_2401 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:85] + node _T_2402 = and(_T_2400, _T_2401) @[lsu_bus_buffer.scala 408:73] + node _T_2403 = or(_T_2396, _T_2402) @[lsu_bus_buffer.scala 407:114] + node _T_2404 = and(_T_2383, _T_2403) @[lsu_bus_buffer.scala 405:113] + node _T_2405 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 408:109] + node _T_2406 = or(_T_2404, _T_2405) @[lsu_bus_buffer.scala 408:97] + node _T_2407 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2408 = and(_T_2407, buf_state_en[2]) @[lsu_bus_buffer.scala 405:94] + node _T_2409 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2410 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2411 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2412 = and(_T_2410, _T_2411) @[lsu_bus_buffer.scala 406:57] + node _T_2413 = or(_T_2409, _T_2412) @[lsu_bus_buffer.scala 406:31] + node _T_2414 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2415 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2416 = and(_T_2414, _T_2415) @[lsu_bus_buffer.scala 407:41] + node _T_2417 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:83] + node _T_2418 = and(_T_2416, _T_2417) @[lsu_bus_buffer.scala 407:71] + node _T_2419 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:104] + node _T_2420 = and(_T_2418, _T_2419) @[lsu_bus_buffer.scala 407:92] + node _T_2421 = or(_T_2413, _T_2420) @[lsu_bus_buffer.scala 406:86] + node _T_2422 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2423 = and(_T_2422, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2424 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:64] + node _T_2425 = and(_T_2423, _T_2424) @[lsu_bus_buffer.scala 408:52] + node _T_2426 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:85] + node _T_2427 = and(_T_2425, _T_2426) @[lsu_bus_buffer.scala 408:73] + node _T_2428 = or(_T_2421, _T_2427) @[lsu_bus_buffer.scala 407:114] + node _T_2429 = and(_T_2408, _T_2428) @[lsu_bus_buffer.scala 405:113] + node _T_2430 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 408:109] + node _T_2431 = or(_T_2429, _T_2430) @[lsu_bus_buffer.scala 408:97] node _T_2432 = cat(_T_2431, _T_2406) @[Cat.scala 29:58] node _T_2433 = cat(_T_2432, _T_2381) @[Cat.scala 29:58] node buf_age_in_2 = cat(_T_2433, _T_2356) @[Cat.scala 29:58] - node _T_2434 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] - node _T_2435 = and(_T_2434, buf_state_en[3]) @[lsu_bus_buffer.scala 406:94] - node _T_2436 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] - node _T_2437 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] - node _T_2438 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] - node _T_2439 = and(_T_2437, _T_2438) @[lsu_bus_buffer.scala 407:57] - node _T_2440 = or(_T_2436, _T_2439) @[lsu_bus_buffer.scala 407:31] - node _T_2441 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] - node _T_2442 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] - node _T_2443 = and(_T_2441, _T_2442) @[lsu_bus_buffer.scala 408:41] - node _T_2444 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:83] - node _T_2445 = and(_T_2443, _T_2444) @[lsu_bus_buffer.scala 408:71] - node _T_2446 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:104] - node _T_2447 = and(_T_2445, _T_2446) @[lsu_bus_buffer.scala 408:92] - node _T_2448 = or(_T_2440, _T_2447) @[lsu_bus_buffer.scala 407:86] - node _T_2449 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] - node _T_2450 = and(_T_2449, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] - node _T_2451 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:64] - node _T_2452 = and(_T_2450, _T_2451) @[lsu_bus_buffer.scala 409:52] - node _T_2453 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:85] - node _T_2454 = and(_T_2452, _T_2453) @[lsu_bus_buffer.scala 409:73] - node _T_2455 = or(_T_2448, _T_2454) @[lsu_bus_buffer.scala 408:114] - node _T_2456 = and(_T_2435, _T_2455) @[lsu_bus_buffer.scala 406:113] - node _T_2457 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 409:109] - node _T_2458 = or(_T_2456, _T_2457) @[lsu_bus_buffer.scala 409:97] - node _T_2459 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] - node _T_2460 = and(_T_2459, buf_state_en[3]) @[lsu_bus_buffer.scala 406:94] - node _T_2461 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] - node _T_2462 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] - node _T_2463 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] - node _T_2464 = and(_T_2462, _T_2463) @[lsu_bus_buffer.scala 407:57] - node _T_2465 = or(_T_2461, _T_2464) @[lsu_bus_buffer.scala 407:31] - node _T_2466 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] - node _T_2467 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] - node _T_2468 = and(_T_2466, _T_2467) @[lsu_bus_buffer.scala 408:41] - node _T_2469 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:83] - node _T_2470 = and(_T_2468, _T_2469) @[lsu_bus_buffer.scala 408:71] - node _T_2471 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:104] - node _T_2472 = and(_T_2470, _T_2471) @[lsu_bus_buffer.scala 408:92] - node _T_2473 = or(_T_2465, _T_2472) @[lsu_bus_buffer.scala 407:86] - node _T_2474 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] - node _T_2475 = and(_T_2474, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] - node _T_2476 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:64] - node _T_2477 = and(_T_2475, _T_2476) @[lsu_bus_buffer.scala 409:52] - node _T_2478 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:85] - node _T_2479 = and(_T_2477, _T_2478) @[lsu_bus_buffer.scala 409:73] - node _T_2480 = or(_T_2473, _T_2479) @[lsu_bus_buffer.scala 408:114] - node _T_2481 = and(_T_2460, _T_2480) @[lsu_bus_buffer.scala 406:113] - node _T_2482 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 409:109] - node _T_2483 = or(_T_2481, _T_2482) @[lsu_bus_buffer.scala 409:97] - node _T_2484 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] - node _T_2485 = and(_T_2484, buf_state_en[3]) @[lsu_bus_buffer.scala 406:94] - node _T_2486 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] - node _T_2487 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] - node _T_2488 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] - node _T_2489 = and(_T_2487, _T_2488) @[lsu_bus_buffer.scala 407:57] - node _T_2490 = or(_T_2486, _T_2489) @[lsu_bus_buffer.scala 407:31] - node _T_2491 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] - node _T_2492 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] - node _T_2493 = and(_T_2491, _T_2492) @[lsu_bus_buffer.scala 408:41] - node _T_2494 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:83] - node _T_2495 = and(_T_2493, _T_2494) @[lsu_bus_buffer.scala 408:71] - node _T_2496 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:104] - node _T_2497 = and(_T_2495, _T_2496) @[lsu_bus_buffer.scala 408:92] - node _T_2498 = or(_T_2490, _T_2497) @[lsu_bus_buffer.scala 407:86] - node _T_2499 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] - node _T_2500 = and(_T_2499, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] - node _T_2501 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:64] - node _T_2502 = and(_T_2500, _T_2501) @[lsu_bus_buffer.scala 409:52] - node _T_2503 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:85] - node _T_2504 = and(_T_2502, _T_2503) @[lsu_bus_buffer.scala 409:73] - node _T_2505 = or(_T_2498, _T_2504) @[lsu_bus_buffer.scala 408:114] - node _T_2506 = and(_T_2485, _T_2505) @[lsu_bus_buffer.scala 406:113] - node _T_2507 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 409:109] - node _T_2508 = or(_T_2506, _T_2507) @[lsu_bus_buffer.scala 409:97] - node _T_2509 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] - node _T_2510 = and(_T_2509, buf_state_en[3]) @[lsu_bus_buffer.scala 406:94] - node _T_2511 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] - node _T_2512 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] - node _T_2513 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] - node _T_2514 = and(_T_2512, _T_2513) @[lsu_bus_buffer.scala 407:57] - node _T_2515 = or(_T_2511, _T_2514) @[lsu_bus_buffer.scala 407:31] - node _T_2516 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] - node _T_2517 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] - node _T_2518 = and(_T_2516, _T_2517) @[lsu_bus_buffer.scala 408:41] - node _T_2519 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:83] - node _T_2520 = and(_T_2518, _T_2519) @[lsu_bus_buffer.scala 408:71] - node _T_2521 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:104] - node _T_2522 = and(_T_2520, _T_2521) @[lsu_bus_buffer.scala 408:92] - node _T_2523 = or(_T_2515, _T_2522) @[lsu_bus_buffer.scala 407:86] - node _T_2524 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] - node _T_2525 = and(_T_2524, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] - node _T_2526 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:64] - node _T_2527 = and(_T_2525, _T_2526) @[lsu_bus_buffer.scala 409:52] - node _T_2528 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:85] - node _T_2529 = and(_T_2527, _T_2528) @[lsu_bus_buffer.scala 409:73] - node _T_2530 = or(_T_2523, _T_2529) @[lsu_bus_buffer.scala 408:114] - node _T_2531 = and(_T_2510, _T_2530) @[lsu_bus_buffer.scala 406:113] - node _T_2532 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 409:109] - node _T_2533 = or(_T_2531, _T_2532) @[lsu_bus_buffer.scala 409:97] + node _T_2434 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2435 = and(_T_2434, buf_state_en[3]) @[lsu_bus_buffer.scala 405:94] + node _T_2436 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2437 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2438 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2439 = and(_T_2437, _T_2438) @[lsu_bus_buffer.scala 406:57] + node _T_2440 = or(_T_2436, _T_2439) @[lsu_bus_buffer.scala 406:31] + node _T_2441 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2442 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2443 = and(_T_2441, _T_2442) @[lsu_bus_buffer.scala 407:41] + node _T_2444 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:83] + node _T_2445 = and(_T_2443, _T_2444) @[lsu_bus_buffer.scala 407:71] + node _T_2446 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:104] + node _T_2447 = and(_T_2445, _T_2446) @[lsu_bus_buffer.scala 407:92] + node _T_2448 = or(_T_2440, _T_2447) @[lsu_bus_buffer.scala 406:86] + node _T_2449 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2450 = and(_T_2449, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2451 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:64] + node _T_2452 = and(_T_2450, _T_2451) @[lsu_bus_buffer.scala 408:52] + node _T_2453 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:85] + node _T_2454 = and(_T_2452, _T_2453) @[lsu_bus_buffer.scala 408:73] + node _T_2455 = or(_T_2448, _T_2454) @[lsu_bus_buffer.scala 407:114] + node _T_2456 = and(_T_2435, _T_2455) @[lsu_bus_buffer.scala 405:113] + node _T_2457 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 408:109] + node _T_2458 = or(_T_2456, _T_2457) @[lsu_bus_buffer.scala 408:97] + node _T_2459 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2460 = and(_T_2459, buf_state_en[3]) @[lsu_bus_buffer.scala 405:94] + node _T_2461 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2462 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2463 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2464 = and(_T_2462, _T_2463) @[lsu_bus_buffer.scala 406:57] + node _T_2465 = or(_T_2461, _T_2464) @[lsu_bus_buffer.scala 406:31] + node _T_2466 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2467 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2468 = and(_T_2466, _T_2467) @[lsu_bus_buffer.scala 407:41] + node _T_2469 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:83] + node _T_2470 = and(_T_2468, _T_2469) @[lsu_bus_buffer.scala 407:71] + node _T_2471 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:104] + node _T_2472 = and(_T_2470, _T_2471) @[lsu_bus_buffer.scala 407:92] + node _T_2473 = or(_T_2465, _T_2472) @[lsu_bus_buffer.scala 406:86] + node _T_2474 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2475 = and(_T_2474, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2476 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:64] + node _T_2477 = and(_T_2475, _T_2476) @[lsu_bus_buffer.scala 408:52] + node _T_2478 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:85] + node _T_2479 = and(_T_2477, _T_2478) @[lsu_bus_buffer.scala 408:73] + node _T_2480 = or(_T_2473, _T_2479) @[lsu_bus_buffer.scala 407:114] + node _T_2481 = and(_T_2460, _T_2480) @[lsu_bus_buffer.scala 405:113] + node _T_2482 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 408:109] + node _T_2483 = or(_T_2481, _T_2482) @[lsu_bus_buffer.scala 408:97] + node _T_2484 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2485 = and(_T_2484, buf_state_en[3]) @[lsu_bus_buffer.scala 405:94] + node _T_2486 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2487 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2488 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2489 = and(_T_2487, _T_2488) @[lsu_bus_buffer.scala 406:57] + node _T_2490 = or(_T_2486, _T_2489) @[lsu_bus_buffer.scala 406:31] + node _T_2491 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2492 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2493 = and(_T_2491, _T_2492) @[lsu_bus_buffer.scala 407:41] + node _T_2494 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:83] + node _T_2495 = and(_T_2493, _T_2494) @[lsu_bus_buffer.scala 407:71] + node _T_2496 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:104] + node _T_2497 = and(_T_2495, _T_2496) @[lsu_bus_buffer.scala 407:92] + node _T_2498 = or(_T_2490, _T_2497) @[lsu_bus_buffer.scala 406:86] + node _T_2499 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2500 = and(_T_2499, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2501 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:64] + node _T_2502 = and(_T_2500, _T_2501) @[lsu_bus_buffer.scala 408:52] + node _T_2503 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:85] + node _T_2504 = and(_T_2502, _T_2503) @[lsu_bus_buffer.scala 408:73] + node _T_2505 = or(_T_2498, _T_2504) @[lsu_bus_buffer.scala 407:114] + node _T_2506 = and(_T_2485, _T_2505) @[lsu_bus_buffer.scala 405:113] + node _T_2507 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 408:109] + node _T_2508 = or(_T_2506, _T_2507) @[lsu_bus_buffer.scala 408:97] + node _T_2509 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2510 = and(_T_2509, buf_state_en[3]) @[lsu_bus_buffer.scala 405:94] + node _T_2511 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2512 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2513 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2514 = and(_T_2512, _T_2513) @[lsu_bus_buffer.scala 406:57] + node _T_2515 = or(_T_2511, _T_2514) @[lsu_bus_buffer.scala 406:31] + node _T_2516 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2517 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2518 = and(_T_2516, _T_2517) @[lsu_bus_buffer.scala 407:41] + node _T_2519 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:83] + node _T_2520 = and(_T_2518, _T_2519) @[lsu_bus_buffer.scala 407:71] + node _T_2521 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:104] + node _T_2522 = and(_T_2520, _T_2521) @[lsu_bus_buffer.scala 407:92] + node _T_2523 = or(_T_2515, _T_2522) @[lsu_bus_buffer.scala 406:86] + node _T_2524 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2525 = and(_T_2524, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2526 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:64] + node _T_2527 = and(_T_2525, _T_2526) @[lsu_bus_buffer.scala 408:52] + node _T_2528 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:85] + node _T_2529 = and(_T_2527, _T_2528) @[lsu_bus_buffer.scala 408:73] + node _T_2530 = or(_T_2523, _T_2529) @[lsu_bus_buffer.scala 407:114] + node _T_2531 = and(_T_2510, _T_2530) @[lsu_bus_buffer.scala 405:113] + node _T_2532 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 408:109] + node _T_2533 = or(_T_2531, _T_2532) @[lsu_bus_buffer.scala 408:97] node _T_2534 = cat(_T_2533, _T_2508) @[Cat.scala 29:58] node _T_2535 = cat(_T_2534, _T_2483) @[Cat.scala 29:58] node buf_age_in_3 = cat(_T_2535, _T_2458) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[lsu_bus_buffer.scala 410:22] - buf_ageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 411:12] - buf_ageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 411:12] - buf_ageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 411:12] - buf_ageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 411:12] - node _T_2536 = bits(buf_ageQ[0], 0, 0) @[lsu_bus_buffer.scala 412:72] - node _T_2537 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] - node _T_2538 = and(_T_2537, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 412:103] - node _T_2539 = eq(_T_2538, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] - node _T_2540 = and(_T_2536, _T_2539) @[lsu_bus_buffer.scala 412:76] - node _T_2541 = bits(buf_ageQ[0], 1, 1) @[lsu_bus_buffer.scala 412:72] - node _T_2542 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] - node _T_2543 = and(_T_2542, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 412:103] - node _T_2544 = eq(_T_2543, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] - node _T_2545 = and(_T_2541, _T_2544) @[lsu_bus_buffer.scala 412:76] - node _T_2546 = bits(buf_ageQ[0], 2, 2) @[lsu_bus_buffer.scala 412:72] - node _T_2547 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] - node _T_2548 = and(_T_2547, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 412:103] - node _T_2549 = eq(_T_2548, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] - node _T_2550 = and(_T_2546, _T_2549) @[lsu_bus_buffer.scala 412:76] - node _T_2551 = bits(buf_ageQ[0], 3, 3) @[lsu_bus_buffer.scala 412:72] - node _T_2552 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] - node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 412:103] - node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] - node _T_2555 = and(_T_2551, _T_2554) @[lsu_bus_buffer.scala 412:76] + wire buf_ageQ : UInt<4>[4] @[lsu_bus_buffer.scala 409:22] + buf_ageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 410:12] + buf_ageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 410:12] + buf_ageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 410:12] + buf_ageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 410:12] + node _T_2536 = bits(buf_ageQ[0], 0, 0) @[lsu_bus_buffer.scala 411:72] + node _T_2537 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2538 = and(_T_2537, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 411:103] + node _T_2539 = eq(_T_2538, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2540 = and(_T_2536, _T_2539) @[lsu_bus_buffer.scala 411:76] + node _T_2541 = bits(buf_ageQ[0], 1, 1) @[lsu_bus_buffer.scala 411:72] + node _T_2542 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2543 = and(_T_2542, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 411:103] + node _T_2544 = eq(_T_2543, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2545 = and(_T_2541, _T_2544) @[lsu_bus_buffer.scala 411:76] + node _T_2546 = bits(buf_ageQ[0], 2, 2) @[lsu_bus_buffer.scala 411:72] + node _T_2547 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2548 = and(_T_2547, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 411:103] + node _T_2549 = eq(_T_2548, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2550 = and(_T_2546, _T_2549) @[lsu_bus_buffer.scala 411:76] + node _T_2551 = bits(buf_ageQ[0], 3, 3) @[lsu_bus_buffer.scala 411:72] + node _T_2552 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 411:103] + node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2555 = and(_T_2551, _T_2554) @[lsu_bus_buffer.scala 411:76] node _T_2556 = cat(_T_2555, _T_2550) @[Cat.scala 29:58] node _T_2557 = cat(_T_2556, _T_2545) @[Cat.scala 29:58] node _T_2558 = cat(_T_2557, _T_2540) @[Cat.scala 29:58] - node _T_2559 = bits(buf_ageQ[1], 0, 0) @[lsu_bus_buffer.scala 412:72] - node _T_2560 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] - node _T_2561 = and(_T_2560, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 412:103] - node _T_2562 = eq(_T_2561, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] - node _T_2563 = and(_T_2559, _T_2562) @[lsu_bus_buffer.scala 412:76] - node _T_2564 = bits(buf_ageQ[1], 1, 1) @[lsu_bus_buffer.scala 412:72] - node _T_2565 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] - node _T_2566 = and(_T_2565, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 412:103] - node _T_2567 = eq(_T_2566, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] - node _T_2568 = and(_T_2564, _T_2567) @[lsu_bus_buffer.scala 412:76] - node _T_2569 = bits(buf_ageQ[1], 2, 2) @[lsu_bus_buffer.scala 412:72] - node _T_2570 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] - node _T_2571 = and(_T_2570, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 412:103] - node _T_2572 = eq(_T_2571, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] - node _T_2573 = and(_T_2569, _T_2572) @[lsu_bus_buffer.scala 412:76] - node _T_2574 = bits(buf_ageQ[1], 3, 3) @[lsu_bus_buffer.scala 412:72] - node _T_2575 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] - node _T_2576 = and(_T_2575, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 412:103] - node _T_2577 = eq(_T_2576, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] - node _T_2578 = and(_T_2574, _T_2577) @[lsu_bus_buffer.scala 412:76] + node _T_2559 = bits(buf_ageQ[1], 0, 0) @[lsu_bus_buffer.scala 411:72] + node _T_2560 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2561 = and(_T_2560, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 411:103] + node _T_2562 = eq(_T_2561, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2563 = and(_T_2559, _T_2562) @[lsu_bus_buffer.scala 411:76] + node _T_2564 = bits(buf_ageQ[1], 1, 1) @[lsu_bus_buffer.scala 411:72] + node _T_2565 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2566 = and(_T_2565, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 411:103] + node _T_2567 = eq(_T_2566, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2568 = and(_T_2564, _T_2567) @[lsu_bus_buffer.scala 411:76] + node _T_2569 = bits(buf_ageQ[1], 2, 2) @[lsu_bus_buffer.scala 411:72] + node _T_2570 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2571 = and(_T_2570, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 411:103] + node _T_2572 = eq(_T_2571, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2573 = and(_T_2569, _T_2572) @[lsu_bus_buffer.scala 411:76] + node _T_2574 = bits(buf_ageQ[1], 3, 3) @[lsu_bus_buffer.scala 411:72] + node _T_2575 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2576 = and(_T_2575, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 411:103] + node _T_2577 = eq(_T_2576, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2578 = and(_T_2574, _T_2577) @[lsu_bus_buffer.scala 411:76] node _T_2579 = cat(_T_2578, _T_2573) @[Cat.scala 29:58] node _T_2580 = cat(_T_2579, _T_2568) @[Cat.scala 29:58] node _T_2581 = cat(_T_2580, _T_2563) @[Cat.scala 29:58] - node _T_2582 = bits(buf_ageQ[2], 0, 0) @[lsu_bus_buffer.scala 412:72] - node _T_2583 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] - node _T_2584 = and(_T_2583, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 412:103] - node _T_2585 = eq(_T_2584, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] - node _T_2586 = and(_T_2582, _T_2585) @[lsu_bus_buffer.scala 412:76] - node _T_2587 = bits(buf_ageQ[2], 1, 1) @[lsu_bus_buffer.scala 412:72] - node _T_2588 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] - node _T_2589 = and(_T_2588, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 412:103] - node _T_2590 = eq(_T_2589, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] - node _T_2591 = and(_T_2587, _T_2590) @[lsu_bus_buffer.scala 412:76] - node _T_2592 = bits(buf_ageQ[2], 2, 2) @[lsu_bus_buffer.scala 412:72] - node _T_2593 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] - node _T_2594 = and(_T_2593, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 412:103] - node _T_2595 = eq(_T_2594, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] - node _T_2596 = and(_T_2592, _T_2595) @[lsu_bus_buffer.scala 412:76] - node _T_2597 = bits(buf_ageQ[2], 3, 3) @[lsu_bus_buffer.scala 412:72] - node _T_2598 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] - node _T_2599 = and(_T_2598, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 412:103] - node _T_2600 = eq(_T_2599, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] - node _T_2601 = and(_T_2597, _T_2600) @[lsu_bus_buffer.scala 412:76] + node _T_2582 = bits(buf_ageQ[2], 0, 0) @[lsu_bus_buffer.scala 411:72] + node _T_2583 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2584 = and(_T_2583, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 411:103] + node _T_2585 = eq(_T_2584, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2586 = and(_T_2582, _T_2585) @[lsu_bus_buffer.scala 411:76] + node _T_2587 = bits(buf_ageQ[2], 1, 1) @[lsu_bus_buffer.scala 411:72] + node _T_2588 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2589 = and(_T_2588, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 411:103] + node _T_2590 = eq(_T_2589, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2591 = and(_T_2587, _T_2590) @[lsu_bus_buffer.scala 411:76] + node _T_2592 = bits(buf_ageQ[2], 2, 2) @[lsu_bus_buffer.scala 411:72] + node _T_2593 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2594 = and(_T_2593, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 411:103] + node _T_2595 = eq(_T_2594, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2596 = and(_T_2592, _T_2595) @[lsu_bus_buffer.scala 411:76] + node _T_2597 = bits(buf_ageQ[2], 3, 3) @[lsu_bus_buffer.scala 411:72] + node _T_2598 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2599 = and(_T_2598, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 411:103] + node _T_2600 = eq(_T_2599, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2601 = and(_T_2597, _T_2600) @[lsu_bus_buffer.scala 411:76] node _T_2602 = cat(_T_2601, _T_2596) @[Cat.scala 29:58] node _T_2603 = cat(_T_2602, _T_2591) @[Cat.scala 29:58] node _T_2604 = cat(_T_2603, _T_2586) @[Cat.scala 29:58] - node _T_2605 = bits(buf_ageQ[3], 0, 0) @[lsu_bus_buffer.scala 412:72] - node _T_2606 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] - node _T_2607 = and(_T_2606, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 412:103] - node _T_2608 = eq(_T_2607, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] - node _T_2609 = and(_T_2605, _T_2608) @[lsu_bus_buffer.scala 412:76] - node _T_2610 = bits(buf_ageQ[3], 1, 1) @[lsu_bus_buffer.scala 412:72] - node _T_2611 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] - node _T_2612 = and(_T_2611, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 412:103] - node _T_2613 = eq(_T_2612, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] - node _T_2614 = and(_T_2610, _T_2613) @[lsu_bus_buffer.scala 412:76] - node _T_2615 = bits(buf_ageQ[3], 2, 2) @[lsu_bus_buffer.scala 412:72] - node _T_2616 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] - node _T_2617 = and(_T_2616, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 412:103] - node _T_2618 = eq(_T_2617, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] - node _T_2619 = and(_T_2615, _T_2618) @[lsu_bus_buffer.scala 412:76] - node _T_2620 = bits(buf_ageQ[3], 3, 3) @[lsu_bus_buffer.scala 412:72] - node _T_2621 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] - node _T_2622 = and(_T_2621, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 412:103] - node _T_2623 = eq(_T_2622, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] - node _T_2624 = and(_T_2620, _T_2623) @[lsu_bus_buffer.scala 412:76] + node _T_2605 = bits(buf_ageQ[3], 0, 0) @[lsu_bus_buffer.scala 411:72] + node _T_2606 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2607 = and(_T_2606, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 411:103] + node _T_2608 = eq(_T_2607, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2609 = and(_T_2605, _T_2608) @[lsu_bus_buffer.scala 411:76] + node _T_2610 = bits(buf_ageQ[3], 1, 1) @[lsu_bus_buffer.scala 411:72] + node _T_2611 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2612 = and(_T_2611, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 411:103] + node _T_2613 = eq(_T_2612, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2614 = and(_T_2610, _T_2613) @[lsu_bus_buffer.scala 411:76] + node _T_2615 = bits(buf_ageQ[3], 2, 2) @[lsu_bus_buffer.scala 411:72] + node _T_2616 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2617 = and(_T_2616, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 411:103] + node _T_2618 = eq(_T_2617, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2619 = and(_T_2615, _T_2618) @[lsu_bus_buffer.scala 411:76] + node _T_2620 = bits(buf_ageQ[3], 3, 3) @[lsu_bus_buffer.scala 411:72] + node _T_2621 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2622 = and(_T_2621, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 411:103] + node _T_2623 = eq(_T_2622, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2624 = and(_T_2620, _T_2623) @[lsu_bus_buffer.scala 411:76] node _T_2625 = cat(_T_2624, _T_2619) @[Cat.scala 29:58] node _T_2626 = cat(_T_2625, _T_2614) @[Cat.scala 29:58] node _T_2627 = cat(_T_2626, _T_2609) @[Cat.scala 29:58] - buf_age[0] <= _T_2558 @[lsu_bus_buffer.scala 412:11] - buf_age[1] <= _T_2581 @[lsu_bus_buffer.scala 412:11] - buf_age[2] <= _T_2604 @[lsu_bus_buffer.scala 412:11] - buf_age[3] <= _T_2627 @[lsu_bus_buffer.scala 412:11] - node _T_2628 = eq(UInt<1>("h00"), UInt<1>("h00")) @[lsu_bus_buffer.scala 413:76] - node _T_2629 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 413:100] - node _T_2630 = eq(_T_2629, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] - node _T_2631 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] - node _T_2632 = and(_T_2630, _T_2631) @[lsu_bus_buffer.scala 413:104] - node _T_2633 = mux(_T_2628, UInt<1>("h00"), _T_2632) @[lsu_bus_buffer.scala 413:72] - node _T_2634 = eq(UInt<1>("h00"), UInt<1>("h01")) @[lsu_bus_buffer.scala 413:76] - node _T_2635 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 413:100] - node _T_2636 = eq(_T_2635, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] - node _T_2637 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] - node _T_2638 = and(_T_2636, _T_2637) @[lsu_bus_buffer.scala 413:104] - node _T_2639 = mux(_T_2634, UInt<1>("h00"), _T_2638) @[lsu_bus_buffer.scala 413:72] - node _T_2640 = eq(UInt<1>("h00"), UInt<2>("h02")) @[lsu_bus_buffer.scala 413:76] - node _T_2641 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 413:100] - node _T_2642 = eq(_T_2641, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] - node _T_2643 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] - node _T_2644 = and(_T_2642, _T_2643) @[lsu_bus_buffer.scala 413:104] - node _T_2645 = mux(_T_2640, UInt<1>("h00"), _T_2644) @[lsu_bus_buffer.scala 413:72] - node _T_2646 = eq(UInt<1>("h00"), UInt<2>("h03")) @[lsu_bus_buffer.scala 413:76] - node _T_2647 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 413:100] - node _T_2648 = eq(_T_2647, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] - node _T_2649 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] - node _T_2650 = and(_T_2648, _T_2649) @[lsu_bus_buffer.scala 413:104] - node _T_2651 = mux(_T_2646, UInt<1>("h00"), _T_2650) @[lsu_bus_buffer.scala 413:72] + buf_age[0] <= _T_2558 @[lsu_bus_buffer.scala 411:11] + buf_age[1] <= _T_2581 @[lsu_bus_buffer.scala 411:11] + buf_age[2] <= _T_2604 @[lsu_bus_buffer.scala 411:11] + buf_age[3] <= _T_2627 @[lsu_bus_buffer.scala 411:11] + node _T_2628 = eq(UInt<1>("h00"), UInt<1>("h00")) @[lsu_bus_buffer.scala 412:76] + node _T_2629 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 412:100] + node _T_2630 = eq(_T_2629, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2631 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2632 = and(_T_2630, _T_2631) @[lsu_bus_buffer.scala 412:104] + node _T_2633 = mux(_T_2628, UInt<1>("h00"), _T_2632) @[lsu_bus_buffer.scala 412:72] + node _T_2634 = eq(UInt<1>("h00"), UInt<1>("h01")) @[lsu_bus_buffer.scala 412:76] + node _T_2635 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 412:100] + node _T_2636 = eq(_T_2635, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2637 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2638 = and(_T_2636, _T_2637) @[lsu_bus_buffer.scala 412:104] + node _T_2639 = mux(_T_2634, UInt<1>("h00"), _T_2638) @[lsu_bus_buffer.scala 412:72] + node _T_2640 = eq(UInt<1>("h00"), UInt<2>("h02")) @[lsu_bus_buffer.scala 412:76] + node _T_2641 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 412:100] + node _T_2642 = eq(_T_2641, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2643 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2644 = and(_T_2642, _T_2643) @[lsu_bus_buffer.scala 412:104] + node _T_2645 = mux(_T_2640, UInt<1>("h00"), _T_2644) @[lsu_bus_buffer.scala 412:72] + node _T_2646 = eq(UInt<1>("h00"), UInt<2>("h03")) @[lsu_bus_buffer.scala 412:76] + node _T_2647 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 412:100] + node _T_2648 = eq(_T_2647, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2649 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2650 = and(_T_2648, _T_2649) @[lsu_bus_buffer.scala 412:104] + node _T_2651 = mux(_T_2646, UInt<1>("h00"), _T_2650) @[lsu_bus_buffer.scala 412:72] node _T_2652 = cat(_T_2651, _T_2645) @[Cat.scala 29:58] node _T_2653 = cat(_T_2652, _T_2639) @[Cat.scala 29:58] node _T_2654 = cat(_T_2653, _T_2633) @[Cat.scala 29:58] - node _T_2655 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 413:76] - node _T_2656 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 413:100] - node _T_2657 = eq(_T_2656, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] - node _T_2658 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] - node _T_2659 = and(_T_2657, _T_2658) @[lsu_bus_buffer.scala 413:104] - node _T_2660 = mux(_T_2655, UInt<1>("h00"), _T_2659) @[lsu_bus_buffer.scala 413:72] - node _T_2661 = eq(UInt<1>("h01"), UInt<1>("h01")) @[lsu_bus_buffer.scala 413:76] - node _T_2662 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 413:100] - node _T_2663 = eq(_T_2662, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] - node _T_2664 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] - node _T_2665 = and(_T_2663, _T_2664) @[lsu_bus_buffer.scala 413:104] - node _T_2666 = mux(_T_2661, UInt<1>("h00"), _T_2665) @[lsu_bus_buffer.scala 413:72] - node _T_2667 = eq(UInt<1>("h01"), UInt<2>("h02")) @[lsu_bus_buffer.scala 413:76] - node _T_2668 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 413:100] - node _T_2669 = eq(_T_2668, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] - node _T_2670 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] - node _T_2671 = and(_T_2669, _T_2670) @[lsu_bus_buffer.scala 413:104] - node _T_2672 = mux(_T_2667, UInt<1>("h00"), _T_2671) @[lsu_bus_buffer.scala 413:72] - node _T_2673 = eq(UInt<1>("h01"), UInt<2>("h03")) @[lsu_bus_buffer.scala 413:76] - node _T_2674 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 413:100] - node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] - node _T_2676 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] - node _T_2677 = and(_T_2675, _T_2676) @[lsu_bus_buffer.scala 413:104] - node _T_2678 = mux(_T_2673, UInt<1>("h00"), _T_2677) @[lsu_bus_buffer.scala 413:72] + node _T_2655 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 412:76] + node _T_2656 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 412:100] + node _T_2657 = eq(_T_2656, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2658 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2659 = and(_T_2657, _T_2658) @[lsu_bus_buffer.scala 412:104] + node _T_2660 = mux(_T_2655, UInt<1>("h00"), _T_2659) @[lsu_bus_buffer.scala 412:72] + node _T_2661 = eq(UInt<1>("h01"), UInt<1>("h01")) @[lsu_bus_buffer.scala 412:76] + node _T_2662 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 412:100] + node _T_2663 = eq(_T_2662, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2664 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2665 = and(_T_2663, _T_2664) @[lsu_bus_buffer.scala 412:104] + node _T_2666 = mux(_T_2661, UInt<1>("h00"), _T_2665) @[lsu_bus_buffer.scala 412:72] + node _T_2667 = eq(UInt<1>("h01"), UInt<2>("h02")) @[lsu_bus_buffer.scala 412:76] + node _T_2668 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 412:100] + node _T_2669 = eq(_T_2668, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2670 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2671 = and(_T_2669, _T_2670) @[lsu_bus_buffer.scala 412:104] + node _T_2672 = mux(_T_2667, UInt<1>("h00"), _T_2671) @[lsu_bus_buffer.scala 412:72] + node _T_2673 = eq(UInt<1>("h01"), UInt<2>("h03")) @[lsu_bus_buffer.scala 412:76] + node _T_2674 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 412:100] + node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2676 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2677 = and(_T_2675, _T_2676) @[lsu_bus_buffer.scala 412:104] + node _T_2678 = mux(_T_2673, UInt<1>("h00"), _T_2677) @[lsu_bus_buffer.scala 412:72] node _T_2679 = cat(_T_2678, _T_2672) @[Cat.scala 29:58] node _T_2680 = cat(_T_2679, _T_2666) @[Cat.scala 29:58] node _T_2681 = cat(_T_2680, _T_2660) @[Cat.scala 29:58] - node _T_2682 = eq(UInt<2>("h02"), UInt<1>("h00")) @[lsu_bus_buffer.scala 413:76] - node _T_2683 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 413:100] - node _T_2684 = eq(_T_2683, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] - node _T_2685 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] - node _T_2686 = and(_T_2684, _T_2685) @[lsu_bus_buffer.scala 413:104] - node _T_2687 = mux(_T_2682, UInt<1>("h00"), _T_2686) @[lsu_bus_buffer.scala 413:72] - node _T_2688 = eq(UInt<2>("h02"), UInt<1>("h01")) @[lsu_bus_buffer.scala 413:76] - node _T_2689 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 413:100] - node _T_2690 = eq(_T_2689, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] - node _T_2691 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] - node _T_2692 = and(_T_2690, _T_2691) @[lsu_bus_buffer.scala 413:104] - node _T_2693 = mux(_T_2688, UInt<1>("h00"), _T_2692) @[lsu_bus_buffer.scala 413:72] - node _T_2694 = eq(UInt<2>("h02"), UInt<2>("h02")) @[lsu_bus_buffer.scala 413:76] - node _T_2695 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 413:100] - node _T_2696 = eq(_T_2695, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] - node _T_2697 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] - node _T_2698 = and(_T_2696, _T_2697) @[lsu_bus_buffer.scala 413:104] - node _T_2699 = mux(_T_2694, UInt<1>("h00"), _T_2698) @[lsu_bus_buffer.scala 413:72] - node _T_2700 = eq(UInt<2>("h02"), UInt<2>("h03")) @[lsu_bus_buffer.scala 413:76] - node _T_2701 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 413:100] - node _T_2702 = eq(_T_2701, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] - node _T_2703 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] - node _T_2704 = and(_T_2702, _T_2703) @[lsu_bus_buffer.scala 413:104] - node _T_2705 = mux(_T_2700, UInt<1>("h00"), _T_2704) @[lsu_bus_buffer.scala 413:72] + node _T_2682 = eq(UInt<2>("h02"), UInt<1>("h00")) @[lsu_bus_buffer.scala 412:76] + node _T_2683 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 412:100] + node _T_2684 = eq(_T_2683, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2685 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2686 = and(_T_2684, _T_2685) @[lsu_bus_buffer.scala 412:104] + node _T_2687 = mux(_T_2682, UInt<1>("h00"), _T_2686) @[lsu_bus_buffer.scala 412:72] + node _T_2688 = eq(UInt<2>("h02"), UInt<1>("h01")) @[lsu_bus_buffer.scala 412:76] + node _T_2689 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 412:100] + node _T_2690 = eq(_T_2689, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2691 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2692 = and(_T_2690, _T_2691) @[lsu_bus_buffer.scala 412:104] + node _T_2693 = mux(_T_2688, UInt<1>("h00"), _T_2692) @[lsu_bus_buffer.scala 412:72] + node _T_2694 = eq(UInt<2>("h02"), UInt<2>("h02")) @[lsu_bus_buffer.scala 412:76] + node _T_2695 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 412:100] + node _T_2696 = eq(_T_2695, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2697 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2698 = and(_T_2696, _T_2697) @[lsu_bus_buffer.scala 412:104] + node _T_2699 = mux(_T_2694, UInt<1>("h00"), _T_2698) @[lsu_bus_buffer.scala 412:72] + node _T_2700 = eq(UInt<2>("h02"), UInt<2>("h03")) @[lsu_bus_buffer.scala 412:76] + node _T_2701 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 412:100] + node _T_2702 = eq(_T_2701, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2703 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2704 = and(_T_2702, _T_2703) @[lsu_bus_buffer.scala 412:104] + node _T_2705 = mux(_T_2700, UInt<1>("h00"), _T_2704) @[lsu_bus_buffer.scala 412:72] node _T_2706 = cat(_T_2705, _T_2699) @[Cat.scala 29:58] node _T_2707 = cat(_T_2706, _T_2693) @[Cat.scala 29:58] node _T_2708 = cat(_T_2707, _T_2687) @[Cat.scala 29:58] - node _T_2709 = eq(UInt<2>("h03"), UInt<1>("h00")) @[lsu_bus_buffer.scala 413:76] - node _T_2710 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 413:100] - node _T_2711 = eq(_T_2710, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] - node _T_2712 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] - node _T_2713 = and(_T_2711, _T_2712) @[lsu_bus_buffer.scala 413:104] - node _T_2714 = mux(_T_2709, UInt<1>("h00"), _T_2713) @[lsu_bus_buffer.scala 413:72] - node _T_2715 = eq(UInt<2>("h03"), UInt<1>("h01")) @[lsu_bus_buffer.scala 413:76] - node _T_2716 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 413:100] - node _T_2717 = eq(_T_2716, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] - node _T_2718 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] - node _T_2719 = and(_T_2717, _T_2718) @[lsu_bus_buffer.scala 413:104] - node _T_2720 = mux(_T_2715, UInt<1>("h00"), _T_2719) @[lsu_bus_buffer.scala 413:72] - node _T_2721 = eq(UInt<2>("h03"), UInt<2>("h02")) @[lsu_bus_buffer.scala 413:76] - node _T_2722 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 413:100] - node _T_2723 = eq(_T_2722, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] - node _T_2724 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] - node _T_2725 = and(_T_2723, _T_2724) @[lsu_bus_buffer.scala 413:104] - node _T_2726 = mux(_T_2721, UInt<1>("h00"), _T_2725) @[lsu_bus_buffer.scala 413:72] - node _T_2727 = eq(UInt<2>("h03"), UInt<2>("h03")) @[lsu_bus_buffer.scala 413:76] - node _T_2728 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 413:100] - node _T_2729 = eq(_T_2728, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] - node _T_2730 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] - node _T_2731 = and(_T_2729, _T_2730) @[lsu_bus_buffer.scala 413:104] - node _T_2732 = mux(_T_2727, UInt<1>("h00"), _T_2731) @[lsu_bus_buffer.scala 413:72] + node _T_2709 = eq(UInt<2>("h03"), UInt<1>("h00")) @[lsu_bus_buffer.scala 412:76] + node _T_2710 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 412:100] + node _T_2711 = eq(_T_2710, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2712 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2713 = and(_T_2711, _T_2712) @[lsu_bus_buffer.scala 412:104] + node _T_2714 = mux(_T_2709, UInt<1>("h00"), _T_2713) @[lsu_bus_buffer.scala 412:72] + node _T_2715 = eq(UInt<2>("h03"), UInt<1>("h01")) @[lsu_bus_buffer.scala 412:76] + node _T_2716 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 412:100] + node _T_2717 = eq(_T_2716, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2718 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2719 = and(_T_2717, _T_2718) @[lsu_bus_buffer.scala 412:104] + node _T_2720 = mux(_T_2715, UInt<1>("h00"), _T_2719) @[lsu_bus_buffer.scala 412:72] + node _T_2721 = eq(UInt<2>("h03"), UInt<2>("h02")) @[lsu_bus_buffer.scala 412:76] + node _T_2722 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 412:100] + node _T_2723 = eq(_T_2722, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2724 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2725 = and(_T_2723, _T_2724) @[lsu_bus_buffer.scala 412:104] + node _T_2726 = mux(_T_2721, UInt<1>("h00"), _T_2725) @[lsu_bus_buffer.scala 412:72] + node _T_2727 = eq(UInt<2>("h03"), UInt<2>("h03")) @[lsu_bus_buffer.scala 412:76] + node _T_2728 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 412:100] + node _T_2729 = eq(_T_2728, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2730 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2731 = and(_T_2729, _T_2730) @[lsu_bus_buffer.scala 412:104] + node _T_2732 = mux(_T_2727, UInt<1>("h00"), _T_2731) @[lsu_bus_buffer.scala 412:72] node _T_2733 = cat(_T_2732, _T_2726) @[Cat.scala 29:58] node _T_2734 = cat(_T_2733, _T_2720) @[Cat.scala 29:58] node _T_2735 = cat(_T_2734, _T_2714) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2654 @[lsu_bus_buffer.scala 413:19] - buf_age_younger[1] <= _T_2681 @[lsu_bus_buffer.scala 413:19] - buf_age_younger[2] <= _T_2708 @[lsu_bus_buffer.scala 413:19] - buf_age_younger[3] <= _T_2735 @[lsu_bus_buffer.scala 413:19] - node _T_2736 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 414:83] - node _T_2737 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] - node _T_2738 = and(_T_2736, _T_2737) @[lsu_bus_buffer.scala 414:87] - node _T_2739 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 414:83] - node _T_2740 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] - node _T_2741 = and(_T_2739, _T_2740) @[lsu_bus_buffer.scala 414:87] - node _T_2742 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 414:83] - node _T_2743 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] - node _T_2744 = and(_T_2742, _T_2743) @[lsu_bus_buffer.scala 414:87] - node _T_2745 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 414:83] - node _T_2746 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] - node _T_2747 = and(_T_2745, _T_2746) @[lsu_bus_buffer.scala 414:87] + buf_age_younger[0] <= _T_2654 @[lsu_bus_buffer.scala 412:19] + buf_age_younger[1] <= _T_2681 @[lsu_bus_buffer.scala 412:19] + buf_age_younger[2] <= _T_2708 @[lsu_bus_buffer.scala 412:19] + buf_age_younger[3] <= _T_2735 @[lsu_bus_buffer.scala 412:19] + node _T_2736 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 413:83] + node _T_2737 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2738 = and(_T_2736, _T_2737) @[lsu_bus_buffer.scala 413:87] + node _T_2739 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 413:83] + node _T_2740 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2741 = and(_T_2739, _T_2740) @[lsu_bus_buffer.scala 413:87] + node _T_2742 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 413:83] + node _T_2743 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2744 = and(_T_2742, _T_2743) @[lsu_bus_buffer.scala 413:87] + node _T_2745 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 413:83] + node _T_2746 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2747 = and(_T_2745, _T_2746) @[lsu_bus_buffer.scala 413:87] node _T_2748 = cat(_T_2747, _T_2744) @[Cat.scala 29:58] node _T_2749 = cat(_T_2748, _T_2741) @[Cat.scala 29:58] node _T_2750 = cat(_T_2749, _T_2738) @[Cat.scala 29:58] - node _T_2751 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 414:83] - node _T_2752 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] - node _T_2753 = and(_T_2751, _T_2752) @[lsu_bus_buffer.scala 414:87] - node _T_2754 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 414:83] - node _T_2755 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] - node _T_2756 = and(_T_2754, _T_2755) @[lsu_bus_buffer.scala 414:87] - node _T_2757 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 414:83] - node _T_2758 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] - node _T_2759 = and(_T_2757, _T_2758) @[lsu_bus_buffer.scala 414:87] - node _T_2760 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 414:83] - node _T_2761 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] - node _T_2762 = and(_T_2760, _T_2761) @[lsu_bus_buffer.scala 414:87] + node _T_2751 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 413:83] + node _T_2752 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2753 = and(_T_2751, _T_2752) @[lsu_bus_buffer.scala 413:87] + node _T_2754 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 413:83] + node _T_2755 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2756 = and(_T_2754, _T_2755) @[lsu_bus_buffer.scala 413:87] + node _T_2757 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 413:83] + node _T_2758 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2759 = and(_T_2757, _T_2758) @[lsu_bus_buffer.scala 413:87] + node _T_2760 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 413:83] + node _T_2761 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2762 = and(_T_2760, _T_2761) @[lsu_bus_buffer.scala 413:87] node _T_2763 = cat(_T_2762, _T_2759) @[Cat.scala 29:58] node _T_2764 = cat(_T_2763, _T_2756) @[Cat.scala 29:58] node _T_2765 = cat(_T_2764, _T_2753) @[Cat.scala 29:58] - node _T_2766 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 414:83] - node _T_2767 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] - node _T_2768 = and(_T_2766, _T_2767) @[lsu_bus_buffer.scala 414:87] - node _T_2769 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 414:83] - node _T_2770 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] - node _T_2771 = and(_T_2769, _T_2770) @[lsu_bus_buffer.scala 414:87] - node _T_2772 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 414:83] - node _T_2773 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] - node _T_2774 = and(_T_2772, _T_2773) @[lsu_bus_buffer.scala 414:87] - node _T_2775 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 414:83] - node _T_2776 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] - node _T_2777 = and(_T_2775, _T_2776) @[lsu_bus_buffer.scala 414:87] + node _T_2766 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 413:83] + node _T_2767 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2768 = and(_T_2766, _T_2767) @[lsu_bus_buffer.scala 413:87] + node _T_2769 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 413:83] + node _T_2770 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2771 = and(_T_2769, _T_2770) @[lsu_bus_buffer.scala 413:87] + node _T_2772 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 413:83] + node _T_2773 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2774 = and(_T_2772, _T_2773) @[lsu_bus_buffer.scala 413:87] + node _T_2775 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 413:83] + node _T_2776 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2777 = and(_T_2775, _T_2776) @[lsu_bus_buffer.scala 413:87] node _T_2778 = cat(_T_2777, _T_2774) @[Cat.scala 29:58] node _T_2779 = cat(_T_2778, _T_2771) @[Cat.scala 29:58] node _T_2780 = cat(_T_2779, _T_2768) @[Cat.scala 29:58] - node _T_2781 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 414:83] - node _T_2782 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] - node _T_2783 = and(_T_2781, _T_2782) @[lsu_bus_buffer.scala 414:87] - node _T_2784 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 414:83] - node _T_2785 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] - node _T_2786 = and(_T_2784, _T_2785) @[lsu_bus_buffer.scala 414:87] - node _T_2787 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 414:83] - node _T_2788 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] - node _T_2789 = and(_T_2787, _T_2788) @[lsu_bus_buffer.scala 414:87] - node _T_2790 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 414:83] - node _T_2791 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] - node _T_2792 = and(_T_2790, _T_2791) @[lsu_bus_buffer.scala 414:87] + node _T_2781 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 413:83] + node _T_2782 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2783 = and(_T_2781, _T_2782) @[lsu_bus_buffer.scala 413:87] + node _T_2784 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 413:83] + node _T_2785 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2786 = and(_T_2784, _T_2785) @[lsu_bus_buffer.scala 413:87] + node _T_2787 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 413:83] + node _T_2788 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2789 = and(_T_2787, _T_2788) @[lsu_bus_buffer.scala 413:87] + node _T_2790 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 413:83] + node _T_2791 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2792 = and(_T_2790, _T_2791) @[lsu_bus_buffer.scala 413:87] node _T_2793 = cat(_T_2792, _T_2789) @[Cat.scala 29:58] node _T_2794 = cat(_T_2793, _T_2786) @[Cat.scala 29:58] node _T_2795 = cat(_T_2794, _T_2783) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2750 @[lsu_bus_buffer.scala 414:19] - buf_rsp_pickage[1] <= _T_2765 @[lsu_bus_buffer.scala 414:19] - buf_rsp_pickage[2] <= _T_2780 @[lsu_bus_buffer.scala 414:19] - buf_rsp_pickage[3] <= _T_2795 @[lsu_bus_buffer.scala 414:19] - node _T_2796 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] - node _T_2797 = and(_T_2796, buf_state_en[0]) @[lsu_bus_buffer.scala 416:93] - node _T_2798 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] - node _T_2799 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] - node _T_2800 = or(_T_2798, _T_2799) @[lsu_bus_buffer.scala 417:32] - node _T_2801 = eq(_T_2800, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] - node _T_2802 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] - node _T_2803 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] - node _T_2804 = and(_T_2802, _T_2803) @[lsu_bus_buffer.scala 418:41] - node _T_2805 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] - node _T_2806 = and(_T_2804, _T_2805) @[lsu_bus_buffer.scala 418:71] - node _T_2807 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:101] - node _T_2808 = and(_T_2806, _T_2807) @[lsu_bus_buffer.scala 418:90] - node _T_2809 = or(_T_2801, _T_2808) @[lsu_bus_buffer.scala 417:59] - node _T_2810 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] - node _T_2811 = and(_T_2810, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] - node _T_2812 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:63] - node _T_2813 = and(_T_2811, _T_2812) @[lsu_bus_buffer.scala 419:52] - node _T_2814 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:82] - node _T_2815 = and(_T_2813, _T_2814) @[lsu_bus_buffer.scala 419:71] - node _T_2816 = or(_T_2809, _T_2815) @[lsu_bus_buffer.scala 418:110] - node _T_2817 = and(_T_2797, _T_2816) @[lsu_bus_buffer.scala 416:112] - node _T_2818 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] - node _T_2819 = and(_T_2818, buf_state_en[0]) @[lsu_bus_buffer.scala 416:93] - node _T_2820 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] - node _T_2821 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] - node _T_2822 = or(_T_2820, _T_2821) @[lsu_bus_buffer.scala 417:32] - node _T_2823 = eq(_T_2822, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] - node _T_2824 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] - node _T_2825 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] - node _T_2826 = and(_T_2824, _T_2825) @[lsu_bus_buffer.scala 418:41] - node _T_2827 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] - node _T_2828 = and(_T_2826, _T_2827) @[lsu_bus_buffer.scala 418:71] - node _T_2829 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:101] - node _T_2830 = and(_T_2828, _T_2829) @[lsu_bus_buffer.scala 418:90] - node _T_2831 = or(_T_2823, _T_2830) @[lsu_bus_buffer.scala 417:59] - node _T_2832 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] - node _T_2833 = and(_T_2832, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] - node _T_2834 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:63] - node _T_2835 = and(_T_2833, _T_2834) @[lsu_bus_buffer.scala 419:52] - node _T_2836 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:82] - node _T_2837 = and(_T_2835, _T_2836) @[lsu_bus_buffer.scala 419:71] - node _T_2838 = or(_T_2831, _T_2837) @[lsu_bus_buffer.scala 418:110] - node _T_2839 = and(_T_2819, _T_2838) @[lsu_bus_buffer.scala 416:112] - node _T_2840 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] - node _T_2841 = and(_T_2840, buf_state_en[0]) @[lsu_bus_buffer.scala 416:93] - node _T_2842 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] - node _T_2843 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] - node _T_2844 = or(_T_2842, _T_2843) @[lsu_bus_buffer.scala 417:32] - node _T_2845 = eq(_T_2844, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] - node _T_2846 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] - node _T_2847 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] - node _T_2848 = and(_T_2846, _T_2847) @[lsu_bus_buffer.scala 418:41] - node _T_2849 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] - node _T_2850 = and(_T_2848, _T_2849) @[lsu_bus_buffer.scala 418:71] - node _T_2851 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:101] - node _T_2852 = and(_T_2850, _T_2851) @[lsu_bus_buffer.scala 418:90] - node _T_2853 = or(_T_2845, _T_2852) @[lsu_bus_buffer.scala 417:59] - node _T_2854 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] - node _T_2855 = and(_T_2854, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] - node _T_2856 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:63] - node _T_2857 = and(_T_2855, _T_2856) @[lsu_bus_buffer.scala 419:52] - node _T_2858 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:82] - node _T_2859 = and(_T_2857, _T_2858) @[lsu_bus_buffer.scala 419:71] - node _T_2860 = or(_T_2853, _T_2859) @[lsu_bus_buffer.scala 418:110] - node _T_2861 = and(_T_2841, _T_2860) @[lsu_bus_buffer.scala 416:112] - node _T_2862 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] - node _T_2863 = and(_T_2862, buf_state_en[0]) @[lsu_bus_buffer.scala 416:93] - node _T_2864 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] - node _T_2865 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] - node _T_2866 = or(_T_2864, _T_2865) @[lsu_bus_buffer.scala 417:32] - node _T_2867 = eq(_T_2866, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] - node _T_2868 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] - node _T_2869 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] - node _T_2870 = and(_T_2868, _T_2869) @[lsu_bus_buffer.scala 418:41] - node _T_2871 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] - node _T_2872 = and(_T_2870, _T_2871) @[lsu_bus_buffer.scala 418:71] - node _T_2873 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:101] - node _T_2874 = and(_T_2872, _T_2873) @[lsu_bus_buffer.scala 418:90] - node _T_2875 = or(_T_2867, _T_2874) @[lsu_bus_buffer.scala 417:59] - node _T_2876 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] - node _T_2877 = and(_T_2876, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] - node _T_2878 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:63] - node _T_2879 = and(_T_2877, _T_2878) @[lsu_bus_buffer.scala 419:52] - node _T_2880 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:82] - node _T_2881 = and(_T_2879, _T_2880) @[lsu_bus_buffer.scala 419:71] - node _T_2882 = or(_T_2875, _T_2881) @[lsu_bus_buffer.scala 418:110] - node _T_2883 = and(_T_2863, _T_2882) @[lsu_bus_buffer.scala 416:112] + buf_rsp_pickage[0] <= _T_2750 @[lsu_bus_buffer.scala 413:19] + buf_rsp_pickage[1] <= _T_2765 @[lsu_bus_buffer.scala 413:19] + buf_rsp_pickage[2] <= _T_2780 @[lsu_bus_buffer.scala 413:19] + buf_rsp_pickage[3] <= _T_2795 @[lsu_bus_buffer.scala 413:19] + node _T_2796 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2797 = and(_T_2796, buf_state_en[0]) @[lsu_bus_buffer.scala 415:93] + node _T_2798 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2799 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2800 = or(_T_2798, _T_2799) @[lsu_bus_buffer.scala 416:32] + node _T_2801 = eq(_T_2800, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2802 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2803 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2804 = and(_T_2802, _T_2803) @[lsu_bus_buffer.scala 417:41] + node _T_2805 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:82] + node _T_2806 = and(_T_2804, _T_2805) @[lsu_bus_buffer.scala 417:71] + node _T_2807 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:101] + node _T_2808 = and(_T_2806, _T_2807) @[lsu_bus_buffer.scala 417:90] + node _T_2809 = or(_T_2801, _T_2808) @[lsu_bus_buffer.scala 416:59] + node _T_2810 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2811 = and(_T_2810, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2812 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:63] + node _T_2813 = and(_T_2811, _T_2812) @[lsu_bus_buffer.scala 418:52] + node _T_2814 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2815 = and(_T_2813, _T_2814) @[lsu_bus_buffer.scala 418:71] + node _T_2816 = or(_T_2809, _T_2815) @[lsu_bus_buffer.scala 417:110] + node _T_2817 = and(_T_2797, _T_2816) @[lsu_bus_buffer.scala 415:112] + node _T_2818 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2819 = and(_T_2818, buf_state_en[0]) @[lsu_bus_buffer.scala 415:93] + node _T_2820 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2821 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2822 = or(_T_2820, _T_2821) @[lsu_bus_buffer.scala 416:32] + node _T_2823 = eq(_T_2822, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2824 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2825 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2826 = and(_T_2824, _T_2825) @[lsu_bus_buffer.scala 417:41] + node _T_2827 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:82] + node _T_2828 = and(_T_2826, _T_2827) @[lsu_bus_buffer.scala 417:71] + node _T_2829 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:101] + node _T_2830 = and(_T_2828, _T_2829) @[lsu_bus_buffer.scala 417:90] + node _T_2831 = or(_T_2823, _T_2830) @[lsu_bus_buffer.scala 416:59] + node _T_2832 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2833 = and(_T_2832, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2834 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:63] + node _T_2835 = and(_T_2833, _T_2834) @[lsu_bus_buffer.scala 418:52] + node _T_2836 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] + node _T_2837 = and(_T_2835, _T_2836) @[lsu_bus_buffer.scala 418:71] + node _T_2838 = or(_T_2831, _T_2837) @[lsu_bus_buffer.scala 417:110] + node _T_2839 = and(_T_2819, _T_2838) @[lsu_bus_buffer.scala 415:112] + node _T_2840 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2841 = and(_T_2840, buf_state_en[0]) @[lsu_bus_buffer.scala 415:93] + node _T_2842 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2843 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2844 = or(_T_2842, _T_2843) @[lsu_bus_buffer.scala 416:32] + node _T_2845 = eq(_T_2844, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2846 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2847 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2848 = and(_T_2846, _T_2847) @[lsu_bus_buffer.scala 417:41] + node _T_2849 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:82] + node _T_2850 = and(_T_2848, _T_2849) @[lsu_bus_buffer.scala 417:71] + node _T_2851 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:101] + node _T_2852 = and(_T_2850, _T_2851) @[lsu_bus_buffer.scala 417:90] + node _T_2853 = or(_T_2845, _T_2852) @[lsu_bus_buffer.scala 416:59] + node _T_2854 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2855 = and(_T_2854, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2856 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:63] + node _T_2857 = and(_T_2855, _T_2856) @[lsu_bus_buffer.scala 418:52] + node _T_2858 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] + node _T_2859 = and(_T_2857, _T_2858) @[lsu_bus_buffer.scala 418:71] + node _T_2860 = or(_T_2853, _T_2859) @[lsu_bus_buffer.scala 417:110] + node _T_2861 = and(_T_2841, _T_2860) @[lsu_bus_buffer.scala 415:112] + node _T_2862 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2863 = and(_T_2862, buf_state_en[0]) @[lsu_bus_buffer.scala 415:93] + node _T_2864 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2865 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2866 = or(_T_2864, _T_2865) @[lsu_bus_buffer.scala 416:32] + node _T_2867 = eq(_T_2866, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2868 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2869 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2870 = and(_T_2868, _T_2869) @[lsu_bus_buffer.scala 417:41] + node _T_2871 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:82] + node _T_2872 = and(_T_2870, _T_2871) @[lsu_bus_buffer.scala 417:71] + node _T_2873 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:101] + node _T_2874 = and(_T_2872, _T_2873) @[lsu_bus_buffer.scala 417:90] + node _T_2875 = or(_T_2867, _T_2874) @[lsu_bus_buffer.scala 416:59] + node _T_2876 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2877 = and(_T_2876, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2878 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:63] + node _T_2879 = and(_T_2877, _T_2878) @[lsu_bus_buffer.scala 418:52] + node _T_2880 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] + node _T_2881 = and(_T_2879, _T_2880) @[lsu_bus_buffer.scala 418:71] + node _T_2882 = or(_T_2875, _T_2881) @[lsu_bus_buffer.scala 417:110] + node _T_2883 = and(_T_2863, _T_2882) @[lsu_bus_buffer.scala 415:112] node _T_2884 = cat(_T_2883, _T_2861) @[Cat.scala 29:58] node _T_2885 = cat(_T_2884, _T_2839) @[Cat.scala 29:58] node _T_2886 = cat(_T_2885, _T_2817) @[Cat.scala 29:58] - node _T_2887 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] - node _T_2888 = and(_T_2887, buf_state_en[1]) @[lsu_bus_buffer.scala 416:93] - node _T_2889 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] - node _T_2890 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] - node _T_2891 = or(_T_2889, _T_2890) @[lsu_bus_buffer.scala 417:32] - node _T_2892 = eq(_T_2891, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] - node _T_2893 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] - node _T_2894 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] - node _T_2895 = and(_T_2893, _T_2894) @[lsu_bus_buffer.scala 418:41] - node _T_2896 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] - node _T_2897 = and(_T_2895, _T_2896) @[lsu_bus_buffer.scala 418:71] - node _T_2898 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:101] - node _T_2899 = and(_T_2897, _T_2898) @[lsu_bus_buffer.scala 418:90] - node _T_2900 = or(_T_2892, _T_2899) @[lsu_bus_buffer.scala 417:59] - node _T_2901 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] - node _T_2902 = and(_T_2901, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] - node _T_2903 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:63] - node _T_2904 = and(_T_2902, _T_2903) @[lsu_bus_buffer.scala 419:52] - node _T_2905 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:82] - node _T_2906 = and(_T_2904, _T_2905) @[lsu_bus_buffer.scala 419:71] - node _T_2907 = or(_T_2900, _T_2906) @[lsu_bus_buffer.scala 418:110] - node _T_2908 = and(_T_2888, _T_2907) @[lsu_bus_buffer.scala 416:112] - node _T_2909 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] - node _T_2910 = and(_T_2909, buf_state_en[1]) @[lsu_bus_buffer.scala 416:93] - node _T_2911 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] - node _T_2912 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] - node _T_2913 = or(_T_2911, _T_2912) @[lsu_bus_buffer.scala 417:32] - node _T_2914 = eq(_T_2913, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] - node _T_2915 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] - node _T_2916 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] - node _T_2917 = and(_T_2915, _T_2916) @[lsu_bus_buffer.scala 418:41] - node _T_2918 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] - node _T_2919 = and(_T_2917, _T_2918) @[lsu_bus_buffer.scala 418:71] - node _T_2920 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:101] - node _T_2921 = and(_T_2919, _T_2920) @[lsu_bus_buffer.scala 418:90] - node _T_2922 = or(_T_2914, _T_2921) @[lsu_bus_buffer.scala 417:59] - node _T_2923 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] - node _T_2924 = and(_T_2923, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] - node _T_2925 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:63] - node _T_2926 = and(_T_2924, _T_2925) @[lsu_bus_buffer.scala 419:52] - node _T_2927 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:82] - node _T_2928 = and(_T_2926, _T_2927) @[lsu_bus_buffer.scala 419:71] - node _T_2929 = or(_T_2922, _T_2928) @[lsu_bus_buffer.scala 418:110] - node _T_2930 = and(_T_2910, _T_2929) @[lsu_bus_buffer.scala 416:112] - node _T_2931 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] - node _T_2932 = and(_T_2931, buf_state_en[1]) @[lsu_bus_buffer.scala 416:93] - node _T_2933 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] - node _T_2934 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] - node _T_2935 = or(_T_2933, _T_2934) @[lsu_bus_buffer.scala 417:32] - node _T_2936 = eq(_T_2935, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] - node _T_2937 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] - node _T_2938 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] - node _T_2939 = and(_T_2937, _T_2938) @[lsu_bus_buffer.scala 418:41] - node _T_2940 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] - node _T_2941 = and(_T_2939, _T_2940) @[lsu_bus_buffer.scala 418:71] - node _T_2942 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:101] - node _T_2943 = and(_T_2941, _T_2942) @[lsu_bus_buffer.scala 418:90] - node _T_2944 = or(_T_2936, _T_2943) @[lsu_bus_buffer.scala 417:59] - node _T_2945 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] - node _T_2946 = and(_T_2945, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] - node _T_2947 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:63] - node _T_2948 = and(_T_2946, _T_2947) @[lsu_bus_buffer.scala 419:52] - node _T_2949 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:82] - node _T_2950 = and(_T_2948, _T_2949) @[lsu_bus_buffer.scala 419:71] - node _T_2951 = or(_T_2944, _T_2950) @[lsu_bus_buffer.scala 418:110] - node _T_2952 = and(_T_2932, _T_2951) @[lsu_bus_buffer.scala 416:112] - node _T_2953 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] - node _T_2954 = and(_T_2953, buf_state_en[1]) @[lsu_bus_buffer.scala 416:93] - node _T_2955 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] - node _T_2956 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] - node _T_2957 = or(_T_2955, _T_2956) @[lsu_bus_buffer.scala 417:32] - node _T_2958 = eq(_T_2957, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] - node _T_2959 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] - node _T_2960 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] - node _T_2961 = and(_T_2959, _T_2960) @[lsu_bus_buffer.scala 418:41] - node _T_2962 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] - node _T_2963 = and(_T_2961, _T_2962) @[lsu_bus_buffer.scala 418:71] - node _T_2964 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:101] - node _T_2965 = and(_T_2963, _T_2964) @[lsu_bus_buffer.scala 418:90] - node _T_2966 = or(_T_2958, _T_2965) @[lsu_bus_buffer.scala 417:59] - node _T_2967 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] - node _T_2968 = and(_T_2967, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] - node _T_2969 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:63] - node _T_2970 = and(_T_2968, _T_2969) @[lsu_bus_buffer.scala 419:52] - node _T_2971 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:82] - node _T_2972 = and(_T_2970, _T_2971) @[lsu_bus_buffer.scala 419:71] - node _T_2973 = or(_T_2966, _T_2972) @[lsu_bus_buffer.scala 418:110] - node _T_2974 = and(_T_2954, _T_2973) @[lsu_bus_buffer.scala 416:112] + node _T_2887 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2888 = and(_T_2887, buf_state_en[1]) @[lsu_bus_buffer.scala 415:93] + node _T_2889 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2890 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2891 = or(_T_2889, _T_2890) @[lsu_bus_buffer.scala 416:32] + node _T_2892 = eq(_T_2891, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2893 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2894 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2895 = and(_T_2893, _T_2894) @[lsu_bus_buffer.scala 417:41] + node _T_2896 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:82] + node _T_2897 = and(_T_2895, _T_2896) @[lsu_bus_buffer.scala 417:71] + node _T_2898 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:101] + node _T_2899 = and(_T_2897, _T_2898) @[lsu_bus_buffer.scala 417:90] + node _T_2900 = or(_T_2892, _T_2899) @[lsu_bus_buffer.scala 416:59] + node _T_2901 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2902 = and(_T_2901, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2903 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:63] + node _T_2904 = and(_T_2902, _T_2903) @[lsu_bus_buffer.scala 418:52] + node _T_2905 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2906 = and(_T_2904, _T_2905) @[lsu_bus_buffer.scala 418:71] + node _T_2907 = or(_T_2900, _T_2906) @[lsu_bus_buffer.scala 417:110] + node _T_2908 = and(_T_2888, _T_2907) @[lsu_bus_buffer.scala 415:112] + node _T_2909 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2910 = and(_T_2909, buf_state_en[1]) @[lsu_bus_buffer.scala 415:93] + node _T_2911 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2912 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2913 = or(_T_2911, _T_2912) @[lsu_bus_buffer.scala 416:32] + node _T_2914 = eq(_T_2913, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2915 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2916 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2917 = and(_T_2915, _T_2916) @[lsu_bus_buffer.scala 417:41] + node _T_2918 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:82] + node _T_2919 = and(_T_2917, _T_2918) @[lsu_bus_buffer.scala 417:71] + node _T_2920 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:101] + node _T_2921 = and(_T_2919, _T_2920) @[lsu_bus_buffer.scala 417:90] + node _T_2922 = or(_T_2914, _T_2921) @[lsu_bus_buffer.scala 416:59] + node _T_2923 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2924 = and(_T_2923, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2925 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:63] + node _T_2926 = and(_T_2924, _T_2925) @[lsu_bus_buffer.scala 418:52] + node _T_2927 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] + node _T_2928 = and(_T_2926, _T_2927) @[lsu_bus_buffer.scala 418:71] + node _T_2929 = or(_T_2922, _T_2928) @[lsu_bus_buffer.scala 417:110] + node _T_2930 = and(_T_2910, _T_2929) @[lsu_bus_buffer.scala 415:112] + node _T_2931 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2932 = and(_T_2931, buf_state_en[1]) @[lsu_bus_buffer.scala 415:93] + node _T_2933 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2934 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2935 = or(_T_2933, _T_2934) @[lsu_bus_buffer.scala 416:32] + node _T_2936 = eq(_T_2935, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2937 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2938 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2939 = and(_T_2937, _T_2938) @[lsu_bus_buffer.scala 417:41] + node _T_2940 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:82] + node _T_2941 = and(_T_2939, _T_2940) @[lsu_bus_buffer.scala 417:71] + node _T_2942 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:101] + node _T_2943 = and(_T_2941, _T_2942) @[lsu_bus_buffer.scala 417:90] + node _T_2944 = or(_T_2936, _T_2943) @[lsu_bus_buffer.scala 416:59] + node _T_2945 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2946 = and(_T_2945, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2947 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:63] + node _T_2948 = and(_T_2946, _T_2947) @[lsu_bus_buffer.scala 418:52] + node _T_2949 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] + node _T_2950 = and(_T_2948, _T_2949) @[lsu_bus_buffer.scala 418:71] + node _T_2951 = or(_T_2944, _T_2950) @[lsu_bus_buffer.scala 417:110] + node _T_2952 = and(_T_2932, _T_2951) @[lsu_bus_buffer.scala 415:112] + node _T_2953 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2954 = and(_T_2953, buf_state_en[1]) @[lsu_bus_buffer.scala 415:93] + node _T_2955 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2956 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2957 = or(_T_2955, _T_2956) @[lsu_bus_buffer.scala 416:32] + node _T_2958 = eq(_T_2957, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2959 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2960 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2961 = and(_T_2959, _T_2960) @[lsu_bus_buffer.scala 417:41] + node _T_2962 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:82] + node _T_2963 = and(_T_2961, _T_2962) @[lsu_bus_buffer.scala 417:71] + node _T_2964 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:101] + node _T_2965 = and(_T_2963, _T_2964) @[lsu_bus_buffer.scala 417:90] + node _T_2966 = or(_T_2958, _T_2965) @[lsu_bus_buffer.scala 416:59] + node _T_2967 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2968 = and(_T_2967, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2969 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:63] + node _T_2970 = and(_T_2968, _T_2969) @[lsu_bus_buffer.scala 418:52] + node _T_2971 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] + node _T_2972 = and(_T_2970, _T_2971) @[lsu_bus_buffer.scala 418:71] + node _T_2973 = or(_T_2966, _T_2972) @[lsu_bus_buffer.scala 417:110] + node _T_2974 = and(_T_2954, _T_2973) @[lsu_bus_buffer.scala 415:112] node _T_2975 = cat(_T_2974, _T_2952) @[Cat.scala 29:58] node _T_2976 = cat(_T_2975, _T_2930) @[Cat.scala 29:58] node _T_2977 = cat(_T_2976, _T_2908) @[Cat.scala 29:58] - node _T_2978 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] - node _T_2979 = and(_T_2978, buf_state_en[2]) @[lsu_bus_buffer.scala 416:93] - node _T_2980 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] - node _T_2981 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] - node _T_2982 = or(_T_2980, _T_2981) @[lsu_bus_buffer.scala 417:32] - node _T_2983 = eq(_T_2982, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] - node _T_2984 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] - node _T_2985 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] - node _T_2986 = and(_T_2984, _T_2985) @[lsu_bus_buffer.scala 418:41] - node _T_2987 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] - node _T_2988 = and(_T_2986, _T_2987) @[lsu_bus_buffer.scala 418:71] - node _T_2989 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:101] - node _T_2990 = and(_T_2988, _T_2989) @[lsu_bus_buffer.scala 418:90] - node _T_2991 = or(_T_2983, _T_2990) @[lsu_bus_buffer.scala 417:59] - node _T_2992 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] - node _T_2993 = and(_T_2992, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] - node _T_2994 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:63] - node _T_2995 = and(_T_2993, _T_2994) @[lsu_bus_buffer.scala 419:52] - node _T_2996 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:82] - node _T_2997 = and(_T_2995, _T_2996) @[lsu_bus_buffer.scala 419:71] - node _T_2998 = or(_T_2991, _T_2997) @[lsu_bus_buffer.scala 418:110] - node _T_2999 = and(_T_2979, _T_2998) @[lsu_bus_buffer.scala 416:112] - node _T_3000 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] - node _T_3001 = and(_T_3000, buf_state_en[2]) @[lsu_bus_buffer.scala 416:93] - node _T_3002 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] - node _T_3003 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] - node _T_3004 = or(_T_3002, _T_3003) @[lsu_bus_buffer.scala 417:32] - node _T_3005 = eq(_T_3004, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] - node _T_3006 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] - node _T_3007 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] - node _T_3008 = and(_T_3006, _T_3007) @[lsu_bus_buffer.scala 418:41] - node _T_3009 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] - node _T_3010 = and(_T_3008, _T_3009) @[lsu_bus_buffer.scala 418:71] - node _T_3011 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:101] - node _T_3012 = and(_T_3010, _T_3011) @[lsu_bus_buffer.scala 418:90] - node _T_3013 = or(_T_3005, _T_3012) @[lsu_bus_buffer.scala 417:59] - node _T_3014 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] - node _T_3015 = and(_T_3014, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] - node _T_3016 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:63] - node _T_3017 = and(_T_3015, _T_3016) @[lsu_bus_buffer.scala 419:52] - node _T_3018 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:82] - node _T_3019 = and(_T_3017, _T_3018) @[lsu_bus_buffer.scala 419:71] - node _T_3020 = or(_T_3013, _T_3019) @[lsu_bus_buffer.scala 418:110] - node _T_3021 = and(_T_3001, _T_3020) @[lsu_bus_buffer.scala 416:112] - node _T_3022 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] - node _T_3023 = and(_T_3022, buf_state_en[2]) @[lsu_bus_buffer.scala 416:93] - node _T_3024 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] - node _T_3025 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] - node _T_3026 = or(_T_3024, _T_3025) @[lsu_bus_buffer.scala 417:32] - node _T_3027 = eq(_T_3026, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] - node _T_3028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] - node _T_3029 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] - node _T_3030 = and(_T_3028, _T_3029) @[lsu_bus_buffer.scala 418:41] - node _T_3031 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] - node _T_3032 = and(_T_3030, _T_3031) @[lsu_bus_buffer.scala 418:71] - node _T_3033 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:101] - node _T_3034 = and(_T_3032, _T_3033) @[lsu_bus_buffer.scala 418:90] - node _T_3035 = or(_T_3027, _T_3034) @[lsu_bus_buffer.scala 417:59] - node _T_3036 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] - node _T_3037 = and(_T_3036, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] - node _T_3038 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:63] - node _T_3039 = and(_T_3037, _T_3038) @[lsu_bus_buffer.scala 419:52] - node _T_3040 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:82] - node _T_3041 = and(_T_3039, _T_3040) @[lsu_bus_buffer.scala 419:71] - node _T_3042 = or(_T_3035, _T_3041) @[lsu_bus_buffer.scala 418:110] - node _T_3043 = and(_T_3023, _T_3042) @[lsu_bus_buffer.scala 416:112] - node _T_3044 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] - node _T_3045 = and(_T_3044, buf_state_en[2]) @[lsu_bus_buffer.scala 416:93] - node _T_3046 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] - node _T_3047 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] - node _T_3048 = or(_T_3046, _T_3047) @[lsu_bus_buffer.scala 417:32] - node _T_3049 = eq(_T_3048, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] - node _T_3050 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] - node _T_3051 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] - node _T_3052 = and(_T_3050, _T_3051) @[lsu_bus_buffer.scala 418:41] - node _T_3053 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] - node _T_3054 = and(_T_3052, _T_3053) @[lsu_bus_buffer.scala 418:71] - node _T_3055 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:101] - node _T_3056 = and(_T_3054, _T_3055) @[lsu_bus_buffer.scala 418:90] - node _T_3057 = or(_T_3049, _T_3056) @[lsu_bus_buffer.scala 417:59] - node _T_3058 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] - node _T_3059 = and(_T_3058, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] - node _T_3060 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:63] - node _T_3061 = and(_T_3059, _T_3060) @[lsu_bus_buffer.scala 419:52] - node _T_3062 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:82] - node _T_3063 = and(_T_3061, _T_3062) @[lsu_bus_buffer.scala 419:71] - node _T_3064 = or(_T_3057, _T_3063) @[lsu_bus_buffer.scala 418:110] - node _T_3065 = and(_T_3045, _T_3064) @[lsu_bus_buffer.scala 416:112] + node _T_2978 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2979 = and(_T_2978, buf_state_en[2]) @[lsu_bus_buffer.scala 415:93] + node _T_2980 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2981 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2982 = or(_T_2980, _T_2981) @[lsu_bus_buffer.scala 416:32] + node _T_2983 = eq(_T_2982, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2984 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2985 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2986 = and(_T_2984, _T_2985) @[lsu_bus_buffer.scala 417:41] + node _T_2987 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:82] + node _T_2988 = and(_T_2986, _T_2987) @[lsu_bus_buffer.scala 417:71] + node _T_2989 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:101] + node _T_2990 = and(_T_2988, _T_2989) @[lsu_bus_buffer.scala 417:90] + node _T_2991 = or(_T_2983, _T_2990) @[lsu_bus_buffer.scala 416:59] + node _T_2992 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2993 = and(_T_2992, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2994 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:63] + node _T_2995 = and(_T_2993, _T_2994) @[lsu_bus_buffer.scala 418:52] + node _T_2996 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2997 = and(_T_2995, _T_2996) @[lsu_bus_buffer.scala 418:71] + node _T_2998 = or(_T_2991, _T_2997) @[lsu_bus_buffer.scala 417:110] + node _T_2999 = and(_T_2979, _T_2998) @[lsu_bus_buffer.scala 415:112] + node _T_3000 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_3001 = and(_T_3000, buf_state_en[2]) @[lsu_bus_buffer.scala 415:93] + node _T_3002 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_3003 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_3004 = or(_T_3002, _T_3003) @[lsu_bus_buffer.scala 416:32] + node _T_3005 = eq(_T_3004, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_3006 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_3007 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_3008 = and(_T_3006, _T_3007) @[lsu_bus_buffer.scala 417:41] + node _T_3009 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:82] + node _T_3010 = and(_T_3008, _T_3009) @[lsu_bus_buffer.scala 417:71] + node _T_3011 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:101] + node _T_3012 = and(_T_3010, _T_3011) @[lsu_bus_buffer.scala 417:90] + node _T_3013 = or(_T_3005, _T_3012) @[lsu_bus_buffer.scala 416:59] + node _T_3014 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_3015 = and(_T_3014, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_3016 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:63] + node _T_3017 = and(_T_3015, _T_3016) @[lsu_bus_buffer.scala 418:52] + node _T_3018 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] + node _T_3019 = and(_T_3017, _T_3018) @[lsu_bus_buffer.scala 418:71] + node _T_3020 = or(_T_3013, _T_3019) @[lsu_bus_buffer.scala 417:110] + node _T_3021 = and(_T_3001, _T_3020) @[lsu_bus_buffer.scala 415:112] + node _T_3022 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_3023 = and(_T_3022, buf_state_en[2]) @[lsu_bus_buffer.scala 415:93] + node _T_3024 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_3025 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_3026 = or(_T_3024, _T_3025) @[lsu_bus_buffer.scala 416:32] + node _T_3027 = eq(_T_3026, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_3028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_3029 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_3030 = and(_T_3028, _T_3029) @[lsu_bus_buffer.scala 417:41] + node _T_3031 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:82] + node _T_3032 = and(_T_3030, _T_3031) @[lsu_bus_buffer.scala 417:71] + node _T_3033 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:101] + node _T_3034 = and(_T_3032, _T_3033) @[lsu_bus_buffer.scala 417:90] + node _T_3035 = or(_T_3027, _T_3034) @[lsu_bus_buffer.scala 416:59] + node _T_3036 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_3037 = and(_T_3036, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_3038 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:63] + node _T_3039 = and(_T_3037, _T_3038) @[lsu_bus_buffer.scala 418:52] + node _T_3040 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] + node _T_3041 = and(_T_3039, _T_3040) @[lsu_bus_buffer.scala 418:71] + node _T_3042 = or(_T_3035, _T_3041) @[lsu_bus_buffer.scala 417:110] + node _T_3043 = and(_T_3023, _T_3042) @[lsu_bus_buffer.scala 415:112] + node _T_3044 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_3045 = and(_T_3044, buf_state_en[2]) @[lsu_bus_buffer.scala 415:93] + node _T_3046 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_3047 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_3048 = or(_T_3046, _T_3047) @[lsu_bus_buffer.scala 416:32] + node _T_3049 = eq(_T_3048, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_3050 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_3051 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_3052 = and(_T_3050, _T_3051) @[lsu_bus_buffer.scala 417:41] + node _T_3053 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:82] + node _T_3054 = and(_T_3052, _T_3053) @[lsu_bus_buffer.scala 417:71] + node _T_3055 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:101] + node _T_3056 = and(_T_3054, _T_3055) @[lsu_bus_buffer.scala 417:90] + node _T_3057 = or(_T_3049, _T_3056) @[lsu_bus_buffer.scala 416:59] + node _T_3058 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_3059 = and(_T_3058, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_3060 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:63] + node _T_3061 = and(_T_3059, _T_3060) @[lsu_bus_buffer.scala 418:52] + node _T_3062 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] + node _T_3063 = and(_T_3061, _T_3062) @[lsu_bus_buffer.scala 418:71] + node _T_3064 = or(_T_3057, _T_3063) @[lsu_bus_buffer.scala 417:110] + node _T_3065 = and(_T_3045, _T_3064) @[lsu_bus_buffer.scala 415:112] node _T_3066 = cat(_T_3065, _T_3043) @[Cat.scala 29:58] node _T_3067 = cat(_T_3066, _T_3021) @[Cat.scala 29:58] node _T_3068 = cat(_T_3067, _T_2999) @[Cat.scala 29:58] - node _T_3069 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] - node _T_3070 = and(_T_3069, buf_state_en[3]) @[lsu_bus_buffer.scala 416:93] - node _T_3071 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] - node _T_3072 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] - node _T_3073 = or(_T_3071, _T_3072) @[lsu_bus_buffer.scala 417:32] - node _T_3074 = eq(_T_3073, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] - node _T_3075 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] - node _T_3076 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] - node _T_3077 = and(_T_3075, _T_3076) @[lsu_bus_buffer.scala 418:41] - node _T_3078 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] - node _T_3079 = and(_T_3077, _T_3078) @[lsu_bus_buffer.scala 418:71] - node _T_3080 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:101] - node _T_3081 = and(_T_3079, _T_3080) @[lsu_bus_buffer.scala 418:90] - node _T_3082 = or(_T_3074, _T_3081) @[lsu_bus_buffer.scala 417:59] - node _T_3083 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] - node _T_3084 = and(_T_3083, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] - node _T_3085 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:63] - node _T_3086 = and(_T_3084, _T_3085) @[lsu_bus_buffer.scala 419:52] - node _T_3087 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:82] - node _T_3088 = and(_T_3086, _T_3087) @[lsu_bus_buffer.scala 419:71] - node _T_3089 = or(_T_3082, _T_3088) @[lsu_bus_buffer.scala 418:110] - node _T_3090 = and(_T_3070, _T_3089) @[lsu_bus_buffer.scala 416:112] - node _T_3091 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] - node _T_3092 = and(_T_3091, buf_state_en[3]) @[lsu_bus_buffer.scala 416:93] - node _T_3093 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] - node _T_3094 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] - node _T_3095 = or(_T_3093, _T_3094) @[lsu_bus_buffer.scala 417:32] - node _T_3096 = eq(_T_3095, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] - node _T_3097 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] - node _T_3098 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] - node _T_3099 = and(_T_3097, _T_3098) @[lsu_bus_buffer.scala 418:41] - node _T_3100 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] - node _T_3101 = and(_T_3099, _T_3100) @[lsu_bus_buffer.scala 418:71] - node _T_3102 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:101] - node _T_3103 = and(_T_3101, _T_3102) @[lsu_bus_buffer.scala 418:90] - node _T_3104 = or(_T_3096, _T_3103) @[lsu_bus_buffer.scala 417:59] - node _T_3105 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] - node _T_3106 = and(_T_3105, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] - node _T_3107 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:63] - node _T_3108 = and(_T_3106, _T_3107) @[lsu_bus_buffer.scala 419:52] - node _T_3109 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:82] - node _T_3110 = and(_T_3108, _T_3109) @[lsu_bus_buffer.scala 419:71] - node _T_3111 = or(_T_3104, _T_3110) @[lsu_bus_buffer.scala 418:110] - node _T_3112 = and(_T_3092, _T_3111) @[lsu_bus_buffer.scala 416:112] - node _T_3113 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] - node _T_3114 = and(_T_3113, buf_state_en[3]) @[lsu_bus_buffer.scala 416:93] - node _T_3115 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] - node _T_3116 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] - node _T_3117 = or(_T_3115, _T_3116) @[lsu_bus_buffer.scala 417:32] - node _T_3118 = eq(_T_3117, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] - node _T_3119 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] - node _T_3120 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] - node _T_3121 = and(_T_3119, _T_3120) @[lsu_bus_buffer.scala 418:41] - node _T_3122 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] - node _T_3123 = and(_T_3121, _T_3122) @[lsu_bus_buffer.scala 418:71] - node _T_3124 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:101] - node _T_3125 = and(_T_3123, _T_3124) @[lsu_bus_buffer.scala 418:90] - node _T_3126 = or(_T_3118, _T_3125) @[lsu_bus_buffer.scala 417:59] - node _T_3127 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] - node _T_3128 = and(_T_3127, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] - node _T_3129 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:63] - node _T_3130 = and(_T_3128, _T_3129) @[lsu_bus_buffer.scala 419:52] - node _T_3131 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:82] - node _T_3132 = and(_T_3130, _T_3131) @[lsu_bus_buffer.scala 419:71] - node _T_3133 = or(_T_3126, _T_3132) @[lsu_bus_buffer.scala 418:110] - node _T_3134 = and(_T_3114, _T_3133) @[lsu_bus_buffer.scala 416:112] - node _T_3135 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] - node _T_3136 = and(_T_3135, buf_state_en[3]) @[lsu_bus_buffer.scala 416:93] - node _T_3137 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] - node _T_3138 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] - node _T_3139 = or(_T_3137, _T_3138) @[lsu_bus_buffer.scala 417:32] - node _T_3140 = eq(_T_3139, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] - node _T_3141 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] - node _T_3142 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] - node _T_3143 = and(_T_3141, _T_3142) @[lsu_bus_buffer.scala 418:41] - node _T_3144 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] - node _T_3145 = and(_T_3143, _T_3144) @[lsu_bus_buffer.scala 418:71] - node _T_3146 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:101] - node _T_3147 = and(_T_3145, _T_3146) @[lsu_bus_buffer.scala 418:90] - node _T_3148 = or(_T_3140, _T_3147) @[lsu_bus_buffer.scala 417:59] - node _T_3149 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] - node _T_3150 = and(_T_3149, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] - node _T_3151 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:63] - node _T_3152 = and(_T_3150, _T_3151) @[lsu_bus_buffer.scala 419:52] - node _T_3153 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:82] - node _T_3154 = and(_T_3152, _T_3153) @[lsu_bus_buffer.scala 419:71] - node _T_3155 = or(_T_3148, _T_3154) @[lsu_bus_buffer.scala 418:110] - node _T_3156 = and(_T_3136, _T_3155) @[lsu_bus_buffer.scala 416:112] + node _T_3069 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_3070 = and(_T_3069, buf_state_en[3]) @[lsu_bus_buffer.scala 415:93] + node _T_3071 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_3072 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_3073 = or(_T_3071, _T_3072) @[lsu_bus_buffer.scala 416:32] + node _T_3074 = eq(_T_3073, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_3075 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_3076 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_3077 = and(_T_3075, _T_3076) @[lsu_bus_buffer.scala 417:41] + node _T_3078 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:82] + node _T_3079 = and(_T_3077, _T_3078) @[lsu_bus_buffer.scala 417:71] + node _T_3080 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:101] + node _T_3081 = and(_T_3079, _T_3080) @[lsu_bus_buffer.scala 417:90] + node _T_3082 = or(_T_3074, _T_3081) @[lsu_bus_buffer.scala 416:59] + node _T_3083 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_3084 = and(_T_3083, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_3085 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:63] + node _T_3086 = and(_T_3084, _T_3085) @[lsu_bus_buffer.scala 418:52] + node _T_3087 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_3088 = and(_T_3086, _T_3087) @[lsu_bus_buffer.scala 418:71] + node _T_3089 = or(_T_3082, _T_3088) @[lsu_bus_buffer.scala 417:110] + node _T_3090 = and(_T_3070, _T_3089) @[lsu_bus_buffer.scala 415:112] + node _T_3091 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_3092 = and(_T_3091, buf_state_en[3]) @[lsu_bus_buffer.scala 415:93] + node _T_3093 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_3094 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_3095 = or(_T_3093, _T_3094) @[lsu_bus_buffer.scala 416:32] + node _T_3096 = eq(_T_3095, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_3097 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_3098 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_3099 = and(_T_3097, _T_3098) @[lsu_bus_buffer.scala 417:41] + node _T_3100 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:82] + node _T_3101 = and(_T_3099, _T_3100) @[lsu_bus_buffer.scala 417:71] + node _T_3102 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:101] + node _T_3103 = and(_T_3101, _T_3102) @[lsu_bus_buffer.scala 417:90] + node _T_3104 = or(_T_3096, _T_3103) @[lsu_bus_buffer.scala 416:59] + node _T_3105 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_3106 = and(_T_3105, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_3107 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:63] + node _T_3108 = and(_T_3106, _T_3107) @[lsu_bus_buffer.scala 418:52] + node _T_3109 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] + node _T_3110 = and(_T_3108, _T_3109) @[lsu_bus_buffer.scala 418:71] + node _T_3111 = or(_T_3104, _T_3110) @[lsu_bus_buffer.scala 417:110] + node _T_3112 = and(_T_3092, _T_3111) @[lsu_bus_buffer.scala 415:112] + node _T_3113 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_3114 = and(_T_3113, buf_state_en[3]) @[lsu_bus_buffer.scala 415:93] + node _T_3115 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_3116 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_3117 = or(_T_3115, _T_3116) @[lsu_bus_buffer.scala 416:32] + node _T_3118 = eq(_T_3117, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_3119 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_3120 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_3121 = and(_T_3119, _T_3120) @[lsu_bus_buffer.scala 417:41] + node _T_3122 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:82] + node _T_3123 = and(_T_3121, _T_3122) @[lsu_bus_buffer.scala 417:71] + node _T_3124 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:101] + node _T_3125 = and(_T_3123, _T_3124) @[lsu_bus_buffer.scala 417:90] + node _T_3126 = or(_T_3118, _T_3125) @[lsu_bus_buffer.scala 416:59] + node _T_3127 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_3128 = and(_T_3127, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_3129 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:63] + node _T_3130 = and(_T_3128, _T_3129) @[lsu_bus_buffer.scala 418:52] + node _T_3131 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] + node _T_3132 = and(_T_3130, _T_3131) @[lsu_bus_buffer.scala 418:71] + node _T_3133 = or(_T_3126, _T_3132) @[lsu_bus_buffer.scala 417:110] + node _T_3134 = and(_T_3114, _T_3133) @[lsu_bus_buffer.scala 415:112] + node _T_3135 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_3136 = and(_T_3135, buf_state_en[3]) @[lsu_bus_buffer.scala 415:93] + node _T_3137 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_3138 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_3139 = or(_T_3137, _T_3138) @[lsu_bus_buffer.scala 416:32] + node _T_3140 = eq(_T_3139, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_3141 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_3142 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_3143 = and(_T_3141, _T_3142) @[lsu_bus_buffer.scala 417:41] + node _T_3144 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:82] + node _T_3145 = and(_T_3143, _T_3144) @[lsu_bus_buffer.scala 417:71] + node _T_3146 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:101] + node _T_3147 = and(_T_3145, _T_3146) @[lsu_bus_buffer.scala 417:90] + node _T_3148 = or(_T_3140, _T_3147) @[lsu_bus_buffer.scala 416:59] + node _T_3149 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_3150 = and(_T_3149, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_3151 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:63] + node _T_3152 = and(_T_3150, _T_3151) @[lsu_bus_buffer.scala 418:52] + node _T_3153 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] + node _T_3154 = and(_T_3152, _T_3153) @[lsu_bus_buffer.scala 418:71] + node _T_3155 = or(_T_3148, _T_3154) @[lsu_bus_buffer.scala 417:110] + node _T_3156 = and(_T_3136, _T_3155) @[lsu_bus_buffer.scala 415:112] node _T_3157 = cat(_T_3156, _T_3134) @[Cat.scala 29:58] node _T_3158 = cat(_T_3157, _T_3112) @[Cat.scala 29:58] node _T_3159 = cat(_T_3158, _T_3090) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2886 @[lsu_bus_buffer.scala 416:18] - buf_rspage_set[1] <= _T_2977 @[lsu_bus_buffer.scala 416:18] - buf_rspage_set[2] <= _T_3068 @[lsu_bus_buffer.scala 416:18] - buf_rspage_set[3] <= _T_3159 @[lsu_bus_buffer.scala 416:18] - node _T_3160 = bits(buf_rspage_set[0], 0, 0) @[lsu_bus_buffer.scala 420:84] - node _T_3161 = bits(buf_rspage[0], 0, 0) @[lsu_bus_buffer.scala 420:103] - node _T_3162 = or(_T_3160, _T_3161) @[lsu_bus_buffer.scala 420:88] - node _T_3163 = bits(buf_rspage_set[0], 1, 1) @[lsu_bus_buffer.scala 420:84] - node _T_3164 = bits(buf_rspage[0], 1, 1) @[lsu_bus_buffer.scala 420:103] - node _T_3165 = or(_T_3163, _T_3164) @[lsu_bus_buffer.scala 420:88] - node _T_3166 = bits(buf_rspage_set[0], 2, 2) @[lsu_bus_buffer.scala 420:84] - node _T_3167 = bits(buf_rspage[0], 2, 2) @[lsu_bus_buffer.scala 420:103] - node _T_3168 = or(_T_3166, _T_3167) @[lsu_bus_buffer.scala 420:88] - node _T_3169 = bits(buf_rspage_set[0], 3, 3) @[lsu_bus_buffer.scala 420:84] - node _T_3170 = bits(buf_rspage[0], 3, 3) @[lsu_bus_buffer.scala 420:103] - node _T_3171 = or(_T_3169, _T_3170) @[lsu_bus_buffer.scala 420:88] + buf_rspage_set[0] <= _T_2886 @[lsu_bus_buffer.scala 415:18] + buf_rspage_set[1] <= _T_2977 @[lsu_bus_buffer.scala 415:18] + buf_rspage_set[2] <= _T_3068 @[lsu_bus_buffer.scala 415:18] + buf_rspage_set[3] <= _T_3159 @[lsu_bus_buffer.scala 415:18] + node _T_3160 = bits(buf_rspage_set[0], 0, 0) @[lsu_bus_buffer.scala 419:84] + node _T_3161 = bits(buf_rspage[0], 0, 0) @[lsu_bus_buffer.scala 419:103] + node _T_3162 = or(_T_3160, _T_3161) @[lsu_bus_buffer.scala 419:88] + node _T_3163 = bits(buf_rspage_set[0], 1, 1) @[lsu_bus_buffer.scala 419:84] + node _T_3164 = bits(buf_rspage[0], 1, 1) @[lsu_bus_buffer.scala 419:103] + node _T_3165 = or(_T_3163, _T_3164) @[lsu_bus_buffer.scala 419:88] + node _T_3166 = bits(buf_rspage_set[0], 2, 2) @[lsu_bus_buffer.scala 419:84] + node _T_3167 = bits(buf_rspage[0], 2, 2) @[lsu_bus_buffer.scala 419:103] + node _T_3168 = or(_T_3166, _T_3167) @[lsu_bus_buffer.scala 419:88] + node _T_3169 = bits(buf_rspage_set[0], 3, 3) @[lsu_bus_buffer.scala 419:84] + node _T_3170 = bits(buf_rspage[0], 3, 3) @[lsu_bus_buffer.scala 419:103] + node _T_3171 = or(_T_3169, _T_3170) @[lsu_bus_buffer.scala 419:88] node _T_3172 = cat(_T_3171, _T_3168) @[Cat.scala 29:58] node _T_3173 = cat(_T_3172, _T_3165) @[Cat.scala 29:58] node _T_3174 = cat(_T_3173, _T_3162) @[Cat.scala 29:58] - node _T_3175 = bits(buf_rspage_set[1], 0, 0) @[lsu_bus_buffer.scala 420:84] - node _T_3176 = bits(buf_rspage[1], 0, 0) @[lsu_bus_buffer.scala 420:103] - node _T_3177 = or(_T_3175, _T_3176) @[lsu_bus_buffer.scala 420:88] - node _T_3178 = bits(buf_rspage_set[1], 1, 1) @[lsu_bus_buffer.scala 420:84] - node _T_3179 = bits(buf_rspage[1], 1, 1) @[lsu_bus_buffer.scala 420:103] - node _T_3180 = or(_T_3178, _T_3179) @[lsu_bus_buffer.scala 420:88] - node _T_3181 = bits(buf_rspage_set[1], 2, 2) @[lsu_bus_buffer.scala 420:84] - node _T_3182 = bits(buf_rspage[1], 2, 2) @[lsu_bus_buffer.scala 420:103] - node _T_3183 = or(_T_3181, _T_3182) @[lsu_bus_buffer.scala 420:88] - node _T_3184 = bits(buf_rspage_set[1], 3, 3) @[lsu_bus_buffer.scala 420:84] - node _T_3185 = bits(buf_rspage[1], 3, 3) @[lsu_bus_buffer.scala 420:103] - node _T_3186 = or(_T_3184, _T_3185) @[lsu_bus_buffer.scala 420:88] + node _T_3175 = bits(buf_rspage_set[1], 0, 0) @[lsu_bus_buffer.scala 419:84] + node _T_3176 = bits(buf_rspage[1], 0, 0) @[lsu_bus_buffer.scala 419:103] + node _T_3177 = or(_T_3175, _T_3176) @[lsu_bus_buffer.scala 419:88] + node _T_3178 = bits(buf_rspage_set[1], 1, 1) @[lsu_bus_buffer.scala 419:84] + node _T_3179 = bits(buf_rspage[1], 1, 1) @[lsu_bus_buffer.scala 419:103] + node _T_3180 = or(_T_3178, _T_3179) @[lsu_bus_buffer.scala 419:88] + node _T_3181 = bits(buf_rspage_set[1], 2, 2) @[lsu_bus_buffer.scala 419:84] + node _T_3182 = bits(buf_rspage[1], 2, 2) @[lsu_bus_buffer.scala 419:103] + node _T_3183 = or(_T_3181, _T_3182) @[lsu_bus_buffer.scala 419:88] + node _T_3184 = bits(buf_rspage_set[1], 3, 3) @[lsu_bus_buffer.scala 419:84] + node _T_3185 = bits(buf_rspage[1], 3, 3) @[lsu_bus_buffer.scala 419:103] + node _T_3186 = or(_T_3184, _T_3185) @[lsu_bus_buffer.scala 419:88] node _T_3187 = cat(_T_3186, _T_3183) @[Cat.scala 29:58] node _T_3188 = cat(_T_3187, _T_3180) @[Cat.scala 29:58] node _T_3189 = cat(_T_3188, _T_3177) @[Cat.scala 29:58] - node _T_3190 = bits(buf_rspage_set[2], 0, 0) @[lsu_bus_buffer.scala 420:84] - node _T_3191 = bits(buf_rspage[2], 0, 0) @[lsu_bus_buffer.scala 420:103] - node _T_3192 = or(_T_3190, _T_3191) @[lsu_bus_buffer.scala 420:88] - node _T_3193 = bits(buf_rspage_set[2], 1, 1) @[lsu_bus_buffer.scala 420:84] - node _T_3194 = bits(buf_rspage[2], 1, 1) @[lsu_bus_buffer.scala 420:103] - node _T_3195 = or(_T_3193, _T_3194) @[lsu_bus_buffer.scala 420:88] - node _T_3196 = bits(buf_rspage_set[2], 2, 2) @[lsu_bus_buffer.scala 420:84] - node _T_3197 = bits(buf_rspage[2], 2, 2) @[lsu_bus_buffer.scala 420:103] - node _T_3198 = or(_T_3196, _T_3197) @[lsu_bus_buffer.scala 420:88] - node _T_3199 = bits(buf_rspage_set[2], 3, 3) @[lsu_bus_buffer.scala 420:84] - node _T_3200 = bits(buf_rspage[2], 3, 3) @[lsu_bus_buffer.scala 420:103] - node _T_3201 = or(_T_3199, _T_3200) @[lsu_bus_buffer.scala 420:88] + node _T_3190 = bits(buf_rspage_set[2], 0, 0) @[lsu_bus_buffer.scala 419:84] + node _T_3191 = bits(buf_rspage[2], 0, 0) @[lsu_bus_buffer.scala 419:103] + node _T_3192 = or(_T_3190, _T_3191) @[lsu_bus_buffer.scala 419:88] + node _T_3193 = bits(buf_rspage_set[2], 1, 1) @[lsu_bus_buffer.scala 419:84] + node _T_3194 = bits(buf_rspage[2], 1, 1) @[lsu_bus_buffer.scala 419:103] + node _T_3195 = or(_T_3193, _T_3194) @[lsu_bus_buffer.scala 419:88] + node _T_3196 = bits(buf_rspage_set[2], 2, 2) @[lsu_bus_buffer.scala 419:84] + node _T_3197 = bits(buf_rspage[2], 2, 2) @[lsu_bus_buffer.scala 419:103] + node _T_3198 = or(_T_3196, _T_3197) @[lsu_bus_buffer.scala 419:88] + node _T_3199 = bits(buf_rspage_set[2], 3, 3) @[lsu_bus_buffer.scala 419:84] + node _T_3200 = bits(buf_rspage[2], 3, 3) @[lsu_bus_buffer.scala 419:103] + node _T_3201 = or(_T_3199, _T_3200) @[lsu_bus_buffer.scala 419:88] node _T_3202 = cat(_T_3201, _T_3198) @[Cat.scala 29:58] node _T_3203 = cat(_T_3202, _T_3195) @[Cat.scala 29:58] node _T_3204 = cat(_T_3203, _T_3192) @[Cat.scala 29:58] - node _T_3205 = bits(buf_rspage_set[3], 0, 0) @[lsu_bus_buffer.scala 420:84] - node _T_3206 = bits(buf_rspage[3], 0, 0) @[lsu_bus_buffer.scala 420:103] - node _T_3207 = or(_T_3205, _T_3206) @[lsu_bus_buffer.scala 420:88] - node _T_3208 = bits(buf_rspage_set[3], 1, 1) @[lsu_bus_buffer.scala 420:84] - node _T_3209 = bits(buf_rspage[3], 1, 1) @[lsu_bus_buffer.scala 420:103] - node _T_3210 = or(_T_3208, _T_3209) @[lsu_bus_buffer.scala 420:88] - node _T_3211 = bits(buf_rspage_set[3], 2, 2) @[lsu_bus_buffer.scala 420:84] - node _T_3212 = bits(buf_rspage[3], 2, 2) @[lsu_bus_buffer.scala 420:103] - node _T_3213 = or(_T_3211, _T_3212) @[lsu_bus_buffer.scala 420:88] - node _T_3214 = bits(buf_rspage_set[3], 3, 3) @[lsu_bus_buffer.scala 420:84] - node _T_3215 = bits(buf_rspage[3], 3, 3) @[lsu_bus_buffer.scala 420:103] - node _T_3216 = or(_T_3214, _T_3215) @[lsu_bus_buffer.scala 420:88] + node _T_3205 = bits(buf_rspage_set[3], 0, 0) @[lsu_bus_buffer.scala 419:84] + node _T_3206 = bits(buf_rspage[3], 0, 0) @[lsu_bus_buffer.scala 419:103] + node _T_3207 = or(_T_3205, _T_3206) @[lsu_bus_buffer.scala 419:88] + node _T_3208 = bits(buf_rspage_set[3], 1, 1) @[lsu_bus_buffer.scala 419:84] + node _T_3209 = bits(buf_rspage[3], 1, 1) @[lsu_bus_buffer.scala 419:103] + node _T_3210 = or(_T_3208, _T_3209) @[lsu_bus_buffer.scala 419:88] + node _T_3211 = bits(buf_rspage_set[3], 2, 2) @[lsu_bus_buffer.scala 419:84] + node _T_3212 = bits(buf_rspage[3], 2, 2) @[lsu_bus_buffer.scala 419:103] + node _T_3213 = or(_T_3211, _T_3212) @[lsu_bus_buffer.scala 419:88] + node _T_3214 = bits(buf_rspage_set[3], 3, 3) @[lsu_bus_buffer.scala 419:84] + node _T_3215 = bits(buf_rspage[3], 3, 3) @[lsu_bus_buffer.scala 419:103] + node _T_3216 = or(_T_3214, _T_3215) @[lsu_bus_buffer.scala 419:88] node _T_3217 = cat(_T_3216, _T_3213) @[Cat.scala 29:58] node _T_3218 = cat(_T_3217, _T_3210) @[Cat.scala 29:58] node _T_3219 = cat(_T_3218, _T_3207) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3174 @[lsu_bus_buffer.scala 420:17] - buf_rspage_in[1] <= _T_3189 @[lsu_bus_buffer.scala 420:17] - buf_rspage_in[2] <= _T_3204 @[lsu_bus_buffer.scala 420:17] - buf_rspage_in[3] <= _T_3219 @[lsu_bus_buffer.scala 420:17] - node _T_3220 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 421:78] - node _T_3221 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] - node _T_3222 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] - node _T_3223 = or(_T_3221, _T_3222) @[lsu_bus_buffer.scala 421:110] - node _T_3224 = eq(_T_3223, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] - node _T_3225 = and(_T_3220, _T_3224) @[lsu_bus_buffer.scala 421:82] - node _T_3226 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 421:78] - node _T_3227 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] - node _T_3228 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] - node _T_3229 = or(_T_3227, _T_3228) @[lsu_bus_buffer.scala 421:110] - node _T_3230 = eq(_T_3229, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] - node _T_3231 = and(_T_3226, _T_3230) @[lsu_bus_buffer.scala 421:82] - node _T_3232 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 421:78] - node _T_3233 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] - node _T_3234 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] - node _T_3235 = or(_T_3233, _T_3234) @[lsu_bus_buffer.scala 421:110] - node _T_3236 = eq(_T_3235, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] - node _T_3237 = and(_T_3232, _T_3236) @[lsu_bus_buffer.scala 421:82] - node _T_3238 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 421:78] - node _T_3239 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] - node _T_3240 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] - node _T_3241 = or(_T_3239, _T_3240) @[lsu_bus_buffer.scala 421:110] - node _T_3242 = eq(_T_3241, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] - node _T_3243 = and(_T_3238, _T_3242) @[lsu_bus_buffer.scala 421:82] + buf_rspage_in[0] <= _T_3174 @[lsu_bus_buffer.scala 419:17] + buf_rspage_in[1] <= _T_3189 @[lsu_bus_buffer.scala 419:17] + buf_rspage_in[2] <= _T_3204 @[lsu_bus_buffer.scala 419:17] + buf_rspage_in[3] <= _T_3219 @[lsu_bus_buffer.scala 419:17] + node _T_3220 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 420:78] + node _T_3221 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3222 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3223 = or(_T_3221, _T_3222) @[lsu_bus_buffer.scala 420:110] + node _T_3224 = eq(_T_3223, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3225 = and(_T_3220, _T_3224) @[lsu_bus_buffer.scala 420:82] + node _T_3226 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 420:78] + node _T_3227 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3228 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3229 = or(_T_3227, _T_3228) @[lsu_bus_buffer.scala 420:110] + node _T_3230 = eq(_T_3229, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3231 = and(_T_3226, _T_3230) @[lsu_bus_buffer.scala 420:82] + node _T_3232 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 420:78] + node _T_3233 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3234 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3235 = or(_T_3233, _T_3234) @[lsu_bus_buffer.scala 420:110] + node _T_3236 = eq(_T_3235, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3237 = and(_T_3232, _T_3236) @[lsu_bus_buffer.scala 420:82] + node _T_3238 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 420:78] + node _T_3239 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3240 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3241 = or(_T_3239, _T_3240) @[lsu_bus_buffer.scala 420:110] + node _T_3242 = eq(_T_3241, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3243 = and(_T_3238, _T_3242) @[lsu_bus_buffer.scala 420:82] node _T_3244 = cat(_T_3243, _T_3237) @[Cat.scala 29:58] node _T_3245 = cat(_T_3244, _T_3231) @[Cat.scala 29:58] node _T_3246 = cat(_T_3245, _T_3225) @[Cat.scala 29:58] - node _T_3247 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 421:78] - node _T_3248 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] - node _T_3249 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] - node _T_3250 = or(_T_3248, _T_3249) @[lsu_bus_buffer.scala 421:110] - node _T_3251 = eq(_T_3250, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] - node _T_3252 = and(_T_3247, _T_3251) @[lsu_bus_buffer.scala 421:82] - node _T_3253 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 421:78] - node _T_3254 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] - node _T_3255 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] - node _T_3256 = or(_T_3254, _T_3255) @[lsu_bus_buffer.scala 421:110] - node _T_3257 = eq(_T_3256, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] - node _T_3258 = and(_T_3253, _T_3257) @[lsu_bus_buffer.scala 421:82] - node _T_3259 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 421:78] - node _T_3260 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] - node _T_3261 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] - node _T_3262 = or(_T_3260, _T_3261) @[lsu_bus_buffer.scala 421:110] - node _T_3263 = eq(_T_3262, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] - node _T_3264 = and(_T_3259, _T_3263) @[lsu_bus_buffer.scala 421:82] - node _T_3265 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 421:78] - node _T_3266 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] - node _T_3267 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] - node _T_3268 = or(_T_3266, _T_3267) @[lsu_bus_buffer.scala 421:110] - node _T_3269 = eq(_T_3268, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] - node _T_3270 = and(_T_3265, _T_3269) @[lsu_bus_buffer.scala 421:82] + node _T_3247 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 420:78] + node _T_3248 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3249 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3250 = or(_T_3248, _T_3249) @[lsu_bus_buffer.scala 420:110] + node _T_3251 = eq(_T_3250, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3252 = and(_T_3247, _T_3251) @[lsu_bus_buffer.scala 420:82] + node _T_3253 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 420:78] + node _T_3254 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3255 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3256 = or(_T_3254, _T_3255) @[lsu_bus_buffer.scala 420:110] + node _T_3257 = eq(_T_3256, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3258 = and(_T_3253, _T_3257) @[lsu_bus_buffer.scala 420:82] + node _T_3259 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 420:78] + node _T_3260 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3261 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3262 = or(_T_3260, _T_3261) @[lsu_bus_buffer.scala 420:110] + node _T_3263 = eq(_T_3262, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3264 = and(_T_3259, _T_3263) @[lsu_bus_buffer.scala 420:82] + node _T_3265 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 420:78] + node _T_3266 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3267 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3268 = or(_T_3266, _T_3267) @[lsu_bus_buffer.scala 420:110] + node _T_3269 = eq(_T_3268, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3270 = and(_T_3265, _T_3269) @[lsu_bus_buffer.scala 420:82] node _T_3271 = cat(_T_3270, _T_3264) @[Cat.scala 29:58] node _T_3272 = cat(_T_3271, _T_3258) @[Cat.scala 29:58] node _T_3273 = cat(_T_3272, _T_3252) @[Cat.scala 29:58] - node _T_3274 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 421:78] - node _T_3275 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] - node _T_3276 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] - node _T_3277 = or(_T_3275, _T_3276) @[lsu_bus_buffer.scala 421:110] - node _T_3278 = eq(_T_3277, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] - node _T_3279 = and(_T_3274, _T_3278) @[lsu_bus_buffer.scala 421:82] - node _T_3280 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 421:78] - node _T_3281 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] - node _T_3282 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] - node _T_3283 = or(_T_3281, _T_3282) @[lsu_bus_buffer.scala 421:110] - node _T_3284 = eq(_T_3283, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] - node _T_3285 = and(_T_3280, _T_3284) @[lsu_bus_buffer.scala 421:82] - node _T_3286 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 421:78] - node _T_3287 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] - node _T_3288 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] - node _T_3289 = or(_T_3287, _T_3288) @[lsu_bus_buffer.scala 421:110] - node _T_3290 = eq(_T_3289, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] - node _T_3291 = and(_T_3286, _T_3290) @[lsu_bus_buffer.scala 421:82] - node _T_3292 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 421:78] - node _T_3293 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] - node _T_3294 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] - node _T_3295 = or(_T_3293, _T_3294) @[lsu_bus_buffer.scala 421:110] - node _T_3296 = eq(_T_3295, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] - node _T_3297 = and(_T_3292, _T_3296) @[lsu_bus_buffer.scala 421:82] + node _T_3274 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 420:78] + node _T_3275 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3276 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3277 = or(_T_3275, _T_3276) @[lsu_bus_buffer.scala 420:110] + node _T_3278 = eq(_T_3277, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3279 = and(_T_3274, _T_3278) @[lsu_bus_buffer.scala 420:82] + node _T_3280 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 420:78] + node _T_3281 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3282 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3283 = or(_T_3281, _T_3282) @[lsu_bus_buffer.scala 420:110] + node _T_3284 = eq(_T_3283, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3285 = and(_T_3280, _T_3284) @[lsu_bus_buffer.scala 420:82] + node _T_3286 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 420:78] + node _T_3287 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3288 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3289 = or(_T_3287, _T_3288) @[lsu_bus_buffer.scala 420:110] + node _T_3290 = eq(_T_3289, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3291 = and(_T_3286, _T_3290) @[lsu_bus_buffer.scala 420:82] + node _T_3292 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 420:78] + node _T_3293 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3294 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3295 = or(_T_3293, _T_3294) @[lsu_bus_buffer.scala 420:110] + node _T_3296 = eq(_T_3295, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3297 = and(_T_3292, _T_3296) @[lsu_bus_buffer.scala 420:82] node _T_3298 = cat(_T_3297, _T_3291) @[Cat.scala 29:58] node _T_3299 = cat(_T_3298, _T_3285) @[Cat.scala 29:58] node _T_3300 = cat(_T_3299, _T_3279) @[Cat.scala 29:58] - node _T_3301 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 421:78] - node _T_3302 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] - node _T_3303 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] - node _T_3304 = or(_T_3302, _T_3303) @[lsu_bus_buffer.scala 421:110] - node _T_3305 = eq(_T_3304, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] - node _T_3306 = and(_T_3301, _T_3305) @[lsu_bus_buffer.scala 421:82] - node _T_3307 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 421:78] - node _T_3308 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] - node _T_3309 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] - node _T_3310 = or(_T_3308, _T_3309) @[lsu_bus_buffer.scala 421:110] - node _T_3311 = eq(_T_3310, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] - node _T_3312 = and(_T_3307, _T_3311) @[lsu_bus_buffer.scala 421:82] - node _T_3313 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 421:78] - node _T_3314 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] - node _T_3315 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] - node _T_3316 = or(_T_3314, _T_3315) @[lsu_bus_buffer.scala 421:110] - node _T_3317 = eq(_T_3316, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] - node _T_3318 = and(_T_3313, _T_3317) @[lsu_bus_buffer.scala 421:82] - node _T_3319 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 421:78] - node _T_3320 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] - node _T_3321 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] - node _T_3322 = or(_T_3320, _T_3321) @[lsu_bus_buffer.scala 421:110] - node _T_3323 = eq(_T_3322, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] - node _T_3324 = and(_T_3319, _T_3323) @[lsu_bus_buffer.scala 421:82] + node _T_3301 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 420:78] + node _T_3302 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3303 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3304 = or(_T_3302, _T_3303) @[lsu_bus_buffer.scala 420:110] + node _T_3305 = eq(_T_3304, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3306 = and(_T_3301, _T_3305) @[lsu_bus_buffer.scala 420:82] + node _T_3307 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 420:78] + node _T_3308 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3309 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3310 = or(_T_3308, _T_3309) @[lsu_bus_buffer.scala 420:110] + node _T_3311 = eq(_T_3310, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3312 = and(_T_3307, _T_3311) @[lsu_bus_buffer.scala 420:82] + node _T_3313 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 420:78] + node _T_3314 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3315 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3316 = or(_T_3314, _T_3315) @[lsu_bus_buffer.scala 420:110] + node _T_3317 = eq(_T_3316, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3318 = and(_T_3313, _T_3317) @[lsu_bus_buffer.scala 420:82] + node _T_3319 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 420:78] + node _T_3320 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3321 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3322 = or(_T_3320, _T_3321) @[lsu_bus_buffer.scala 420:110] + node _T_3323 = eq(_T_3322, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3324 = and(_T_3319, _T_3323) @[lsu_bus_buffer.scala 420:82] node _T_3325 = cat(_T_3324, _T_3318) @[Cat.scala 29:58] node _T_3326 = cat(_T_3325, _T_3312) @[Cat.scala 29:58] node _T_3327 = cat(_T_3326, _T_3306) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3246 @[lsu_bus_buffer.scala 421:14] - buf_rspage[1] <= _T_3273 @[lsu_bus_buffer.scala 421:14] - buf_rspage[2] <= _T_3300 @[lsu_bus_buffer.scala 421:14] - buf_rspage[3] <= _T_3327 @[lsu_bus_buffer.scala 421:14] - node _T_3328 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:75] - node _T_3329 = and(ibuf_drain_vld, _T_3328) @[lsu_bus_buffer.scala 426:63] - node _T_3330 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 426:75] - node _T_3331 = and(ibuf_drain_vld, _T_3330) @[lsu_bus_buffer.scala 426:63] - node _T_3332 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 426:75] - node _T_3333 = and(ibuf_drain_vld, _T_3332) @[lsu_bus_buffer.scala 426:63] - node _T_3334 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 426:75] - node _T_3335 = and(ibuf_drain_vld, _T_3334) @[lsu_bus_buffer.scala 426:63] + buf_rspage[0] <= _T_3246 @[lsu_bus_buffer.scala 420:14] + buf_rspage[1] <= _T_3273 @[lsu_bus_buffer.scala 420:14] + buf_rspage[2] <= _T_3300 @[lsu_bus_buffer.scala 420:14] + buf_rspage[3] <= _T_3327 @[lsu_bus_buffer.scala 420:14] + node _T_3328 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:75] + node _T_3329 = and(ibuf_drain_vld, _T_3328) @[lsu_bus_buffer.scala 425:63] + node _T_3330 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 425:75] + node _T_3331 = and(ibuf_drain_vld, _T_3330) @[lsu_bus_buffer.scala 425:63] + node _T_3332 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 425:75] + node _T_3333 = and(ibuf_drain_vld, _T_3332) @[lsu_bus_buffer.scala 425:63] + node _T_3334 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 425:75] + node _T_3335 = and(ibuf_drain_vld, _T_3334) @[lsu_bus_buffer.scala 425:63] node _T_3336 = cat(_T_3335, _T_3333) @[Cat.scala 29:58] node _T_3337 = cat(_T_3336, _T_3331) @[Cat.scala 29:58] node _T_3338 = cat(_T_3337, _T_3329) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3338 @[lsu_bus_buffer.scala 426:21] - node _T_3339 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 427:64] - node _T_3340 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 427:84] - node _T_3341 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:18] - node _T_3342 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:46] - node _T_3343 = and(_T_3341, _T_3342) @[lsu_bus_buffer.scala 428:35] - node _T_3344 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 428:71] - node _T_3345 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 428:94] - node _T_3346 = mux(_T_3343, _T_3344, _T_3345) @[lsu_bus_buffer.scala 428:8] - node _T_3347 = mux(_T_3339, _T_3340, _T_3346) @[lsu_bus_buffer.scala 427:46] - node _T_3348 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 427:64] - node _T_3349 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 427:84] - node _T_3350 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:18] - node _T_3351 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 428:46] - node _T_3352 = and(_T_3350, _T_3351) @[lsu_bus_buffer.scala 428:35] - node _T_3353 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 428:71] - node _T_3354 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 428:94] - node _T_3355 = mux(_T_3352, _T_3353, _T_3354) @[lsu_bus_buffer.scala 428:8] - node _T_3356 = mux(_T_3348, _T_3349, _T_3355) @[lsu_bus_buffer.scala 427:46] - node _T_3357 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 427:64] - node _T_3358 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 427:84] - node _T_3359 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:18] - node _T_3360 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 428:46] - node _T_3361 = and(_T_3359, _T_3360) @[lsu_bus_buffer.scala 428:35] - node _T_3362 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 428:71] - node _T_3363 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 428:94] - node _T_3364 = mux(_T_3361, _T_3362, _T_3363) @[lsu_bus_buffer.scala 428:8] - node _T_3365 = mux(_T_3357, _T_3358, _T_3364) @[lsu_bus_buffer.scala 427:46] - node _T_3366 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 427:64] - node _T_3367 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 427:84] - node _T_3368 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:18] - node _T_3369 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 428:46] - node _T_3370 = and(_T_3368, _T_3369) @[lsu_bus_buffer.scala 428:35] - node _T_3371 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 428:71] - node _T_3372 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 428:94] - node _T_3373 = mux(_T_3370, _T_3371, _T_3372) @[lsu_bus_buffer.scala 428:8] - node _T_3374 = mux(_T_3366, _T_3367, _T_3373) @[lsu_bus_buffer.scala 427:46] - buf_byteen_in[0] <= _T_3347 @[lsu_bus_buffer.scala 427:17] - buf_byteen_in[1] <= _T_3356 @[lsu_bus_buffer.scala 427:17] - buf_byteen_in[2] <= _T_3365 @[lsu_bus_buffer.scala 427:17] - buf_byteen_in[3] <= _T_3374 @[lsu_bus_buffer.scala 427:17] - node _T_3375 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 429:62] - node _T_3376 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:91] - node _T_3377 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 429:119] - node _T_3378 = and(_T_3376, _T_3377) @[lsu_bus_buffer.scala 429:108] - node _T_3379 = mux(_T_3378, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 429:81] - node _T_3380 = mux(_T_3375, ibuf_addr, _T_3379) @[lsu_bus_buffer.scala 429:44] - node _T_3381 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 429:62] - node _T_3382 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:91] - node _T_3383 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 429:119] - node _T_3384 = and(_T_3382, _T_3383) @[lsu_bus_buffer.scala 429:108] - node _T_3385 = mux(_T_3384, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 429:81] - node _T_3386 = mux(_T_3381, ibuf_addr, _T_3385) @[lsu_bus_buffer.scala 429:44] - node _T_3387 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 429:62] - node _T_3388 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:91] - node _T_3389 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 429:119] - node _T_3390 = and(_T_3388, _T_3389) @[lsu_bus_buffer.scala 429:108] - node _T_3391 = mux(_T_3390, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 429:81] - node _T_3392 = mux(_T_3387, ibuf_addr, _T_3391) @[lsu_bus_buffer.scala 429:44] - node _T_3393 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 429:62] - node _T_3394 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:91] - node _T_3395 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 429:119] - node _T_3396 = and(_T_3394, _T_3395) @[lsu_bus_buffer.scala 429:108] - node _T_3397 = mux(_T_3396, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 429:81] - node _T_3398 = mux(_T_3393, ibuf_addr, _T_3397) @[lsu_bus_buffer.scala 429:44] - buf_addr_in[0] <= _T_3380 @[lsu_bus_buffer.scala 429:15] - buf_addr_in[1] <= _T_3386 @[lsu_bus_buffer.scala 429:15] - buf_addr_in[2] <= _T_3392 @[lsu_bus_buffer.scala 429:15] - buf_addr_in[3] <= _T_3398 @[lsu_bus_buffer.scala 429:15] - node _T_3399 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 430:63] - node _T_3400 = mux(_T_3399, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:45] - node _T_3401 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 430:63] - node _T_3402 = mux(_T_3401, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:45] - node _T_3403 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 430:63] - node _T_3404 = mux(_T_3403, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:45] - node _T_3405 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 430:63] - node _T_3406 = mux(_T_3405, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:45] + ibuf_drainvec_vld <= _T_3338 @[lsu_bus_buffer.scala 425:21] + node _T_3339 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 426:64] + node _T_3340 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 426:84] + node _T_3341 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 427:18] + node _T_3342 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 427:46] + node _T_3343 = and(_T_3341, _T_3342) @[lsu_bus_buffer.scala 427:35] + node _T_3344 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 427:71] + node _T_3345 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 427:94] + node _T_3346 = mux(_T_3343, _T_3344, _T_3345) @[lsu_bus_buffer.scala 427:8] + node _T_3347 = mux(_T_3339, _T_3340, _T_3346) @[lsu_bus_buffer.scala 426:46] + node _T_3348 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 426:64] + node _T_3349 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 426:84] + node _T_3350 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 427:18] + node _T_3351 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 427:46] + node _T_3352 = and(_T_3350, _T_3351) @[lsu_bus_buffer.scala 427:35] + node _T_3353 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 427:71] + node _T_3354 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 427:94] + node _T_3355 = mux(_T_3352, _T_3353, _T_3354) @[lsu_bus_buffer.scala 427:8] + node _T_3356 = mux(_T_3348, _T_3349, _T_3355) @[lsu_bus_buffer.scala 426:46] + node _T_3357 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 426:64] + node _T_3358 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 426:84] + node _T_3359 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 427:18] + node _T_3360 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 427:46] + node _T_3361 = and(_T_3359, _T_3360) @[lsu_bus_buffer.scala 427:35] + node _T_3362 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 427:71] + node _T_3363 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 427:94] + node _T_3364 = mux(_T_3361, _T_3362, _T_3363) @[lsu_bus_buffer.scala 427:8] + node _T_3365 = mux(_T_3357, _T_3358, _T_3364) @[lsu_bus_buffer.scala 426:46] + node _T_3366 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 426:64] + node _T_3367 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 426:84] + node _T_3368 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 427:18] + node _T_3369 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 427:46] + node _T_3370 = and(_T_3368, _T_3369) @[lsu_bus_buffer.scala 427:35] + node _T_3371 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 427:71] + node _T_3372 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 427:94] + node _T_3373 = mux(_T_3370, _T_3371, _T_3372) @[lsu_bus_buffer.scala 427:8] + node _T_3374 = mux(_T_3366, _T_3367, _T_3373) @[lsu_bus_buffer.scala 426:46] + buf_byteen_in[0] <= _T_3347 @[lsu_bus_buffer.scala 426:17] + buf_byteen_in[1] <= _T_3356 @[lsu_bus_buffer.scala 426:17] + buf_byteen_in[2] <= _T_3365 @[lsu_bus_buffer.scala 426:17] + buf_byteen_in[3] <= _T_3374 @[lsu_bus_buffer.scala 426:17] + node _T_3375 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 428:62] + node _T_3376 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:91] + node _T_3377 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:119] + node _T_3378 = and(_T_3376, _T_3377) @[lsu_bus_buffer.scala 428:108] + node _T_3379 = mux(_T_3378, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 428:81] + node _T_3380 = mux(_T_3375, ibuf_addr, _T_3379) @[lsu_bus_buffer.scala 428:44] + node _T_3381 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 428:62] + node _T_3382 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:91] + node _T_3383 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 428:119] + node _T_3384 = and(_T_3382, _T_3383) @[lsu_bus_buffer.scala 428:108] + node _T_3385 = mux(_T_3384, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 428:81] + node _T_3386 = mux(_T_3381, ibuf_addr, _T_3385) @[lsu_bus_buffer.scala 428:44] + node _T_3387 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 428:62] + node _T_3388 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:91] + node _T_3389 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 428:119] + node _T_3390 = and(_T_3388, _T_3389) @[lsu_bus_buffer.scala 428:108] + node _T_3391 = mux(_T_3390, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 428:81] + node _T_3392 = mux(_T_3387, ibuf_addr, _T_3391) @[lsu_bus_buffer.scala 428:44] + node _T_3393 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 428:62] + node _T_3394 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:91] + node _T_3395 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 428:119] + node _T_3396 = and(_T_3394, _T_3395) @[lsu_bus_buffer.scala 428:108] + node _T_3397 = mux(_T_3396, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 428:81] + node _T_3398 = mux(_T_3393, ibuf_addr, _T_3397) @[lsu_bus_buffer.scala 428:44] + buf_addr_in[0] <= _T_3380 @[lsu_bus_buffer.scala 428:15] + buf_addr_in[1] <= _T_3386 @[lsu_bus_buffer.scala 428:15] + buf_addr_in[2] <= _T_3392 @[lsu_bus_buffer.scala 428:15] + buf_addr_in[3] <= _T_3398 @[lsu_bus_buffer.scala 428:15] + node _T_3399 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 429:63] + node _T_3400 = mux(_T_3399, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:45] + node _T_3401 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 429:63] + node _T_3402 = mux(_T_3401, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:45] + node _T_3403 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 429:63] + node _T_3404 = mux(_T_3403, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:45] + node _T_3405 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 429:63] + node _T_3406 = mux(_T_3405, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:45] node _T_3407 = cat(_T_3406, _T_3404) @[Cat.scala 29:58] node _T_3408 = cat(_T_3407, _T_3402) @[Cat.scala 29:58] node _T_3409 = cat(_T_3408, _T_3400) @[Cat.scala 29:58] - buf_dual_in <= _T_3409 @[lsu_bus_buffer.scala 430:15] - node _T_3410 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 431:65] - node _T_3411 = mux(_T_3410, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 431:47] - node _T_3412 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 431:65] - node _T_3413 = mux(_T_3412, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 431:47] - node _T_3414 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 431:65] - node _T_3415 = mux(_T_3414, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 431:47] - node _T_3416 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 431:65] - node _T_3417 = mux(_T_3416, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 431:47] + buf_dual_in <= _T_3409 @[lsu_bus_buffer.scala 429:15] + node _T_3410 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 430:65] + node _T_3411 = mux(_T_3410, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 430:47] + node _T_3412 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 430:65] + node _T_3413 = mux(_T_3412, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 430:47] + node _T_3414 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 430:65] + node _T_3415 = mux(_T_3414, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 430:47] + node _T_3416 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 430:65] + node _T_3417 = mux(_T_3416, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 430:47] node _T_3418 = cat(_T_3417, _T_3415) @[Cat.scala 29:58] node _T_3419 = cat(_T_3418, _T_3413) @[Cat.scala 29:58] node _T_3420 = cat(_T_3419, _T_3411) @[Cat.scala 29:58] - buf_samedw_in <= _T_3420 @[lsu_bus_buffer.scala 431:17] - node _T_3421 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 432:66] - node _T_3422 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 432:84] - node _T_3423 = mux(_T_3421, _T_3422, io.no_dword_merge_r) @[lsu_bus_buffer.scala 432:48] - node _T_3424 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 432:66] - node _T_3425 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 432:84] - node _T_3426 = mux(_T_3424, _T_3425, io.no_dword_merge_r) @[lsu_bus_buffer.scala 432:48] - node _T_3427 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 432:66] - node _T_3428 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 432:84] - node _T_3429 = mux(_T_3427, _T_3428, io.no_dword_merge_r) @[lsu_bus_buffer.scala 432:48] - node _T_3430 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 432:66] - node _T_3431 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 432:84] - node _T_3432 = mux(_T_3430, _T_3431, io.no_dword_merge_r) @[lsu_bus_buffer.scala 432:48] + buf_samedw_in <= _T_3420 @[lsu_bus_buffer.scala 430:17] + node _T_3421 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 431:66] + node _T_3422 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 431:84] + node _T_3423 = mux(_T_3421, _T_3422, io.no_dword_merge_r) @[lsu_bus_buffer.scala 431:48] + node _T_3424 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 431:66] + node _T_3425 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 431:84] + node _T_3426 = mux(_T_3424, _T_3425, io.no_dword_merge_r) @[lsu_bus_buffer.scala 431:48] + node _T_3427 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 431:66] + node _T_3428 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 431:84] + node _T_3429 = mux(_T_3427, _T_3428, io.no_dword_merge_r) @[lsu_bus_buffer.scala 431:48] + node _T_3430 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 431:66] + node _T_3431 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 431:84] + node _T_3432 = mux(_T_3430, _T_3431, io.no_dword_merge_r) @[lsu_bus_buffer.scala 431:48] node _T_3433 = cat(_T_3432, _T_3429) @[Cat.scala 29:58] node _T_3434 = cat(_T_3433, _T_3426) @[Cat.scala 29:58] node _T_3435 = cat(_T_3434, _T_3423) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3435 @[lsu_bus_buffer.scala 432:18] - node _T_3436 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 433:65] - node _T_3437 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:90] - node _T_3438 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 433:118] - node _T_3439 = and(_T_3437, _T_3438) @[lsu_bus_buffer.scala 433:107] - node _T_3440 = mux(_T_3436, ibuf_dual, _T_3439) @[lsu_bus_buffer.scala 433:47] - node _T_3441 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 433:65] - node _T_3442 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:90] - node _T_3443 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 433:118] - node _T_3444 = and(_T_3442, _T_3443) @[lsu_bus_buffer.scala 433:107] - node _T_3445 = mux(_T_3441, ibuf_dual, _T_3444) @[lsu_bus_buffer.scala 433:47] - node _T_3446 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 433:65] - node _T_3447 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:90] - node _T_3448 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 433:118] - node _T_3449 = and(_T_3447, _T_3448) @[lsu_bus_buffer.scala 433:107] - node _T_3450 = mux(_T_3446, ibuf_dual, _T_3449) @[lsu_bus_buffer.scala 433:47] - node _T_3451 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 433:65] - node _T_3452 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:90] - node _T_3453 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 433:118] - node _T_3454 = and(_T_3452, _T_3453) @[lsu_bus_buffer.scala 433:107] - node _T_3455 = mux(_T_3451, ibuf_dual, _T_3454) @[lsu_bus_buffer.scala 433:47] + buf_nomerge_in <= _T_3435 @[lsu_bus_buffer.scala 431:18] + node _T_3436 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 432:65] + node _T_3437 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:90] + node _T_3438 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 432:118] + node _T_3439 = and(_T_3437, _T_3438) @[lsu_bus_buffer.scala 432:107] + node _T_3440 = mux(_T_3436, ibuf_dual, _T_3439) @[lsu_bus_buffer.scala 432:47] + node _T_3441 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 432:65] + node _T_3442 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:90] + node _T_3443 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 432:118] + node _T_3444 = and(_T_3442, _T_3443) @[lsu_bus_buffer.scala 432:107] + node _T_3445 = mux(_T_3441, ibuf_dual, _T_3444) @[lsu_bus_buffer.scala 432:47] + node _T_3446 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 432:65] + node _T_3447 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:90] + node _T_3448 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 432:118] + node _T_3449 = and(_T_3447, _T_3448) @[lsu_bus_buffer.scala 432:107] + node _T_3450 = mux(_T_3446, ibuf_dual, _T_3449) @[lsu_bus_buffer.scala 432:47] + node _T_3451 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 432:65] + node _T_3452 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:90] + node _T_3453 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 432:118] + node _T_3454 = and(_T_3452, _T_3453) @[lsu_bus_buffer.scala 432:107] + node _T_3455 = mux(_T_3451, ibuf_dual, _T_3454) @[lsu_bus_buffer.scala 432:47] node _T_3456 = cat(_T_3455, _T_3450) @[Cat.scala 29:58] node _T_3457 = cat(_T_3456, _T_3445) @[Cat.scala 29:58] node _T_3458 = cat(_T_3457, _T_3440) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3458 @[lsu_bus_buffer.scala 433:17] - node _T_3459 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 434:65] - node _T_3460 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:97] - node _T_3461 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 434:125] - node _T_3462 = and(_T_3460, _T_3461) @[lsu_bus_buffer.scala 434:114] - node _T_3463 = mux(_T_3462, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 434:87] - node _T_3464 = mux(_T_3459, ibuf_dualtag, _T_3463) @[lsu_bus_buffer.scala 434:47] - node _T_3465 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 434:65] - node _T_3466 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:97] - node _T_3467 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 434:125] - node _T_3468 = and(_T_3466, _T_3467) @[lsu_bus_buffer.scala 434:114] - node _T_3469 = mux(_T_3468, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 434:87] - node _T_3470 = mux(_T_3465, ibuf_dualtag, _T_3469) @[lsu_bus_buffer.scala 434:47] - node _T_3471 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 434:65] - node _T_3472 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:97] - node _T_3473 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 434:125] - node _T_3474 = and(_T_3472, _T_3473) @[lsu_bus_buffer.scala 434:114] - node _T_3475 = mux(_T_3474, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 434:87] - node _T_3476 = mux(_T_3471, ibuf_dualtag, _T_3475) @[lsu_bus_buffer.scala 434:47] - node _T_3477 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 434:65] - node _T_3478 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:97] - node _T_3479 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 434:125] - node _T_3480 = and(_T_3478, _T_3479) @[lsu_bus_buffer.scala 434:114] - node _T_3481 = mux(_T_3480, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 434:87] - node _T_3482 = mux(_T_3477, ibuf_dualtag, _T_3481) @[lsu_bus_buffer.scala 434:47] - buf_dualtag_in[0] <= _T_3464 @[lsu_bus_buffer.scala 434:18] - buf_dualtag_in[1] <= _T_3470 @[lsu_bus_buffer.scala 434:18] - buf_dualtag_in[2] <= _T_3476 @[lsu_bus_buffer.scala 434:18] - buf_dualtag_in[3] <= _T_3482 @[lsu_bus_buffer.scala 434:18] - node _T_3483 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 435:69] - node _T_3484 = mux(_T_3483, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 435:51] - node _T_3485 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 435:69] - node _T_3486 = mux(_T_3485, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 435:51] - node _T_3487 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 435:69] - node _T_3488 = mux(_T_3487, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 435:51] - node _T_3489 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 435:69] - node _T_3490 = mux(_T_3489, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 435:51] + buf_dualhi_in <= _T_3458 @[lsu_bus_buffer.scala 432:17] + node _T_3459 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 433:65] + node _T_3460 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:97] + node _T_3461 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 433:125] + node _T_3462 = and(_T_3460, _T_3461) @[lsu_bus_buffer.scala 433:114] + node _T_3463 = mux(_T_3462, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 433:87] + node _T_3464 = mux(_T_3459, ibuf_dualtag, _T_3463) @[lsu_bus_buffer.scala 433:47] + node _T_3465 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 433:65] + node _T_3466 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:97] + node _T_3467 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 433:125] + node _T_3468 = and(_T_3466, _T_3467) @[lsu_bus_buffer.scala 433:114] + node _T_3469 = mux(_T_3468, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 433:87] + node _T_3470 = mux(_T_3465, ibuf_dualtag, _T_3469) @[lsu_bus_buffer.scala 433:47] + node _T_3471 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 433:65] + node _T_3472 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:97] + node _T_3473 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 433:125] + node _T_3474 = and(_T_3472, _T_3473) @[lsu_bus_buffer.scala 433:114] + node _T_3475 = mux(_T_3474, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 433:87] + node _T_3476 = mux(_T_3471, ibuf_dualtag, _T_3475) @[lsu_bus_buffer.scala 433:47] + node _T_3477 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 433:65] + node _T_3478 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:97] + node _T_3479 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 433:125] + node _T_3480 = and(_T_3478, _T_3479) @[lsu_bus_buffer.scala 433:114] + node _T_3481 = mux(_T_3480, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 433:87] + node _T_3482 = mux(_T_3477, ibuf_dualtag, _T_3481) @[lsu_bus_buffer.scala 433:47] + buf_dualtag_in[0] <= _T_3464 @[lsu_bus_buffer.scala 433:18] + buf_dualtag_in[1] <= _T_3470 @[lsu_bus_buffer.scala 433:18] + buf_dualtag_in[2] <= _T_3476 @[lsu_bus_buffer.scala 433:18] + buf_dualtag_in[3] <= _T_3482 @[lsu_bus_buffer.scala 433:18] + node _T_3483 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 434:69] + node _T_3484 = mux(_T_3483, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 434:51] + node _T_3485 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 434:69] + node _T_3486 = mux(_T_3485, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 434:51] + node _T_3487 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 434:69] + node _T_3488 = mux(_T_3487, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 434:51] + node _T_3489 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 434:69] + node _T_3490 = mux(_T_3489, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 434:51] node _T_3491 = cat(_T_3490, _T_3488) @[Cat.scala 29:58] node _T_3492 = cat(_T_3491, _T_3486) @[Cat.scala 29:58] node _T_3493 = cat(_T_3492, _T_3484) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3493 @[lsu_bus_buffer.scala 435:21] - node _T_3494 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 436:65] - node _T_3495 = mux(_T_3494, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 436:47] - node _T_3496 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 436:65] - node _T_3497 = mux(_T_3496, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 436:47] - node _T_3498 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 436:65] - node _T_3499 = mux(_T_3498, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 436:47] - node _T_3500 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 436:65] - node _T_3501 = mux(_T_3500, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 436:47] + buf_sideeffect_in <= _T_3493 @[lsu_bus_buffer.scala 434:21] + node _T_3494 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 435:65] + node _T_3495 = mux(_T_3494, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 435:47] + node _T_3496 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 435:65] + node _T_3497 = mux(_T_3496, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 435:47] + node _T_3498 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 435:65] + node _T_3499 = mux(_T_3498, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 435:47] + node _T_3500 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 435:65] + node _T_3501 = mux(_T_3500, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 435:47] node _T_3502 = cat(_T_3501, _T_3499) @[Cat.scala 29:58] node _T_3503 = cat(_T_3502, _T_3497) @[Cat.scala 29:58] node _T_3504 = cat(_T_3503, _T_3495) @[Cat.scala 29:58] - buf_unsign_in <= _T_3504 @[lsu_bus_buffer.scala 436:17] - node _T_3505 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 437:60] + buf_unsign_in <= _T_3504 @[lsu_bus_buffer.scala 435:17] + node _T_3505 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 436:60] node _T_3506 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_3507 = mux(_T_3505, ibuf_sz, _T_3506) @[lsu_bus_buffer.scala 437:42] - node _T_3508 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 437:60] + node _T_3507 = mux(_T_3505, ibuf_sz, _T_3506) @[lsu_bus_buffer.scala 436:42] + node _T_3508 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 436:60] node _T_3509 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_3510 = mux(_T_3508, ibuf_sz, _T_3509) @[lsu_bus_buffer.scala 437:42] - node _T_3511 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 437:60] + node _T_3510 = mux(_T_3508, ibuf_sz, _T_3509) @[lsu_bus_buffer.scala 436:42] + node _T_3511 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 436:60] node _T_3512 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_3513 = mux(_T_3511, ibuf_sz, _T_3512) @[lsu_bus_buffer.scala 437:42] - node _T_3514 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 437:60] + node _T_3513 = mux(_T_3511, ibuf_sz, _T_3512) @[lsu_bus_buffer.scala 436:42] + node _T_3514 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 436:60] node _T_3515 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_3516 = mux(_T_3514, ibuf_sz, _T_3515) @[lsu_bus_buffer.scala 437:42] - buf_sz_in[0] <= _T_3507 @[lsu_bus_buffer.scala 437:13] - buf_sz_in[1] <= _T_3510 @[lsu_bus_buffer.scala 437:13] - buf_sz_in[2] <= _T_3513 @[lsu_bus_buffer.scala 437:13] - buf_sz_in[3] <= _T_3516 @[lsu_bus_buffer.scala 437:13] - node _T_3517 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 438:64] - node _T_3518 = mux(_T_3517, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 438:46] - node _T_3519 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 438:64] - node _T_3520 = mux(_T_3519, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 438:46] - node _T_3521 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 438:64] - node _T_3522 = mux(_T_3521, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 438:46] - node _T_3523 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 438:64] - node _T_3524 = mux(_T_3523, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 438:46] + node _T_3516 = mux(_T_3514, ibuf_sz, _T_3515) @[lsu_bus_buffer.scala 436:42] + buf_sz_in[0] <= _T_3507 @[lsu_bus_buffer.scala 436:13] + buf_sz_in[1] <= _T_3510 @[lsu_bus_buffer.scala 436:13] + buf_sz_in[2] <= _T_3513 @[lsu_bus_buffer.scala 436:13] + buf_sz_in[3] <= _T_3516 @[lsu_bus_buffer.scala 436:13] + node _T_3517 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 437:64] + node _T_3518 = mux(_T_3517, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 437:46] + node _T_3519 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 437:64] + node _T_3520 = mux(_T_3519, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 437:46] + node _T_3521 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 437:64] + node _T_3522 = mux(_T_3521, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 437:46] + node _T_3523 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 437:64] + node _T_3524 = mux(_T_3523, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 437:46] node _T_3525 = cat(_T_3524, _T_3522) @[Cat.scala 29:58] node _T_3526 = cat(_T_3525, _T_3520) @[Cat.scala 29:58] node _T_3527 = cat(_T_3526, _T_3518) @[Cat.scala 29:58] - buf_write_in <= _T_3527 @[lsu_bus_buffer.scala 438:16] + buf_write_in <= _T_3527 @[lsu_bus_buffer.scala 437:16] node _T_3528 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] when _T_3528 : @[Conditional.scala 40:58] - node _T_3529 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 443:56] - node _T_3530 = mux(_T_3529, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 443:31] - buf_nxtstate[0] <= _T_3530 @[lsu_bus_buffer.scala 443:25] - node _T_3531 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 444:45] - node _T_3532 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:77] - node _T_3533 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 444:97] - node _T_3534 = and(_T_3532, _T_3533) @[lsu_bus_buffer.scala 444:95] - node _T_3535 = eq(UInt<1>("h00"), WrPtr0_r) @[lsu_bus_buffer.scala 444:117] - node _T_3536 = and(_T_3534, _T_3535) @[lsu_bus_buffer.scala 444:112] - node _T_3537 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:144] - node _T_3538 = eq(UInt<1>("h00"), WrPtr1_r) @[lsu_bus_buffer.scala 444:166] - node _T_3539 = and(_T_3537, _T_3538) @[lsu_bus_buffer.scala 444:161] - node _T_3540 = or(_T_3536, _T_3539) @[lsu_bus_buffer.scala 444:132] - node _T_3541 = and(_T_3531, _T_3540) @[lsu_bus_buffer.scala 444:63] - node _T_3542 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 444:206] - node _T_3543 = and(ibuf_drain_vld, _T_3542) @[lsu_bus_buffer.scala 444:201] - node _T_3544 = or(_T_3541, _T_3543) @[lsu_bus_buffer.scala 444:183] - buf_state_en[0] <= _T_3544 @[lsu_bus_buffer.scala 444:25] - buf_wr_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 445:22] - buf_data_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 446:24] - node _T_3545 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 447:52] - node _T_3546 = and(ibuf_drain_vld, _T_3545) @[lsu_bus_buffer.scala 447:47] - node _T_3547 = bits(_T_3546, 0, 0) @[lsu_bus_buffer.scala 447:73] - node _T_3548 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 447:90] - node _T_3549 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 447:114] - node _T_3550 = mux(_T_3547, _T_3548, _T_3549) @[lsu_bus_buffer.scala 447:30] - buf_data_in[0] <= _T_3550 @[lsu_bus_buffer.scala 447:24] + node _T_3529 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] + node _T_3530 = mux(_T_3529, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 442:31] + buf_nxtstate[0] <= _T_3530 @[lsu_bus_buffer.scala 442:25] + node _T_3531 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 443:45] + node _T_3532 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:77] + node _T_3533 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 443:97] + node _T_3534 = and(_T_3532, _T_3533) @[lsu_bus_buffer.scala 443:95] + node _T_3535 = eq(UInt<1>("h00"), WrPtr0_r) @[lsu_bus_buffer.scala 443:117] + node _T_3536 = and(_T_3534, _T_3535) @[lsu_bus_buffer.scala 443:112] + node _T_3537 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:144] + node _T_3538 = eq(UInt<1>("h00"), WrPtr1_r) @[lsu_bus_buffer.scala 443:166] + node _T_3539 = and(_T_3537, _T_3538) @[lsu_bus_buffer.scala 443:161] + node _T_3540 = or(_T_3536, _T_3539) @[lsu_bus_buffer.scala 443:132] + node _T_3541 = and(_T_3531, _T_3540) @[lsu_bus_buffer.scala 443:63] + node _T_3542 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 443:206] + node _T_3543 = and(ibuf_drain_vld, _T_3542) @[lsu_bus_buffer.scala 443:201] + node _T_3544 = or(_T_3541, _T_3543) @[lsu_bus_buffer.scala 443:183] + buf_state_en[0] <= _T_3544 @[lsu_bus_buffer.scala 443:25] + buf_wr_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 444:22] + buf_data_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 445:24] + node _T_3545 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 446:52] + node _T_3546 = and(ibuf_drain_vld, _T_3545) @[lsu_bus_buffer.scala 446:47] + node _T_3547 = bits(_T_3546, 0, 0) @[lsu_bus_buffer.scala 446:73] + node _T_3548 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 446:90] + node _T_3549 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 446:114] + node _T_3550 = mux(_T_3547, _T_3548, _T_3549) @[lsu_bus_buffer.scala 446:30] + buf_data_in[0] <= _T_3550 @[lsu_bus_buffer.scala 446:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3551 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] when _T_3551 : @[Conditional.scala 39:67] - node _T_3552 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] - node _T_3553 = mux(_T_3552, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] - buf_nxtstate[0] <= _T_3553 @[lsu_bus_buffer.scala 450:25] - node _T_3554 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] - buf_state_en[0] <= _T_3554 @[lsu_bus_buffer.scala 451:25] + node _T_3552 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 449:60] + node _T_3553 = mux(_T_3552, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 449:31] + buf_nxtstate[0] <= _T_3553 @[lsu_bus_buffer.scala 449:25] + node _T_3554 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 450:46] + buf_state_en[0] <= _T_3554 @[lsu_bus_buffer.scala 450:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3555 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] when _T_3555 : @[Conditional.scala 39:67] - node _T_3556 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 454:60] - node _T_3557 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 454:89] - node _T_3558 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 454:124] - node _T_3559 = and(_T_3557, _T_3558) @[lsu_bus_buffer.scala 454:104] - node _T_3560 = mux(_T_3559, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 454:75] - node _T_3561 = mux(_T_3556, UInt<3>("h00"), _T_3560) @[lsu_bus_buffer.scala 454:31] - buf_nxtstate[0] <= _T_3561 @[lsu_bus_buffer.scala 454:25] - node _T_3562 = eq(obuf_tag0, UInt<3>("h00")) @[lsu_bus_buffer.scala 455:48] - node _T_3563 = eq(obuf_tag1, UInt<3>("h00")) @[lsu_bus_buffer.scala 455:104] - node _T_3564 = and(obuf_merge, _T_3563) @[lsu_bus_buffer.scala 455:91] - node _T_3565 = or(_T_3562, _T_3564) @[lsu_bus_buffer.scala 455:77] - node _T_3566 = and(_T_3565, obuf_valid) @[lsu_bus_buffer.scala 455:135] - node _T_3567 = and(_T_3566, obuf_wr_enQ) @[lsu_bus_buffer.scala 455:148] - buf_cmd_state_bus_en[0] <= _T_3567 @[lsu_bus_buffer.scala 455:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[lsu_bus_buffer.scala 456:29] - node _T_3568 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 457:49] - node _T_3569 = or(_T_3568, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 457:70] - buf_state_en[0] <= _T_3569 @[lsu_bus_buffer.scala 457:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 458:25] - node _T_3570 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 459:56] - node _T_3571 = eq(_T_3570, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:46] - node _T_3572 = and(buf_state_en[0], _T_3571) @[lsu_bus_buffer.scala 459:44] - node _T_3573 = and(_T_3572, obuf_nosend) @[lsu_bus_buffer.scala 459:60] - node _T_3574 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:76] - node _T_3575 = and(_T_3573, _T_3574) @[lsu_bus_buffer.scala 459:74] - buf_ldfwd_en[0] <= _T_3575 @[lsu_bus_buffer.scala 459:25] - node _T_3576 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 460:46] - buf_ldfwdtag_in[0] <= _T_3576 @[lsu_bus_buffer.scala 460:28] - node _T_3577 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:47] - node _T_3578 = and(_T_3577, obuf_nosend) @[lsu_bus_buffer.scala 461:67] - node _T_3579 = and(_T_3578, bus_rsp_read) @[lsu_bus_buffer.scala 461:81] - buf_data_en[0] <= _T_3579 @[lsu_bus_buffer.scala 461:24] - node _T_3580 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:48] - node _T_3581 = and(_T_3580, obuf_nosend) @[lsu_bus_buffer.scala 462:68] - node _T_3582 = and(_T_3581, bus_rsp_read_error) @[lsu_bus_buffer.scala 462:82] - buf_error_en[0] <= _T_3582 @[lsu_bus_buffer.scala 462:25] - node _T_3583 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:61] - node _T_3584 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 463:85] - node _T_3585 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 463:103] - node _T_3586 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:126] - node _T_3587 = mux(_T_3584, _T_3585, _T_3586) @[lsu_bus_buffer.scala 463:73] - node _T_3588 = mux(buf_error_en[0], _T_3583, _T_3587) @[lsu_bus_buffer.scala 463:30] - buf_data_in[0] <= _T_3588 @[lsu_bus_buffer.scala 463:24] + node _T_3556 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_3557 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 453:89] + node _T_3558 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 453:124] + node _T_3559 = and(_T_3557, _T_3558) @[lsu_bus_buffer.scala 453:104] + node _T_3560 = mux(_T_3559, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 453:75] + node _T_3561 = mux(_T_3556, UInt<3>("h00"), _T_3560) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[0] <= _T_3561 @[lsu_bus_buffer.scala 453:25] + node _T_3562 = eq(obuf_tag0, UInt<3>("h00")) @[lsu_bus_buffer.scala 454:48] + node _T_3563 = eq(obuf_tag1, UInt<3>("h00")) @[lsu_bus_buffer.scala 454:104] + node _T_3564 = and(obuf_merge, _T_3563) @[lsu_bus_buffer.scala 454:91] + node _T_3565 = or(_T_3562, _T_3564) @[lsu_bus_buffer.scala 454:77] + node _T_3566 = and(_T_3565, obuf_valid) @[lsu_bus_buffer.scala 454:135] + node _T_3567 = and(_T_3566, obuf_wr_enQ) @[lsu_bus_buffer.scala 454:148] + buf_cmd_state_bus_en[0] <= _T_3567 @[lsu_bus_buffer.scala 454:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[lsu_bus_buffer.scala 455:29] + node _T_3568 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 456:49] + node _T_3569 = or(_T_3568, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:70] + buf_state_en[0] <= _T_3569 @[lsu_bus_buffer.scala 456:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 457:25] + node _T_3570 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 458:56] + node _T_3571 = eq(_T_3570, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:46] + node _T_3572 = and(buf_state_en[0], _T_3571) @[lsu_bus_buffer.scala 458:44] + node _T_3573 = and(_T_3572, obuf_nosend) @[lsu_bus_buffer.scala 458:60] + node _T_3574 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:76] + node _T_3575 = and(_T_3573, _T_3574) @[lsu_bus_buffer.scala 458:74] + buf_ldfwd_en[0] <= _T_3575 @[lsu_bus_buffer.scala 458:25] + node _T_3576 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 459:46] + buf_ldfwdtag_in[0] <= _T_3576 @[lsu_bus_buffer.scala 459:28] + node _T_3577 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:47] + node _T_3578 = and(_T_3577, obuf_nosend) @[lsu_bus_buffer.scala 460:67] + node _T_3579 = and(_T_3578, bus_rsp_read) @[lsu_bus_buffer.scala 460:81] + buf_data_en[0] <= _T_3579 @[lsu_bus_buffer.scala 460:24] + node _T_3580 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:48] + node _T_3581 = and(_T_3580, obuf_nosend) @[lsu_bus_buffer.scala 461:68] + node _T_3582 = and(_T_3581, bus_rsp_read_error) @[lsu_bus_buffer.scala 461:82] + buf_error_en[0] <= _T_3582 @[lsu_bus_buffer.scala 461:25] + node _T_3583 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:61] + node _T_3584 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 462:85] + node _T_3585 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 462:103] + node _T_3586 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:126] + node _T_3587 = mux(_T_3584, _T_3585, _T_3586) @[lsu_bus_buffer.scala 462:73] + node _T_3588 = mux(buf_error_en[0], _T_3583, _T_3587) @[lsu_bus_buffer.scala 462:30] + buf_data_in[0] <= _T_3588 @[lsu_bus_buffer.scala 462:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3589 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] when _T_3589 : @[Conditional.scala 39:67] - node _T_3590 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 466:67] - node _T_3591 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 466:94] - node _T_3592 = eq(_T_3591, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:73] - node _T_3593 = and(_T_3590, _T_3592) @[lsu_bus_buffer.scala 466:71] - node _T_3594 = or(io.dec_tlu_force_halt, _T_3593) @[lsu_bus_buffer.scala 466:55] - node _T_3595 = bits(_T_3594, 0, 0) @[lsu_bus_buffer.scala 466:125] - node _T_3596 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:30] - node _T_3597 = and(buf_dual[0], _T_3596) @[lsu_bus_buffer.scala 467:28] - node _T_3598 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 467:57] - node _T_3599 = eq(_T_3598, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:47] - node _T_3600 = and(_T_3597, _T_3599) @[lsu_bus_buffer.scala 467:45] - node _T_3601 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:90] - node _T_3602 = and(_T_3600, _T_3601) @[lsu_bus_buffer.scala 467:61] - node _T_3603 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 468:27] - node _T_3604 = or(_T_3603, any_done_wait_state) @[lsu_bus_buffer.scala 468:31] - node _T_3605 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:70] - node _T_3606 = and(buf_dual[0], _T_3605) @[lsu_bus_buffer.scala 468:68] - node _T_3607 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 468:97] - node _T_3608 = eq(_T_3607, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:87] - node _T_3609 = and(_T_3606, _T_3608) @[lsu_bus_buffer.scala 468:85] + node _T_3590 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 465:67] + node _T_3591 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 465:94] + node _T_3592 = eq(_T_3591, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:73] + node _T_3593 = and(_T_3590, _T_3592) @[lsu_bus_buffer.scala 465:71] + node _T_3594 = or(io.dec_tlu_force_halt, _T_3593) @[lsu_bus_buffer.scala 465:55] + node _T_3595 = bits(_T_3594, 0, 0) @[lsu_bus_buffer.scala 465:125] + node _T_3596 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 466:30] + node _T_3597 = and(buf_dual[0], _T_3596) @[lsu_bus_buffer.scala 466:28] + node _T_3598 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 466:57] + node _T_3599 = eq(_T_3598, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:47] + node _T_3600 = and(_T_3597, _T_3599) @[lsu_bus_buffer.scala 466:45] + node _T_3601 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 466:90] + node _T_3602 = and(_T_3600, _T_3601) @[lsu_bus_buffer.scala 466:61] + node _T_3603 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 467:27] + node _T_3604 = or(_T_3603, any_done_wait_state) @[lsu_bus_buffer.scala 467:31] + node _T_3605 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:70] + node _T_3606 = and(buf_dual[0], _T_3605) @[lsu_bus_buffer.scala 467:68] + node _T_3607 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 467:97] + node _T_3608 = eq(_T_3607, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87] + node _T_3609 = and(_T_3606, _T_3608) @[lsu_bus_buffer.scala 467:85] node _T_3610 = eq(buf_dualtag[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] node _T_3611 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] node _T_3612 = eq(buf_dualtag[0], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] @@ -99175,265 +99223,265 @@ circuit quasar_wrapper : node _T_3624 = or(_T_3623, _T_3621) @[Mux.scala 27:72] wire _T_3625 : UInt<1> @[Mux.scala 27:72] _T_3625 <= _T_3624 @[Mux.scala 27:72] - node _T_3626 = and(_T_3609, _T_3625) @[lsu_bus_buffer.scala 468:101] - node _T_3627 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:167] - node _T_3628 = and(_T_3626, _T_3627) @[lsu_bus_buffer.scala 468:138] - node _T_3629 = and(_T_3628, any_done_wait_state) @[lsu_bus_buffer.scala 468:187] - node _T_3630 = or(_T_3604, _T_3629) @[lsu_bus_buffer.scala 468:53] - node _T_3631 = mux(_T_3630, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 468:16] - node _T_3632 = mux(_T_3602, UInt<3>("h04"), _T_3631) @[lsu_bus_buffer.scala 467:14] - node _T_3633 = mux(_T_3595, UInt<3>("h00"), _T_3632) @[lsu_bus_buffer.scala 466:31] - buf_nxtstate[0] <= _T_3633 @[lsu_bus_buffer.scala 466:25] - node _T_3634 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 469:73] - node _T_3635 = and(bus_rsp_write, _T_3634) @[lsu_bus_buffer.scala 469:52] - node _T_3636 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 470:46] - node _T_3637 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 471:23] - node _T_3638 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 471:47] - node _T_3639 = and(_T_3637, _T_3638) @[lsu_bus_buffer.scala 471:27] - node _T_3640 = or(_T_3636, _T_3639) @[lsu_bus_buffer.scala 470:77] - node _T_3641 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 472:26] - node _T_3642 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 472:54] - node _T_3643 = not(_T_3642) @[lsu_bus_buffer.scala 472:44] - node _T_3644 = and(_T_3641, _T_3643) @[lsu_bus_buffer.scala 472:42] - node _T_3645 = and(_T_3644, buf_samedw[0]) @[lsu_bus_buffer.scala 472:58] - node _T_3646 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 472:94] - node _T_3647 = and(_T_3645, _T_3646) @[lsu_bus_buffer.scala 472:74] - node _T_3648 = or(_T_3640, _T_3647) @[lsu_bus_buffer.scala 471:71] - node _T_3649 = and(bus_rsp_read, _T_3648) @[lsu_bus_buffer.scala 470:25] - node _T_3650 = or(_T_3635, _T_3649) @[lsu_bus_buffer.scala 469:105] - buf_resp_state_bus_en[0] <= _T_3650 @[lsu_bus_buffer.scala 469:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[lsu_bus_buffer.scala 473:29] - node _T_3651 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:49] - node _T_3652 = or(_T_3651, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 474:70] - buf_state_en[0] <= _T_3652 @[lsu_bus_buffer.scala 474:25] - node _T_3653 = and(buf_state_bus_en[0], bus_rsp_read) @[lsu_bus_buffer.scala 475:47] - node _T_3654 = and(_T_3653, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:62] - buf_data_en[0] <= _T_3654 @[lsu_bus_buffer.scala 475:24] - node _T_3655 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:48] - node _T_3656 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 476:111] - node _T_3657 = and(bus_rsp_read_error, _T_3656) @[lsu_bus_buffer.scala 476:91] - node _T_3658 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 477:42] - node _T_3659 = and(bus_rsp_read_error, _T_3658) @[lsu_bus_buffer.scala 477:31] - node _T_3660 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 477:66] - node _T_3661 = and(_T_3659, _T_3660) @[lsu_bus_buffer.scala 477:46] - node _T_3662 = or(_T_3657, _T_3661) @[lsu_bus_buffer.scala 476:143] - node _T_3663 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 478:32] - node _T_3664 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 478:74] - node _T_3665 = and(_T_3663, _T_3664) @[lsu_bus_buffer.scala 478:53] - node _T_3666 = or(_T_3662, _T_3665) @[lsu_bus_buffer.scala 477:88] - node _T_3667 = and(_T_3655, _T_3666) @[lsu_bus_buffer.scala 476:68] - buf_error_en[0] <= _T_3667 @[lsu_bus_buffer.scala 476:25] - node _T_3668 = eq(buf_error_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 479:50] - node _T_3669 = and(buf_state_en[0], _T_3668) @[lsu_bus_buffer.scala 479:48] - node _T_3670 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 479:84] - node _T_3671 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 479:102] - node _T_3672 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:125] - node _T_3673 = mux(_T_3670, _T_3671, _T_3672) @[lsu_bus_buffer.scala 479:72] - node _T_3674 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:148] - node _T_3675 = mux(_T_3669, _T_3673, _T_3674) @[lsu_bus_buffer.scala 479:30] - buf_data_in[0] <= _T_3675 @[lsu_bus_buffer.scala 479:24] + node _T_3626 = and(_T_3609, _T_3625) @[lsu_bus_buffer.scala 467:101] + node _T_3627 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:167] + node _T_3628 = and(_T_3626, _T_3627) @[lsu_bus_buffer.scala 467:138] + node _T_3629 = and(_T_3628, any_done_wait_state) @[lsu_bus_buffer.scala 467:187] + node _T_3630 = or(_T_3604, _T_3629) @[lsu_bus_buffer.scala 467:53] + node _T_3631 = mux(_T_3630, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 467:16] + node _T_3632 = mux(_T_3602, UInt<3>("h04"), _T_3631) @[lsu_bus_buffer.scala 466:14] + node _T_3633 = mux(_T_3595, UInt<3>("h00"), _T_3632) @[lsu_bus_buffer.scala 465:31] + buf_nxtstate[0] <= _T_3633 @[lsu_bus_buffer.scala 465:25] + node _T_3634 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 468:73] + node _T_3635 = and(bus_rsp_write, _T_3634) @[lsu_bus_buffer.scala 468:52] + node _T_3636 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 469:46] + node _T_3637 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 470:23] + node _T_3638 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 470:47] + node _T_3639 = and(_T_3637, _T_3638) @[lsu_bus_buffer.scala 470:27] + node _T_3640 = or(_T_3636, _T_3639) @[lsu_bus_buffer.scala 469:77] + node _T_3641 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 471:26] + node _T_3642 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 471:54] + node _T_3643 = not(_T_3642) @[lsu_bus_buffer.scala 471:44] + node _T_3644 = and(_T_3641, _T_3643) @[lsu_bus_buffer.scala 471:42] + node _T_3645 = and(_T_3644, buf_samedw[0]) @[lsu_bus_buffer.scala 471:58] + node _T_3646 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 471:94] + node _T_3647 = and(_T_3645, _T_3646) @[lsu_bus_buffer.scala 471:74] + node _T_3648 = or(_T_3640, _T_3647) @[lsu_bus_buffer.scala 470:71] + node _T_3649 = and(bus_rsp_read, _T_3648) @[lsu_bus_buffer.scala 469:25] + node _T_3650 = or(_T_3635, _T_3649) @[lsu_bus_buffer.scala 468:105] + buf_resp_state_bus_en[0] <= _T_3650 @[lsu_bus_buffer.scala 468:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[lsu_bus_buffer.scala 472:29] + node _T_3651 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 473:49] + node _T_3652 = or(_T_3651, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 473:70] + buf_state_en[0] <= _T_3652 @[lsu_bus_buffer.scala 473:25] + node _T_3653 = and(buf_state_bus_en[0], bus_rsp_read) @[lsu_bus_buffer.scala 474:47] + node _T_3654 = and(_T_3653, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:62] + buf_data_en[0] <= _T_3654 @[lsu_bus_buffer.scala 474:24] + node _T_3655 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:48] + node _T_3656 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 475:111] + node _T_3657 = and(bus_rsp_read_error, _T_3656) @[lsu_bus_buffer.scala 475:91] + node _T_3658 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 476:42] + node _T_3659 = and(bus_rsp_read_error, _T_3658) @[lsu_bus_buffer.scala 476:31] + node _T_3660 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 476:66] + node _T_3661 = and(_T_3659, _T_3660) @[lsu_bus_buffer.scala 476:46] + node _T_3662 = or(_T_3657, _T_3661) @[lsu_bus_buffer.scala 475:143] + node _T_3663 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 477:32] + node _T_3664 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 477:74] + node _T_3665 = and(_T_3663, _T_3664) @[lsu_bus_buffer.scala 477:53] + node _T_3666 = or(_T_3662, _T_3665) @[lsu_bus_buffer.scala 476:88] + node _T_3667 = and(_T_3655, _T_3666) @[lsu_bus_buffer.scala 475:68] + buf_error_en[0] <= _T_3667 @[lsu_bus_buffer.scala 475:25] + node _T_3668 = eq(buf_error_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 478:50] + node _T_3669 = and(buf_state_en[0], _T_3668) @[lsu_bus_buffer.scala 478:48] + node _T_3670 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 478:84] + node _T_3671 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 478:102] + node _T_3672 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:125] + node _T_3673 = mux(_T_3670, _T_3671, _T_3672) @[lsu_bus_buffer.scala 478:72] + node _T_3674 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:148] + node _T_3675 = mux(_T_3669, _T_3673, _T_3674) @[lsu_bus_buffer.scala 478:30] + buf_data_in[0] <= _T_3675 @[lsu_bus_buffer.scala 478:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3676 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] when _T_3676 : @[Conditional.scala 39:67] - node _T_3677 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 482:60] - node _T_3678 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 482:86] - node _T_3679 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 482:101] - node _T_3680 = bits(_T_3679, 0, 0) @[lsu_bus_buffer.scala 482:101] - node _T_3681 = or(_T_3678, _T_3680) @[lsu_bus_buffer.scala 482:90] - node _T_3682 = or(_T_3681, any_done_wait_state) @[lsu_bus_buffer.scala 482:118] - node _T_3683 = mux(_T_3682, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 482:75] - node _T_3684 = mux(_T_3677, UInt<3>("h00"), _T_3683) @[lsu_bus_buffer.scala 482:31] - buf_nxtstate[0] <= _T_3684 @[lsu_bus_buffer.scala 482:25] - node _T_3685 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 483:66] - node _T_3686 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 484:21] - node _T_3687 = bits(_T_3686, 0, 0) @[lsu_bus_buffer.scala 484:21] - node _T_3688 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[lsu_bus_buffer.scala 484:58] - node _T_3689 = and(_T_3687, _T_3688) @[lsu_bus_buffer.scala 484:38] - node _T_3690 = or(_T_3685, _T_3689) @[lsu_bus_buffer.scala 483:95] - node _T_3691 = and(bus_rsp_read, _T_3690) @[lsu_bus_buffer.scala 483:45] - buf_state_bus_en[0] <= _T_3691 @[lsu_bus_buffer.scala 483:29] - node _T_3692 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 485:49] - node _T_3693 = or(_T_3692, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 485:70] - buf_state_en[0] <= _T_3693 @[lsu_bus_buffer.scala 485:25] + node _T_3677 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 481:60] + node _T_3678 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 481:86] + node _T_3679 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 481:101] + node _T_3680 = bits(_T_3679, 0, 0) @[lsu_bus_buffer.scala 481:101] + node _T_3681 = or(_T_3678, _T_3680) @[lsu_bus_buffer.scala 481:90] + node _T_3682 = or(_T_3681, any_done_wait_state) @[lsu_bus_buffer.scala 481:118] + node _T_3683 = mux(_T_3682, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 481:75] + node _T_3684 = mux(_T_3677, UInt<3>("h00"), _T_3683) @[lsu_bus_buffer.scala 481:31] + buf_nxtstate[0] <= _T_3684 @[lsu_bus_buffer.scala 481:25] + node _T_3685 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 482:66] + node _T_3686 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 483:21] + node _T_3687 = bits(_T_3686, 0, 0) @[lsu_bus_buffer.scala 483:21] + node _T_3688 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[lsu_bus_buffer.scala 483:58] + node _T_3689 = and(_T_3687, _T_3688) @[lsu_bus_buffer.scala 483:38] + node _T_3690 = or(_T_3685, _T_3689) @[lsu_bus_buffer.scala 482:95] + node _T_3691 = and(bus_rsp_read, _T_3690) @[lsu_bus_buffer.scala 482:45] + buf_state_bus_en[0] <= _T_3691 @[lsu_bus_buffer.scala 482:29] + node _T_3692 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 484:49] + node _T_3693 = or(_T_3692, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 484:70] + buf_state_en[0] <= _T_3693 @[lsu_bus_buffer.scala 484:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3694 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] when _T_3694 : @[Conditional.scala 39:67] - node _T_3695 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 488:60] - node _T_3696 = mux(_T_3695, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 488:31] - buf_nxtstate[0] <= _T_3696 @[lsu_bus_buffer.scala 488:25] - node _T_3697 = eq(RspPtr, UInt<2>("h00")) @[lsu_bus_buffer.scala 489:37] - node _T_3698 = eq(buf_dualtag[0], RspPtr) @[lsu_bus_buffer.scala 489:98] - node _T_3699 = and(buf_dual[0], _T_3698) @[lsu_bus_buffer.scala 489:80] - node _T_3700 = or(_T_3697, _T_3699) @[lsu_bus_buffer.scala 489:65] - node _T_3701 = or(_T_3700, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 489:112] - buf_state_en[0] <= _T_3701 @[lsu_bus_buffer.scala 489:25] + node _T_3695 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_3696 = mux(_T_3695, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[0] <= _T_3696 @[lsu_bus_buffer.scala 487:25] + node _T_3697 = eq(RspPtr, UInt<2>("h00")) @[lsu_bus_buffer.scala 488:37] + node _T_3698 = eq(buf_dualtag[0], RspPtr) @[lsu_bus_buffer.scala 488:98] + node _T_3699 = and(buf_dual[0], _T_3698) @[lsu_bus_buffer.scala 488:80] + node _T_3700 = or(_T_3697, _T_3699) @[lsu_bus_buffer.scala 488:65] + node _T_3701 = or(_T_3700, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 488:112] + buf_state_en[0] <= _T_3701 @[lsu_bus_buffer.scala 488:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3702 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] when _T_3702 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[lsu_bus_buffer.scala 492:25] - buf_rst[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:20] - buf_state_en[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 494:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 495:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 496:25] + buf_nxtstate[0] <= UInt<3>("h00") @[lsu_bus_buffer.scala 491:25] + buf_rst[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 492:20] + buf_state_en[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 495:25] skip @[Conditional.scala 39:67] - node _T_3703 = bits(buf_state_en[0], 0, 0) @[lsu_bus_buffer.scala 499:108] + node _T_3703 = bits(buf_state_en[0], 0, 0) @[lsu_bus_buffer.scala 498:108] reg _T_3704 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3703 : @[Reg.scala 28:19] _T_3704 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3704 @[lsu_bus_buffer.scala 499:18] - reg _T_3705 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:60] - _T_3705 <= buf_age_in_0 @[lsu_bus_buffer.scala 500:60] - buf_ageQ[0] <= _T_3705 @[lsu_bus_buffer.scala 500:17] - reg _T_3706 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 501:63] - _T_3706 <= buf_rspage_in[0] @[lsu_bus_buffer.scala 501:63] - buf_rspageQ[0] <= _T_3706 @[lsu_bus_buffer.scala 501:20] - node _T_3707 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 502:109] + buf_state[0] <= _T_3704 @[lsu_bus_buffer.scala 498:18] + reg _T_3705 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 499:60] + _T_3705 <= buf_age_in_0 @[lsu_bus_buffer.scala 499:60] + buf_ageQ[0] <= _T_3705 @[lsu_bus_buffer.scala 499:17] + reg _T_3706 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:63] + _T_3706 <= buf_rspage_in[0] @[lsu_bus_buffer.scala 500:63] + buf_rspageQ[0] <= _T_3706 @[lsu_bus_buffer.scala 500:20] + node _T_3707 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 501:109] reg _T_3708 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3707 : @[Reg.scala 28:19] _T_3708 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3708 @[lsu_bus_buffer.scala 502:20] - node _T_3709 = bits(buf_dual_in, 0, 0) @[lsu_bus_buffer.scala 503:74] - node _T_3710 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 503:107] + buf_dualtag[0] <= _T_3708 @[lsu_bus_buffer.scala 501:20] + node _T_3709 = bits(buf_dual_in, 0, 0) @[lsu_bus_buffer.scala 502:74] + node _T_3710 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 502:107] reg _T_3711 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3710 : @[Reg.scala 28:19] _T_3711 <= _T_3709 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3711 @[lsu_bus_buffer.scala 503:17] - node _T_3712 = bits(buf_samedw_in, 0, 0) @[lsu_bus_buffer.scala 504:78] - node _T_3713 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 504:111] + buf_dual[0] <= _T_3711 @[lsu_bus_buffer.scala 502:17] + node _T_3712 = bits(buf_samedw_in, 0, 0) @[lsu_bus_buffer.scala 503:78] + node _T_3713 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 503:111] reg _T_3714 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3713 : @[Reg.scala 28:19] _T_3714 <= _T_3712 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3714 @[lsu_bus_buffer.scala 504:19] - node _T_3715 = bits(buf_nomerge_in, 0, 0) @[lsu_bus_buffer.scala 505:80] - node _T_3716 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 505:113] + buf_samedw[0] <= _T_3714 @[lsu_bus_buffer.scala 503:19] + node _T_3715 = bits(buf_nomerge_in, 0, 0) @[lsu_bus_buffer.scala 504:80] + node _T_3716 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 504:113] reg _T_3717 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3716 : @[Reg.scala 28:19] _T_3717 <= _T_3715 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3717 @[lsu_bus_buffer.scala 505:20] - node _T_3718 = bits(buf_dualhi_in, 0, 0) @[lsu_bus_buffer.scala 506:78] - node _T_3719 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 506:111] + buf_nomerge[0] <= _T_3717 @[lsu_bus_buffer.scala 504:20] + node _T_3718 = bits(buf_dualhi_in, 0, 0) @[lsu_bus_buffer.scala 505:78] + node _T_3719 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 505:111] reg _T_3720 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3719 : @[Reg.scala 28:19] _T_3720 <= _T_3718 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3720 @[lsu_bus_buffer.scala 506:19] + buf_dualhi[0] <= _T_3720 @[lsu_bus_buffer.scala 505:19] node _T_3721 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] when _T_3721 : @[Conditional.scala 40:58] - node _T_3722 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 443:56] - node _T_3723 = mux(_T_3722, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 443:31] - buf_nxtstate[1] <= _T_3723 @[lsu_bus_buffer.scala 443:25] - node _T_3724 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 444:45] - node _T_3725 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:77] - node _T_3726 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 444:97] - node _T_3727 = and(_T_3725, _T_3726) @[lsu_bus_buffer.scala 444:95] - node _T_3728 = eq(UInt<1>("h01"), WrPtr0_r) @[lsu_bus_buffer.scala 444:117] - node _T_3729 = and(_T_3727, _T_3728) @[lsu_bus_buffer.scala 444:112] - node _T_3730 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:144] - node _T_3731 = eq(UInt<1>("h01"), WrPtr1_r) @[lsu_bus_buffer.scala 444:166] - node _T_3732 = and(_T_3730, _T_3731) @[lsu_bus_buffer.scala 444:161] - node _T_3733 = or(_T_3729, _T_3732) @[lsu_bus_buffer.scala 444:132] - node _T_3734 = and(_T_3724, _T_3733) @[lsu_bus_buffer.scala 444:63] - node _T_3735 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 444:206] - node _T_3736 = and(ibuf_drain_vld, _T_3735) @[lsu_bus_buffer.scala 444:201] - node _T_3737 = or(_T_3734, _T_3736) @[lsu_bus_buffer.scala 444:183] - buf_state_en[1] <= _T_3737 @[lsu_bus_buffer.scala 444:25] - buf_wr_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 445:22] - buf_data_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 446:24] - node _T_3738 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 447:52] - node _T_3739 = and(ibuf_drain_vld, _T_3738) @[lsu_bus_buffer.scala 447:47] - node _T_3740 = bits(_T_3739, 0, 0) @[lsu_bus_buffer.scala 447:73] - node _T_3741 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 447:90] - node _T_3742 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 447:114] - node _T_3743 = mux(_T_3740, _T_3741, _T_3742) @[lsu_bus_buffer.scala 447:30] - buf_data_in[1] <= _T_3743 @[lsu_bus_buffer.scala 447:24] + node _T_3722 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] + node _T_3723 = mux(_T_3722, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 442:31] + buf_nxtstate[1] <= _T_3723 @[lsu_bus_buffer.scala 442:25] + node _T_3724 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 443:45] + node _T_3725 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:77] + node _T_3726 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 443:97] + node _T_3727 = and(_T_3725, _T_3726) @[lsu_bus_buffer.scala 443:95] + node _T_3728 = eq(UInt<1>("h01"), WrPtr0_r) @[lsu_bus_buffer.scala 443:117] + node _T_3729 = and(_T_3727, _T_3728) @[lsu_bus_buffer.scala 443:112] + node _T_3730 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:144] + node _T_3731 = eq(UInt<1>("h01"), WrPtr1_r) @[lsu_bus_buffer.scala 443:166] + node _T_3732 = and(_T_3730, _T_3731) @[lsu_bus_buffer.scala 443:161] + node _T_3733 = or(_T_3729, _T_3732) @[lsu_bus_buffer.scala 443:132] + node _T_3734 = and(_T_3724, _T_3733) @[lsu_bus_buffer.scala 443:63] + node _T_3735 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 443:206] + node _T_3736 = and(ibuf_drain_vld, _T_3735) @[lsu_bus_buffer.scala 443:201] + node _T_3737 = or(_T_3734, _T_3736) @[lsu_bus_buffer.scala 443:183] + buf_state_en[1] <= _T_3737 @[lsu_bus_buffer.scala 443:25] + buf_wr_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 444:22] + buf_data_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 445:24] + node _T_3738 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 446:52] + node _T_3739 = and(ibuf_drain_vld, _T_3738) @[lsu_bus_buffer.scala 446:47] + node _T_3740 = bits(_T_3739, 0, 0) @[lsu_bus_buffer.scala 446:73] + node _T_3741 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 446:90] + node _T_3742 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 446:114] + node _T_3743 = mux(_T_3740, _T_3741, _T_3742) @[lsu_bus_buffer.scala 446:30] + buf_data_in[1] <= _T_3743 @[lsu_bus_buffer.scala 446:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3744 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] when _T_3744 : @[Conditional.scala 39:67] - node _T_3745 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] - node _T_3746 = mux(_T_3745, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] - buf_nxtstate[1] <= _T_3746 @[lsu_bus_buffer.scala 450:25] - node _T_3747 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] - buf_state_en[1] <= _T_3747 @[lsu_bus_buffer.scala 451:25] + node _T_3745 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 449:60] + node _T_3746 = mux(_T_3745, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 449:31] + buf_nxtstate[1] <= _T_3746 @[lsu_bus_buffer.scala 449:25] + node _T_3747 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 450:46] + buf_state_en[1] <= _T_3747 @[lsu_bus_buffer.scala 450:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3748 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] when _T_3748 : @[Conditional.scala 39:67] - node _T_3749 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 454:60] - node _T_3750 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 454:89] - node _T_3751 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 454:124] - node _T_3752 = and(_T_3750, _T_3751) @[lsu_bus_buffer.scala 454:104] - node _T_3753 = mux(_T_3752, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 454:75] - node _T_3754 = mux(_T_3749, UInt<3>("h00"), _T_3753) @[lsu_bus_buffer.scala 454:31] - buf_nxtstate[1] <= _T_3754 @[lsu_bus_buffer.scala 454:25] - node _T_3755 = eq(obuf_tag0, UInt<3>("h01")) @[lsu_bus_buffer.scala 455:48] - node _T_3756 = eq(obuf_tag1, UInt<3>("h01")) @[lsu_bus_buffer.scala 455:104] - node _T_3757 = and(obuf_merge, _T_3756) @[lsu_bus_buffer.scala 455:91] - node _T_3758 = or(_T_3755, _T_3757) @[lsu_bus_buffer.scala 455:77] - node _T_3759 = and(_T_3758, obuf_valid) @[lsu_bus_buffer.scala 455:135] - node _T_3760 = and(_T_3759, obuf_wr_enQ) @[lsu_bus_buffer.scala 455:148] - buf_cmd_state_bus_en[1] <= _T_3760 @[lsu_bus_buffer.scala 455:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[lsu_bus_buffer.scala 456:29] - node _T_3761 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 457:49] - node _T_3762 = or(_T_3761, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 457:70] - buf_state_en[1] <= _T_3762 @[lsu_bus_buffer.scala 457:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 458:25] - node _T_3763 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 459:56] - node _T_3764 = eq(_T_3763, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:46] - node _T_3765 = and(buf_state_en[1], _T_3764) @[lsu_bus_buffer.scala 459:44] - node _T_3766 = and(_T_3765, obuf_nosend) @[lsu_bus_buffer.scala 459:60] - node _T_3767 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:76] - node _T_3768 = and(_T_3766, _T_3767) @[lsu_bus_buffer.scala 459:74] - buf_ldfwd_en[1] <= _T_3768 @[lsu_bus_buffer.scala 459:25] - node _T_3769 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 460:46] - buf_ldfwdtag_in[1] <= _T_3769 @[lsu_bus_buffer.scala 460:28] - node _T_3770 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:47] - node _T_3771 = and(_T_3770, obuf_nosend) @[lsu_bus_buffer.scala 461:67] - node _T_3772 = and(_T_3771, bus_rsp_read) @[lsu_bus_buffer.scala 461:81] - buf_data_en[1] <= _T_3772 @[lsu_bus_buffer.scala 461:24] - node _T_3773 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:48] - node _T_3774 = and(_T_3773, obuf_nosend) @[lsu_bus_buffer.scala 462:68] - node _T_3775 = and(_T_3774, bus_rsp_read_error) @[lsu_bus_buffer.scala 462:82] - buf_error_en[1] <= _T_3775 @[lsu_bus_buffer.scala 462:25] - node _T_3776 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:61] - node _T_3777 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 463:85] - node _T_3778 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 463:103] - node _T_3779 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:126] - node _T_3780 = mux(_T_3777, _T_3778, _T_3779) @[lsu_bus_buffer.scala 463:73] - node _T_3781 = mux(buf_error_en[1], _T_3776, _T_3780) @[lsu_bus_buffer.scala 463:30] - buf_data_in[1] <= _T_3781 @[lsu_bus_buffer.scala 463:24] + node _T_3749 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_3750 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 453:89] + node _T_3751 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 453:124] + node _T_3752 = and(_T_3750, _T_3751) @[lsu_bus_buffer.scala 453:104] + node _T_3753 = mux(_T_3752, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 453:75] + node _T_3754 = mux(_T_3749, UInt<3>("h00"), _T_3753) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[1] <= _T_3754 @[lsu_bus_buffer.scala 453:25] + node _T_3755 = eq(obuf_tag0, UInt<3>("h01")) @[lsu_bus_buffer.scala 454:48] + node _T_3756 = eq(obuf_tag1, UInt<3>("h01")) @[lsu_bus_buffer.scala 454:104] + node _T_3757 = and(obuf_merge, _T_3756) @[lsu_bus_buffer.scala 454:91] + node _T_3758 = or(_T_3755, _T_3757) @[lsu_bus_buffer.scala 454:77] + node _T_3759 = and(_T_3758, obuf_valid) @[lsu_bus_buffer.scala 454:135] + node _T_3760 = and(_T_3759, obuf_wr_enQ) @[lsu_bus_buffer.scala 454:148] + buf_cmd_state_bus_en[1] <= _T_3760 @[lsu_bus_buffer.scala 454:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[lsu_bus_buffer.scala 455:29] + node _T_3761 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 456:49] + node _T_3762 = or(_T_3761, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:70] + buf_state_en[1] <= _T_3762 @[lsu_bus_buffer.scala 456:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 457:25] + node _T_3763 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 458:56] + node _T_3764 = eq(_T_3763, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:46] + node _T_3765 = and(buf_state_en[1], _T_3764) @[lsu_bus_buffer.scala 458:44] + node _T_3766 = and(_T_3765, obuf_nosend) @[lsu_bus_buffer.scala 458:60] + node _T_3767 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:76] + node _T_3768 = and(_T_3766, _T_3767) @[lsu_bus_buffer.scala 458:74] + buf_ldfwd_en[1] <= _T_3768 @[lsu_bus_buffer.scala 458:25] + node _T_3769 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 459:46] + buf_ldfwdtag_in[1] <= _T_3769 @[lsu_bus_buffer.scala 459:28] + node _T_3770 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:47] + node _T_3771 = and(_T_3770, obuf_nosend) @[lsu_bus_buffer.scala 460:67] + node _T_3772 = and(_T_3771, bus_rsp_read) @[lsu_bus_buffer.scala 460:81] + buf_data_en[1] <= _T_3772 @[lsu_bus_buffer.scala 460:24] + node _T_3773 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:48] + node _T_3774 = and(_T_3773, obuf_nosend) @[lsu_bus_buffer.scala 461:68] + node _T_3775 = and(_T_3774, bus_rsp_read_error) @[lsu_bus_buffer.scala 461:82] + buf_error_en[1] <= _T_3775 @[lsu_bus_buffer.scala 461:25] + node _T_3776 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:61] + node _T_3777 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 462:85] + node _T_3778 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 462:103] + node _T_3779 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:126] + node _T_3780 = mux(_T_3777, _T_3778, _T_3779) @[lsu_bus_buffer.scala 462:73] + node _T_3781 = mux(buf_error_en[1], _T_3776, _T_3780) @[lsu_bus_buffer.scala 462:30] + buf_data_in[1] <= _T_3781 @[lsu_bus_buffer.scala 462:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3782 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] when _T_3782 : @[Conditional.scala 39:67] - node _T_3783 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 466:67] - node _T_3784 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 466:94] - node _T_3785 = eq(_T_3784, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:73] - node _T_3786 = and(_T_3783, _T_3785) @[lsu_bus_buffer.scala 466:71] - node _T_3787 = or(io.dec_tlu_force_halt, _T_3786) @[lsu_bus_buffer.scala 466:55] - node _T_3788 = bits(_T_3787, 0, 0) @[lsu_bus_buffer.scala 466:125] - node _T_3789 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:30] - node _T_3790 = and(buf_dual[1], _T_3789) @[lsu_bus_buffer.scala 467:28] - node _T_3791 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 467:57] - node _T_3792 = eq(_T_3791, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:47] - node _T_3793 = and(_T_3790, _T_3792) @[lsu_bus_buffer.scala 467:45] - node _T_3794 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:90] - node _T_3795 = and(_T_3793, _T_3794) @[lsu_bus_buffer.scala 467:61] - node _T_3796 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 468:27] - node _T_3797 = or(_T_3796, any_done_wait_state) @[lsu_bus_buffer.scala 468:31] - node _T_3798 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:70] - node _T_3799 = and(buf_dual[1], _T_3798) @[lsu_bus_buffer.scala 468:68] - node _T_3800 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 468:97] - node _T_3801 = eq(_T_3800, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:87] - node _T_3802 = and(_T_3799, _T_3801) @[lsu_bus_buffer.scala 468:85] + node _T_3783 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 465:67] + node _T_3784 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 465:94] + node _T_3785 = eq(_T_3784, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:73] + node _T_3786 = and(_T_3783, _T_3785) @[lsu_bus_buffer.scala 465:71] + node _T_3787 = or(io.dec_tlu_force_halt, _T_3786) @[lsu_bus_buffer.scala 465:55] + node _T_3788 = bits(_T_3787, 0, 0) @[lsu_bus_buffer.scala 465:125] + node _T_3789 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 466:30] + node _T_3790 = and(buf_dual[1], _T_3789) @[lsu_bus_buffer.scala 466:28] + node _T_3791 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 466:57] + node _T_3792 = eq(_T_3791, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:47] + node _T_3793 = and(_T_3790, _T_3792) @[lsu_bus_buffer.scala 466:45] + node _T_3794 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 466:90] + node _T_3795 = and(_T_3793, _T_3794) @[lsu_bus_buffer.scala 466:61] + node _T_3796 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 467:27] + node _T_3797 = or(_T_3796, any_done_wait_state) @[lsu_bus_buffer.scala 467:31] + node _T_3798 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:70] + node _T_3799 = and(buf_dual[1], _T_3798) @[lsu_bus_buffer.scala 467:68] + node _T_3800 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 467:97] + node _T_3801 = eq(_T_3800, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87] + node _T_3802 = and(_T_3799, _T_3801) @[lsu_bus_buffer.scala 467:85] node _T_3803 = eq(buf_dualtag[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] node _T_3804 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] node _T_3805 = eq(buf_dualtag[1], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] @@ -99451,265 +99499,265 @@ circuit quasar_wrapper : node _T_3817 = or(_T_3816, _T_3814) @[Mux.scala 27:72] wire _T_3818 : UInt<1> @[Mux.scala 27:72] _T_3818 <= _T_3817 @[Mux.scala 27:72] - node _T_3819 = and(_T_3802, _T_3818) @[lsu_bus_buffer.scala 468:101] - node _T_3820 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:167] - node _T_3821 = and(_T_3819, _T_3820) @[lsu_bus_buffer.scala 468:138] - node _T_3822 = and(_T_3821, any_done_wait_state) @[lsu_bus_buffer.scala 468:187] - node _T_3823 = or(_T_3797, _T_3822) @[lsu_bus_buffer.scala 468:53] - node _T_3824 = mux(_T_3823, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 468:16] - node _T_3825 = mux(_T_3795, UInt<3>("h04"), _T_3824) @[lsu_bus_buffer.scala 467:14] - node _T_3826 = mux(_T_3788, UInt<3>("h00"), _T_3825) @[lsu_bus_buffer.scala 466:31] - buf_nxtstate[1] <= _T_3826 @[lsu_bus_buffer.scala 466:25] - node _T_3827 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 469:73] - node _T_3828 = and(bus_rsp_write, _T_3827) @[lsu_bus_buffer.scala 469:52] - node _T_3829 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 470:46] - node _T_3830 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 471:23] - node _T_3831 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 471:47] - node _T_3832 = and(_T_3830, _T_3831) @[lsu_bus_buffer.scala 471:27] - node _T_3833 = or(_T_3829, _T_3832) @[lsu_bus_buffer.scala 470:77] - node _T_3834 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 472:26] - node _T_3835 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 472:54] - node _T_3836 = not(_T_3835) @[lsu_bus_buffer.scala 472:44] - node _T_3837 = and(_T_3834, _T_3836) @[lsu_bus_buffer.scala 472:42] - node _T_3838 = and(_T_3837, buf_samedw[1]) @[lsu_bus_buffer.scala 472:58] - node _T_3839 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 472:94] - node _T_3840 = and(_T_3838, _T_3839) @[lsu_bus_buffer.scala 472:74] - node _T_3841 = or(_T_3833, _T_3840) @[lsu_bus_buffer.scala 471:71] - node _T_3842 = and(bus_rsp_read, _T_3841) @[lsu_bus_buffer.scala 470:25] - node _T_3843 = or(_T_3828, _T_3842) @[lsu_bus_buffer.scala 469:105] - buf_resp_state_bus_en[1] <= _T_3843 @[lsu_bus_buffer.scala 469:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[lsu_bus_buffer.scala 473:29] - node _T_3844 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:49] - node _T_3845 = or(_T_3844, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 474:70] - buf_state_en[1] <= _T_3845 @[lsu_bus_buffer.scala 474:25] - node _T_3846 = and(buf_state_bus_en[1], bus_rsp_read) @[lsu_bus_buffer.scala 475:47] - node _T_3847 = and(_T_3846, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:62] - buf_data_en[1] <= _T_3847 @[lsu_bus_buffer.scala 475:24] - node _T_3848 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:48] - node _T_3849 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 476:111] - node _T_3850 = and(bus_rsp_read_error, _T_3849) @[lsu_bus_buffer.scala 476:91] - node _T_3851 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 477:42] - node _T_3852 = and(bus_rsp_read_error, _T_3851) @[lsu_bus_buffer.scala 477:31] - node _T_3853 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 477:66] - node _T_3854 = and(_T_3852, _T_3853) @[lsu_bus_buffer.scala 477:46] - node _T_3855 = or(_T_3850, _T_3854) @[lsu_bus_buffer.scala 476:143] - node _T_3856 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 478:32] - node _T_3857 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 478:74] - node _T_3858 = and(_T_3856, _T_3857) @[lsu_bus_buffer.scala 478:53] - node _T_3859 = or(_T_3855, _T_3858) @[lsu_bus_buffer.scala 477:88] - node _T_3860 = and(_T_3848, _T_3859) @[lsu_bus_buffer.scala 476:68] - buf_error_en[1] <= _T_3860 @[lsu_bus_buffer.scala 476:25] - node _T_3861 = eq(buf_error_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 479:50] - node _T_3862 = and(buf_state_en[1], _T_3861) @[lsu_bus_buffer.scala 479:48] - node _T_3863 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 479:84] - node _T_3864 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 479:102] - node _T_3865 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:125] - node _T_3866 = mux(_T_3863, _T_3864, _T_3865) @[lsu_bus_buffer.scala 479:72] - node _T_3867 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:148] - node _T_3868 = mux(_T_3862, _T_3866, _T_3867) @[lsu_bus_buffer.scala 479:30] - buf_data_in[1] <= _T_3868 @[lsu_bus_buffer.scala 479:24] + node _T_3819 = and(_T_3802, _T_3818) @[lsu_bus_buffer.scala 467:101] + node _T_3820 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:167] + node _T_3821 = and(_T_3819, _T_3820) @[lsu_bus_buffer.scala 467:138] + node _T_3822 = and(_T_3821, any_done_wait_state) @[lsu_bus_buffer.scala 467:187] + node _T_3823 = or(_T_3797, _T_3822) @[lsu_bus_buffer.scala 467:53] + node _T_3824 = mux(_T_3823, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 467:16] + node _T_3825 = mux(_T_3795, UInt<3>("h04"), _T_3824) @[lsu_bus_buffer.scala 466:14] + node _T_3826 = mux(_T_3788, UInt<3>("h00"), _T_3825) @[lsu_bus_buffer.scala 465:31] + buf_nxtstate[1] <= _T_3826 @[lsu_bus_buffer.scala 465:25] + node _T_3827 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 468:73] + node _T_3828 = and(bus_rsp_write, _T_3827) @[lsu_bus_buffer.scala 468:52] + node _T_3829 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 469:46] + node _T_3830 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 470:23] + node _T_3831 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 470:47] + node _T_3832 = and(_T_3830, _T_3831) @[lsu_bus_buffer.scala 470:27] + node _T_3833 = or(_T_3829, _T_3832) @[lsu_bus_buffer.scala 469:77] + node _T_3834 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 471:26] + node _T_3835 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 471:54] + node _T_3836 = not(_T_3835) @[lsu_bus_buffer.scala 471:44] + node _T_3837 = and(_T_3834, _T_3836) @[lsu_bus_buffer.scala 471:42] + node _T_3838 = and(_T_3837, buf_samedw[1]) @[lsu_bus_buffer.scala 471:58] + node _T_3839 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 471:94] + node _T_3840 = and(_T_3838, _T_3839) @[lsu_bus_buffer.scala 471:74] + node _T_3841 = or(_T_3833, _T_3840) @[lsu_bus_buffer.scala 470:71] + node _T_3842 = and(bus_rsp_read, _T_3841) @[lsu_bus_buffer.scala 469:25] + node _T_3843 = or(_T_3828, _T_3842) @[lsu_bus_buffer.scala 468:105] + buf_resp_state_bus_en[1] <= _T_3843 @[lsu_bus_buffer.scala 468:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[lsu_bus_buffer.scala 472:29] + node _T_3844 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 473:49] + node _T_3845 = or(_T_3844, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 473:70] + buf_state_en[1] <= _T_3845 @[lsu_bus_buffer.scala 473:25] + node _T_3846 = and(buf_state_bus_en[1], bus_rsp_read) @[lsu_bus_buffer.scala 474:47] + node _T_3847 = and(_T_3846, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:62] + buf_data_en[1] <= _T_3847 @[lsu_bus_buffer.scala 474:24] + node _T_3848 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:48] + node _T_3849 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 475:111] + node _T_3850 = and(bus_rsp_read_error, _T_3849) @[lsu_bus_buffer.scala 475:91] + node _T_3851 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 476:42] + node _T_3852 = and(bus_rsp_read_error, _T_3851) @[lsu_bus_buffer.scala 476:31] + node _T_3853 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 476:66] + node _T_3854 = and(_T_3852, _T_3853) @[lsu_bus_buffer.scala 476:46] + node _T_3855 = or(_T_3850, _T_3854) @[lsu_bus_buffer.scala 475:143] + node _T_3856 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 477:32] + node _T_3857 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 477:74] + node _T_3858 = and(_T_3856, _T_3857) @[lsu_bus_buffer.scala 477:53] + node _T_3859 = or(_T_3855, _T_3858) @[lsu_bus_buffer.scala 476:88] + node _T_3860 = and(_T_3848, _T_3859) @[lsu_bus_buffer.scala 475:68] + buf_error_en[1] <= _T_3860 @[lsu_bus_buffer.scala 475:25] + node _T_3861 = eq(buf_error_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 478:50] + node _T_3862 = and(buf_state_en[1], _T_3861) @[lsu_bus_buffer.scala 478:48] + node _T_3863 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 478:84] + node _T_3864 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 478:102] + node _T_3865 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:125] + node _T_3866 = mux(_T_3863, _T_3864, _T_3865) @[lsu_bus_buffer.scala 478:72] + node _T_3867 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:148] + node _T_3868 = mux(_T_3862, _T_3866, _T_3867) @[lsu_bus_buffer.scala 478:30] + buf_data_in[1] <= _T_3868 @[lsu_bus_buffer.scala 478:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3869 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] when _T_3869 : @[Conditional.scala 39:67] - node _T_3870 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 482:60] - node _T_3871 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 482:86] - node _T_3872 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 482:101] - node _T_3873 = bits(_T_3872, 0, 0) @[lsu_bus_buffer.scala 482:101] - node _T_3874 = or(_T_3871, _T_3873) @[lsu_bus_buffer.scala 482:90] - node _T_3875 = or(_T_3874, any_done_wait_state) @[lsu_bus_buffer.scala 482:118] - node _T_3876 = mux(_T_3875, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 482:75] - node _T_3877 = mux(_T_3870, UInt<3>("h00"), _T_3876) @[lsu_bus_buffer.scala 482:31] - buf_nxtstate[1] <= _T_3877 @[lsu_bus_buffer.scala 482:25] - node _T_3878 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 483:66] - node _T_3879 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 484:21] - node _T_3880 = bits(_T_3879, 0, 0) @[lsu_bus_buffer.scala 484:21] - node _T_3881 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[lsu_bus_buffer.scala 484:58] - node _T_3882 = and(_T_3880, _T_3881) @[lsu_bus_buffer.scala 484:38] - node _T_3883 = or(_T_3878, _T_3882) @[lsu_bus_buffer.scala 483:95] - node _T_3884 = and(bus_rsp_read, _T_3883) @[lsu_bus_buffer.scala 483:45] - buf_state_bus_en[1] <= _T_3884 @[lsu_bus_buffer.scala 483:29] - node _T_3885 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 485:49] - node _T_3886 = or(_T_3885, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 485:70] - buf_state_en[1] <= _T_3886 @[lsu_bus_buffer.scala 485:25] + node _T_3870 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 481:60] + node _T_3871 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 481:86] + node _T_3872 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 481:101] + node _T_3873 = bits(_T_3872, 0, 0) @[lsu_bus_buffer.scala 481:101] + node _T_3874 = or(_T_3871, _T_3873) @[lsu_bus_buffer.scala 481:90] + node _T_3875 = or(_T_3874, any_done_wait_state) @[lsu_bus_buffer.scala 481:118] + node _T_3876 = mux(_T_3875, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 481:75] + node _T_3877 = mux(_T_3870, UInt<3>("h00"), _T_3876) @[lsu_bus_buffer.scala 481:31] + buf_nxtstate[1] <= _T_3877 @[lsu_bus_buffer.scala 481:25] + node _T_3878 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 482:66] + node _T_3879 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 483:21] + node _T_3880 = bits(_T_3879, 0, 0) @[lsu_bus_buffer.scala 483:21] + node _T_3881 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[lsu_bus_buffer.scala 483:58] + node _T_3882 = and(_T_3880, _T_3881) @[lsu_bus_buffer.scala 483:38] + node _T_3883 = or(_T_3878, _T_3882) @[lsu_bus_buffer.scala 482:95] + node _T_3884 = and(bus_rsp_read, _T_3883) @[lsu_bus_buffer.scala 482:45] + buf_state_bus_en[1] <= _T_3884 @[lsu_bus_buffer.scala 482:29] + node _T_3885 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 484:49] + node _T_3886 = or(_T_3885, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 484:70] + buf_state_en[1] <= _T_3886 @[lsu_bus_buffer.scala 484:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3887 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] when _T_3887 : @[Conditional.scala 39:67] - node _T_3888 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 488:60] - node _T_3889 = mux(_T_3888, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 488:31] - buf_nxtstate[1] <= _T_3889 @[lsu_bus_buffer.scala 488:25] - node _T_3890 = eq(RspPtr, UInt<2>("h01")) @[lsu_bus_buffer.scala 489:37] - node _T_3891 = eq(buf_dualtag[1], RspPtr) @[lsu_bus_buffer.scala 489:98] - node _T_3892 = and(buf_dual[1], _T_3891) @[lsu_bus_buffer.scala 489:80] - node _T_3893 = or(_T_3890, _T_3892) @[lsu_bus_buffer.scala 489:65] - node _T_3894 = or(_T_3893, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 489:112] - buf_state_en[1] <= _T_3894 @[lsu_bus_buffer.scala 489:25] + node _T_3888 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_3889 = mux(_T_3888, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[1] <= _T_3889 @[lsu_bus_buffer.scala 487:25] + node _T_3890 = eq(RspPtr, UInt<2>("h01")) @[lsu_bus_buffer.scala 488:37] + node _T_3891 = eq(buf_dualtag[1], RspPtr) @[lsu_bus_buffer.scala 488:98] + node _T_3892 = and(buf_dual[1], _T_3891) @[lsu_bus_buffer.scala 488:80] + node _T_3893 = or(_T_3890, _T_3892) @[lsu_bus_buffer.scala 488:65] + node _T_3894 = or(_T_3893, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 488:112] + buf_state_en[1] <= _T_3894 @[lsu_bus_buffer.scala 488:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3895 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] when _T_3895 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[lsu_bus_buffer.scala 492:25] - buf_rst[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:20] - buf_state_en[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 494:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 495:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 496:25] + buf_nxtstate[1] <= UInt<3>("h00") @[lsu_bus_buffer.scala 491:25] + buf_rst[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 492:20] + buf_state_en[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 495:25] skip @[Conditional.scala 39:67] - node _T_3896 = bits(buf_state_en[1], 0, 0) @[lsu_bus_buffer.scala 499:108] + node _T_3896 = bits(buf_state_en[1], 0, 0) @[lsu_bus_buffer.scala 498:108] reg _T_3897 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3896 : @[Reg.scala 28:19] _T_3897 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3897 @[lsu_bus_buffer.scala 499:18] - reg _T_3898 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:60] - _T_3898 <= buf_age_in_1 @[lsu_bus_buffer.scala 500:60] - buf_ageQ[1] <= _T_3898 @[lsu_bus_buffer.scala 500:17] - reg _T_3899 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 501:63] - _T_3899 <= buf_rspage_in[1] @[lsu_bus_buffer.scala 501:63] - buf_rspageQ[1] <= _T_3899 @[lsu_bus_buffer.scala 501:20] - node _T_3900 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 502:109] + buf_state[1] <= _T_3897 @[lsu_bus_buffer.scala 498:18] + reg _T_3898 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 499:60] + _T_3898 <= buf_age_in_1 @[lsu_bus_buffer.scala 499:60] + buf_ageQ[1] <= _T_3898 @[lsu_bus_buffer.scala 499:17] + reg _T_3899 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:63] + _T_3899 <= buf_rspage_in[1] @[lsu_bus_buffer.scala 500:63] + buf_rspageQ[1] <= _T_3899 @[lsu_bus_buffer.scala 500:20] + node _T_3900 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 501:109] reg _T_3901 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3900 : @[Reg.scala 28:19] _T_3901 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3901 @[lsu_bus_buffer.scala 502:20] - node _T_3902 = bits(buf_dual_in, 1, 1) @[lsu_bus_buffer.scala 503:74] - node _T_3903 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 503:107] + buf_dualtag[1] <= _T_3901 @[lsu_bus_buffer.scala 501:20] + node _T_3902 = bits(buf_dual_in, 1, 1) @[lsu_bus_buffer.scala 502:74] + node _T_3903 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 502:107] reg _T_3904 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3903 : @[Reg.scala 28:19] _T_3904 <= _T_3902 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3904 @[lsu_bus_buffer.scala 503:17] - node _T_3905 = bits(buf_samedw_in, 1, 1) @[lsu_bus_buffer.scala 504:78] - node _T_3906 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 504:111] + buf_dual[1] <= _T_3904 @[lsu_bus_buffer.scala 502:17] + node _T_3905 = bits(buf_samedw_in, 1, 1) @[lsu_bus_buffer.scala 503:78] + node _T_3906 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 503:111] reg _T_3907 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3906 : @[Reg.scala 28:19] _T_3907 <= _T_3905 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3907 @[lsu_bus_buffer.scala 504:19] - node _T_3908 = bits(buf_nomerge_in, 1, 1) @[lsu_bus_buffer.scala 505:80] - node _T_3909 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 505:113] + buf_samedw[1] <= _T_3907 @[lsu_bus_buffer.scala 503:19] + node _T_3908 = bits(buf_nomerge_in, 1, 1) @[lsu_bus_buffer.scala 504:80] + node _T_3909 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 504:113] reg _T_3910 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3909 : @[Reg.scala 28:19] _T_3910 <= _T_3908 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3910 @[lsu_bus_buffer.scala 505:20] - node _T_3911 = bits(buf_dualhi_in, 1, 1) @[lsu_bus_buffer.scala 506:78] - node _T_3912 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 506:111] + buf_nomerge[1] <= _T_3910 @[lsu_bus_buffer.scala 504:20] + node _T_3911 = bits(buf_dualhi_in, 1, 1) @[lsu_bus_buffer.scala 505:78] + node _T_3912 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 505:111] reg _T_3913 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3912 : @[Reg.scala 28:19] _T_3913 <= _T_3911 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3913 @[lsu_bus_buffer.scala 506:19] + buf_dualhi[1] <= _T_3913 @[lsu_bus_buffer.scala 505:19] node _T_3914 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] when _T_3914 : @[Conditional.scala 40:58] - node _T_3915 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 443:56] - node _T_3916 = mux(_T_3915, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 443:31] - buf_nxtstate[2] <= _T_3916 @[lsu_bus_buffer.scala 443:25] - node _T_3917 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 444:45] - node _T_3918 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:77] - node _T_3919 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 444:97] - node _T_3920 = and(_T_3918, _T_3919) @[lsu_bus_buffer.scala 444:95] - node _T_3921 = eq(UInt<2>("h02"), WrPtr0_r) @[lsu_bus_buffer.scala 444:117] - node _T_3922 = and(_T_3920, _T_3921) @[lsu_bus_buffer.scala 444:112] - node _T_3923 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:144] - node _T_3924 = eq(UInt<2>("h02"), WrPtr1_r) @[lsu_bus_buffer.scala 444:166] - node _T_3925 = and(_T_3923, _T_3924) @[lsu_bus_buffer.scala 444:161] - node _T_3926 = or(_T_3922, _T_3925) @[lsu_bus_buffer.scala 444:132] - node _T_3927 = and(_T_3917, _T_3926) @[lsu_bus_buffer.scala 444:63] - node _T_3928 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 444:206] - node _T_3929 = and(ibuf_drain_vld, _T_3928) @[lsu_bus_buffer.scala 444:201] - node _T_3930 = or(_T_3927, _T_3929) @[lsu_bus_buffer.scala 444:183] - buf_state_en[2] <= _T_3930 @[lsu_bus_buffer.scala 444:25] - buf_wr_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 445:22] - buf_data_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 446:24] - node _T_3931 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 447:52] - node _T_3932 = and(ibuf_drain_vld, _T_3931) @[lsu_bus_buffer.scala 447:47] - node _T_3933 = bits(_T_3932, 0, 0) @[lsu_bus_buffer.scala 447:73] - node _T_3934 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 447:90] - node _T_3935 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 447:114] - node _T_3936 = mux(_T_3933, _T_3934, _T_3935) @[lsu_bus_buffer.scala 447:30] - buf_data_in[2] <= _T_3936 @[lsu_bus_buffer.scala 447:24] + node _T_3915 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] + node _T_3916 = mux(_T_3915, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 442:31] + buf_nxtstate[2] <= _T_3916 @[lsu_bus_buffer.scala 442:25] + node _T_3917 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 443:45] + node _T_3918 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:77] + node _T_3919 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 443:97] + node _T_3920 = and(_T_3918, _T_3919) @[lsu_bus_buffer.scala 443:95] + node _T_3921 = eq(UInt<2>("h02"), WrPtr0_r) @[lsu_bus_buffer.scala 443:117] + node _T_3922 = and(_T_3920, _T_3921) @[lsu_bus_buffer.scala 443:112] + node _T_3923 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:144] + node _T_3924 = eq(UInt<2>("h02"), WrPtr1_r) @[lsu_bus_buffer.scala 443:166] + node _T_3925 = and(_T_3923, _T_3924) @[lsu_bus_buffer.scala 443:161] + node _T_3926 = or(_T_3922, _T_3925) @[lsu_bus_buffer.scala 443:132] + node _T_3927 = and(_T_3917, _T_3926) @[lsu_bus_buffer.scala 443:63] + node _T_3928 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 443:206] + node _T_3929 = and(ibuf_drain_vld, _T_3928) @[lsu_bus_buffer.scala 443:201] + node _T_3930 = or(_T_3927, _T_3929) @[lsu_bus_buffer.scala 443:183] + buf_state_en[2] <= _T_3930 @[lsu_bus_buffer.scala 443:25] + buf_wr_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 444:22] + buf_data_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 445:24] + node _T_3931 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 446:52] + node _T_3932 = and(ibuf_drain_vld, _T_3931) @[lsu_bus_buffer.scala 446:47] + node _T_3933 = bits(_T_3932, 0, 0) @[lsu_bus_buffer.scala 446:73] + node _T_3934 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 446:90] + node _T_3935 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 446:114] + node _T_3936 = mux(_T_3933, _T_3934, _T_3935) @[lsu_bus_buffer.scala 446:30] + buf_data_in[2] <= _T_3936 @[lsu_bus_buffer.scala 446:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3937 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] when _T_3937 : @[Conditional.scala 39:67] - node _T_3938 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] - node _T_3939 = mux(_T_3938, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] - buf_nxtstate[2] <= _T_3939 @[lsu_bus_buffer.scala 450:25] - node _T_3940 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] - buf_state_en[2] <= _T_3940 @[lsu_bus_buffer.scala 451:25] + node _T_3938 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 449:60] + node _T_3939 = mux(_T_3938, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 449:31] + buf_nxtstate[2] <= _T_3939 @[lsu_bus_buffer.scala 449:25] + node _T_3940 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 450:46] + buf_state_en[2] <= _T_3940 @[lsu_bus_buffer.scala 450:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3941 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] when _T_3941 : @[Conditional.scala 39:67] - node _T_3942 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 454:60] - node _T_3943 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 454:89] - node _T_3944 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 454:124] - node _T_3945 = and(_T_3943, _T_3944) @[lsu_bus_buffer.scala 454:104] - node _T_3946 = mux(_T_3945, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 454:75] - node _T_3947 = mux(_T_3942, UInt<3>("h00"), _T_3946) @[lsu_bus_buffer.scala 454:31] - buf_nxtstate[2] <= _T_3947 @[lsu_bus_buffer.scala 454:25] - node _T_3948 = eq(obuf_tag0, UInt<3>("h02")) @[lsu_bus_buffer.scala 455:48] - node _T_3949 = eq(obuf_tag1, UInt<3>("h02")) @[lsu_bus_buffer.scala 455:104] - node _T_3950 = and(obuf_merge, _T_3949) @[lsu_bus_buffer.scala 455:91] - node _T_3951 = or(_T_3948, _T_3950) @[lsu_bus_buffer.scala 455:77] - node _T_3952 = and(_T_3951, obuf_valid) @[lsu_bus_buffer.scala 455:135] - node _T_3953 = and(_T_3952, obuf_wr_enQ) @[lsu_bus_buffer.scala 455:148] - buf_cmd_state_bus_en[2] <= _T_3953 @[lsu_bus_buffer.scala 455:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[lsu_bus_buffer.scala 456:29] - node _T_3954 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 457:49] - node _T_3955 = or(_T_3954, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 457:70] - buf_state_en[2] <= _T_3955 @[lsu_bus_buffer.scala 457:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 458:25] - node _T_3956 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 459:56] - node _T_3957 = eq(_T_3956, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:46] - node _T_3958 = and(buf_state_en[2], _T_3957) @[lsu_bus_buffer.scala 459:44] - node _T_3959 = and(_T_3958, obuf_nosend) @[lsu_bus_buffer.scala 459:60] - node _T_3960 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:76] - node _T_3961 = and(_T_3959, _T_3960) @[lsu_bus_buffer.scala 459:74] - buf_ldfwd_en[2] <= _T_3961 @[lsu_bus_buffer.scala 459:25] - node _T_3962 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 460:46] - buf_ldfwdtag_in[2] <= _T_3962 @[lsu_bus_buffer.scala 460:28] - node _T_3963 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:47] - node _T_3964 = and(_T_3963, obuf_nosend) @[lsu_bus_buffer.scala 461:67] - node _T_3965 = and(_T_3964, bus_rsp_read) @[lsu_bus_buffer.scala 461:81] - buf_data_en[2] <= _T_3965 @[lsu_bus_buffer.scala 461:24] - node _T_3966 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:48] - node _T_3967 = and(_T_3966, obuf_nosend) @[lsu_bus_buffer.scala 462:68] - node _T_3968 = and(_T_3967, bus_rsp_read_error) @[lsu_bus_buffer.scala 462:82] - buf_error_en[2] <= _T_3968 @[lsu_bus_buffer.scala 462:25] - node _T_3969 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:61] - node _T_3970 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 463:85] - node _T_3971 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 463:103] - node _T_3972 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:126] - node _T_3973 = mux(_T_3970, _T_3971, _T_3972) @[lsu_bus_buffer.scala 463:73] - node _T_3974 = mux(buf_error_en[2], _T_3969, _T_3973) @[lsu_bus_buffer.scala 463:30] - buf_data_in[2] <= _T_3974 @[lsu_bus_buffer.scala 463:24] + node _T_3942 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_3943 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 453:89] + node _T_3944 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 453:124] + node _T_3945 = and(_T_3943, _T_3944) @[lsu_bus_buffer.scala 453:104] + node _T_3946 = mux(_T_3945, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 453:75] + node _T_3947 = mux(_T_3942, UInt<3>("h00"), _T_3946) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[2] <= _T_3947 @[lsu_bus_buffer.scala 453:25] + node _T_3948 = eq(obuf_tag0, UInt<3>("h02")) @[lsu_bus_buffer.scala 454:48] + node _T_3949 = eq(obuf_tag1, UInt<3>("h02")) @[lsu_bus_buffer.scala 454:104] + node _T_3950 = and(obuf_merge, _T_3949) @[lsu_bus_buffer.scala 454:91] + node _T_3951 = or(_T_3948, _T_3950) @[lsu_bus_buffer.scala 454:77] + node _T_3952 = and(_T_3951, obuf_valid) @[lsu_bus_buffer.scala 454:135] + node _T_3953 = and(_T_3952, obuf_wr_enQ) @[lsu_bus_buffer.scala 454:148] + buf_cmd_state_bus_en[2] <= _T_3953 @[lsu_bus_buffer.scala 454:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[lsu_bus_buffer.scala 455:29] + node _T_3954 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 456:49] + node _T_3955 = or(_T_3954, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:70] + buf_state_en[2] <= _T_3955 @[lsu_bus_buffer.scala 456:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 457:25] + node _T_3956 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 458:56] + node _T_3957 = eq(_T_3956, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:46] + node _T_3958 = and(buf_state_en[2], _T_3957) @[lsu_bus_buffer.scala 458:44] + node _T_3959 = and(_T_3958, obuf_nosend) @[lsu_bus_buffer.scala 458:60] + node _T_3960 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:76] + node _T_3961 = and(_T_3959, _T_3960) @[lsu_bus_buffer.scala 458:74] + buf_ldfwd_en[2] <= _T_3961 @[lsu_bus_buffer.scala 458:25] + node _T_3962 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 459:46] + buf_ldfwdtag_in[2] <= _T_3962 @[lsu_bus_buffer.scala 459:28] + node _T_3963 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:47] + node _T_3964 = and(_T_3963, obuf_nosend) @[lsu_bus_buffer.scala 460:67] + node _T_3965 = and(_T_3964, bus_rsp_read) @[lsu_bus_buffer.scala 460:81] + buf_data_en[2] <= _T_3965 @[lsu_bus_buffer.scala 460:24] + node _T_3966 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:48] + node _T_3967 = and(_T_3966, obuf_nosend) @[lsu_bus_buffer.scala 461:68] + node _T_3968 = and(_T_3967, bus_rsp_read_error) @[lsu_bus_buffer.scala 461:82] + buf_error_en[2] <= _T_3968 @[lsu_bus_buffer.scala 461:25] + node _T_3969 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:61] + node _T_3970 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 462:85] + node _T_3971 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 462:103] + node _T_3972 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:126] + node _T_3973 = mux(_T_3970, _T_3971, _T_3972) @[lsu_bus_buffer.scala 462:73] + node _T_3974 = mux(buf_error_en[2], _T_3969, _T_3973) @[lsu_bus_buffer.scala 462:30] + buf_data_in[2] <= _T_3974 @[lsu_bus_buffer.scala 462:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3975 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] when _T_3975 : @[Conditional.scala 39:67] - node _T_3976 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 466:67] - node _T_3977 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 466:94] - node _T_3978 = eq(_T_3977, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:73] - node _T_3979 = and(_T_3976, _T_3978) @[lsu_bus_buffer.scala 466:71] - node _T_3980 = or(io.dec_tlu_force_halt, _T_3979) @[lsu_bus_buffer.scala 466:55] - node _T_3981 = bits(_T_3980, 0, 0) @[lsu_bus_buffer.scala 466:125] - node _T_3982 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:30] - node _T_3983 = and(buf_dual[2], _T_3982) @[lsu_bus_buffer.scala 467:28] - node _T_3984 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 467:57] - node _T_3985 = eq(_T_3984, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:47] - node _T_3986 = and(_T_3983, _T_3985) @[lsu_bus_buffer.scala 467:45] - node _T_3987 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:90] - node _T_3988 = and(_T_3986, _T_3987) @[lsu_bus_buffer.scala 467:61] - node _T_3989 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 468:27] - node _T_3990 = or(_T_3989, any_done_wait_state) @[lsu_bus_buffer.scala 468:31] - node _T_3991 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:70] - node _T_3992 = and(buf_dual[2], _T_3991) @[lsu_bus_buffer.scala 468:68] - node _T_3993 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 468:97] - node _T_3994 = eq(_T_3993, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:87] - node _T_3995 = and(_T_3992, _T_3994) @[lsu_bus_buffer.scala 468:85] + node _T_3976 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 465:67] + node _T_3977 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 465:94] + node _T_3978 = eq(_T_3977, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:73] + node _T_3979 = and(_T_3976, _T_3978) @[lsu_bus_buffer.scala 465:71] + node _T_3980 = or(io.dec_tlu_force_halt, _T_3979) @[lsu_bus_buffer.scala 465:55] + node _T_3981 = bits(_T_3980, 0, 0) @[lsu_bus_buffer.scala 465:125] + node _T_3982 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 466:30] + node _T_3983 = and(buf_dual[2], _T_3982) @[lsu_bus_buffer.scala 466:28] + node _T_3984 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 466:57] + node _T_3985 = eq(_T_3984, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:47] + node _T_3986 = and(_T_3983, _T_3985) @[lsu_bus_buffer.scala 466:45] + node _T_3987 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 466:90] + node _T_3988 = and(_T_3986, _T_3987) @[lsu_bus_buffer.scala 466:61] + node _T_3989 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 467:27] + node _T_3990 = or(_T_3989, any_done_wait_state) @[lsu_bus_buffer.scala 467:31] + node _T_3991 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:70] + node _T_3992 = and(buf_dual[2], _T_3991) @[lsu_bus_buffer.scala 467:68] + node _T_3993 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 467:97] + node _T_3994 = eq(_T_3993, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87] + node _T_3995 = and(_T_3992, _T_3994) @[lsu_bus_buffer.scala 467:85] node _T_3996 = eq(buf_dualtag[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] node _T_3997 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] node _T_3998 = eq(buf_dualtag[2], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] @@ -99727,265 +99775,265 @@ circuit quasar_wrapper : node _T_4010 = or(_T_4009, _T_4007) @[Mux.scala 27:72] wire _T_4011 : UInt<1> @[Mux.scala 27:72] _T_4011 <= _T_4010 @[Mux.scala 27:72] - node _T_4012 = and(_T_3995, _T_4011) @[lsu_bus_buffer.scala 468:101] - node _T_4013 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:167] - node _T_4014 = and(_T_4012, _T_4013) @[lsu_bus_buffer.scala 468:138] - node _T_4015 = and(_T_4014, any_done_wait_state) @[lsu_bus_buffer.scala 468:187] - node _T_4016 = or(_T_3990, _T_4015) @[lsu_bus_buffer.scala 468:53] - node _T_4017 = mux(_T_4016, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 468:16] - node _T_4018 = mux(_T_3988, UInt<3>("h04"), _T_4017) @[lsu_bus_buffer.scala 467:14] - node _T_4019 = mux(_T_3981, UInt<3>("h00"), _T_4018) @[lsu_bus_buffer.scala 466:31] - buf_nxtstate[2] <= _T_4019 @[lsu_bus_buffer.scala 466:25] - node _T_4020 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 469:73] - node _T_4021 = and(bus_rsp_write, _T_4020) @[lsu_bus_buffer.scala 469:52] - node _T_4022 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 470:46] - node _T_4023 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 471:23] - node _T_4024 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 471:47] - node _T_4025 = and(_T_4023, _T_4024) @[lsu_bus_buffer.scala 471:27] - node _T_4026 = or(_T_4022, _T_4025) @[lsu_bus_buffer.scala 470:77] - node _T_4027 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 472:26] - node _T_4028 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 472:54] - node _T_4029 = not(_T_4028) @[lsu_bus_buffer.scala 472:44] - node _T_4030 = and(_T_4027, _T_4029) @[lsu_bus_buffer.scala 472:42] - node _T_4031 = and(_T_4030, buf_samedw[2]) @[lsu_bus_buffer.scala 472:58] - node _T_4032 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 472:94] - node _T_4033 = and(_T_4031, _T_4032) @[lsu_bus_buffer.scala 472:74] - node _T_4034 = or(_T_4026, _T_4033) @[lsu_bus_buffer.scala 471:71] - node _T_4035 = and(bus_rsp_read, _T_4034) @[lsu_bus_buffer.scala 470:25] - node _T_4036 = or(_T_4021, _T_4035) @[lsu_bus_buffer.scala 469:105] - buf_resp_state_bus_en[2] <= _T_4036 @[lsu_bus_buffer.scala 469:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[lsu_bus_buffer.scala 473:29] - node _T_4037 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:49] - node _T_4038 = or(_T_4037, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 474:70] - buf_state_en[2] <= _T_4038 @[lsu_bus_buffer.scala 474:25] - node _T_4039 = and(buf_state_bus_en[2], bus_rsp_read) @[lsu_bus_buffer.scala 475:47] - node _T_4040 = and(_T_4039, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:62] - buf_data_en[2] <= _T_4040 @[lsu_bus_buffer.scala 475:24] - node _T_4041 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:48] - node _T_4042 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 476:111] - node _T_4043 = and(bus_rsp_read_error, _T_4042) @[lsu_bus_buffer.scala 476:91] - node _T_4044 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 477:42] - node _T_4045 = and(bus_rsp_read_error, _T_4044) @[lsu_bus_buffer.scala 477:31] - node _T_4046 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 477:66] - node _T_4047 = and(_T_4045, _T_4046) @[lsu_bus_buffer.scala 477:46] - node _T_4048 = or(_T_4043, _T_4047) @[lsu_bus_buffer.scala 476:143] - node _T_4049 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 478:32] - node _T_4050 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 478:74] - node _T_4051 = and(_T_4049, _T_4050) @[lsu_bus_buffer.scala 478:53] - node _T_4052 = or(_T_4048, _T_4051) @[lsu_bus_buffer.scala 477:88] - node _T_4053 = and(_T_4041, _T_4052) @[lsu_bus_buffer.scala 476:68] - buf_error_en[2] <= _T_4053 @[lsu_bus_buffer.scala 476:25] - node _T_4054 = eq(buf_error_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 479:50] - node _T_4055 = and(buf_state_en[2], _T_4054) @[lsu_bus_buffer.scala 479:48] - node _T_4056 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 479:84] - node _T_4057 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 479:102] - node _T_4058 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:125] - node _T_4059 = mux(_T_4056, _T_4057, _T_4058) @[lsu_bus_buffer.scala 479:72] - node _T_4060 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:148] - node _T_4061 = mux(_T_4055, _T_4059, _T_4060) @[lsu_bus_buffer.scala 479:30] - buf_data_in[2] <= _T_4061 @[lsu_bus_buffer.scala 479:24] + node _T_4012 = and(_T_3995, _T_4011) @[lsu_bus_buffer.scala 467:101] + node _T_4013 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:167] + node _T_4014 = and(_T_4012, _T_4013) @[lsu_bus_buffer.scala 467:138] + node _T_4015 = and(_T_4014, any_done_wait_state) @[lsu_bus_buffer.scala 467:187] + node _T_4016 = or(_T_3990, _T_4015) @[lsu_bus_buffer.scala 467:53] + node _T_4017 = mux(_T_4016, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 467:16] + node _T_4018 = mux(_T_3988, UInt<3>("h04"), _T_4017) @[lsu_bus_buffer.scala 466:14] + node _T_4019 = mux(_T_3981, UInt<3>("h00"), _T_4018) @[lsu_bus_buffer.scala 465:31] + buf_nxtstate[2] <= _T_4019 @[lsu_bus_buffer.scala 465:25] + node _T_4020 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 468:73] + node _T_4021 = and(bus_rsp_write, _T_4020) @[lsu_bus_buffer.scala 468:52] + node _T_4022 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 469:46] + node _T_4023 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 470:23] + node _T_4024 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 470:47] + node _T_4025 = and(_T_4023, _T_4024) @[lsu_bus_buffer.scala 470:27] + node _T_4026 = or(_T_4022, _T_4025) @[lsu_bus_buffer.scala 469:77] + node _T_4027 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 471:26] + node _T_4028 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 471:54] + node _T_4029 = not(_T_4028) @[lsu_bus_buffer.scala 471:44] + node _T_4030 = and(_T_4027, _T_4029) @[lsu_bus_buffer.scala 471:42] + node _T_4031 = and(_T_4030, buf_samedw[2]) @[lsu_bus_buffer.scala 471:58] + node _T_4032 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 471:94] + node _T_4033 = and(_T_4031, _T_4032) @[lsu_bus_buffer.scala 471:74] + node _T_4034 = or(_T_4026, _T_4033) @[lsu_bus_buffer.scala 470:71] + node _T_4035 = and(bus_rsp_read, _T_4034) @[lsu_bus_buffer.scala 469:25] + node _T_4036 = or(_T_4021, _T_4035) @[lsu_bus_buffer.scala 468:105] + buf_resp_state_bus_en[2] <= _T_4036 @[lsu_bus_buffer.scala 468:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[lsu_bus_buffer.scala 472:29] + node _T_4037 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 473:49] + node _T_4038 = or(_T_4037, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 473:70] + buf_state_en[2] <= _T_4038 @[lsu_bus_buffer.scala 473:25] + node _T_4039 = and(buf_state_bus_en[2], bus_rsp_read) @[lsu_bus_buffer.scala 474:47] + node _T_4040 = and(_T_4039, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:62] + buf_data_en[2] <= _T_4040 @[lsu_bus_buffer.scala 474:24] + node _T_4041 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:48] + node _T_4042 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 475:111] + node _T_4043 = and(bus_rsp_read_error, _T_4042) @[lsu_bus_buffer.scala 475:91] + node _T_4044 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 476:42] + node _T_4045 = and(bus_rsp_read_error, _T_4044) @[lsu_bus_buffer.scala 476:31] + node _T_4046 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 476:66] + node _T_4047 = and(_T_4045, _T_4046) @[lsu_bus_buffer.scala 476:46] + node _T_4048 = or(_T_4043, _T_4047) @[lsu_bus_buffer.scala 475:143] + node _T_4049 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 477:32] + node _T_4050 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 477:74] + node _T_4051 = and(_T_4049, _T_4050) @[lsu_bus_buffer.scala 477:53] + node _T_4052 = or(_T_4048, _T_4051) @[lsu_bus_buffer.scala 476:88] + node _T_4053 = and(_T_4041, _T_4052) @[lsu_bus_buffer.scala 475:68] + buf_error_en[2] <= _T_4053 @[lsu_bus_buffer.scala 475:25] + node _T_4054 = eq(buf_error_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 478:50] + node _T_4055 = and(buf_state_en[2], _T_4054) @[lsu_bus_buffer.scala 478:48] + node _T_4056 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 478:84] + node _T_4057 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 478:102] + node _T_4058 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:125] + node _T_4059 = mux(_T_4056, _T_4057, _T_4058) @[lsu_bus_buffer.scala 478:72] + node _T_4060 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:148] + node _T_4061 = mux(_T_4055, _T_4059, _T_4060) @[lsu_bus_buffer.scala 478:30] + buf_data_in[2] <= _T_4061 @[lsu_bus_buffer.scala 478:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4062 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] when _T_4062 : @[Conditional.scala 39:67] - node _T_4063 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 482:60] - node _T_4064 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 482:86] - node _T_4065 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 482:101] - node _T_4066 = bits(_T_4065, 0, 0) @[lsu_bus_buffer.scala 482:101] - node _T_4067 = or(_T_4064, _T_4066) @[lsu_bus_buffer.scala 482:90] - node _T_4068 = or(_T_4067, any_done_wait_state) @[lsu_bus_buffer.scala 482:118] - node _T_4069 = mux(_T_4068, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 482:75] - node _T_4070 = mux(_T_4063, UInt<3>("h00"), _T_4069) @[lsu_bus_buffer.scala 482:31] - buf_nxtstate[2] <= _T_4070 @[lsu_bus_buffer.scala 482:25] - node _T_4071 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 483:66] - node _T_4072 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 484:21] - node _T_4073 = bits(_T_4072, 0, 0) @[lsu_bus_buffer.scala 484:21] - node _T_4074 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[lsu_bus_buffer.scala 484:58] - node _T_4075 = and(_T_4073, _T_4074) @[lsu_bus_buffer.scala 484:38] - node _T_4076 = or(_T_4071, _T_4075) @[lsu_bus_buffer.scala 483:95] - node _T_4077 = and(bus_rsp_read, _T_4076) @[lsu_bus_buffer.scala 483:45] - buf_state_bus_en[2] <= _T_4077 @[lsu_bus_buffer.scala 483:29] - node _T_4078 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 485:49] - node _T_4079 = or(_T_4078, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 485:70] - buf_state_en[2] <= _T_4079 @[lsu_bus_buffer.scala 485:25] + node _T_4063 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 481:60] + node _T_4064 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 481:86] + node _T_4065 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 481:101] + node _T_4066 = bits(_T_4065, 0, 0) @[lsu_bus_buffer.scala 481:101] + node _T_4067 = or(_T_4064, _T_4066) @[lsu_bus_buffer.scala 481:90] + node _T_4068 = or(_T_4067, any_done_wait_state) @[lsu_bus_buffer.scala 481:118] + node _T_4069 = mux(_T_4068, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 481:75] + node _T_4070 = mux(_T_4063, UInt<3>("h00"), _T_4069) @[lsu_bus_buffer.scala 481:31] + buf_nxtstate[2] <= _T_4070 @[lsu_bus_buffer.scala 481:25] + node _T_4071 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 482:66] + node _T_4072 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 483:21] + node _T_4073 = bits(_T_4072, 0, 0) @[lsu_bus_buffer.scala 483:21] + node _T_4074 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[lsu_bus_buffer.scala 483:58] + node _T_4075 = and(_T_4073, _T_4074) @[lsu_bus_buffer.scala 483:38] + node _T_4076 = or(_T_4071, _T_4075) @[lsu_bus_buffer.scala 482:95] + node _T_4077 = and(bus_rsp_read, _T_4076) @[lsu_bus_buffer.scala 482:45] + buf_state_bus_en[2] <= _T_4077 @[lsu_bus_buffer.scala 482:29] + node _T_4078 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 484:49] + node _T_4079 = or(_T_4078, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 484:70] + buf_state_en[2] <= _T_4079 @[lsu_bus_buffer.scala 484:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4080 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] when _T_4080 : @[Conditional.scala 39:67] - node _T_4081 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 488:60] - node _T_4082 = mux(_T_4081, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 488:31] - buf_nxtstate[2] <= _T_4082 @[lsu_bus_buffer.scala 488:25] - node _T_4083 = eq(RspPtr, UInt<2>("h02")) @[lsu_bus_buffer.scala 489:37] - node _T_4084 = eq(buf_dualtag[2], RspPtr) @[lsu_bus_buffer.scala 489:98] - node _T_4085 = and(buf_dual[2], _T_4084) @[lsu_bus_buffer.scala 489:80] - node _T_4086 = or(_T_4083, _T_4085) @[lsu_bus_buffer.scala 489:65] - node _T_4087 = or(_T_4086, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 489:112] - buf_state_en[2] <= _T_4087 @[lsu_bus_buffer.scala 489:25] + node _T_4081 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_4082 = mux(_T_4081, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[2] <= _T_4082 @[lsu_bus_buffer.scala 487:25] + node _T_4083 = eq(RspPtr, UInt<2>("h02")) @[lsu_bus_buffer.scala 488:37] + node _T_4084 = eq(buf_dualtag[2], RspPtr) @[lsu_bus_buffer.scala 488:98] + node _T_4085 = and(buf_dual[2], _T_4084) @[lsu_bus_buffer.scala 488:80] + node _T_4086 = or(_T_4083, _T_4085) @[lsu_bus_buffer.scala 488:65] + node _T_4087 = or(_T_4086, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 488:112] + buf_state_en[2] <= _T_4087 @[lsu_bus_buffer.scala 488:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4088 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] when _T_4088 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[lsu_bus_buffer.scala 492:25] - buf_rst[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:20] - buf_state_en[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 494:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 495:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 496:25] + buf_nxtstate[2] <= UInt<3>("h00") @[lsu_bus_buffer.scala 491:25] + buf_rst[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 492:20] + buf_state_en[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 495:25] skip @[Conditional.scala 39:67] - node _T_4089 = bits(buf_state_en[2], 0, 0) @[lsu_bus_buffer.scala 499:108] + node _T_4089 = bits(buf_state_en[2], 0, 0) @[lsu_bus_buffer.scala 498:108] reg _T_4090 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4089 : @[Reg.scala 28:19] _T_4090 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_4090 @[lsu_bus_buffer.scala 499:18] - reg _T_4091 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:60] - _T_4091 <= buf_age_in_2 @[lsu_bus_buffer.scala 500:60] - buf_ageQ[2] <= _T_4091 @[lsu_bus_buffer.scala 500:17] - reg _T_4092 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 501:63] - _T_4092 <= buf_rspage_in[2] @[lsu_bus_buffer.scala 501:63] - buf_rspageQ[2] <= _T_4092 @[lsu_bus_buffer.scala 501:20] - node _T_4093 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 502:109] + buf_state[2] <= _T_4090 @[lsu_bus_buffer.scala 498:18] + reg _T_4091 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 499:60] + _T_4091 <= buf_age_in_2 @[lsu_bus_buffer.scala 499:60] + buf_ageQ[2] <= _T_4091 @[lsu_bus_buffer.scala 499:17] + reg _T_4092 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:63] + _T_4092 <= buf_rspage_in[2] @[lsu_bus_buffer.scala 500:63] + buf_rspageQ[2] <= _T_4092 @[lsu_bus_buffer.scala 500:20] + node _T_4093 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 501:109] reg _T_4094 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4093 : @[Reg.scala 28:19] _T_4094 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4094 @[lsu_bus_buffer.scala 502:20] - node _T_4095 = bits(buf_dual_in, 2, 2) @[lsu_bus_buffer.scala 503:74] - node _T_4096 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 503:107] + buf_dualtag[2] <= _T_4094 @[lsu_bus_buffer.scala 501:20] + node _T_4095 = bits(buf_dual_in, 2, 2) @[lsu_bus_buffer.scala 502:74] + node _T_4096 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 502:107] reg _T_4097 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4096 : @[Reg.scala 28:19] _T_4097 <= _T_4095 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4097 @[lsu_bus_buffer.scala 503:17] - node _T_4098 = bits(buf_samedw_in, 2, 2) @[lsu_bus_buffer.scala 504:78] - node _T_4099 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 504:111] + buf_dual[2] <= _T_4097 @[lsu_bus_buffer.scala 502:17] + node _T_4098 = bits(buf_samedw_in, 2, 2) @[lsu_bus_buffer.scala 503:78] + node _T_4099 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 503:111] reg _T_4100 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4099 : @[Reg.scala 28:19] _T_4100 <= _T_4098 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4100 @[lsu_bus_buffer.scala 504:19] - node _T_4101 = bits(buf_nomerge_in, 2, 2) @[lsu_bus_buffer.scala 505:80] - node _T_4102 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 505:113] + buf_samedw[2] <= _T_4100 @[lsu_bus_buffer.scala 503:19] + node _T_4101 = bits(buf_nomerge_in, 2, 2) @[lsu_bus_buffer.scala 504:80] + node _T_4102 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 504:113] reg _T_4103 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4102 : @[Reg.scala 28:19] _T_4103 <= _T_4101 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4103 @[lsu_bus_buffer.scala 505:20] - node _T_4104 = bits(buf_dualhi_in, 2, 2) @[lsu_bus_buffer.scala 506:78] - node _T_4105 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 506:111] + buf_nomerge[2] <= _T_4103 @[lsu_bus_buffer.scala 504:20] + node _T_4104 = bits(buf_dualhi_in, 2, 2) @[lsu_bus_buffer.scala 505:78] + node _T_4105 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 505:111] reg _T_4106 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4105 : @[Reg.scala 28:19] _T_4106 <= _T_4104 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4106 @[lsu_bus_buffer.scala 506:19] + buf_dualhi[2] <= _T_4106 @[lsu_bus_buffer.scala 505:19] node _T_4107 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] when _T_4107 : @[Conditional.scala 40:58] - node _T_4108 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 443:56] - node _T_4109 = mux(_T_4108, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 443:31] - buf_nxtstate[3] <= _T_4109 @[lsu_bus_buffer.scala 443:25] - node _T_4110 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 444:45] - node _T_4111 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:77] - node _T_4112 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 444:97] - node _T_4113 = and(_T_4111, _T_4112) @[lsu_bus_buffer.scala 444:95] - node _T_4114 = eq(UInt<2>("h03"), WrPtr0_r) @[lsu_bus_buffer.scala 444:117] - node _T_4115 = and(_T_4113, _T_4114) @[lsu_bus_buffer.scala 444:112] - node _T_4116 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:144] - node _T_4117 = eq(UInt<2>("h03"), WrPtr1_r) @[lsu_bus_buffer.scala 444:166] - node _T_4118 = and(_T_4116, _T_4117) @[lsu_bus_buffer.scala 444:161] - node _T_4119 = or(_T_4115, _T_4118) @[lsu_bus_buffer.scala 444:132] - node _T_4120 = and(_T_4110, _T_4119) @[lsu_bus_buffer.scala 444:63] - node _T_4121 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 444:206] - node _T_4122 = and(ibuf_drain_vld, _T_4121) @[lsu_bus_buffer.scala 444:201] - node _T_4123 = or(_T_4120, _T_4122) @[lsu_bus_buffer.scala 444:183] - buf_state_en[3] <= _T_4123 @[lsu_bus_buffer.scala 444:25] - buf_wr_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 445:22] - buf_data_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 446:24] - node _T_4124 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 447:52] - node _T_4125 = and(ibuf_drain_vld, _T_4124) @[lsu_bus_buffer.scala 447:47] - node _T_4126 = bits(_T_4125, 0, 0) @[lsu_bus_buffer.scala 447:73] - node _T_4127 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 447:90] - node _T_4128 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 447:114] - node _T_4129 = mux(_T_4126, _T_4127, _T_4128) @[lsu_bus_buffer.scala 447:30] - buf_data_in[3] <= _T_4129 @[lsu_bus_buffer.scala 447:24] + node _T_4108 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] + node _T_4109 = mux(_T_4108, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 442:31] + buf_nxtstate[3] <= _T_4109 @[lsu_bus_buffer.scala 442:25] + node _T_4110 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 443:45] + node _T_4111 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:77] + node _T_4112 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 443:97] + node _T_4113 = and(_T_4111, _T_4112) @[lsu_bus_buffer.scala 443:95] + node _T_4114 = eq(UInt<2>("h03"), WrPtr0_r) @[lsu_bus_buffer.scala 443:117] + node _T_4115 = and(_T_4113, _T_4114) @[lsu_bus_buffer.scala 443:112] + node _T_4116 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:144] + node _T_4117 = eq(UInt<2>("h03"), WrPtr1_r) @[lsu_bus_buffer.scala 443:166] + node _T_4118 = and(_T_4116, _T_4117) @[lsu_bus_buffer.scala 443:161] + node _T_4119 = or(_T_4115, _T_4118) @[lsu_bus_buffer.scala 443:132] + node _T_4120 = and(_T_4110, _T_4119) @[lsu_bus_buffer.scala 443:63] + node _T_4121 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 443:206] + node _T_4122 = and(ibuf_drain_vld, _T_4121) @[lsu_bus_buffer.scala 443:201] + node _T_4123 = or(_T_4120, _T_4122) @[lsu_bus_buffer.scala 443:183] + buf_state_en[3] <= _T_4123 @[lsu_bus_buffer.scala 443:25] + buf_wr_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 444:22] + buf_data_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 445:24] + node _T_4124 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 446:52] + node _T_4125 = and(ibuf_drain_vld, _T_4124) @[lsu_bus_buffer.scala 446:47] + node _T_4126 = bits(_T_4125, 0, 0) @[lsu_bus_buffer.scala 446:73] + node _T_4127 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 446:90] + node _T_4128 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 446:114] + node _T_4129 = mux(_T_4126, _T_4127, _T_4128) @[lsu_bus_buffer.scala 446:30] + buf_data_in[3] <= _T_4129 @[lsu_bus_buffer.scala 446:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_4130 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] when _T_4130 : @[Conditional.scala 39:67] - node _T_4131 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] - node _T_4132 = mux(_T_4131, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] - buf_nxtstate[3] <= _T_4132 @[lsu_bus_buffer.scala 450:25] - node _T_4133 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] - buf_state_en[3] <= _T_4133 @[lsu_bus_buffer.scala 451:25] + node _T_4131 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 449:60] + node _T_4132 = mux(_T_4131, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 449:31] + buf_nxtstate[3] <= _T_4132 @[lsu_bus_buffer.scala 449:25] + node _T_4133 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 450:46] + buf_state_en[3] <= _T_4133 @[lsu_bus_buffer.scala 450:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4134 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] when _T_4134 : @[Conditional.scala 39:67] - node _T_4135 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 454:60] - node _T_4136 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 454:89] - node _T_4137 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 454:124] - node _T_4138 = and(_T_4136, _T_4137) @[lsu_bus_buffer.scala 454:104] - node _T_4139 = mux(_T_4138, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 454:75] - node _T_4140 = mux(_T_4135, UInt<3>("h00"), _T_4139) @[lsu_bus_buffer.scala 454:31] - buf_nxtstate[3] <= _T_4140 @[lsu_bus_buffer.scala 454:25] - node _T_4141 = eq(obuf_tag0, UInt<3>("h03")) @[lsu_bus_buffer.scala 455:48] - node _T_4142 = eq(obuf_tag1, UInt<3>("h03")) @[lsu_bus_buffer.scala 455:104] - node _T_4143 = and(obuf_merge, _T_4142) @[lsu_bus_buffer.scala 455:91] - node _T_4144 = or(_T_4141, _T_4143) @[lsu_bus_buffer.scala 455:77] - node _T_4145 = and(_T_4144, obuf_valid) @[lsu_bus_buffer.scala 455:135] - node _T_4146 = and(_T_4145, obuf_wr_enQ) @[lsu_bus_buffer.scala 455:148] - buf_cmd_state_bus_en[3] <= _T_4146 @[lsu_bus_buffer.scala 455:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[lsu_bus_buffer.scala 456:29] - node _T_4147 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 457:49] - node _T_4148 = or(_T_4147, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 457:70] - buf_state_en[3] <= _T_4148 @[lsu_bus_buffer.scala 457:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 458:25] - node _T_4149 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 459:56] - node _T_4150 = eq(_T_4149, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:46] - node _T_4151 = and(buf_state_en[3], _T_4150) @[lsu_bus_buffer.scala 459:44] - node _T_4152 = and(_T_4151, obuf_nosend) @[lsu_bus_buffer.scala 459:60] - node _T_4153 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:76] - node _T_4154 = and(_T_4152, _T_4153) @[lsu_bus_buffer.scala 459:74] - buf_ldfwd_en[3] <= _T_4154 @[lsu_bus_buffer.scala 459:25] - node _T_4155 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 460:46] - buf_ldfwdtag_in[3] <= _T_4155 @[lsu_bus_buffer.scala 460:28] - node _T_4156 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:47] - node _T_4157 = and(_T_4156, obuf_nosend) @[lsu_bus_buffer.scala 461:67] - node _T_4158 = and(_T_4157, bus_rsp_read) @[lsu_bus_buffer.scala 461:81] - buf_data_en[3] <= _T_4158 @[lsu_bus_buffer.scala 461:24] - node _T_4159 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:48] - node _T_4160 = and(_T_4159, obuf_nosend) @[lsu_bus_buffer.scala 462:68] - node _T_4161 = and(_T_4160, bus_rsp_read_error) @[lsu_bus_buffer.scala 462:82] - buf_error_en[3] <= _T_4161 @[lsu_bus_buffer.scala 462:25] - node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:61] - node _T_4163 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 463:85] - node _T_4164 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 463:103] - node _T_4165 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:126] - node _T_4166 = mux(_T_4163, _T_4164, _T_4165) @[lsu_bus_buffer.scala 463:73] - node _T_4167 = mux(buf_error_en[3], _T_4162, _T_4166) @[lsu_bus_buffer.scala 463:30] - buf_data_in[3] <= _T_4167 @[lsu_bus_buffer.scala 463:24] + node _T_4135 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_4136 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 453:89] + node _T_4137 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 453:124] + node _T_4138 = and(_T_4136, _T_4137) @[lsu_bus_buffer.scala 453:104] + node _T_4139 = mux(_T_4138, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 453:75] + node _T_4140 = mux(_T_4135, UInt<3>("h00"), _T_4139) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[3] <= _T_4140 @[lsu_bus_buffer.scala 453:25] + node _T_4141 = eq(obuf_tag0, UInt<3>("h03")) @[lsu_bus_buffer.scala 454:48] + node _T_4142 = eq(obuf_tag1, UInt<3>("h03")) @[lsu_bus_buffer.scala 454:104] + node _T_4143 = and(obuf_merge, _T_4142) @[lsu_bus_buffer.scala 454:91] + node _T_4144 = or(_T_4141, _T_4143) @[lsu_bus_buffer.scala 454:77] + node _T_4145 = and(_T_4144, obuf_valid) @[lsu_bus_buffer.scala 454:135] + node _T_4146 = and(_T_4145, obuf_wr_enQ) @[lsu_bus_buffer.scala 454:148] + buf_cmd_state_bus_en[3] <= _T_4146 @[lsu_bus_buffer.scala 454:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[lsu_bus_buffer.scala 455:29] + node _T_4147 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 456:49] + node _T_4148 = or(_T_4147, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:70] + buf_state_en[3] <= _T_4148 @[lsu_bus_buffer.scala 456:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 457:25] + node _T_4149 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 458:56] + node _T_4150 = eq(_T_4149, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:46] + node _T_4151 = and(buf_state_en[3], _T_4150) @[lsu_bus_buffer.scala 458:44] + node _T_4152 = and(_T_4151, obuf_nosend) @[lsu_bus_buffer.scala 458:60] + node _T_4153 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:76] + node _T_4154 = and(_T_4152, _T_4153) @[lsu_bus_buffer.scala 458:74] + buf_ldfwd_en[3] <= _T_4154 @[lsu_bus_buffer.scala 458:25] + node _T_4155 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 459:46] + buf_ldfwdtag_in[3] <= _T_4155 @[lsu_bus_buffer.scala 459:28] + node _T_4156 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:47] + node _T_4157 = and(_T_4156, obuf_nosend) @[lsu_bus_buffer.scala 460:67] + node _T_4158 = and(_T_4157, bus_rsp_read) @[lsu_bus_buffer.scala 460:81] + buf_data_en[3] <= _T_4158 @[lsu_bus_buffer.scala 460:24] + node _T_4159 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:48] + node _T_4160 = and(_T_4159, obuf_nosend) @[lsu_bus_buffer.scala 461:68] + node _T_4161 = and(_T_4160, bus_rsp_read_error) @[lsu_bus_buffer.scala 461:82] + buf_error_en[3] <= _T_4161 @[lsu_bus_buffer.scala 461:25] + node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:61] + node _T_4163 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 462:85] + node _T_4164 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 462:103] + node _T_4165 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:126] + node _T_4166 = mux(_T_4163, _T_4164, _T_4165) @[lsu_bus_buffer.scala 462:73] + node _T_4167 = mux(buf_error_en[3], _T_4162, _T_4166) @[lsu_bus_buffer.scala 462:30] + buf_data_in[3] <= _T_4167 @[lsu_bus_buffer.scala 462:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4168 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] when _T_4168 : @[Conditional.scala 39:67] - node _T_4169 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 466:67] - node _T_4170 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 466:94] - node _T_4171 = eq(_T_4170, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:73] - node _T_4172 = and(_T_4169, _T_4171) @[lsu_bus_buffer.scala 466:71] - node _T_4173 = or(io.dec_tlu_force_halt, _T_4172) @[lsu_bus_buffer.scala 466:55] - node _T_4174 = bits(_T_4173, 0, 0) @[lsu_bus_buffer.scala 466:125] - node _T_4175 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:30] - node _T_4176 = and(buf_dual[3], _T_4175) @[lsu_bus_buffer.scala 467:28] - node _T_4177 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 467:57] - node _T_4178 = eq(_T_4177, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:47] - node _T_4179 = and(_T_4176, _T_4178) @[lsu_bus_buffer.scala 467:45] - node _T_4180 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:90] - node _T_4181 = and(_T_4179, _T_4180) @[lsu_bus_buffer.scala 467:61] - node _T_4182 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 468:27] - node _T_4183 = or(_T_4182, any_done_wait_state) @[lsu_bus_buffer.scala 468:31] - node _T_4184 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:70] - node _T_4185 = and(buf_dual[3], _T_4184) @[lsu_bus_buffer.scala 468:68] - node _T_4186 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 468:97] - node _T_4187 = eq(_T_4186, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:87] - node _T_4188 = and(_T_4185, _T_4187) @[lsu_bus_buffer.scala 468:85] + node _T_4169 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 465:67] + node _T_4170 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 465:94] + node _T_4171 = eq(_T_4170, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:73] + node _T_4172 = and(_T_4169, _T_4171) @[lsu_bus_buffer.scala 465:71] + node _T_4173 = or(io.dec_tlu_force_halt, _T_4172) @[lsu_bus_buffer.scala 465:55] + node _T_4174 = bits(_T_4173, 0, 0) @[lsu_bus_buffer.scala 465:125] + node _T_4175 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 466:30] + node _T_4176 = and(buf_dual[3], _T_4175) @[lsu_bus_buffer.scala 466:28] + node _T_4177 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 466:57] + node _T_4178 = eq(_T_4177, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:47] + node _T_4179 = and(_T_4176, _T_4178) @[lsu_bus_buffer.scala 466:45] + node _T_4180 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 466:90] + node _T_4181 = and(_T_4179, _T_4180) @[lsu_bus_buffer.scala 466:61] + node _T_4182 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 467:27] + node _T_4183 = or(_T_4182, any_done_wait_state) @[lsu_bus_buffer.scala 467:31] + node _T_4184 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:70] + node _T_4185 = and(buf_dual[3], _T_4184) @[lsu_bus_buffer.scala 467:68] + node _T_4186 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 467:97] + node _T_4187 = eq(_T_4186, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87] + node _T_4188 = and(_T_4185, _T_4187) @[lsu_bus_buffer.scala 467:85] node _T_4189 = eq(buf_dualtag[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] node _T_4190 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] node _T_4191 = eq(buf_dualtag[3], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] @@ -100003,172 +100051,172 @@ circuit quasar_wrapper : node _T_4203 = or(_T_4202, _T_4200) @[Mux.scala 27:72] wire _T_4204 : UInt<1> @[Mux.scala 27:72] _T_4204 <= _T_4203 @[Mux.scala 27:72] - node _T_4205 = and(_T_4188, _T_4204) @[lsu_bus_buffer.scala 468:101] - node _T_4206 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:167] - node _T_4207 = and(_T_4205, _T_4206) @[lsu_bus_buffer.scala 468:138] - node _T_4208 = and(_T_4207, any_done_wait_state) @[lsu_bus_buffer.scala 468:187] - node _T_4209 = or(_T_4183, _T_4208) @[lsu_bus_buffer.scala 468:53] - node _T_4210 = mux(_T_4209, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 468:16] - node _T_4211 = mux(_T_4181, UInt<3>("h04"), _T_4210) @[lsu_bus_buffer.scala 467:14] - node _T_4212 = mux(_T_4174, UInt<3>("h00"), _T_4211) @[lsu_bus_buffer.scala 466:31] - buf_nxtstate[3] <= _T_4212 @[lsu_bus_buffer.scala 466:25] - node _T_4213 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 469:73] - node _T_4214 = and(bus_rsp_write, _T_4213) @[lsu_bus_buffer.scala 469:52] - node _T_4215 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 470:46] - node _T_4216 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 471:23] - node _T_4217 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 471:47] - node _T_4218 = and(_T_4216, _T_4217) @[lsu_bus_buffer.scala 471:27] - node _T_4219 = or(_T_4215, _T_4218) @[lsu_bus_buffer.scala 470:77] - node _T_4220 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 472:26] - node _T_4221 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 472:54] - node _T_4222 = not(_T_4221) @[lsu_bus_buffer.scala 472:44] - node _T_4223 = and(_T_4220, _T_4222) @[lsu_bus_buffer.scala 472:42] - node _T_4224 = and(_T_4223, buf_samedw[3]) @[lsu_bus_buffer.scala 472:58] - node _T_4225 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 472:94] - node _T_4226 = and(_T_4224, _T_4225) @[lsu_bus_buffer.scala 472:74] - node _T_4227 = or(_T_4219, _T_4226) @[lsu_bus_buffer.scala 471:71] - node _T_4228 = and(bus_rsp_read, _T_4227) @[lsu_bus_buffer.scala 470:25] - node _T_4229 = or(_T_4214, _T_4228) @[lsu_bus_buffer.scala 469:105] - buf_resp_state_bus_en[3] <= _T_4229 @[lsu_bus_buffer.scala 469:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[lsu_bus_buffer.scala 473:29] - node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:49] - node _T_4231 = or(_T_4230, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 474:70] - buf_state_en[3] <= _T_4231 @[lsu_bus_buffer.scala 474:25] - node _T_4232 = and(buf_state_bus_en[3], bus_rsp_read) @[lsu_bus_buffer.scala 475:47] - node _T_4233 = and(_T_4232, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:62] - buf_data_en[3] <= _T_4233 @[lsu_bus_buffer.scala 475:24] - node _T_4234 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:48] - node _T_4235 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 476:111] - node _T_4236 = and(bus_rsp_read_error, _T_4235) @[lsu_bus_buffer.scala 476:91] - node _T_4237 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 477:42] - node _T_4238 = and(bus_rsp_read_error, _T_4237) @[lsu_bus_buffer.scala 477:31] - node _T_4239 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 477:66] - node _T_4240 = and(_T_4238, _T_4239) @[lsu_bus_buffer.scala 477:46] - node _T_4241 = or(_T_4236, _T_4240) @[lsu_bus_buffer.scala 476:143] - node _T_4242 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 478:32] - node _T_4243 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 478:74] - node _T_4244 = and(_T_4242, _T_4243) @[lsu_bus_buffer.scala 478:53] - node _T_4245 = or(_T_4241, _T_4244) @[lsu_bus_buffer.scala 477:88] - node _T_4246 = and(_T_4234, _T_4245) @[lsu_bus_buffer.scala 476:68] - buf_error_en[3] <= _T_4246 @[lsu_bus_buffer.scala 476:25] - node _T_4247 = eq(buf_error_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 479:50] - node _T_4248 = and(buf_state_en[3], _T_4247) @[lsu_bus_buffer.scala 479:48] - node _T_4249 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 479:84] - node _T_4250 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 479:102] - node _T_4251 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:125] - node _T_4252 = mux(_T_4249, _T_4250, _T_4251) @[lsu_bus_buffer.scala 479:72] - node _T_4253 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:148] - node _T_4254 = mux(_T_4248, _T_4252, _T_4253) @[lsu_bus_buffer.scala 479:30] - buf_data_in[3] <= _T_4254 @[lsu_bus_buffer.scala 479:24] + node _T_4205 = and(_T_4188, _T_4204) @[lsu_bus_buffer.scala 467:101] + node _T_4206 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:167] + node _T_4207 = and(_T_4205, _T_4206) @[lsu_bus_buffer.scala 467:138] + node _T_4208 = and(_T_4207, any_done_wait_state) @[lsu_bus_buffer.scala 467:187] + node _T_4209 = or(_T_4183, _T_4208) @[lsu_bus_buffer.scala 467:53] + node _T_4210 = mux(_T_4209, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 467:16] + node _T_4211 = mux(_T_4181, UInt<3>("h04"), _T_4210) @[lsu_bus_buffer.scala 466:14] + node _T_4212 = mux(_T_4174, UInt<3>("h00"), _T_4211) @[lsu_bus_buffer.scala 465:31] + buf_nxtstate[3] <= _T_4212 @[lsu_bus_buffer.scala 465:25] + node _T_4213 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 468:73] + node _T_4214 = and(bus_rsp_write, _T_4213) @[lsu_bus_buffer.scala 468:52] + node _T_4215 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 469:46] + node _T_4216 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 470:23] + node _T_4217 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 470:47] + node _T_4218 = and(_T_4216, _T_4217) @[lsu_bus_buffer.scala 470:27] + node _T_4219 = or(_T_4215, _T_4218) @[lsu_bus_buffer.scala 469:77] + node _T_4220 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 471:26] + node _T_4221 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 471:54] + node _T_4222 = not(_T_4221) @[lsu_bus_buffer.scala 471:44] + node _T_4223 = and(_T_4220, _T_4222) @[lsu_bus_buffer.scala 471:42] + node _T_4224 = and(_T_4223, buf_samedw[3]) @[lsu_bus_buffer.scala 471:58] + node _T_4225 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 471:94] + node _T_4226 = and(_T_4224, _T_4225) @[lsu_bus_buffer.scala 471:74] + node _T_4227 = or(_T_4219, _T_4226) @[lsu_bus_buffer.scala 470:71] + node _T_4228 = and(bus_rsp_read, _T_4227) @[lsu_bus_buffer.scala 469:25] + node _T_4229 = or(_T_4214, _T_4228) @[lsu_bus_buffer.scala 468:105] + buf_resp_state_bus_en[3] <= _T_4229 @[lsu_bus_buffer.scala 468:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[lsu_bus_buffer.scala 472:29] + node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 473:49] + node _T_4231 = or(_T_4230, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 473:70] + buf_state_en[3] <= _T_4231 @[lsu_bus_buffer.scala 473:25] + node _T_4232 = and(buf_state_bus_en[3], bus_rsp_read) @[lsu_bus_buffer.scala 474:47] + node _T_4233 = and(_T_4232, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:62] + buf_data_en[3] <= _T_4233 @[lsu_bus_buffer.scala 474:24] + node _T_4234 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:48] + node _T_4235 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 475:111] + node _T_4236 = and(bus_rsp_read_error, _T_4235) @[lsu_bus_buffer.scala 475:91] + node _T_4237 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 476:42] + node _T_4238 = and(bus_rsp_read_error, _T_4237) @[lsu_bus_buffer.scala 476:31] + node _T_4239 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 476:66] + node _T_4240 = and(_T_4238, _T_4239) @[lsu_bus_buffer.scala 476:46] + node _T_4241 = or(_T_4236, _T_4240) @[lsu_bus_buffer.scala 475:143] + node _T_4242 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 477:32] + node _T_4243 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 477:74] + node _T_4244 = and(_T_4242, _T_4243) @[lsu_bus_buffer.scala 477:53] + node _T_4245 = or(_T_4241, _T_4244) @[lsu_bus_buffer.scala 476:88] + node _T_4246 = and(_T_4234, _T_4245) @[lsu_bus_buffer.scala 475:68] + buf_error_en[3] <= _T_4246 @[lsu_bus_buffer.scala 475:25] + node _T_4247 = eq(buf_error_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 478:50] + node _T_4248 = and(buf_state_en[3], _T_4247) @[lsu_bus_buffer.scala 478:48] + node _T_4249 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 478:84] + node _T_4250 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 478:102] + node _T_4251 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:125] + node _T_4252 = mux(_T_4249, _T_4250, _T_4251) @[lsu_bus_buffer.scala 478:72] + node _T_4253 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:148] + node _T_4254 = mux(_T_4248, _T_4252, _T_4253) @[lsu_bus_buffer.scala 478:30] + buf_data_in[3] <= _T_4254 @[lsu_bus_buffer.scala 478:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4255 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] when _T_4255 : @[Conditional.scala 39:67] - node _T_4256 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 482:60] - node _T_4257 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 482:86] - node _T_4258 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 482:101] - node _T_4259 = bits(_T_4258, 0, 0) @[lsu_bus_buffer.scala 482:101] - node _T_4260 = or(_T_4257, _T_4259) @[lsu_bus_buffer.scala 482:90] - node _T_4261 = or(_T_4260, any_done_wait_state) @[lsu_bus_buffer.scala 482:118] - node _T_4262 = mux(_T_4261, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 482:75] - node _T_4263 = mux(_T_4256, UInt<3>("h00"), _T_4262) @[lsu_bus_buffer.scala 482:31] - buf_nxtstate[3] <= _T_4263 @[lsu_bus_buffer.scala 482:25] - node _T_4264 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 483:66] - node _T_4265 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 484:21] - node _T_4266 = bits(_T_4265, 0, 0) @[lsu_bus_buffer.scala 484:21] - node _T_4267 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[lsu_bus_buffer.scala 484:58] - node _T_4268 = and(_T_4266, _T_4267) @[lsu_bus_buffer.scala 484:38] - node _T_4269 = or(_T_4264, _T_4268) @[lsu_bus_buffer.scala 483:95] - node _T_4270 = and(bus_rsp_read, _T_4269) @[lsu_bus_buffer.scala 483:45] - buf_state_bus_en[3] <= _T_4270 @[lsu_bus_buffer.scala 483:29] - node _T_4271 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 485:49] - node _T_4272 = or(_T_4271, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 485:70] - buf_state_en[3] <= _T_4272 @[lsu_bus_buffer.scala 485:25] + node _T_4256 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 481:60] + node _T_4257 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 481:86] + node _T_4258 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 481:101] + node _T_4259 = bits(_T_4258, 0, 0) @[lsu_bus_buffer.scala 481:101] + node _T_4260 = or(_T_4257, _T_4259) @[lsu_bus_buffer.scala 481:90] + node _T_4261 = or(_T_4260, any_done_wait_state) @[lsu_bus_buffer.scala 481:118] + node _T_4262 = mux(_T_4261, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 481:75] + node _T_4263 = mux(_T_4256, UInt<3>("h00"), _T_4262) @[lsu_bus_buffer.scala 481:31] + buf_nxtstate[3] <= _T_4263 @[lsu_bus_buffer.scala 481:25] + node _T_4264 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 482:66] + node _T_4265 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 483:21] + node _T_4266 = bits(_T_4265, 0, 0) @[lsu_bus_buffer.scala 483:21] + node _T_4267 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[lsu_bus_buffer.scala 483:58] + node _T_4268 = and(_T_4266, _T_4267) @[lsu_bus_buffer.scala 483:38] + node _T_4269 = or(_T_4264, _T_4268) @[lsu_bus_buffer.scala 482:95] + node _T_4270 = and(bus_rsp_read, _T_4269) @[lsu_bus_buffer.scala 482:45] + buf_state_bus_en[3] <= _T_4270 @[lsu_bus_buffer.scala 482:29] + node _T_4271 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 484:49] + node _T_4272 = or(_T_4271, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 484:70] + buf_state_en[3] <= _T_4272 @[lsu_bus_buffer.scala 484:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4273 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] when _T_4273 : @[Conditional.scala 39:67] - node _T_4274 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 488:60] - node _T_4275 = mux(_T_4274, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 488:31] - buf_nxtstate[3] <= _T_4275 @[lsu_bus_buffer.scala 488:25] - node _T_4276 = eq(RspPtr, UInt<2>("h03")) @[lsu_bus_buffer.scala 489:37] - node _T_4277 = eq(buf_dualtag[3], RspPtr) @[lsu_bus_buffer.scala 489:98] - node _T_4278 = and(buf_dual[3], _T_4277) @[lsu_bus_buffer.scala 489:80] - node _T_4279 = or(_T_4276, _T_4278) @[lsu_bus_buffer.scala 489:65] - node _T_4280 = or(_T_4279, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 489:112] - buf_state_en[3] <= _T_4280 @[lsu_bus_buffer.scala 489:25] + node _T_4274 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_4275 = mux(_T_4274, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[3] <= _T_4275 @[lsu_bus_buffer.scala 487:25] + node _T_4276 = eq(RspPtr, UInt<2>("h03")) @[lsu_bus_buffer.scala 488:37] + node _T_4277 = eq(buf_dualtag[3], RspPtr) @[lsu_bus_buffer.scala 488:98] + node _T_4278 = and(buf_dual[3], _T_4277) @[lsu_bus_buffer.scala 488:80] + node _T_4279 = or(_T_4276, _T_4278) @[lsu_bus_buffer.scala 488:65] + node _T_4280 = or(_T_4279, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 488:112] + buf_state_en[3] <= _T_4280 @[lsu_bus_buffer.scala 488:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4281 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] when _T_4281 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[lsu_bus_buffer.scala 492:25] - buf_rst[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:20] - buf_state_en[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 494:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 495:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 496:25] + buf_nxtstate[3] <= UInt<3>("h00") @[lsu_bus_buffer.scala 491:25] + buf_rst[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 492:20] + buf_state_en[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 495:25] skip @[Conditional.scala 39:67] - node _T_4282 = bits(buf_state_en[3], 0, 0) @[lsu_bus_buffer.scala 499:108] + node _T_4282 = bits(buf_state_en[3], 0, 0) @[lsu_bus_buffer.scala 498:108] reg _T_4283 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4282 : @[Reg.scala 28:19] _T_4283 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4283 @[lsu_bus_buffer.scala 499:18] - reg _T_4284 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:60] - _T_4284 <= buf_age_in_3 @[lsu_bus_buffer.scala 500:60] - buf_ageQ[3] <= _T_4284 @[lsu_bus_buffer.scala 500:17] - reg _T_4285 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 501:63] - _T_4285 <= buf_rspage_in[3] @[lsu_bus_buffer.scala 501:63] - buf_rspageQ[3] <= _T_4285 @[lsu_bus_buffer.scala 501:20] - node _T_4286 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 502:109] + buf_state[3] <= _T_4283 @[lsu_bus_buffer.scala 498:18] + reg _T_4284 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 499:60] + _T_4284 <= buf_age_in_3 @[lsu_bus_buffer.scala 499:60] + buf_ageQ[3] <= _T_4284 @[lsu_bus_buffer.scala 499:17] + reg _T_4285 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:63] + _T_4285 <= buf_rspage_in[3] @[lsu_bus_buffer.scala 500:63] + buf_rspageQ[3] <= _T_4285 @[lsu_bus_buffer.scala 500:20] + node _T_4286 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 501:109] reg _T_4287 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4286 : @[Reg.scala 28:19] _T_4287 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4287 @[lsu_bus_buffer.scala 502:20] - node _T_4288 = bits(buf_dual_in, 3, 3) @[lsu_bus_buffer.scala 503:74] - node _T_4289 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 503:107] + buf_dualtag[3] <= _T_4287 @[lsu_bus_buffer.scala 501:20] + node _T_4288 = bits(buf_dual_in, 3, 3) @[lsu_bus_buffer.scala 502:74] + node _T_4289 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 502:107] reg _T_4290 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4289 : @[Reg.scala 28:19] _T_4290 <= _T_4288 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4290 @[lsu_bus_buffer.scala 503:17] - node _T_4291 = bits(buf_samedw_in, 3, 3) @[lsu_bus_buffer.scala 504:78] - node _T_4292 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 504:111] + buf_dual[3] <= _T_4290 @[lsu_bus_buffer.scala 502:17] + node _T_4291 = bits(buf_samedw_in, 3, 3) @[lsu_bus_buffer.scala 503:78] + node _T_4292 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 503:111] reg _T_4293 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4292 : @[Reg.scala 28:19] _T_4293 <= _T_4291 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4293 @[lsu_bus_buffer.scala 504:19] - node _T_4294 = bits(buf_nomerge_in, 3, 3) @[lsu_bus_buffer.scala 505:80] - node _T_4295 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 505:113] + buf_samedw[3] <= _T_4293 @[lsu_bus_buffer.scala 503:19] + node _T_4294 = bits(buf_nomerge_in, 3, 3) @[lsu_bus_buffer.scala 504:80] + node _T_4295 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 504:113] reg _T_4296 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4295 : @[Reg.scala 28:19] _T_4296 <= _T_4294 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4296 @[lsu_bus_buffer.scala 505:20] - node _T_4297 = bits(buf_dualhi_in, 3, 3) @[lsu_bus_buffer.scala 506:78] - node _T_4298 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 506:111] + buf_nomerge[3] <= _T_4296 @[lsu_bus_buffer.scala 504:20] + node _T_4297 = bits(buf_dualhi_in, 3, 3) @[lsu_bus_buffer.scala 505:78] + node _T_4298 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 505:111] reg _T_4299 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4298 : @[Reg.scala 28:19] _T_4299 <= _T_4297 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4299 @[lsu_bus_buffer.scala 506:19] - node _T_4300 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 509:131] + buf_dualhi[3] <= _T_4299 @[lsu_bus_buffer.scala 505:19] + node _T_4300 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 508:131] reg _T_4301 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4300 : @[Reg.scala 28:19] _T_4301 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4302 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 509:131] + node _T_4302 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 508:131] reg _T_4303 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4302 : @[Reg.scala 28:19] _T_4303 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4304 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 509:131] + node _T_4304 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 508:131] reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4304 : @[Reg.scala 28:19] _T_4305 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4306 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 509:131] + node _T_4306 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 508:131] reg _T_4307 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4306 : @[Reg.scala 28:19] _T_4307 <= buf_ldfwd_in[3] @[Reg.scala 28:23] @@ -100176,51 +100224,51 @@ circuit quasar_wrapper : node _T_4308 = cat(_T_4307, _T_4305) @[Cat.scala 29:58] node _T_4309 = cat(_T_4308, _T_4303) @[Cat.scala 29:58] node _T_4310 = cat(_T_4309, _T_4301) @[Cat.scala 29:58] - buf_ldfwd <= _T_4310 @[lsu_bus_buffer.scala 509:13] - node _T_4311 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 510:132] + buf_ldfwd <= _T_4310 @[lsu_bus_buffer.scala 508:13] + node _T_4311 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 509:132] reg _T_4312 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4311 : @[Reg.scala 28:19] _T_4312 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4313 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 510:132] + node _T_4313 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 509:132] reg _T_4314 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4313 : @[Reg.scala 28:19] _T_4314 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4315 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 510:132] + node _T_4315 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 509:132] reg _T_4316 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4315 : @[Reg.scala 28:19] _T_4316 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4317 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 510:132] + node _T_4317 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 509:132] reg _T_4318 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4317 : @[Reg.scala 28:19] _T_4318 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4312 @[lsu_bus_buffer.scala 510:16] - buf_ldfwdtag[1] <= _T_4314 @[lsu_bus_buffer.scala 510:16] - buf_ldfwdtag[2] <= _T_4316 @[lsu_bus_buffer.scala 510:16] - buf_ldfwdtag[3] <= _T_4318 @[lsu_bus_buffer.scala 510:16] - node _T_4319 = bits(buf_sideeffect_in, 0, 0) @[lsu_bus_buffer.scala 511:105] - node _T_4320 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 511:138] + buf_ldfwdtag[0] <= _T_4312 @[lsu_bus_buffer.scala 509:16] + buf_ldfwdtag[1] <= _T_4314 @[lsu_bus_buffer.scala 509:16] + buf_ldfwdtag[2] <= _T_4316 @[lsu_bus_buffer.scala 509:16] + buf_ldfwdtag[3] <= _T_4318 @[lsu_bus_buffer.scala 509:16] + node _T_4319 = bits(buf_sideeffect_in, 0, 0) @[lsu_bus_buffer.scala 510:105] + node _T_4320 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 510:138] reg _T_4321 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4320 : @[Reg.scala 28:19] _T_4321 <= _T_4319 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4322 = bits(buf_sideeffect_in, 1, 1) @[lsu_bus_buffer.scala 511:105] - node _T_4323 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 511:138] + node _T_4322 = bits(buf_sideeffect_in, 1, 1) @[lsu_bus_buffer.scala 510:105] + node _T_4323 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 510:138] reg _T_4324 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4323 : @[Reg.scala 28:19] _T_4324 <= _T_4322 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4325 = bits(buf_sideeffect_in, 2, 2) @[lsu_bus_buffer.scala 511:105] - node _T_4326 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 511:138] + node _T_4325 = bits(buf_sideeffect_in, 2, 2) @[lsu_bus_buffer.scala 510:105] + node _T_4326 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 510:138] reg _T_4327 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4326 : @[Reg.scala 28:19] _T_4327 <= _T_4325 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4328 = bits(buf_sideeffect_in, 3, 3) @[lsu_bus_buffer.scala 511:105] - node _T_4329 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 511:138] + node _T_4328 = bits(buf_sideeffect_in, 3, 3) @[lsu_bus_buffer.scala 510:105] + node _T_4329 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 510:138] reg _T_4330 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4329 : @[Reg.scala 28:19] _T_4330 <= _T_4328 @[Reg.scala 28:23] @@ -100228,27 +100276,27 @@ circuit quasar_wrapper : node _T_4331 = cat(_T_4330, _T_4327) @[Cat.scala 29:58] node _T_4332 = cat(_T_4331, _T_4324) @[Cat.scala 29:58] node _T_4333 = cat(_T_4332, _T_4321) @[Cat.scala 29:58] - buf_sideeffect <= _T_4333 @[lsu_bus_buffer.scala 511:18] - node _T_4334 = bits(buf_unsign_in, 0, 0) @[lsu_bus_buffer.scala 512:97] - node _T_4335 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 512:130] + buf_sideeffect <= _T_4333 @[lsu_bus_buffer.scala 510:18] + node _T_4334 = bits(buf_unsign_in, 0, 0) @[lsu_bus_buffer.scala 511:97] + node _T_4335 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 511:130] reg _T_4336 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4335 : @[Reg.scala 28:19] _T_4336 <= _T_4334 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4337 = bits(buf_unsign_in, 1, 1) @[lsu_bus_buffer.scala 512:97] - node _T_4338 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 512:130] + node _T_4337 = bits(buf_unsign_in, 1, 1) @[lsu_bus_buffer.scala 511:97] + node _T_4338 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 511:130] reg _T_4339 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4338 : @[Reg.scala 28:19] _T_4339 <= _T_4337 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4340 = bits(buf_unsign_in, 2, 2) @[lsu_bus_buffer.scala 512:97] - node _T_4341 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 512:130] + node _T_4340 = bits(buf_unsign_in, 2, 2) @[lsu_bus_buffer.scala 511:97] + node _T_4341 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 511:130] reg _T_4342 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4341 : @[Reg.scala 28:19] _T_4342 <= _T_4340 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4343 = bits(buf_unsign_in, 3, 3) @[lsu_bus_buffer.scala 512:97] - node _T_4344 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 512:130] + node _T_4343 = bits(buf_unsign_in, 3, 3) @[lsu_bus_buffer.scala 511:97] + node _T_4344 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 511:130] reg _T_4345 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4344 : @[Reg.scala 28:19] _T_4345 <= _T_4343 @[Reg.scala 28:23] @@ -100256,27 +100304,27 @@ circuit quasar_wrapper : node _T_4346 = cat(_T_4345, _T_4342) @[Cat.scala 29:58] node _T_4347 = cat(_T_4346, _T_4339) @[Cat.scala 29:58] node _T_4348 = cat(_T_4347, _T_4336) @[Cat.scala 29:58] - buf_unsign <= _T_4348 @[lsu_bus_buffer.scala 512:14] - node _T_4349 = bits(buf_write_in, 0, 0) @[lsu_bus_buffer.scala 513:95] - node _T_4350 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 513:128] + buf_unsign <= _T_4348 @[lsu_bus_buffer.scala 511:14] + node _T_4349 = bits(buf_write_in, 0, 0) @[lsu_bus_buffer.scala 512:95] + node _T_4350 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 512:128] reg _T_4351 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4350 : @[Reg.scala 28:19] _T_4351 <= _T_4349 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4352 = bits(buf_write_in, 1, 1) @[lsu_bus_buffer.scala 513:95] - node _T_4353 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 513:128] + node _T_4352 = bits(buf_write_in, 1, 1) @[lsu_bus_buffer.scala 512:95] + node _T_4353 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 512:128] reg _T_4354 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4353 : @[Reg.scala 28:19] _T_4354 <= _T_4352 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4355 = bits(buf_write_in, 2, 2) @[lsu_bus_buffer.scala 513:95] - node _T_4356 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 513:128] + node _T_4355 = bits(buf_write_in, 2, 2) @[lsu_bus_buffer.scala 512:95] + node _T_4356 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 512:128] reg _T_4357 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4356 : @[Reg.scala 28:19] _T_4357 <= _T_4355 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4358 = bits(buf_write_in, 3, 3) @[lsu_bus_buffer.scala 513:95] - node _T_4359 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 513:128] + node _T_4358 = bits(buf_write_in, 3, 3) @[lsu_bus_buffer.scala 512:95] + node _T_4359 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 512:128] reg _T_4360 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4359 : @[Reg.scala 28:19] _T_4360 <= _T_4358 @[Reg.scala 28:23] @@ -100284,33 +100332,33 @@ circuit quasar_wrapper : node _T_4361 = cat(_T_4360, _T_4357) @[Cat.scala 29:58] node _T_4362 = cat(_T_4361, _T_4354) @[Cat.scala 29:58] node _T_4363 = cat(_T_4362, _T_4351) @[Cat.scala 29:58] - buf_write <= _T_4363 @[lsu_bus_buffer.scala 513:13] - node _T_4364 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 514:117] + buf_write <= _T_4363 @[lsu_bus_buffer.scala 512:13] + node _T_4364 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 513:117] reg _T_4365 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4364 : @[Reg.scala 28:19] _T_4365 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4366 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 514:117] + node _T_4366 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 513:117] reg _T_4367 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4366 : @[Reg.scala 28:19] _T_4367 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4368 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 514:117] + node _T_4368 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 513:117] reg _T_4369 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4368 : @[Reg.scala 28:19] _T_4369 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4370 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 514:117] + node _T_4370 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 513:117] reg _T_4371 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4370 : @[Reg.scala 28:19] _T_4371 <= buf_sz_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4365 @[lsu_bus_buffer.scala 514:10] - buf_sz[1] <= _T_4367 @[lsu_bus_buffer.scala 514:10] - buf_sz[2] <= _T_4369 @[lsu_bus_buffer.scala 514:10] - buf_sz[3] <= _T_4371 @[lsu_bus_buffer.scala 514:10] - node _T_4372 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 515:80] - inst rvclkhdr_4 of rvclkhdr_816 @[el2_lib.scala 508:23] + buf_sz[0] <= _T_4365 @[lsu_bus_buffer.scala 513:10] + buf_sz[1] <= _T_4367 @[lsu_bus_buffer.scala 513:10] + buf_sz[2] <= _T_4369 @[lsu_bus_buffer.scala 513:10] + buf_sz[3] <= _T_4371 @[lsu_bus_buffer.scala 513:10] + node _T_4372 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 514:80] + inst rvclkhdr_4 of rvclkhdr_818 @[el2_lib.scala 508:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset rvclkhdr_4.io.clk <= clock @[el2_lib.scala 510:18] @@ -100318,8 +100366,8 @@ circuit quasar_wrapper : rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] reg _T_4373 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_4373 <= buf_addr_in[0] @[el2_lib.scala 514:16] - node _T_4374 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 515:80] - inst rvclkhdr_5 of rvclkhdr_817 @[el2_lib.scala 508:23] + node _T_4374 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 514:80] + inst rvclkhdr_5 of rvclkhdr_819 @[el2_lib.scala 508:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset rvclkhdr_5.io.clk <= clock @[el2_lib.scala 510:18] @@ -100327,8 +100375,8 @@ circuit quasar_wrapper : rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] reg _T_4375 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_4375 <= buf_addr_in[1] @[el2_lib.scala 514:16] - node _T_4376 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 515:80] - inst rvclkhdr_6 of rvclkhdr_818 @[el2_lib.scala 508:23] + node _T_4376 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 514:80] + inst rvclkhdr_6 of rvclkhdr_820 @[el2_lib.scala 508:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset rvclkhdr_6.io.clk <= clock @[el2_lib.scala 510:18] @@ -100336,8 +100384,8 @@ circuit quasar_wrapper : rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] reg _T_4377 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_4377 <= buf_addr_in[2] @[el2_lib.scala 514:16] - node _T_4378 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 515:80] - inst rvclkhdr_7 of rvclkhdr_819 @[el2_lib.scala 508:23] + node _T_4378 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 514:80] + inst rvclkhdr_7 of rvclkhdr_821 @[el2_lib.scala 508:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset rvclkhdr_7.io.clk <= clock @[el2_lib.scala 510:18] @@ -100345,35 +100393,35 @@ circuit quasar_wrapper : rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] reg _T_4379 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_4379 <= buf_addr_in[3] @[el2_lib.scala 514:16] - buf_addr[0] <= _T_4373 @[lsu_bus_buffer.scala 515:12] - buf_addr[1] <= _T_4375 @[lsu_bus_buffer.scala 515:12] - buf_addr[2] <= _T_4377 @[lsu_bus_buffer.scala 515:12] - buf_addr[3] <= _T_4379 @[lsu_bus_buffer.scala 515:12] - node _T_4380 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 516:125] + buf_addr[0] <= _T_4373 @[lsu_bus_buffer.scala 514:12] + buf_addr[1] <= _T_4375 @[lsu_bus_buffer.scala 514:12] + buf_addr[2] <= _T_4377 @[lsu_bus_buffer.scala 514:12] + buf_addr[3] <= _T_4379 @[lsu_bus_buffer.scala 514:12] + node _T_4380 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 515:125] reg _T_4381 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4380 : @[Reg.scala 28:19] _T_4381 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4382 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 516:125] + node _T_4382 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 515:125] reg _T_4383 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4382 : @[Reg.scala 28:19] _T_4383 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4384 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 516:125] + node _T_4384 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 515:125] reg _T_4385 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4384 : @[Reg.scala 28:19] _T_4385 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4386 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 516:125] + node _T_4386 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 515:125] reg _T_4387 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4386 : @[Reg.scala 28:19] _T_4387 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4381 @[lsu_bus_buffer.scala 516:14] - buf_byteen[1] <= _T_4383 @[lsu_bus_buffer.scala 516:14] - buf_byteen[2] <= _T_4385 @[lsu_bus_buffer.scala 516:14] - buf_byteen[3] <= _T_4387 @[lsu_bus_buffer.scala 516:14] - inst rvclkhdr_8 of rvclkhdr_820 @[el2_lib.scala 508:23] + buf_byteen[0] <= _T_4381 @[lsu_bus_buffer.scala 515:14] + buf_byteen[1] <= _T_4383 @[lsu_bus_buffer.scala 515:14] + buf_byteen[2] <= _T_4385 @[lsu_bus_buffer.scala 515:14] + buf_byteen[3] <= _T_4387 @[lsu_bus_buffer.scala 515:14] + inst rvclkhdr_8 of rvclkhdr_822 @[el2_lib.scala 508:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset rvclkhdr_8.io.clk <= clock @[el2_lib.scala 510:18] @@ -100381,7 +100429,7 @@ circuit quasar_wrapper : rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] reg _T_4388 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_4388 <= buf_data_in[0] @[el2_lib.scala 514:16] - inst rvclkhdr_9 of rvclkhdr_821 @[el2_lib.scala 508:23] + inst rvclkhdr_9 of rvclkhdr_823 @[el2_lib.scala 508:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset rvclkhdr_9.io.clk <= clock @[el2_lib.scala 510:18] @@ -100389,7 +100437,7 @@ circuit quasar_wrapper : rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] reg _T_4389 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_4389 <= buf_data_in[1] @[el2_lib.scala 514:16] - inst rvclkhdr_10 of rvclkhdr_822 @[el2_lib.scala 508:23] + inst rvclkhdr_10 of rvclkhdr_824 @[el2_lib.scala 508:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[el2_lib.scala 510:18] @@ -100397,7 +100445,7 @@ circuit quasar_wrapper : rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] reg _T_4390 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_4390 <= buf_data_in[2] @[el2_lib.scala 514:16] - inst rvclkhdr_11 of rvclkhdr_823 @[el2_lib.scala 508:23] + inst rvclkhdr_11 of rvclkhdr_825 @[el2_lib.scala 508:23] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset rvclkhdr_11.io.clk <= clock @[el2_lib.scala 510:18] @@ -100405,175 +100453,175 @@ circuit quasar_wrapper : rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] reg _T_4391 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_4391 <= buf_data_in[3] @[el2_lib.scala 514:16] - buf_data[0] <= _T_4388 @[lsu_bus_buffer.scala 517:12] - buf_data[1] <= _T_4389 @[lsu_bus_buffer.scala 517:12] - buf_data[2] <= _T_4390 @[lsu_bus_buffer.scala 517:12] - buf_data[3] <= _T_4391 @[lsu_bus_buffer.scala 517:12] - node _T_4392 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 518:119] - node _T_4393 = mux(buf_error_en[0], UInt<1>("h01"), _T_4392) @[lsu_bus_buffer.scala 518:84] - node _T_4394 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 518:126] - node _T_4395 = and(_T_4393, _T_4394) @[lsu_bus_buffer.scala 518:124] - reg _T_4396 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 518:80] - _T_4396 <= _T_4395 @[lsu_bus_buffer.scala 518:80] - node _T_4397 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 518:119] - node _T_4398 = mux(buf_error_en[1], UInt<1>("h01"), _T_4397) @[lsu_bus_buffer.scala 518:84] - node _T_4399 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 518:126] - node _T_4400 = and(_T_4398, _T_4399) @[lsu_bus_buffer.scala 518:124] - reg _T_4401 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 518:80] - _T_4401 <= _T_4400 @[lsu_bus_buffer.scala 518:80] - node _T_4402 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 518:119] - node _T_4403 = mux(buf_error_en[2], UInt<1>("h01"), _T_4402) @[lsu_bus_buffer.scala 518:84] - node _T_4404 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 518:126] - node _T_4405 = and(_T_4403, _T_4404) @[lsu_bus_buffer.scala 518:124] - reg _T_4406 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 518:80] - _T_4406 <= _T_4405 @[lsu_bus_buffer.scala 518:80] - node _T_4407 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 518:119] - node _T_4408 = mux(buf_error_en[3], UInt<1>("h01"), _T_4407) @[lsu_bus_buffer.scala 518:84] - node _T_4409 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 518:126] - node _T_4410 = and(_T_4408, _T_4409) @[lsu_bus_buffer.scala 518:124] - reg _T_4411 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 518:80] - _T_4411 <= _T_4410 @[lsu_bus_buffer.scala 518:80] + buf_data[0] <= _T_4388 @[lsu_bus_buffer.scala 516:12] + buf_data[1] <= _T_4389 @[lsu_bus_buffer.scala 516:12] + buf_data[2] <= _T_4390 @[lsu_bus_buffer.scala 516:12] + buf_data[3] <= _T_4391 @[lsu_bus_buffer.scala 516:12] + node _T_4392 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 517:119] + node _T_4393 = mux(buf_error_en[0], UInt<1>("h01"), _T_4392) @[lsu_bus_buffer.scala 517:84] + node _T_4394 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 517:126] + node _T_4395 = and(_T_4393, _T_4394) @[lsu_bus_buffer.scala 517:124] + reg _T_4396 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 517:80] + _T_4396 <= _T_4395 @[lsu_bus_buffer.scala 517:80] + node _T_4397 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 517:119] + node _T_4398 = mux(buf_error_en[1], UInt<1>("h01"), _T_4397) @[lsu_bus_buffer.scala 517:84] + node _T_4399 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 517:126] + node _T_4400 = and(_T_4398, _T_4399) @[lsu_bus_buffer.scala 517:124] + reg _T_4401 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 517:80] + _T_4401 <= _T_4400 @[lsu_bus_buffer.scala 517:80] + node _T_4402 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 517:119] + node _T_4403 = mux(buf_error_en[2], UInt<1>("h01"), _T_4402) @[lsu_bus_buffer.scala 517:84] + node _T_4404 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 517:126] + node _T_4405 = and(_T_4403, _T_4404) @[lsu_bus_buffer.scala 517:124] + reg _T_4406 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 517:80] + _T_4406 <= _T_4405 @[lsu_bus_buffer.scala 517:80] + node _T_4407 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 517:119] + node _T_4408 = mux(buf_error_en[3], UInt<1>("h01"), _T_4407) @[lsu_bus_buffer.scala 517:84] + node _T_4409 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 517:126] + node _T_4410 = and(_T_4408, _T_4409) @[lsu_bus_buffer.scala 517:124] + reg _T_4411 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 517:80] + _T_4411 <= _T_4410 @[lsu_bus_buffer.scala 517:80] node _T_4412 = cat(_T_4411, _T_4406) @[Cat.scala 29:58] node _T_4413 = cat(_T_4412, _T_4401) @[Cat.scala 29:58] node _T_4414 = cat(_T_4413, _T_4396) @[Cat.scala 29:58] - buf_error <= _T_4414 @[lsu_bus_buffer.scala 518:13] + buf_error <= _T_4414 @[lsu_bus_buffer.scala 517:13] node _T_4415 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4416 = mux(io.ldst_dual_m, _T_4415, io.lsu_busreq_m) @[lsu_bus_buffer.scala 521:28] + node _T_4416 = mux(io.ldst_dual_m, _T_4415, io.lsu_busreq_m) @[lsu_bus_buffer.scala 520:28] node _T_4417 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4418 = mux(io.ldst_dual_r, _T_4417, io.lsu_busreq_r) @[lsu_bus_buffer.scala 521:94] - node _T_4419 = add(_T_4416, _T_4418) @[lsu_bus_buffer.scala 521:88] - node _T_4420 = add(_T_4419, ibuf_valid) @[lsu_bus_buffer.scala 521:154] - node _T_4421 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 521:190] - node _T_4422 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 521:190] - node _T_4423 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 521:190] - node _T_4424 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 521:190] - node _T_4425 = add(_T_4421, _T_4422) @[lsu_bus_buffer.scala 521:217] - node _T_4426 = add(_T_4425, _T_4423) @[lsu_bus_buffer.scala 521:217] - node _T_4427 = add(_T_4426, _T_4424) @[lsu_bus_buffer.scala 521:217] - node _T_4428 = add(_T_4420, _T_4427) @[lsu_bus_buffer.scala 521:169] - node buf_numvld_any = tail(_T_4428, 1) @[lsu_bus_buffer.scala 521:169] - node _T_4429 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 522:60] - node _T_4430 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:79] - node _T_4431 = and(_T_4429, _T_4430) @[lsu_bus_buffer.scala 522:64] - node _T_4432 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:91] - node _T_4433 = and(_T_4431, _T_4432) @[lsu_bus_buffer.scala 522:89] - node _T_4434 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 522:60] - node _T_4435 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:79] - node _T_4436 = and(_T_4434, _T_4435) @[lsu_bus_buffer.scala 522:64] - node _T_4437 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:91] - node _T_4438 = and(_T_4436, _T_4437) @[lsu_bus_buffer.scala 522:89] - node _T_4439 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 522:60] - node _T_4440 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:79] - node _T_4441 = and(_T_4439, _T_4440) @[lsu_bus_buffer.scala 522:64] - node _T_4442 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:91] - node _T_4443 = and(_T_4441, _T_4442) @[lsu_bus_buffer.scala 522:89] - node _T_4444 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 522:60] - node _T_4445 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:79] - node _T_4446 = and(_T_4444, _T_4445) @[lsu_bus_buffer.scala 522:64] - node _T_4447 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:91] - node _T_4448 = and(_T_4446, _T_4447) @[lsu_bus_buffer.scala 522:89] - node _T_4449 = add(_T_4448, _T_4443) @[lsu_bus_buffer.scala 522:142] - node _T_4450 = add(_T_4449, _T_4438) @[lsu_bus_buffer.scala 522:142] - node _T_4451 = add(_T_4450, _T_4433) @[lsu_bus_buffer.scala 522:142] - buf_numvld_wrcmd_any <= _T_4451 @[lsu_bus_buffer.scala 522:24] - node _T_4452 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:63] - node _T_4453 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:75] - node _T_4454 = and(_T_4452, _T_4453) @[lsu_bus_buffer.scala 523:73] - node _T_4455 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:63] - node _T_4456 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:75] - node _T_4457 = and(_T_4455, _T_4456) @[lsu_bus_buffer.scala 523:73] - node _T_4458 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:63] - node _T_4459 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:75] - node _T_4460 = and(_T_4458, _T_4459) @[lsu_bus_buffer.scala 523:73] - node _T_4461 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:63] - node _T_4462 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:75] - node _T_4463 = and(_T_4461, _T_4462) @[lsu_bus_buffer.scala 523:73] - node _T_4464 = add(_T_4463, _T_4460) @[lsu_bus_buffer.scala 523:126] - node _T_4465 = add(_T_4464, _T_4457) @[lsu_bus_buffer.scala 523:126] - node _T_4466 = add(_T_4465, _T_4454) @[lsu_bus_buffer.scala 523:126] - buf_numvld_cmd_any <= _T_4466 @[lsu_bus_buffer.scala 523:22] - node _T_4467 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 524:63] - node _T_4468 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 524:90] - node _T_4469 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 524:102] - node _T_4470 = and(_T_4468, _T_4469) @[lsu_bus_buffer.scala 524:100] - node _T_4471 = or(_T_4467, _T_4470) @[lsu_bus_buffer.scala 524:74] - node _T_4472 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 524:63] - node _T_4473 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 524:90] - node _T_4474 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 524:102] - node _T_4475 = and(_T_4473, _T_4474) @[lsu_bus_buffer.scala 524:100] - node _T_4476 = or(_T_4472, _T_4475) @[lsu_bus_buffer.scala 524:74] - node _T_4477 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 524:63] - node _T_4478 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 524:90] - node _T_4479 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 524:102] - node _T_4480 = and(_T_4478, _T_4479) @[lsu_bus_buffer.scala 524:100] - node _T_4481 = or(_T_4477, _T_4480) @[lsu_bus_buffer.scala 524:74] - node _T_4482 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 524:63] - node _T_4483 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 524:90] - node _T_4484 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 524:102] - node _T_4485 = and(_T_4483, _T_4484) @[lsu_bus_buffer.scala 524:100] - node _T_4486 = or(_T_4482, _T_4485) @[lsu_bus_buffer.scala 524:74] - node _T_4487 = add(_T_4486, _T_4481) @[lsu_bus_buffer.scala 524:154] - node _T_4488 = add(_T_4487, _T_4476) @[lsu_bus_buffer.scala 524:154] - node _T_4489 = add(_T_4488, _T_4471) @[lsu_bus_buffer.scala 524:154] - buf_numvld_pend_any <= _T_4489 @[lsu_bus_buffer.scala 524:23] - node _T_4490 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 525:61] - node _T_4491 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 525:61] - node _T_4492 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 525:61] - node _T_4493 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 525:61] - node _T_4494 = or(_T_4493, _T_4492) @[lsu_bus_buffer.scala 525:93] - node _T_4495 = or(_T_4494, _T_4491) @[lsu_bus_buffer.scala 525:93] - node _T_4496 = or(_T_4495, _T_4490) @[lsu_bus_buffer.scala 525:93] - any_done_wait_state <= _T_4496 @[lsu_bus_buffer.scala 525:23] - node _T_4497 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 526:53] - io.lsu_bus_buffer_pend_any <= _T_4497 @[lsu_bus_buffer.scala 526:30] - node _T_4498 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 527:52] - node _T_4499 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 527:92] - node _T_4500 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 527:121] - node _T_4501 = mux(_T_4498, _T_4499, _T_4500) @[lsu_bus_buffer.scala 527:36] - io.lsu_bus_buffer_full_any <= _T_4501 @[lsu_bus_buffer.scala 527:30] - node _T_4502 = orr(buf_state[0]) @[lsu_bus_buffer.scala 528:52] - node _T_4503 = orr(buf_state[1]) @[lsu_bus_buffer.scala 528:52] - node _T_4504 = orr(buf_state[2]) @[lsu_bus_buffer.scala 528:52] - node _T_4505 = orr(buf_state[3]) @[lsu_bus_buffer.scala 528:52] - node _T_4506 = or(_T_4502, _T_4503) @[lsu_bus_buffer.scala 528:65] - node _T_4507 = or(_T_4506, _T_4504) @[lsu_bus_buffer.scala 528:65] - node _T_4508 = or(_T_4507, _T_4505) @[lsu_bus_buffer.scala 528:65] - node _T_4509 = eq(_T_4508, UInt<1>("h00")) @[lsu_bus_buffer.scala 528:34] - node _T_4510 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 528:72] - node _T_4511 = and(_T_4509, _T_4510) @[lsu_bus_buffer.scala 528:70] - node _T_4512 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 528:86] - node _T_4513 = and(_T_4511, _T_4512) @[lsu_bus_buffer.scala 528:84] - io.lsu_bus_buffer_empty_any <= _T_4513 @[lsu_bus_buffer.scala 528:31] - node _T_4514 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 530:64] - node _T_4515 = and(_T_4514, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 530:85] - node _T_4516 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 530:112] - node _T_4517 = and(_T_4515, _T_4516) @[lsu_bus_buffer.scala 530:110] - node _T_4518 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 530:129] - node _T_4519 = and(_T_4517, _T_4518) @[lsu_bus_buffer.scala 530:127] - io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4519 @[lsu_bus_buffer.scala 530:45] - io.dctl_busbuff.lsu_nonblock_load_tag_m <= WrPtr0_m @[lsu_bus_buffer.scala 531:43] + node _T_4418 = mux(io.ldst_dual_r, _T_4417, io.lsu_busreq_r) @[lsu_bus_buffer.scala 520:94] + node _T_4419 = add(_T_4416, _T_4418) @[lsu_bus_buffer.scala 520:88] + node _T_4420 = add(_T_4419, ibuf_valid) @[lsu_bus_buffer.scala 520:154] + node _T_4421 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 520:190] + node _T_4422 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 520:190] + node _T_4423 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 520:190] + node _T_4424 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 520:190] + node _T_4425 = add(_T_4421, _T_4422) @[lsu_bus_buffer.scala 520:217] + node _T_4426 = add(_T_4425, _T_4423) @[lsu_bus_buffer.scala 520:217] + node _T_4427 = add(_T_4426, _T_4424) @[lsu_bus_buffer.scala 520:217] + node _T_4428 = add(_T_4420, _T_4427) @[lsu_bus_buffer.scala 520:169] + node buf_numvld_any = tail(_T_4428, 1) @[lsu_bus_buffer.scala 520:169] + node _T_4429 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 521:60] + node _T_4430 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 521:79] + node _T_4431 = and(_T_4429, _T_4430) @[lsu_bus_buffer.scala 521:64] + node _T_4432 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 521:91] + node _T_4433 = and(_T_4431, _T_4432) @[lsu_bus_buffer.scala 521:89] + node _T_4434 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 521:60] + node _T_4435 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 521:79] + node _T_4436 = and(_T_4434, _T_4435) @[lsu_bus_buffer.scala 521:64] + node _T_4437 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 521:91] + node _T_4438 = and(_T_4436, _T_4437) @[lsu_bus_buffer.scala 521:89] + node _T_4439 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 521:60] + node _T_4440 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 521:79] + node _T_4441 = and(_T_4439, _T_4440) @[lsu_bus_buffer.scala 521:64] + node _T_4442 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 521:91] + node _T_4443 = and(_T_4441, _T_4442) @[lsu_bus_buffer.scala 521:89] + node _T_4444 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 521:60] + node _T_4445 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 521:79] + node _T_4446 = and(_T_4444, _T_4445) @[lsu_bus_buffer.scala 521:64] + node _T_4447 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 521:91] + node _T_4448 = and(_T_4446, _T_4447) @[lsu_bus_buffer.scala 521:89] + node _T_4449 = add(_T_4448, _T_4443) @[lsu_bus_buffer.scala 521:142] + node _T_4450 = add(_T_4449, _T_4438) @[lsu_bus_buffer.scala 521:142] + node _T_4451 = add(_T_4450, _T_4433) @[lsu_bus_buffer.scala 521:142] + buf_numvld_wrcmd_any <= _T_4451 @[lsu_bus_buffer.scala 521:24] + node _T_4452 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:63] + node _T_4453 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:75] + node _T_4454 = and(_T_4452, _T_4453) @[lsu_bus_buffer.scala 522:73] + node _T_4455 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:63] + node _T_4456 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:75] + node _T_4457 = and(_T_4455, _T_4456) @[lsu_bus_buffer.scala 522:73] + node _T_4458 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:63] + node _T_4459 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:75] + node _T_4460 = and(_T_4458, _T_4459) @[lsu_bus_buffer.scala 522:73] + node _T_4461 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:63] + node _T_4462 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:75] + node _T_4463 = and(_T_4461, _T_4462) @[lsu_bus_buffer.scala 522:73] + node _T_4464 = add(_T_4463, _T_4460) @[lsu_bus_buffer.scala 522:126] + node _T_4465 = add(_T_4464, _T_4457) @[lsu_bus_buffer.scala 522:126] + node _T_4466 = add(_T_4465, _T_4454) @[lsu_bus_buffer.scala 522:126] + buf_numvld_cmd_any <= _T_4466 @[lsu_bus_buffer.scala 522:22] + node _T_4467 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 523:63] + node _T_4468 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:90] + node _T_4469 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:102] + node _T_4470 = and(_T_4468, _T_4469) @[lsu_bus_buffer.scala 523:100] + node _T_4471 = or(_T_4467, _T_4470) @[lsu_bus_buffer.scala 523:74] + node _T_4472 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 523:63] + node _T_4473 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:90] + node _T_4474 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:102] + node _T_4475 = and(_T_4473, _T_4474) @[lsu_bus_buffer.scala 523:100] + node _T_4476 = or(_T_4472, _T_4475) @[lsu_bus_buffer.scala 523:74] + node _T_4477 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 523:63] + node _T_4478 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:90] + node _T_4479 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:102] + node _T_4480 = and(_T_4478, _T_4479) @[lsu_bus_buffer.scala 523:100] + node _T_4481 = or(_T_4477, _T_4480) @[lsu_bus_buffer.scala 523:74] + node _T_4482 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 523:63] + node _T_4483 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:90] + node _T_4484 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:102] + node _T_4485 = and(_T_4483, _T_4484) @[lsu_bus_buffer.scala 523:100] + node _T_4486 = or(_T_4482, _T_4485) @[lsu_bus_buffer.scala 523:74] + node _T_4487 = add(_T_4486, _T_4481) @[lsu_bus_buffer.scala 523:154] + node _T_4488 = add(_T_4487, _T_4476) @[lsu_bus_buffer.scala 523:154] + node _T_4489 = add(_T_4488, _T_4471) @[lsu_bus_buffer.scala 523:154] + buf_numvld_pend_any <= _T_4489 @[lsu_bus_buffer.scala 523:23] + node _T_4490 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 524:61] + node _T_4491 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 524:61] + node _T_4492 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 524:61] + node _T_4493 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 524:61] + node _T_4494 = or(_T_4493, _T_4492) @[lsu_bus_buffer.scala 524:93] + node _T_4495 = or(_T_4494, _T_4491) @[lsu_bus_buffer.scala 524:93] + node _T_4496 = or(_T_4495, _T_4490) @[lsu_bus_buffer.scala 524:93] + any_done_wait_state <= _T_4496 @[lsu_bus_buffer.scala 524:23] + node _T_4497 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 525:53] + io.lsu_bus_buffer_pend_any <= _T_4497 @[lsu_bus_buffer.scala 525:30] + node _T_4498 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 526:52] + node _T_4499 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 526:92] + node _T_4500 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 526:121] + node _T_4501 = mux(_T_4498, _T_4499, _T_4500) @[lsu_bus_buffer.scala 526:36] + io.lsu_bus_buffer_full_any <= _T_4501 @[lsu_bus_buffer.scala 526:30] + node _T_4502 = orr(buf_state[0]) @[lsu_bus_buffer.scala 527:52] + node _T_4503 = orr(buf_state[1]) @[lsu_bus_buffer.scala 527:52] + node _T_4504 = orr(buf_state[2]) @[lsu_bus_buffer.scala 527:52] + node _T_4505 = orr(buf_state[3]) @[lsu_bus_buffer.scala 527:52] + node _T_4506 = or(_T_4502, _T_4503) @[lsu_bus_buffer.scala 527:65] + node _T_4507 = or(_T_4506, _T_4504) @[lsu_bus_buffer.scala 527:65] + node _T_4508 = or(_T_4507, _T_4505) @[lsu_bus_buffer.scala 527:65] + node _T_4509 = eq(_T_4508, UInt<1>("h00")) @[lsu_bus_buffer.scala 527:34] + node _T_4510 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 527:72] + node _T_4511 = and(_T_4509, _T_4510) @[lsu_bus_buffer.scala 527:70] + node _T_4512 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 527:86] + node _T_4513 = and(_T_4511, _T_4512) @[lsu_bus_buffer.scala 527:84] + io.lsu_bus_buffer_empty_any <= _T_4513 @[lsu_bus_buffer.scala 527:31] + node _T_4514 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 529:64] + node _T_4515 = and(_T_4514, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 529:85] + node _T_4516 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 529:112] + node _T_4517 = and(_T_4515, _T_4516) @[lsu_bus_buffer.scala 529:110] + node _T_4518 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 529:129] + node _T_4519 = and(_T_4517, _T_4518) @[lsu_bus_buffer.scala 529:127] + io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4519 @[lsu_bus_buffer.scala 529:45] + io.dctl_busbuff.lsu_nonblock_load_tag_m <= WrPtr0_m @[lsu_bus_buffer.scala 530:43] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4520 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 533:74] - node _T_4521 = and(lsu_nonblock_load_valid_r, _T_4520) @[lsu_bus_buffer.scala 533:72] - io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4521 @[lsu_bus_buffer.scala 533:43] - io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[lsu_bus_buffer.scala 534:47] - node _T_4522 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:80] - node _T_4523 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 535:127] - node _T_4524 = and(UInt<1>("h01"), _T_4523) @[lsu_bus_buffer.scala 535:116] - node _T_4525 = eq(_T_4524, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:95] - node _T_4526 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:80] - node _T_4527 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 535:127] - node _T_4528 = and(UInt<1>("h01"), _T_4527) @[lsu_bus_buffer.scala 535:116] - node _T_4529 = eq(_T_4528, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:95] - node _T_4530 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:80] - node _T_4531 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 535:127] - node _T_4532 = and(UInt<1>("h01"), _T_4531) @[lsu_bus_buffer.scala 535:116] - node _T_4533 = eq(_T_4532, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:95] - node _T_4534 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:80] - node _T_4535 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 535:127] - node _T_4536 = and(UInt<1>("h01"), _T_4535) @[lsu_bus_buffer.scala 535:116] - node _T_4537 = eq(_T_4536, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:95] + node _T_4520 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 532:74] + node _T_4521 = and(lsu_nonblock_load_valid_r, _T_4520) @[lsu_bus_buffer.scala 532:72] + io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4521 @[lsu_bus_buffer.scala 532:43] + io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[lsu_bus_buffer.scala 533:47] + node _T_4522 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 534:80] + node _T_4523 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 534:127] + node _T_4524 = and(UInt<1>("h01"), _T_4523) @[lsu_bus_buffer.scala 534:116] + node _T_4525 = eq(_T_4524, UInt<1>("h00")) @[lsu_bus_buffer.scala 534:95] + node _T_4526 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 534:80] + node _T_4527 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 534:127] + node _T_4528 = and(UInt<1>("h01"), _T_4527) @[lsu_bus_buffer.scala 534:116] + node _T_4529 = eq(_T_4528, UInt<1>("h00")) @[lsu_bus_buffer.scala 534:95] + node _T_4530 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 534:80] + node _T_4531 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 534:127] + node _T_4532 = and(UInt<1>("h01"), _T_4531) @[lsu_bus_buffer.scala 534:116] + node _T_4533 = eq(_T_4532, UInt<1>("h00")) @[lsu_bus_buffer.scala 534:95] + node _T_4534 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 534:80] + node _T_4535 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 534:127] + node _T_4536 = and(UInt<1>("h01"), _T_4535) @[lsu_bus_buffer.scala 534:116] + node _T_4537 = eq(_T_4536, UInt<1>("h00")) @[lsu_bus_buffer.scala 534:95] node _T_4538 = mux(_T_4522, _T_4525, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4539 = mux(_T_4526, _T_4529, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4540 = mux(_T_4530, _T_4533, UInt<1>("h00")) @[Mux.scala 27:72] @@ -100583,26 +100631,26 @@ circuit quasar_wrapper : node _T_4544 = or(_T_4543, _T_4541) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] lsu_nonblock_load_data_ready <= _T_4544 @[Mux.scala 27:72] - node _T_4545 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:93] - node _T_4546 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 536:117] - node _T_4547 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 536:133] - node _T_4548 = eq(_T_4547, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:123] - node _T_4549 = and(_T_4546, _T_4548) @[lsu_bus_buffer.scala 536:121] - node _T_4550 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:93] - node _T_4551 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 536:117] - node _T_4552 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 536:133] - node _T_4553 = eq(_T_4552, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:123] - node _T_4554 = and(_T_4551, _T_4553) @[lsu_bus_buffer.scala 536:121] - node _T_4555 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:93] - node _T_4556 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 536:117] - node _T_4557 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 536:133] - node _T_4558 = eq(_T_4557, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:123] - node _T_4559 = and(_T_4556, _T_4558) @[lsu_bus_buffer.scala 536:121] - node _T_4560 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:93] - node _T_4561 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 536:117] - node _T_4562 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 536:133] - node _T_4563 = eq(_T_4562, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:123] - node _T_4564 = and(_T_4561, _T_4563) @[lsu_bus_buffer.scala 536:121] + node _T_4545 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:93] + node _T_4546 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 535:117] + node _T_4547 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 535:133] + node _T_4548 = eq(_T_4547, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:123] + node _T_4549 = and(_T_4546, _T_4548) @[lsu_bus_buffer.scala 535:121] + node _T_4550 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:93] + node _T_4551 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 535:117] + node _T_4552 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 535:133] + node _T_4553 = eq(_T_4552, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:123] + node _T_4554 = and(_T_4551, _T_4553) @[lsu_bus_buffer.scala 535:121] + node _T_4555 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:93] + node _T_4556 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 535:117] + node _T_4557 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 535:133] + node _T_4558 = eq(_T_4557, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:123] + node _T_4559 = and(_T_4556, _T_4558) @[lsu_bus_buffer.scala 535:121] + node _T_4560 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:93] + node _T_4561 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 535:117] + node _T_4562 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 535:133] + node _T_4563 = eq(_T_4562, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:123] + node _T_4564 = and(_T_4561, _T_4563) @[lsu_bus_buffer.scala 535:121] node _T_4565 = mux(_T_4545, _T_4549, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4566 = mux(_T_4550, _T_4554, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4567 = mux(_T_4555, _T_4559, UInt<1>("h00")) @[Mux.scala 27:72] @@ -100612,39 +100660,39 @@ circuit quasar_wrapper : node _T_4571 = or(_T_4570, _T_4568) @[Mux.scala 27:72] wire _T_4572 : UInt<1> @[Mux.scala 27:72] _T_4572 <= _T_4571 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4572 @[lsu_bus_buffer.scala 536:48] - node _T_4573 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:92] - node _T_4574 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 537:115] - node _T_4575 = eq(_T_4574, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:105] - node _T_4576 = and(_T_4573, _T_4575) @[lsu_bus_buffer.scala 537:103] - node _T_4577 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:122] - node _T_4578 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:137] - node _T_4579 = or(_T_4577, _T_4578) @[lsu_bus_buffer.scala 537:135] - node _T_4580 = and(_T_4576, _T_4579) @[lsu_bus_buffer.scala 537:119] - node _T_4581 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:92] - node _T_4582 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 537:115] - node _T_4583 = eq(_T_4582, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:105] - node _T_4584 = and(_T_4581, _T_4583) @[lsu_bus_buffer.scala 537:103] - node _T_4585 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:122] - node _T_4586 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:137] - node _T_4587 = or(_T_4585, _T_4586) @[lsu_bus_buffer.scala 537:135] - node _T_4588 = and(_T_4584, _T_4587) @[lsu_bus_buffer.scala 537:119] - node _T_4589 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:92] - node _T_4590 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 537:115] - node _T_4591 = eq(_T_4590, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:105] - node _T_4592 = and(_T_4589, _T_4591) @[lsu_bus_buffer.scala 537:103] - node _T_4593 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:122] - node _T_4594 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:137] - node _T_4595 = or(_T_4593, _T_4594) @[lsu_bus_buffer.scala 537:135] - node _T_4596 = and(_T_4592, _T_4595) @[lsu_bus_buffer.scala 537:119] - node _T_4597 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:92] - node _T_4598 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 537:115] - node _T_4599 = eq(_T_4598, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:105] - node _T_4600 = and(_T_4597, _T_4599) @[lsu_bus_buffer.scala 537:103] - node _T_4601 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:122] - node _T_4602 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:137] - node _T_4603 = or(_T_4601, _T_4602) @[lsu_bus_buffer.scala 537:135] - node _T_4604 = and(_T_4600, _T_4603) @[lsu_bus_buffer.scala 537:119] + io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4572 @[lsu_bus_buffer.scala 535:48] + node _T_4573 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:92] + node _T_4574 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 536:115] + node _T_4575 = eq(_T_4574, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:105] + node _T_4576 = and(_T_4573, _T_4575) @[lsu_bus_buffer.scala 536:103] + node _T_4577 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:122] + node _T_4578 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:137] + node _T_4579 = or(_T_4577, _T_4578) @[lsu_bus_buffer.scala 536:135] + node _T_4580 = and(_T_4576, _T_4579) @[lsu_bus_buffer.scala 536:119] + node _T_4581 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:92] + node _T_4582 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 536:115] + node _T_4583 = eq(_T_4582, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:105] + node _T_4584 = and(_T_4581, _T_4583) @[lsu_bus_buffer.scala 536:103] + node _T_4585 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:122] + node _T_4586 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:137] + node _T_4587 = or(_T_4585, _T_4586) @[lsu_bus_buffer.scala 536:135] + node _T_4588 = and(_T_4584, _T_4587) @[lsu_bus_buffer.scala 536:119] + node _T_4589 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:92] + node _T_4590 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 536:115] + node _T_4591 = eq(_T_4590, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:105] + node _T_4592 = and(_T_4589, _T_4591) @[lsu_bus_buffer.scala 536:103] + node _T_4593 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:122] + node _T_4594 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:137] + node _T_4595 = or(_T_4593, _T_4594) @[lsu_bus_buffer.scala 536:135] + node _T_4596 = and(_T_4592, _T_4595) @[lsu_bus_buffer.scala 536:119] + node _T_4597 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:92] + node _T_4598 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 536:115] + node _T_4599 = eq(_T_4598, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:105] + node _T_4600 = and(_T_4597, _T_4599) @[lsu_bus_buffer.scala 536:103] + node _T_4601 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:122] + node _T_4602 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:137] + node _T_4603 = or(_T_4601, _T_4602) @[lsu_bus_buffer.scala 536:135] + node _T_4604 = and(_T_4600, _T_4603) @[lsu_bus_buffer.scala 536:119] node _T_4605 = mux(_T_4580, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4606 = mux(_T_4588, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4607 = mux(_T_4596, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -100654,39 +100702,39 @@ circuit quasar_wrapper : node _T_4611 = or(_T_4610, _T_4608) @[Mux.scala 27:72] wire _T_4612 : UInt<2> @[Mux.scala 27:72] _T_4612 <= _T_4611 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4612 @[lsu_bus_buffer.scala 537:46] - node _T_4613 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] - node _T_4614 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 538:101] - node _T_4615 = eq(_T_4614, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] - node _T_4616 = and(_T_4613, _T_4615) @[lsu_bus_buffer.scala 538:89] - node _T_4617 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:108] - node _T_4618 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:123] - node _T_4619 = or(_T_4617, _T_4618) @[lsu_bus_buffer.scala 538:121] - node _T_4620 = and(_T_4616, _T_4619) @[lsu_bus_buffer.scala 538:105] - node _T_4621 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] - node _T_4622 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 538:101] - node _T_4623 = eq(_T_4622, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] - node _T_4624 = and(_T_4621, _T_4623) @[lsu_bus_buffer.scala 538:89] - node _T_4625 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:108] - node _T_4626 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:123] - node _T_4627 = or(_T_4625, _T_4626) @[lsu_bus_buffer.scala 538:121] - node _T_4628 = and(_T_4624, _T_4627) @[lsu_bus_buffer.scala 538:105] - node _T_4629 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] - node _T_4630 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 538:101] - node _T_4631 = eq(_T_4630, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] - node _T_4632 = and(_T_4629, _T_4631) @[lsu_bus_buffer.scala 538:89] - node _T_4633 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:108] - node _T_4634 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:123] - node _T_4635 = or(_T_4633, _T_4634) @[lsu_bus_buffer.scala 538:121] - node _T_4636 = and(_T_4632, _T_4635) @[lsu_bus_buffer.scala 538:105] - node _T_4637 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] - node _T_4638 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 538:101] - node _T_4639 = eq(_T_4638, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] - node _T_4640 = and(_T_4637, _T_4639) @[lsu_bus_buffer.scala 538:89] - node _T_4641 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:108] - node _T_4642 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:123] - node _T_4643 = or(_T_4641, _T_4642) @[lsu_bus_buffer.scala 538:121] - node _T_4644 = and(_T_4640, _T_4643) @[lsu_bus_buffer.scala 538:105] + io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4612 @[lsu_bus_buffer.scala 536:46] + node _T_4613 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:78] + node _T_4614 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 537:101] + node _T_4615 = eq(_T_4614, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:91] + node _T_4616 = and(_T_4613, _T_4615) @[lsu_bus_buffer.scala 537:89] + node _T_4617 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:108] + node _T_4618 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:123] + node _T_4619 = or(_T_4617, _T_4618) @[lsu_bus_buffer.scala 537:121] + node _T_4620 = and(_T_4616, _T_4619) @[lsu_bus_buffer.scala 537:105] + node _T_4621 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:78] + node _T_4622 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 537:101] + node _T_4623 = eq(_T_4622, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:91] + node _T_4624 = and(_T_4621, _T_4623) @[lsu_bus_buffer.scala 537:89] + node _T_4625 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:108] + node _T_4626 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:123] + node _T_4627 = or(_T_4625, _T_4626) @[lsu_bus_buffer.scala 537:121] + node _T_4628 = and(_T_4624, _T_4627) @[lsu_bus_buffer.scala 537:105] + node _T_4629 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:78] + node _T_4630 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 537:101] + node _T_4631 = eq(_T_4630, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:91] + node _T_4632 = and(_T_4629, _T_4631) @[lsu_bus_buffer.scala 537:89] + node _T_4633 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:108] + node _T_4634 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:123] + node _T_4635 = or(_T_4633, _T_4634) @[lsu_bus_buffer.scala 537:121] + node _T_4636 = and(_T_4632, _T_4635) @[lsu_bus_buffer.scala 537:105] + node _T_4637 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:78] + node _T_4638 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 537:101] + node _T_4639 = eq(_T_4638, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:91] + node _T_4640 = and(_T_4637, _T_4639) @[lsu_bus_buffer.scala 537:89] + node _T_4641 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:108] + node _T_4642 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:123] + node _T_4643 = or(_T_4641, _T_4642) @[lsu_bus_buffer.scala 537:121] + node _T_4644 = and(_T_4640, _T_4643) @[lsu_bus_buffer.scala 537:105] node _T_4645 = mux(_T_4620, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4646 = mux(_T_4628, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4647 = mux(_T_4636, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -100696,30 +100744,30 @@ circuit quasar_wrapper : node _T_4651 = or(_T_4650, _T_4648) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] lsu_nonblock_load_data_lo <= _T_4651 @[Mux.scala 27:72] - node _T_4652 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:78] - node _T_4653 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 539:101] - node _T_4654 = eq(_T_4653, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:91] - node _T_4655 = and(_T_4652, _T_4654) @[lsu_bus_buffer.scala 539:89] - node _T_4656 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 539:120] - node _T_4657 = and(_T_4655, _T_4656) @[lsu_bus_buffer.scala 539:105] - node _T_4658 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:78] - node _T_4659 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 539:101] - node _T_4660 = eq(_T_4659, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:91] - node _T_4661 = and(_T_4658, _T_4660) @[lsu_bus_buffer.scala 539:89] - node _T_4662 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 539:120] - node _T_4663 = and(_T_4661, _T_4662) @[lsu_bus_buffer.scala 539:105] - node _T_4664 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:78] - node _T_4665 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 539:101] - node _T_4666 = eq(_T_4665, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:91] - node _T_4667 = and(_T_4664, _T_4666) @[lsu_bus_buffer.scala 539:89] - node _T_4668 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 539:120] - node _T_4669 = and(_T_4667, _T_4668) @[lsu_bus_buffer.scala 539:105] - node _T_4670 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:78] - node _T_4671 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 539:101] - node _T_4672 = eq(_T_4671, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:91] - node _T_4673 = and(_T_4670, _T_4672) @[lsu_bus_buffer.scala 539:89] - node _T_4674 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 539:120] - node _T_4675 = and(_T_4673, _T_4674) @[lsu_bus_buffer.scala 539:105] + node _T_4652 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] + node _T_4653 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 538:101] + node _T_4654 = eq(_T_4653, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] + node _T_4655 = and(_T_4652, _T_4654) @[lsu_bus_buffer.scala 538:89] + node _T_4656 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 538:120] + node _T_4657 = and(_T_4655, _T_4656) @[lsu_bus_buffer.scala 538:105] + node _T_4658 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] + node _T_4659 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 538:101] + node _T_4660 = eq(_T_4659, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] + node _T_4661 = and(_T_4658, _T_4660) @[lsu_bus_buffer.scala 538:89] + node _T_4662 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 538:120] + node _T_4663 = and(_T_4661, _T_4662) @[lsu_bus_buffer.scala 538:105] + node _T_4664 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] + node _T_4665 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 538:101] + node _T_4666 = eq(_T_4665, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] + node _T_4667 = and(_T_4664, _T_4666) @[lsu_bus_buffer.scala 538:89] + node _T_4668 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 538:120] + node _T_4669 = and(_T_4667, _T_4668) @[lsu_bus_buffer.scala 538:105] + node _T_4670 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] + node _T_4671 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 538:101] + node _T_4672 = eq(_T_4671, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] + node _T_4673 = and(_T_4670, _T_4672) @[lsu_bus_buffer.scala 538:89] + node _T_4674 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 538:120] + node _T_4675 = and(_T_4673, _T_4674) @[lsu_bus_buffer.scala 538:105] node _T_4676 = mux(_T_4657, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4677 = mux(_T_4663, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4678 = mux(_T_4669, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -100742,7 +100790,7 @@ circuit quasar_wrapper : node _T_4693 = or(_T_4692, _T_4690) @[Mux.scala 27:72] wire _T_4694 : UInt<32> @[Mux.scala 27:72] _T_4694 <= _T_4693 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4694, 1, 0) @[lsu_bus_buffer.scala 540:96] + node lsu_nonblock_addr_offset = bits(_T_4694, 1, 0) @[lsu_bus_buffer.scala 539:96] node _T_4695 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] node _T_4696 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] node _T_4697 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] @@ -100794,36 +100842,36 @@ circuit quasar_wrapper : wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] lsu_nonblock_dual <= _T_4738 @[Mux.scala 27:72] node _T_4739 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4740 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 544:121] - node lsu_nonblock_data_unalgn = dshr(_T_4739, _T_4740) @[lsu_bus_buffer.scala 544:92] - node _T_4741 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:82] - node _T_4742 = and(lsu_nonblock_load_data_ready, _T_4741) @[lsu_bus_buffer.scala 546:80] - io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4742 @[lsu_bus_buffer.scala 546:48] - node _T_4743 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:94] - node _T_4744 = and(lsu_nonblock_unsign, _T_4743) @[lsu_bus_buffer.scala 547:76] - node _T_4745 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 547:144] + node _T_4740 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 543:121] + node lsu_nonblock_data_unalgn = dshr(_T_4739, _T_4740) @[lsu_bus_buffer.scala 543:92] + node _T_4741 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:82] + node _T_4742 = and(lsu_nonblock_load_data_ready, _T_4741) @[lsu_bus_buffer.scala 545:80] + io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4742 @[lsu_bus_buffer.scala 545:48] + node _T_4743 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:94] + node _T_4744 = and(lsu_nonblock_unsign, _T_4743) @[lsu_bus_buffer.scala 546:76] + node _T_4745 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 546:144] node _T_4746 = cat(UInt<24>("h00"), _T_4745) @[Cat.scala 29:58] - node _T_4747 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 548:45] - node _T_4748 = and(lsu_nonblock_unsign, _T_4747) @[lsu_bus_buffer.scala 548:26] - node _T_4749 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 548:95] + node _T_4747 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 547:45] + node _T_4748 = and(lsu_nonblock_unsign, _T_4747) @[lsu_bus_buffer.scala 547:26] + node _T_4749 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 547:95] node _T_4750 = cat(UInt<16>("h00"), _T_4749) @[Cat.scala 29:58] - node _T_4751 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:6] - node _T_4752 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:45] - node _T_4753 = and(_T_4751, _T_4752) @[lsu_bus_buffer.scala 549:27] - node _T_4754 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 549:93] + node _T_4751 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:6] + node _T_4752 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:45] + node _T_4753 = and(_T_4751, _T_4752) @[lsu_bus_buffer.scala 548:27] + node _T_4754 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 548:93] node _T_4755 = bits(_T_4754, 0, 0) @[Bitwise.scala 72:15] node _T_4756 = mux(_T_4755, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4757 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 549:123] + node _T_4757 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 548:123] node _T_4758 = cat(_T_4756, _T_4757) @[Cat.scala 29:58] - node _T_4759 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 550:6] - node _T_4760 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 550:45] - node _T_4761 = and(_T_4759, _T_4760) @[lsu_bus_buffer.scala 550:27] - node _T_4762 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 550:93] + node _T_4759 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:6] + node _T_4760 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 549:45] + node _T_4761 = and(_T_4759, _T_4760) @[lsu_bus_buffer.scala 549:27] + node _T_4762 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 549:93] node _T_4763 = bits(_T_4762, 0, 0) @[Bitwise.scala 72:15] node _T_4764 = mux(_T_4763, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4765 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 550:124] + node _T_4765 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 549:124] node _T_4766 = cat(_T_4764, _T_4765) @[Cat.scala 29:58] - node _T_4767 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 551:21] + node _T_4767 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 550:21] node _T_4768 = mux(_T_4744, _T_4746, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4769 = mux(_T_4748, _T_4750, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4770 = mux(_T_4753, _T_4758, UInt<1>("h00")) @[Mux.scala 27:72] @@ -100835,277 +100883,280 @@ circuit quasar_wrapper : node _T_4776 = or(_T_4775, _T_4772) @[Mux.scala 27:72] wire _T_4777 : UInt<64> @[Mux.scala 27:72] _T_4777 <= _T_4776 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data <= _T_4777 @[lsu_bus_buffer.scala 547:42] - node _T_4778 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:62] - node _T_4779 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 552:89] - node _T_4780 = and(_T_4778, _T_4779) @[lsu_bus_buffer.scala 552:73] - node _T_4781 = and(_T_4780, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 552:93] - node _T_4782 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:62] - node _T_4783 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 552:89] - node _T_4784 = and(_T_4782, _T_4783) @[lsu_bus_buffer.scala 552:73] - node _T_4785 = and(_T_4784, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 552:93] - node _T_4786 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:62] - node _T_4787 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 552:89] - node _T_4788 = and(_T_4786, _T_4787) @[lsu_bus_buffer.scala 552:73] - node _T_4789 = and(_T_4788, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 552:93] - node _T_4790 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:62] - node _T_4791 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 552:89] - node _T_4792 = and(_T_4790, _T_4791) @[lsu_bus_buffer.scala 552:73] - node _T_4793 = and(_T_4792, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 552:93] - node _T_4794 = or(_T_4781, _T_4785) @[lsu_bus_buffer.scala 552:153] - node _T_4795 = or(_T_4794, _T_4789) @[lsu_bus_buffer.scala 552:153] - node _T_4796 = or(_T_4795, _T_4793) @[lsu_bus_buffer.scala 552:153] - bus_sideeffect_pend <= _T_4796 @[lsu_bus_buffer.scala 552:23] - node _T_4797 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 553:71] - node _T_4798 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 554:25] - node _T_4799 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 554:50] - node _T_4800 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 554:70] - node _T_4801 = eq(_T_4799, _T_4800) @[lsu_bus_buffer.scala 554:56] - node _T_4802 = and(_T_4798, _T_4801) @[lsu_bus_buffer.scala 554:38] - node _T_4803 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:92] - node _T_4804 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:126] - node _T_4805 = and(obuf_merge, _T_4804) @[lsu_bus_buffer.scala 554:114] - node _T_4806 = or(_T_4803, _T_4805) @[lsu_bus_buffer.scala 554:100] - node _T_4807 = eq(_T_4806, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:80] - node _T_4808 = and(_T_4802, _T_4807) @[lsu_bus_buffer.scala 554:78] - node _T_4809 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 553:71] - node _T_4810 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 554:25] - node _T_4811 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 554:50] - node _T_4812 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 554:70] - node _T_4813 = eq(_T_4811, _T_4812) @[lsu_bus_buffer.scala 554:56] - node _T_4814 = and(_T_4810, _T_4813) @[lsu_bus_buffer.scala 554:38] - node _T_4815 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 554:92] - node _T_4816 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 554:126] - node _T_4817 = and(obuf_merge, _T_4816) @[lsu_bus_buffer.scala 554:114] - node _T_4818 = or(_T_4815, _T_4817) @[lsu_bus_buffer.scala 554:100] - node _T_4819 = eq(_T_4818, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:80] - node _T_4820 = and(_T_4814, _T_4819) @[lsu_bus_buffer.scala 554:78] - node _T_4821 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 553:71] - node _T_4822 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 554:25] - node _T_4823 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 554:50] - node _T_4824 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 554:70] - node _T_4825 = eq(_T_4823, _T_4824) @[lsu_bus_buffer.scala 554:56] - node _T_4826 = and(_T_4822, _T_4825) @[lsu_bus_buffer.scala 554:38] - node _T_4827 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 554:92] - node _T_4828 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 554:126] - node _T_4829 = and(obuf_merge, _T_4828) @[lsu_bus_buffer.scala 554:114] - node _T_4830 = or(_T_4827, _T_4829) @[lsu_bus_buffer.scala 554:100] - node _T_4831 = eq(_T_4830, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:80] - node _T_4832 = and(_T_4826, _T_4831) @[lsu_bus_buffer.scala 554:78] - node _T_4833 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 553:71] - node _T_4834 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 554:25] - node _T_4835 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 554:50] - node _T_4836 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 554:70] - node _T_4837 = eq(_T_4835, _T_4836) @[lsu_bus_buffer.scala 554:56] - node _T_4838 = and(_T_4834, _T_4837) @[lsu_bus_buffer.scala 554:38] - node _T_4839 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 554:92] - node _T_4840 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 554:126] - node _T_4841 = and(obuf_merge, _T_4840) @[lsu_bus_buffer.scala 554:114] - node _T_4842 = or(_T_4839, _T_4841) @[lsu_bus_buffer.scala 554:100] - node _T_4843 = eq(_T_4842, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:80] - node _T_4844 = and(_T_4838, _T_4843) @[lsu_bus_buffer.scala 554:78] - node _T_4845 = mux(_T_4797, _T_4808, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4846 = mux(_T_4809, _T_4820, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4847 = mux(_T_4821, _T_4832, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4848 = mux(_T_4833, _T_4844, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4849 = or(_T_4845, _T_4846) @[Mux.scala 27:72] - node _T_4850 = or(_T_4849, _T_4847) @[Mux.scala 27:72] - node _T_4851 = or(_T_4850, _T_4848) @[Mux.scala 27:72] - wire _T_4852 : UInt<1> @[Mux.scala 27:72] - _T_4852 <= _T_4851 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4852 @[lsu_bus_buffer.scala 553:26] - node _T_4853 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 556:54] - node _T_4854 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 556:75] - node _T_4855 = and(io.lsu_axi.aw.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 556:153] - node _T_4856 = mux(_T_4853, _T_4854, _T_4855) @[lsu_bus_buffer.scala 556:39] - node _T_4857 = mux(obuf_write, _T_4856, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 556:23] - bus_cmd_ready <= _T_4857 @[lsu_bus_buffer.scala 556:17] - node _T_4858 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 557:40] - bus_wcmd_sent <= _T_4858 @[lsu_bus_buffer.scala 557:17] - node _T_4859 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 558:40] - bus_wdata_sent <= _T_4859 @[lsu_bus_buffer.scala 558:18] - node _T_4860 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 559:35] - node _T_4861 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 559:70] - node _T_4862 = and(_T_4860, _T_4861) @[lsu_bus_buffer.scala 559:52] - node _T_4863 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 559:112] - node _T_4864 = or(_T_4862, _T_4863) @[lsu_bus_buffer.scala 559:89] - bus_cmd_sent <= _T_4864 @[lsu_bus_buffer.scala 559:16] - node _T_4865 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 560:38] - bus_rsp_read <= _T_4865 @[lsu_bus_buffer.scala 560:16] - node _T_4866 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 561:39] - bus_rsp_write <= _T_4866 @[lsu_bus_buffer.scala 561:17] - bus_rsp_read_tag <= io.lsu_axi.r.bits.id @[lsu_bus_buffer.scala 562:20] - bus_rsp_write_tag <= io.lsu_axi.b.bits.id @[lsu_bus_buffer.scala 563:21] - node _T_4867 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 564:66] - node _T_4868 = and(bus_rsp_write, _T_4867) @[lsu_bus_buffer.scala 564:40] - bus_rsp_write_error <= _T_4868 @[lsu_bus_buffer.scala 564:23] - node _T_4869 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 565:64] - node _T_4870 = and(bus_rsp_read, _T_4869) @[lsu_bus_buffer.scala 565:38] - bus_rsp_read_error <= _T_4870 @[lsu_bus_buffer.scala 565:22] - bus_rsp_rdata <= io.lsu_axi.r.bits.data @[lsu_bus_buffer.scala 566:17] - node _T_4871 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 569:37] - node _T_4872 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 569:52] - node _T_4873 = and(_T_4871, _T_4872) @[lsu_bus_buffer.scala 569:50] - node _T_4874 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 569:69] - node _T_4875 = and(_T_4873, _T_4874) @[lsu_bus_buffer.scala 569:67] - io.lsu_axi.aw.valid <= _T_4875 @[lsu_bus_buffer.scala 569:23] - io.lsu_axi.aw.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 570:25] - node _T_4876 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 571:75] - node _T_4877 = cat(_T_4876, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4878 = mux(obuf_sideeffect, obuf_addr, _T_4877) @[lsu_bus_buffer.scala 571:33] - io.lsu_axi.aw.bits.addr <= _T_4878 @[lsu_bus_buffer.scala 571:27] - node _T_4879 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4880 = mux(obuf_sideeffect, _T_4879, UInt<3>("h03")) @[lsu_bus_buffer.scala 572:33] - io.lsu_axi.aw.bits.size <= _T_4880 @[lsu_bus_buffer.scala 572:27] - io.lsu_axi.aw.bits.prot <= UInt<1>("h00") @[lsu_bus_buffer.scala 573:27] - node _T_4881 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 574:34] - io.lsu_axi.aw.bits.cache <= _T_4881 @[lsu_bus_buffer.scala 574:28] - node _T_4882 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 575:41] - io.lsu_axi.aw.bits.region <= _T_4882 @[lsu_bus_buffer.scala 575:29] - io.lsu_axi.aw.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 576:26] - io.lsu_axi.aw.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 577:28] - io.lsu_axi.aw.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 578:26] - io.lsu_axi.aw.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 579:27] - node _T_4883 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 581:36] - node _T_4884 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 581:51] - node _T_4885 = and(_T_4883, _T_4884) @[lsu_bus_buffer.scala 581:49] - node _T_4886 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 581:69] - node _T_4887 = and(_T_4885, _T_4886) @[lsu_bus_buffer.scala 581:67] - io.lsu_axi.w.valid <= _T_4887 @[lsu_bus_buffer.scala 581:22] - node _T_4888 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] - node _T_4889 = mux(_T_4888, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4890 = and(obuf_byteen, _T_4889) @[lsu_bus_buffer.scala 582:41] - io.lsu_axi.w.bits.strb <= _T_4890 @[lsu_bus_buffer.scala 582:26] - io.lsu_axi.w.bits.data <= obuf_data @[lsu_bus_buffer.scala 583:26] - io.lsu_axi.w.bits.last <= UInt<1>("h01") @[lsu_bus_buffer.scala 584:26] - node _T_4891 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 586:39] - node _T_4892 = and(obuf_valid, _T_4891) @[lsu_bus_buffer.scala 586:37] - node _T_4893 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 586:53] - node _T_4894 = and(_T_4892, _T_4893) @[lsu_bus_buffer.scala 586:51] - node _T_4895 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 586:68] - node _T_4896 = and(_T_4894, _T_4895) @[lsu_bus_buffer.scala 586:66] - io.lsu_axi.ar.valid <= _T_4896 @[lsu_bus_buffer.scala 586:23] - io.lsu_axi.ar.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 587:25] - node _T_4897 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 588:75] - node _T_4898 = cat(_T_4897, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4899 = mux(obuf_sideeffect, obuf_addr, _T_4898) @[lsu_bus_buffer.scala 588:33] - io.lsu_axi.ar.bits.addr <= _T_4899 @[lsu_bus_buffer.scala 588:27] - node _T_4900 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4901 = mux(obuf_sideeffect, _T_4900, UInt<3>("h03")) @[lsu_bus_buffer.scala 589:33] - io.lsu_axi.ar.bits.size <= _T_4901 @[lsu_bus_buffer.scala 589:27] - io.lsu_axi.ar.bits.prot <= UInt<1>("h00") @[lsu_bus_buffer.scala 590:27] - node _T_4902 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 591:34] - io.lsu_axi.ar.bits.cache <= _T_4902 @[lsu_bus_buffer.scala 591:28] - node _T_4903 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 592:41] - io.lsu_axi.ar.bits.region <= _T_4903 @[lsu_bus_buffer.scala 592:29] - io.lsu_axi.ar.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 593:26] - io.lsu_axi.ar.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 594:28] - io.lsu_axi.ar.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 595:26] - io.lsu_axi.ar.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 596:27] - io.lsu_axi.b.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 597:22] - io.lsu_axi.r.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 598:22] - node _T_4904 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:93] - node _T_4905 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 599:137] - node _T_4906 = and(io.lsu_bus_clk_en_q, _T_4905) @[lsu_bus_buffer.scala 599:126] - node _T_4907 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 599:152] - node _T_4908 = and(_T_4906, _T_4907) @[lsu_bus_buffer.scala 599:141] - node _T_4909 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:93] - node _T_4910 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 599:137] - node _T_4911 = and(io.lsu_bus_clk_en_q, _T_4910) @[lsu_bus_buffer.scala 599:126] - node _T_4912 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 599:152] - node _T_4913 = and(_T_4911, _T_4912) @[lsu_bus_buffer.scala 599:141] - node _T_4914 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:93] - node _T_4915 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 599:137] - node _T_4916 = and(io.lsu_bus_clk_en_q, _T_4915) @[lsu_bus_buffer.scala 599:126] - node _T_4917 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 599:152] - node _T_4918 = and(_T_4916, _T_4917) @[lsu_bus_buffer.scala 599:141] - node _T_4919 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:93] - node _T_4920 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 599:137] - node _T_4921 = and(io.lsu_bus_clk_en_q, _T_4920) @[lsu_bus_buffer.scala 599:126] - node _T_4922 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 599:152] - node _T_4923 = and(_T_4921, _T_4922) @[lsu_bus_buffer.scala 599:141] - node _T_4924 = mux(_T_4904, _T_4908, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4925 = mux(_T_4909, _T_4913, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4926 = mux(_T_4914, _T_4918, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4927 = mux(_T_4919, _T_4923, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4928 = or(_T_4924, _T_4925) @[Mux.scala 27:72] - node _T_4929 = or(_T_4928, _T_4926) @[Mux.scala 27:72] - node _T_4930 = or(_T_4929, _T_4927) @[Mux.scala 27:72] - wire _T_4931 : UInt<1> @[Mux.scala 27:72] - _T_4931 <= _T_4930 @[Mux.scala 27:72] - io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4931 @[lsu_bus_buffer.scala 599:48] - node _T_4932 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 600:82] - node _T_4933 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 600:104] - node _T_4934 = and(_T_4932, _T_4933) @[lsu_bus_buffer.scala 600:93] - node _T_4935 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 600:119] - node _T_4936 = and(_T_4934, _T_4935) @[lsu_bus_buffer.scala 600:108] - node _T_4937 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 600:82] - node _T_4938 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 600:104] - node _T_4939 = and(_T_4937, _T_4938) @[lsu_bus_buffer.scala 600:93] - node _T_4940 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 600:119] - node _T_4941 = and(_T_4939, _T_4940) @[lsu_bus_buffer.scala 600:108] - node _T_4942 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 600:82] - node _T_4943 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 600:104] - node _T_4944 = and(_T_4942, _T_4943) @[lsu_bus_buffer.scala 600:93] - node _T_4945 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 600:119] - node _T_4946 = and(_T_4944, _T_4945) @[lsu_bus_buffer.scala 600:108] - node _T_4947 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 600:82] - node _T_4948 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 600:104] - node _T_4949 = and(_T_4947, _T_4948) @[lsu_bus_buffer.scala 600:93] - node _T_4950 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 600:119] - node _T_4951 = and(_T_4949, _T_4950) @[lsu_bus_buffer.scala 600:108] - node _T_4952 = mux(_T_4936, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4953 = mux(_T_4941, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4954 = mux(_T_4946, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4955 = mux(_T_4951, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4956 = or(_T_4952, _T_4953) @[Mux.scala 27:72] - node _T_4957 = or(_T_4956, _T_4954) @[Mux.scala 27:72] - node _T_4958 = or(_T_4957, _T_4955) @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data <= _T_4777 @[lsu_bus_buffer.scala 546:42] + node _T_4778 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 551:62] + node _T_4779 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 551:89] + node _T_4780 = and(_T_4778, _T_4779) @[lsu_bus_buffer.scala 551:73] + node _T_4781 = and(_T_4780, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 551:93] + node _T_4782 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 551:62] + node _T_4783 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 551:89] + node _T_4784 = and(_T_4782, _T_4783) @[lsu_bus_buffer.scala 551:73] + node _T_4785 = and(_T_4784, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 551:93] + node _T_4786 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 551:62] + node _T_4787 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 551:89] + node _T_4788 = and(_T_4786, _T_4787) @[lsu_bus_buffer.scala 551:73] + node _T_4789 = and(_T_4788, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 551:93] + node _T_4790 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 551:62] + node _T_4791 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 551:89] + node _T_4792 = and(_T_4790, _T_4791) @[lsu_bus_buffer.scala 551:73] + node _T_4793 = and(_T_4792, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 551:93] + node _T_4794 = or(_T_4781, _T_4785) @[lsu_bus_buffer.scala 551:153] + node _T_4795 = or(_T_4794, _T_4789) @[lsu_bus_buffer.scala 551:153] + node _T_4796 = or(_T_4795, _T_4793) @[lsu_bus_buffer.scala 551:153] + node _T_4797 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 551:171] + node _T_4798 = and(_T_4797, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 551:189] + node _T_4799 = or(_T_4796, _T_4798) @[lsu_bus_buffer.scala 551:157] + bus_sideeffect_pend <= _T_4799 @[lsu_bus_buffer.scala 551:23] + node _T_4800 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:71] + node _T_4801 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 553:25] + node _T_4802 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 553:50] + node _T_4803 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 553:70] + node _T_4804 = eq(_T_4802, _T_4803) @[lsu_bus_buffer.scala 553:56] + node _T_4805 = and(_T_4801, _T_4804) @[lsu_bus_buffer.scala 553:38] + node _T_4806 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:92] + node _T_4807 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:126] + node _T_4808 = and(obuf_merge, _T_4807) @[lsu_bus_buffer.scala 553:114] + node _T_4809 = or(_T_4806, _T_4808) @[lsu_bus_buffer.scala 553:100] + node _T_4810 = eq(_T_4809, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:80] + node _T_4811 = and(_T_4805, _T_4810) @[lsu_bus_buffer.scala 553:78] + node _T_4812 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:71] + node _T_4813 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 553:25] + node _T_4814 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 553:50] + node _T_4815 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 553:70] + node _T_4816 = eq(_T_4814, _T_4815) @[lsu_bus_buffer.scala 553:56] + node _T_4817 = and(_T_4813, _T_4816) @[lsu_bus_buffer.scala 553:38] + node _T_4818 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 553:92] + node _T_4819 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 553:126] + node _T_4820 = and(obuf_merge, _T_4819) @[lsu_bus_buffer.scala 553:114] + node _T_4821 = or(_T_4818, _T_4820) @[lsu_bus_buffer.scala 553:100] + node _T_4822 = eq(_T_4821, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:80] + node _T_4823 = and(_T_4817, _T_4822) @[lsu_bus_buffer.scala 553:78] + node _T_4824 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:71] + node _T_4825 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 553:25] + node _T_4826 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 553:50] + node _T_4827 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 553:70] + node _T_4828 = eq(_T_4826, _T_4827) @[lsu_bus_buffer.scala 553:56] + node _T_4829 = and(_T_4825, _T_4828) @[lsu_bus_buffer.scala 553:38] + node _T_4830 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 553:92] + node _T_4831 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 553:126] + node _T_4832 = and(obuf_merge, _T_4831) @[lsu_bus_buffer.scala 553:114] + node _T_4833 = or(_T_4830, _T_4832) @[lsu_bus_buffer.scala 553:100] + node _T_4834 = eq(_T_4833, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:80] + node _T_4835 = and(_T_4829, _T_4834) @[lsu_bus_buffer.scala 553:78] + node _T_4836 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:71] + node _T_4837 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 553:25] + node _T_4838 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 553:50] + node _T_4839 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 553:70] + node _T_4840 = eq(_T_4838, _T_4839) @[lsu_bus_buffer.scala 553:56] + node _T_4841 = and(_T_4837, _T_4840) @[lsu_bus_buffer.scala 553:38] + node _T_4842 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 553:92] + node _T_4843 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 553:126] + node _T_4844 = and(obuf_merge, _T_4843) @[lsu_bus_buffer.scala 553:114] + node _T_4845 = or(_T_4842, _T_4844) @[lsu_bus_buffer.scala 553:100] + node _T_4846 = eq(_T_4845, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:80] + node _T_4847 = and(_T_4841, _T_4846) @[lsu_bus_buffer.scala 553:78] + node _T_4848 = mux(_T_4800, _T_4811, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4849 = mux(_T_4812, _T_4823, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4850 = mux(_T_4824, _T_4835, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4851 = mux(_T_4836, _T_4847, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4852 = or(_T_4848, _T_4849) @[Mux.scala 27:72] + node _T_4853 = or(_T_4852, _T_4850) @[Mux.scala 27:72] + node _T_4854 = or(_T_4853, _T_4851) @[Mux.scala 27:72] + wire _T_4855 : UInt<1> @[Mux.scala 27:72] + _T_4855 <= _T_4854 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4855 @[lsu_bus_buffer.scala 552:26] + node _T_4856 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 555:54] + node _T_4857 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 555:75] + node _T_4858 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 555:153] + node _T_4859 = mux(_T_4856, _T_4857, _T_4858) @[lsu_bus_buffer.scala 555:39] + node _T_4860 = mux(obuf_write, _T_4859, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 555:23] + bus_cmd_ready <= _T_4860 @[lsu_bus_buffer.scala 555:17] + node _T_4861 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 556:40] + bus_wcmd_sent <= _T_4861 @[lsu_bus_buffer.scala 556:17] + node _T_4862 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 557:40] + bus_wdata_sent <= _T_4862 @[lsu_bus_buffer.scala 557:18] + node _T_4863 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 558:35] + node _T_4864 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 558:70] + node _T_4865 = and(_T_4863, _T_4864) @[lsu_bus_buffer.scala 558:52] + node _T_4866 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 558:112] + node _T_4867 = or(_T_4865, _T_4866) @[lsu_bus_buffer.scala 558:89] + bus_cmd_sent <= _T_4867 @[lsu_bus_buffer.scala 558:16] + node _T_4868 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 559:38] + bus_rsp_read <= _T_4868 @[lsu_bus_buffer.scala 559:16] + node _T_4869 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 560:39] + bus_rsp_write <= _T_4869 @[lsu_bus_buffer.scala 560:17] + bus_rsp_read_tag <= io.lsu_axi.r.bits.id @[lsu_bus_buffer.scala 561:20] + bus_rsp_write_tag <= io.lsu_axi.b.bits.id @[lsu_bus_buffer.scala 562:21] + node _T_4870 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:66] + node _T_4871 = and(bus_rsp_write, _T_4870) @[lsu_bus_buffer.scala 563:40] + bus_rsp_write_error <= _T_4871 @[lsu_bus_buffer.scala 563:23] + node _T_4872 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 564:64] + node _T_4873 = and(bus_rsp_read, _T_4872) @[lsu_bus_buffer.scala 564:38] + bus_rsp_read_error <= _T_4873 @[lsu_bus_buffer.scala 564:22] + bus_rsp_rdata <= io.lsu_axi.r.bits.data @[lsu_bus_buffer.scala 565:17] + node _T_4874 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 568:37] + node _T_4875 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 568:52] + node _T_4876 = and(_T_4874, _T_4875) @[lsu_bus_buffer.scala 568:50] + node _T_4877 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 568:69] + node _T_4878 = and(_T_4876, _T_4877) @[lsu_bus_buffer.scala 568:67] + io.lsu_axi.aw.valid <= _T_4878 @[lsu_bus_buffer.scala 568:23] + io.lsu_axi.aw.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 569:25] + node _T_4879 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 570:75] + node _T_4880 = cat(_T_4879, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4881 = mux(obuf_sideeffect, obuf_addr, _T_4880) @[lsu_bus_buffer.scala 570:33] + io.lsu_axi.aw.bits.addr <= _T_4881 @[lsu_bus_buffer.scala 570:27] + node _T_4882 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4883 = mux(obuf_sideeffect, _T_4882, UInt<3>("h03")) @[lsu_bus_buffer.scala 571:33] + io.lsu_axi.aw.bits.size <= _T_4883 @[lsu_bus_buffer.scala 571:27] + io.lsu_axi.aw.bits.prot <= UInt<1>("h00") @[lsu_bus_buffer.scala 572:27] + node _T_4884 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 573:34] + io.lsu_axi.aw.bits.cache <= _T_4884 @[lsu_bus_buffer.scala 573:28] + node _T_4885 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 574:41] + io.lsu_axi.aw.bits.region <= _T_4885 @[lsu_bus_buffer.scala 574:29] + io.lsu_axi.aw.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 575:26] + io.lsu_axi.aw.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 576:28] + io.lsu_axi.aw.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 577:26] + io.lsu_axi.aw.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 578:27] + node _T_4886 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 580:36] + node _T_4887 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 580:51] + node _T_4888 = and(_T_4886, _T_4887) @[lsu_bus_buffer.scala 580:49] + node _T_4889 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 580:69] + node _T_4890 = and(_T_4888, _T_4889) @[lsu_bus_buffer.scala 580:67] + io.lsu_axi.w.valid <= _T_4890 @[lsu_bus_buffer.scala 580:22] + node _T_4891 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4892 = mux(_T_4891, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4893 = and(obuf_byteen, _T_4892) @[lsu_bus_buffer.scala 581:41] + io.lsu_axi.w.bits.strb <= _T_4893 @[lsu_bus_buffer.scala 581:26] + io.lsu_axi.w.bits.data <= obuf_data @[lsu_bus_buffer.scala 582:26] + io.lsu_axi.w.bits.last <= UInt<1>("h01") @[lsu_bus_buffer.scala 583:26] + node _T_4894 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 585:39] + node _T_4895 = and(obuf_valid, _T_4894) @[lsu_bus_buffer.scala 585:37] + node _T_4896 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 585:53] + node _T_4897 = and(_T_4895, _T_4896) @[lsu_bus_buffer.scala 585:51] + node _T_4898 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 585:68] + node _T_4899 = and(_T_4897, _T_4898) @[lsu_bus_buffer.scala 585:66] + io.lsu_axi.ar.valid <= _T_4899 @[lsu_bus_buffer.scala 585:23] + io.lsu_axi.ar.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 586:25] + node _T_4900 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 587:75] + node _T_4901 = cat(_T_4900, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4902 = mux(obuf_sideeffect, obuf_addr, _T_4901) @[lsu_bus_buffer.scala 587:33] + io.lsu_axi.ar.bits.addr <= _T_4902 @[lsu_bus_buffer.scala 587:27] + node _T_4903 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4904 = mux(obuf_sideeffect, _T_4903, UInt<3>("h03")) @[lsu_bus_buffer.scala 588:33] + io.lsu_axi.ar.bits.size <= _T_4904 @[lsu_bus_buffer.scala 588:27] + io.lsu_axi.ar.bits.prot <= UInt<1>("h00") @[lsu_bus_buffer.scala 589:27] + node _T_4905 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 590:34] + io.lsu_axi.ar.bits.cache <= _T_4905 @[lsu_bus_buffer.scala 590:28] + node _T_4906 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 591:41] + io.lsu_axi.ar.bits.region <= _T_4906 @[lsu_bus_buffer.scala 591:29] + io.lsu_axi.ar.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 592:26] + io.lsu_axi.ar.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 593:28] + io.lsu_axi.ar.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 594:26] + io.lsu_axi.ar.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 595:27] + io.lsu_axi.b.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 596:22] + io.lsu_axi.r.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 597:22] + node _T_4907 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 598:93] + node _T_4908 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 598:137] + node _T_4909 = and(io.lsu_bus_clk_en_q, _T_4908) @[lsu_bus_buffer.scala 598:126] + node _T_4910 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 598:152] + node _T_4911 = and(_T_4909, _T_4910) @[lsu_bus_buffer.scala 598:141] + node _T_4912 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 598:93] + node _T_4913 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 598:137] + node _T_4914 = and(io.lsu_bus_clk_en_q, _T_4913) @[lsu_bus_buffer.scala 598:126] + node _T_4915 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 598:152] + node _T_4916 = and(_T_4914, _T_4915) @[lsu_bus_buffer.scala 598:141] + node _T_4917 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 598:93] + node _T_4918 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 598:137] + node _T_4919 = and(io.lsu_bus_clk_en_q, _T_4918) @[lsu_bus_buffer.scala 598:126] + node _T_4920 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 598:152] + node _T_4921 = and(_T_4919, _T_4920) @[lsu_bus_buffer.scala 598:141] + node _T_4922 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 598:93] + node _T_4923 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 598:137] + node _T_4924 = and(io.lsu_bus_clk_en_q, _T_4923) @[lsu_bus_buffer.scala 598:126] + node _T_4925 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 598:152] + node _T_4926 = and(_T_4924, _T_4925) @[lsu_bus_buffer.scala 598:141] + node _T_4927 = mux(_T_4907, _T_4911, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4928 = mux(_T_4912, _T_4916, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4929 = mux(_T_4917, _T_4921, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4930 = mux(_T_4922, _T_4926, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4931 = or(_T_4927, _T_4928) @[Mux.scala 27:72] + node _T_4932 = or(_T_4931, _T_4929) @[Mux.scala 27:72] + node _T_4933 = or(_T_4932, _T_4930) @[Mux.scala 27:72] + wire _T_4934 : UInt<1> @[Mux.scala 27:72] + _T_4934 <= _T_4933 @[Mux.scala 27:72] + io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4934 @[lsu_bus_buffer.scala 598:48] + node _T_4935 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:82] + node _T_4936 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 599:104] + node _T_4937 = and(_T_4935, _T_4936) @[lsu_bus_buffer.scala 599:93] + node _T_4938 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 599:119] + node _T_4939 = and(_T_4937, _T_4938) @[lsu_bus_buffer.scala 599:108] + node _T_4940 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:82] + node _T_4941 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 599:104] + node _T_4942 = and(_T_4940, _T_4941) @[lsu_bus_buffer.scala 599:93] + node _T_4943 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 599:119] + node _T_4944 = and(_T_4942, _T_4943) @[lsu_bus_buffer.scala 599:108] + node _T_4945 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:82] + node _T_4946 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 599:104] + node _T_4947 = and(_T_4945, _T_4946) @[lsu_bus_buffer.scala 599:93] + node _T_4948 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 599:119] + node _T_4949 = and(_T_4947, _T_4948) @[lsu_bus_buffer.scala 599:108] + node _T_4950 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:82] + node _T_4951 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 599:104] + node _T_4952 = and(_T_4950, _T_4951) @[lsu_bus_buffer.scala 599:93] + node _T_4953 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 599:119] + node _T_4954 = and(_T_4952, _T_4953) @[lsu_bus_buffer.scala 599:108] + node _T_4955 = mux(_T_4939, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4956 = mux(_T_4944, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4957 = mux(_T_4949, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4958 = mux(_T_4954, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4959 = or(_T_4955, _T_4956) @[Mux.scala 27:72] + node _T_4960 = or(_T_4959, _T_4957) @[Mux.scala 27:72] + node _T_4961 = or(_T_4960, _T_4958) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<2> @[Mux.scala 27:72] - lsu_imprecise_error_store_tag <= _T_4958 @[Mux.scala 27:72] - node _T_4959 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 602:97] - node _T_4960 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4959) @[lsu_bus_buffer.scala 602:95] - io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4960 @[lsu_bus_buffer.scala 602:47] - node _T_4961 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 603:53] - io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4961 @[lsu_bus_buffer.scala 603:47] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[lsu_bus_buffer.scala 604:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[lsu_bus_buffer.scala 606:23] - node _T_4962 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 609:59] - node _T_4963 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 609:104] - node _T_4964 = or(_T_4962, _T_4963) @[lsu_bus_buffer.scala 609:82] - node _T_4965 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 609:149] - node _T_4966 = or(_T_4964, _T_4965) @[lsu_bus_buffer.scala 609:126] - io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4966 @[lsu_bus_buffer.scala 609:35] - node _T_4967 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 610:60] - node _T_4968 = and(_T_4967, io.lsu_commit_r) @[lsu_bus_buffer.scala 610:77] - io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4968 @[lsu_bus_buffer.scala 610:41] - node _T_4969 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 611:83] - io.tlu_busbuff.lsu_pmu_bus_error <= _T_4969 @[lsu_bus_buffer.scala 611:36] - node _T_4970 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 613:61] - node _T_4971 = and(io.lsu_axi.aw.valid, _T_4970) @[lsu_bus_buffer.scala 613:59] - node _T_4972 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 613:107] - node _T_4973 = and(io.lsu_axi.w.valid, _T_4972) @[lsu_bus_buffer.scala 613:105] - node _T_4974 = or(_T_4971, _T_4973) @[lsu_bus_buffer.scala 613:83] - node _T_4975 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 613:153] - node _T_4976 = and(io.lsu_axi.ar.valid, _T_4975) @[lsu_bus_buffer.scala 613:151] - node _T_4977 = or(_T_4974, _T_4976) @[lsu_bus_buffer.scala 613:128] - io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4977 @[lsu_bus_buffer.scala 613:35] - reg _T_4978 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 615:49] - _T_4978 <= WrPtr0_m @[lsu_bus_buffer.scala 615:49] - WrPtr0_r <= _T_4978 @[lsu_bus_buffer.scala 615:12] - reg _T_4979 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 616:49] - _T_4979 <= WrPtr1_m @[lsu_bus_buffer.scala 616:49] - WrPtr1_r <= _T_4979 @[lsu_bus_buffer.scala 616:12] - node _T_4980 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 617:75] - node _T_4981 = and(io.lsu_busreq_m, _T_4980) @[lsu_bus_buffer.scala 617:73] - node _T_4982 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 617:89] - node _T_4983 = and(_T_4981, _T_4982) @[lsu_bus_buffer.scala 617:87] - reg _T_4984 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 617:56] - _T_4984 <= _T_4983 @[lsu_bus_buffer.scala 617:56] - io.lsu_busreq_r <= _T_4984 @[lsu_bus_buffer.scala 617:19] - reg _T_4985 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 618:66] - _T_4985 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 618:66] - lsu_nonblock_load_valid_r <= _T_4985 @[lsu_bus_buffer.scala 618:29] + lsu_imprecise_error_store_tag <= _T_4961 @[Mux.scala 27:72] + node _T_4962 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 601:97] + node _T_4963 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4962) @[lsu_bus_buffer.scala 601:95] + io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4963 @[lsu_bus_buffer.scala 601:47] + node _T_4964 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 602:53] + io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4964 @[lsu_bus_buffer.scala 602:47] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[lsu_bus_buffer.scala 603:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[lsu_bus_buffer.scala 605:23] + node _T_4965 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 608:59] + node _T_4966 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 608:104] + node _T_4967 = or(_T_4965, _T_4966) @[lsu_bus_buffer.scala 608:82] + node _T_4968 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 608:149] + node _T_4969 = or(_T_4967, _T_4968) @[lsu_bus_buffer.scala 608:126] + io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4969 @[lsu_bus_buffer.scala 608:35] + node _T_4970 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 609:60] + node _T_4971 = and(_T_4970, io.lsu_commit_r) @[lsu_bus_buffer.scala 609:77] + io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4971 @[lsu_bus_buffer.scala 609:41] + node _T_4972 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 610:83] + io.tlu_busbuff.lsu_pmu_bus_error <= _T_4972 @[lsu_bus_buffer.scala 610:36] + node _T_4973 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 612:61] + node _T_4974 = and(io.lsu_axi.aw.valid, _T_4973) @[lsu_bus_buffer.scala 612:59] + node _T_4975 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 612:107] + node _T_4976 = and(io.lsu_axi.w.valid, _T_4975) @[lsu_bus_buffer.scala 612:105] + node _T_4977 = or(_T_4974, _T_4976) @[lsu_bus_buffer.scala 612:83] + node _T_4978 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 612:153] + node _T_4979 = and(io.lsu_axi.ar.valid, _T_4978) @[lsu_bus_buffer.scala 612:151] + node _T_4980 = or(_T_4977, _T_4979) @[lsu_bus_buffer.scala 612:128] + io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4980 @[lsu_bus_buffer.scala 612:35] + reg _T_4981 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 614:49] + _T_4981 <= WrPtr0_m @[lsu_bus_buffer.scala 614:49] + WrPtr0_r <= _T_4981 @[lsu_bus_buffer.scala 614:12] + reg _T_4982 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 615:49] + _T_4982 <= WrPtr1_m @[lsu_bus_buffer.scala 615:49] + WrPtr1_r <= _T_4982 @[lsu_bus_buffer.scala 615:12] + node _T_4983 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 616:75] + node _T_4984 = and(io.lsu_busreq_m, _T_4983) @[lsu_bus_buffer.scala 616:73] + node _T_4985 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 616:89] + node _T_4986 = and(_T_4984, _T_4985) @[lsu_bus_buffer.scala 616:87] + reg _T_4987 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 616:56] + _T_4987 <= _T_4986 @[lsu_bus_buffer.scala 616:56] + io.lsu_busreq_r <= _T_4987 @[lsu_bus_buffer.scala 616:19] + reg _T_4988 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 617:66] + _T_4988 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 617:66] + lsu_nonblock_load_valid_r <= _T_4988 @[lsu_bus_buffer.scala 617:29] module lsu_bus_intf : input clock : Clock @@ -102038,7 +102089,7 @@ circuit quasar_wrapper : io.lsu_pic.picm_rden <= dccm_ctl.io.lsu_pic.picm_rden @[lsu.scala 196:14] io.lsu_pic.picm_wren <= dccm_ctl.io.lsu_pic.picm_wren @[lsu.scala 196:14] stbuf.io.lsu_c1_m_clk <= clkdomain.io.lsu_c1_m_clk @[lsu.scala 199:49] - stbuf.io.lsu_c1_r_clk <= clkdomain.io.lsu_c1_m_clk @[lsu.scala 200:48] + stbuf.io.lsu_c1_r_clk <= clkdomain.io.lsu_c1_r_clk @[lsu.scala 200:48] stbuf.io.lsu_stbuf_c1_clk <= clkdomain.io.lsu_stbuf_c1_clk @[lsu.scala 201:54] stbuf.io.lsu_free_c2_clk <= clkdomain.io.lsu_free_c2_clk @[lsu.scala 202:54] stbuf.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 203:48] @@ -102363,54 +102414,6 @@ circuit quasar_wrapper : _T_54 <= lsu_raw_fwd_lo_m @[lsu.scala 319:67] lsu_raw_fwd_lo_r <= _T_54 @[lsu.scala 319:57] - extmodule gated_latch_824 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_824 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_824 @[el2_lib.scala 474:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] - clkhdr.CK <= io.clk @[el2_lib.scala 476:18] - clkhdr.EN <= io.en @[el2_lib.scala 477:18] - clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] - - extmodule gated_latch_825 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_825 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_825 @[el2_lib.scala 474:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] - clkhdr.CK <= io.clk @[el2_lib.scala 476:18] - clkhdr.EN <= io.en @[el2_lib.scala 477:18] - clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] - extmodule gated_latch_826 : output Q : Clock input CK : Clock @@ -102483,6 +102486,54 @@ circuit quasar_wrapper : clkhdr.EN <= io.en @[el2_lib.scala 477:18] clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + extmodule gated_latch_829 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_829 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_829 @[el2_lib.scala 474:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] + clkhdr.CK <= io.clk @[el2_lib.scala 476:18] + clkhdr.EN <= io.en @[el2_lib.scala 477:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + + extmodule gated_latch_830 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_830 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_830 @[el2_lib.scala 474:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] + clkhdr.CK <= io.clk @[el2_lib.scala 476:18] + clkhdr.EN <= io.en @[el2_lib.scala 477:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + module pic_ctrl : input clock : Clock input reset : AsyncReset @@ -102686,14 +102737,14 @@ circuit quasar_wrapper : node _T_27 = and(raddr_config_gw_base_match, picm_rden_ff) @[pic_ctrl.scala 129:108] node _T_28 = or(_T_26, _T_27) @[pic_ctrl.scala 129:76] node gw_config_c1_clken = or(_T_28, io.clk_override) @[pic_ctrl.scala 129:124] - inst rvclkhdr of rvclkhdr_824 @[el2_lib.scala 483:22] + inst rvclkhdr of rvclkhdr_826 @[el2_lib.scala 483:22] rvclkhdr.clock <= clock rvclkhdr.reset <= reset rvclkhdr.io.clk <= clock @[el2_lib.scala 484:17] rvclkhdr.io.en <= pic_raddr_c1_clken @[el2_lib.scala 485:16] rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] pic_raddr_c1_clk <= rvclkhdr.io.l1clk @[pic_ctrl.scala 132:21] - inst rvclkhdr_1 of rvclkhdr_825 @[el2_lib.scala 483:22] + inst rvclkhdr_1 of rvclkhdr_827 @[el2_lib.scala 483:22] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[el2_lib.scala 484:17] @@ -102701,7 +102752,7 @@ circuit quasar_wrapper : rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] pic_data_c1_clk <= rvclkhdr_1.io.l1clk @[pic_ctrl.scala 133:21] node _T_29 = bits(pic_pri_c1_clken, 0, 0) @[pic_ctrl.scala 134:56] - inst rvclkhdr_2 of rvclkhdr_826 @[el2_lib.scala 483:22] + inst rvclkhdr_2 of rvclkhdr_828 @[el2_lib.scala 483:22] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset rvclkhdr_2.io.clk <= clock @[el2_lib.scala 484:17] @@ -102709,7 +102760,7 @@ circuit quasar_wrapper : rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] pic_pri_c1_clk <= rvclkhdr_2.io.l1clk @[pic_ctrl.scala 134:21] node _T_30 = bits(pic_int_c1_clken, 0, 0) @[pic_ctrl.scala 135:56] - inst rvclkhdr_3 of rvclkhdr_827 @[el2_lib.scala 483:22] + inst rvclkhdr_3 of rvclkhdr_829 @[el2_lib.scala 483:22] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset rvclkhdr_3.io.clk <= clock @[el2_lib.scala 484:17] @@ -102717,7 +102768,7 @@ circuit quasar_wrapper : rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] pic_int_c1_clk <= rvclkhdr_3.io.l1clk @[pic_ctrl.scala 135:21] node _T_31 = bits(gw_config_c1_clken, 0, 0) @[pic_ctrl.scala 136:58] - inst rvclkhdr_4 of rvclkhdr_828 @[el2_lib.scala 483:22] + inst rvclkhdr_4 of rvclkhdr_830 @[el2_lib.scala 483:22] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset rvclkhdr_4.io.clk <= clock @[el2_lib.scala 484:17] @@ -106656,54 +106707,6 @@ circuit quasar_wrapper : mask <= UInt<4>("h02") @[pic_ctrl.scala 403:44] skip @[Conditional.scala 39:67] - extmodule gated_latch_829 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_829 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_829 @[el2_lib.scala 474:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] - clkhdr.CK <= io.clk @[el2_lib.scala 476:18] - clkhdr.EN <= io.en @[el2_lib.scala 477:18] - clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] - - extmodule gated_latch_830 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_830 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_830 @[el2_lib.scala 474:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] - clkhdr.CK <= io.clk @[el2_lib.scala 476:18] - clkhdr.EN <= io.en @[el2_lib.scala 477:18] - clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] - extmodule gated_latch_831 : output Q : Clock input CK : Clock @@ -107040,6 +107043,54 @@ circuit quasar_wrapper : clkhdr.EN <= io.en @[el2_lib.scala 477:18] clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + extmodule gated_latch_845 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_845 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_845 @[el2_lib.scala 474:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] + clkhdr.CK <= io.clk @[el2_lib.scala 476:18] + clkhdr.EN <= io.en @[el2_lib.scala 477:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + + extmodule gated_latch_846 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_846 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_846 @[el2_lib.scala 474:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[el2_lib.scala 475:14] + clkhdr.CK <= io.clk @[el2_lib.scala 476:18] + clkhdr.EN <= io.en @[el2_lib.scala 477:18] + clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] + module dma_ctrl : input clock : Clock input reset : AsyncReset @@ -108049,7 +108100,7 @@ circuit quasar_wrapper : node _T_803 = cat(_T_802, _T_771) @[Cat.scala 29:58] fifo_done_bus <= _T_803 @[dma_ctrl.scala 243:21] node _T_804 = bits(fifo_cmd_en, 0, 0) @[dma_ctrl.scala 245:84] - inst rvclkhdr of rvclkhdr_829 @[el2_lib.scala 508:23] + inst rvclkhdr of rvclkhdr_831 @[el2_lib.scala 508:23] rvclkhdr.clock <= clock rvclkhdr.reset <= reset rvclkhdr.io.clk <= clock @[el2_lib.scala 510:18] @@ -108059,7 +108110,7 @@ circuit quasar_wrapper : _T_805 <= fifo_addr_in @[el2_lib.scala 514:16] fifo_addr[0] <= _T_805 @[dma_ctrl.scala 245:49] node _T_806 = bits(fifo_cmd_en, 1, 1) @[dma_ctrl.scala 245:84] - inst rvclkhdr_1 of rvclkhdr_830 @[el2_lib.scala 508:23] + inst rvclkhdr_1 of rvclkhdr_832 @[el2_lib.scala 508:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[el2_lib.scala 510:18] @@ -108069,7 +108120,7 @@ circuit quasar_wrapper : _T_807 <= fifo_addr_in @[el2_lib.scala 514:16] fifo_addr[1] <= _T_807 @[dma_ctrl.scala 245:49] node _T_808 = bits(fifo_cmd_en, 2, 2) @[dma_ctrl.scala 245:84] - inst rvclkhdr_2 of rvclkhdr_831 @[el2_lib.scala 508:23] + inst rvclkhdr_2 of rvclkhdr_833 @[el2_lib.scala 508:23] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset rvclkhdr_2.io.clk <= clock @[el2_lib.scala 510:18] @@ -108079,7 +108130,7 @@ circuit quasar_wrapper : _T_809 <= fifo_addr_in @[el2_lib.scala 514:16] fifo_addr[2] <= _T_809 @[dma_ctrl.scala 245:49] node _T_810 = bits(fifo_cmd_en, 3, 3) @[dma_ctrl.scala 245:84] - inst rvclkhdr_3 of rvclkhdr_832 @[el2_lib.scala 508:23] + inst rvclkhdr_3 of rvclkhdr_834 @[el2_lib.scala 508:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset rvclkhdr_3.io.clk <= clock @[el2_lib.scala 510:18] @@ -108089,7 +108140,7 @@ circuit quasar_wrapper : _T_811 <= fifo_addr_in @[el2_lib.scala 514:16] fifo_addr[3] <= _T_811 @[dma_ctrl.scala 245:49] node _T_812 = bits(fifo_cmd_en, 4, 4) @[dma_ctrl.scala 245:84] - inst rvclkhdr_4 of rvclkhdr_833 @[el2_lib.scala 508:23] + inst rvclkhdr_4 of rvclkhdr_835 @[el2_lib.scala 508:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset rvclkhdr_4.io.clk <= clock @[el2_lib.scala 510:18] @@ -108264,7 +108315,7 @@ circuit quasar_wrapper : node _T_890 = cat(_T_889, _T_878) @[Cat.scala 29:58] fifo_dbg <= _T_890 @[dma_ctrl.scala 255:21] node _T_891 = bits(fifo_data_en, 0, 0) @[dma_ctrl.scala 257:88] - inst rvclkhdr_5 of rvclkhdr_834 @[el2_lib.scala 508:23] + inst rvclkhdr_5 of rvclkhdr_836 @[el2_lib.scala 508:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset rvclkhdr_5.io.clk <= clock @[el2_lib.scala 510:18] @@ -108274,7 +108325,7 @@ circuit quasar_wrapper : _T_892 <= fifo_data_in[0] @[el2_lib.scala 514:16] fifo_data[0] <= _T_892 @[dma_ctrl.scala 257:49] node _T_893 = bits(fifo_data_en, 1, 1) @[dma_ctrl.scala 257:88] - inst rvclkhdr_6 of rvclkhdr_835 @[el2_lib.scala 508:23] + inst rvclkhdr_6 of rvclkhdr_837 @[el2_lib.scala 508:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset rvclkhdr_6.io.clk <= clock @[el2_lib.scala 510:18] @@ -108284,7 +108335,7 @@ circuit quasar_wrapper : _T_894 <= fifo_data_in[1] @[el2_lib.scala 514:16] fifo_data[1] <= _T_894 @[dma_ctrl.scala 257:49] node _T_895 = bits(fifo_data_en, 2, 2) @[dma_ctrl.scala 257:88] - inst rvclkhdr_7 of rvclkhdr_836 @[el2_lib.scala 508:23] + inst rvclkhdr_7 of rvclkhdr_838 @[el2_lib.scala 508:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset rvclkhdr_7.io.clk <= clock @[el2_lib.scala 510:18] @@ -108294,7 +108345,7 @@ circuit quasar_wrapper : _T_896 <= fifo_data_in[2] @[el2_lib.scala 514:16] fifo_data[2] <= _T_896 @[dma_ctrl.scala 257:49] node _T_897 = bits(fifo_data_en, 3, 3) @[dma_ctrl.scala 257:88] - inst rvclkhdr_8 of rvclkhdr_837 @[el2_lib.scala 508:23] + inst rvclkhdr_8 of rvclkhdr_839 @[el2_lib.scala 508:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset rvclkhdr_8.io.clk <= clock @[el2_lib.scala 510:18] @@ -108304,7 +108355,7 @@ circuit quasar_wrapper : _T_898 <= fifo_data_in[3] @[el2_lib.scala 514:16] fifo_data[3] <= _T_898 @[dma_ctrl.scala 257:49] node _T_899 = bits(fifo_data_en, 4, 4) @[dma_ctrl.scala 257:88] - inst rvclkhdr_9 of rvclkhdr_838 @[el2_lib.scala 508:23] + inst rvclkhdr_9 of rvclkhdr_840 @[el2_lib.scala 508:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset rvclkhdr_9.io.clk <= clock @[el2_lib.scala 510:18] @@ -108741,21 +108792,21 @@ circuit quasar_wrapper : node _T_1198 = orr(fifo_valid) @[dma_ctrl.scala 390:151] node _T_1199 = or(_T_1197, _T_1198) @[dma_ctrl.scala 390:137] node dma_free_clken = or(_T_1199, io.clk_override) @[dma_ctrl.scala 390:156] - inst dma_buffer_c1cgc of rvclkhdr_839 @[dma_ctrl.scala 392:32] + inst dma_buffer_c1cgc of rvclkhdr_841 @[dma_ctrl.scala 392:32] dma_buffer_c1cgc.clock <= clock dma_buffer_c1cgc.reset <= reset dma_buffer_c1cgc.io.en <= dma_buffer_c1_clken @[dma_ctrl.scala 393:33] dma_buffer_c1cgc.io.scan_mode <= io.scan_mode @[dma_ctrl.scala 394:33] dma_buffer_c1cgc.io.clk <= clock @[dma_ctrl.scala 395:33] dma_buffer_c1_clk <= dma_buffer_c1cgc.io.l1clk @[dma_ctrl.scala 396:33] - inst dma_free_cgc of rvclkhdr_840 @[dma_ctrl.scala 398:28] + inst dma_free_cgc of rvclkhdr_842 @[dma_ctrl.scala 398:28] dma_free_cgc.clock <= clock dma_free_cgc.reset <= reset dma_free_cgc.io.en <= dma_free_clken @[dma_ctrl.scala 399:29] dma_free_cgc.io.scan_mode <= io.scan_mode @[dma_ctrl.scala 400:29] dma_free_cgc.io.clk <= clock @[dma_ctrl.scala 401:29] dma_free_clk <= dma_free_cgc.io.l1clk @[dma_ctrl.scala 402:29] - inst dma_bus_cgc of rvclkhdr_841 @[dma_ctrl.scala 404:27] + inst dma_bus_cgc of rvclkhdr_843 @[dma_ctrl.scala 404:27] dma_bus_cgc.clock <= clock dma_bus_cgc.reset <= reset dma_bus_cgc.io.en <= io.dma_bus_clk_en @[dma_ctrl.scala 405:28] @@ -108792,7 +108843,7 @@ circuit quasar_wrapper : wrbuf_sz <= io.dma_axi.aw.bits.size @[Reg.scala 28:23] skip @[Reg.scala 28:19] node _T_1212 = and(wrbuf_en, io.dma_bus_clk_en) @[dma_ctrl.scala 430:68] - inst rvclkhdr_10 of rvclkhdr_842 @[el2_lib.scala 508:23] + inst rvclkhdr_10 of rvclkhdr_844 @[el2_lib.scala 508:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[el2_lib.scala 510:18] @@ -108801,7 +108852,7 @@ circuit quasar_wrapper : reg wrbuf_addr : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] wrbuf_addr <= io.dma_axi.aw.bits.addr @[el2_lib.scala 514:16] node _T_1213 = and(wrbuf_data_en, io.dma_bus_clk_en) @[dma_ctrl.scala 432:72] - inst rvclkhdr_11 of rvclkhdr_843 @[el2_lib.scala 508:23] + inst rvclkhdr_11 of rvclkhdr_845 @[el2_lib.scala 508:23] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset rvclkhdr_11.io.clk <= clock @[el2_lib.scala 510:18] @@ -108834,7 +108885,7 @@ circuit quasar_wrapper : rdbuf_sz <= io.dma_axi.ar.bits.size @[Reg.scala 28:23] skip @[Reg.scala 28:19] node _T_1221 = and(rdbuf_en, io.dma_bus_clk_en) @[dma_ctrl.scala 454:61] - inst rvclkhdr_12 of rvclkhdr_844 @[el2_lib.scala 508:23] + inst rvclkhdr_12 of rvclkhdr_846 @[el2_lib.scala 508:23] rvclkhdr_12.clock <= clock rvclkhdr_12.reset <= reset rvclkhdr_12.io.clk <= clock @[el2_lib.scala 510:18] @@ -108930,7 +108981,7 @@ circuit quasar_wrapper : io.ifu_dma.dma_mem_ctl.dma_mem_write <= io.lsu_dma.dma_lsc_ctl.dma_mem_write @[dma_ctrl.scala 511:40] io.ifu_dma.dma_mem_ctl.dma_mem_tag <= io.lsu_dma.dma_mem_tag @[dma_ctrl.scala 512:38] - extmodule gated_latch_845 : + extmodule gated_latch_847 : output Q : Clock input CK : Clock input EN : UInt<1> @@ -108939,12 +108990,12 @@ circuit quasar_wrapper : defname = gated_latch - module rvclkhdr_845 : + module rvclkhdr_847 : input clock : Clock input reset : Reset output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - inst clkhdr of gated_latch_845 @[el2_lib.scala 474:26] + inst clkhdr of gated_latch_847 @[el2_lib.scala 474:26] clkhdr.SE is invalid clkhdr.EN is invalid clkhdr.CK is invalid @@ -108954,7 +109005,7 @@ circuit quasar_wrapper : clkhdr.EN <= io.en @[el2_lib.scala 477:18] clkhdr.SE <= io.scan_mode @[el2_lib.scala 478:18] - extmodule gated_latch_846 : + extmodule gated_latch_848 : output Q : Clock input CK : Clock input EN : UInt<1> @@ -108963,12 +109014,12 @@ circuit quasar_wrapper : defname = gated_latch - module rvclkhdr_846 : + module rvclkhdr_848 : input clock : Clock input reset : Reset output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - inst clkhdr of gated_latch_846 @[el2_lib.scala 474:26] + inst clkhdr of gated_latch_848 @[el2_lib.scala 474:26] clkhdr.SE is invalid clkhdr.EN is invalid clkhdr.CK is invalid @@ -109013,14 +109064,14 @@ circuit quasar_wrapper : node _T_5 = eq(dec.io.dec_pause_state_cg, UInt<1>("h00")) @[quasar.scala 167:23] node _T_6 = or(_T_5, dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r) @[quasar.scala 167:50] node active_state = or(_T_6, dec.io.dec_tlu_misc_clk_override) @[quasar.scala 167:98] - inst rvclkhdr of rvclkhdr_845 @[el2_lib.scala 483:22] + inst rvclkhdr of rvclkhdr_847 @[el2_lib.scala 483:22] rvclkhdr.clock <= clock rvclkhdr.reset <= reset rvclkhdr.io.clk <= clock @[el2_lib.scala 484:17] rvclkhdr.io.en <= UInt<1>("h01") @[el2_lib.scala 485:16] rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] node _T_7 = bits(active_state, 0, 0) @[quasar.scala 169:49] - inst rvclkhdr_1 of rvclkhdr_846 @[el2_lib.scala 483:22] + inst rvclkhdr_1 of rvclkhdr_848 @[el2_lib.scala 483:22] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[el2_lib.scala 484:17] diff --git a/quasar_wrapper.v b/quasar_wrapper.v index a038e3d0..42e7721f 100644 --- a/quasar_wrapper.v +++ b/quasar_wrapper.v @@ -57387,418 +57387,418 @@ module dec_trigger( wire [15:0] _T_389 = {_T_262,_T_255,_T_248,_T_241,_T_234,_T_227,_T_220,_T_213,_T_381}; // @[el2_lib.scala 245:14] wire [7:0] _T_396 = {_T_318,_T_311,_T_304,_T_297,_T_290,_T_283,_T_276,_T_269}; // @[el2_lib.scala 245:14] wire [31:0] _T_405 = {_T_374,_T_367,_T_360,_T_353,_T_346,_T_339,_T_332,_T_325,_T_396,_T_389}; // @[el2_lib.scala 245:14] - wire [31:0] _GEN_0 = {{31'd0}, _T_148}; // @[dec_trigger.scala 15:109] - wire [31:0] _T_406 = _GEN_0 & _T_405; // @[dec_trigger.scala 15:109] - wire _T_407 = io_trigger_pkt_any_1_execute & io_trigger_pkt_any_1_m; // @[dec_trigger.scala 15:83] - wire _T_410 = &io_trigger_pkt_any_1_tdata2; // @[el2_lib.scala 241:45] - wire _T_411 = ~_T_410; // @[el2_lib.scala 241:39] - wire _T_412 = io_trigger_pkt_any_1_match_pkt & _T_411; // @[el2_lib.scala 241:37] - wire _T_415 = io_trigger_pkt_any_1_tdata2[0] == dec_i0_match_data_1[0]; // @[el2_lib.scala 242:52] - wire _T_416 = _T_412 | _T_415; // @[el2_lib.scala 242:41] - wire _T_418 = &io_trigger_pkt_any_1_tdata2[0]; // @[el2_lib.scala 244:36] - wire _T_419 = _T_418 & _T_412; // @[el2_lib.scala 244:41] - wire _T_422 = io_trigger_pkt_any_1_tdata2[1] == dec_i0_match_data_1[1]; // @[el2_lib.scala 244:78] - wire _T_423 = _T_419 | _T_422; // @[el2_lib.scala 244:23] - wire _T_425 = &io_trigger_pkt_any_1_tdata2[1:0]; // @[el2_lib.scala 244:36] - wire _T_426 = _T_425 & _T_412; // @[el2_lib.scala 244:41] - wire _T_429 = io_trigger_pkt_any_1_tdata2[2] == dec_i0_match_data_1[2]; // @[el2_lib.scala 244:78] - wire _T_430 = _T_426 | _T_429; // @[el2_lib.scala 244:23] - wire _T_432 = &io_trigger_pkt_any_1_tdata2[2:0]; // @[el2_lib.scala 244:36] - wire _T_433 = _T_432 & _T_412; // @[el2_lib.scala 244:41] - wire _T_436 = io_trigger_pkt_any_1_tdata2[3] == dec_i0_match_data_1[3]; // @[el2_lib.scala 244:78] - wire _T_437 = _T_433 | _T_436; // @[el2_lib.scala 244:23] - wire _T_439 = &io_trigger_pkt_any_1_tdata2[3:0]; // @[el2_lib.scala 244:36] - wire _T_440 = _T_439 & _T_412; // @[el2_lib.scala 244:41] - wire _T_443 = io_trigger_pkt_any_1_tdata2[4] == dec_i0_match_data_1[4]; // @[el2_lib.scala 244:78] - wire _T_444 = _T_440 | _T_443; // @[el2_lib.scala 244:23] - wire _T_446 = &io_trigger_pkt_any_1_tdata2[4:0]; // @[el2_lib.scala 244:36] - wire _T_447 = _T_446 & _T_412; // @[el2_lib.scala 244:41] - wire _T_450 = io_trigger_pkt_any_1_tdata2[5] == dec_i0_match_data_1[5]; // @[el2_lib.scala 244:78] - wire _T_451 = _T_447 | _T_450; // @[el2_lib.scala 244:23] - wire _T_453 = &io_trigger_pkt_any_1_tdata2[5:0]; // @[el2_lib.scala 244:36] - wire _T_454 = _T_453 & _T_412; // @[el2_lib.scala 244:41] - wire _T_457 = io_trigger_pkt_any_1_tdata2[6] == dec_i0_match_data_1[6]; // @[el2_lib.scala 244:78] - wire _T_458 = _T_454 | _T_457; // @[el2_lib.scala 244:23] - wire _T_460 = &io_trigger_pkt_any_1_tdata2[6:0]; // @[el2_lib.scala 244:36] - wire _T_461 = _T_460 & _T_412; // @[el2_lib.scala 244:41] - wire _T_464 = io_trigger_pkt_any_1_tdata2[7] == dec_i0_match_data_1[7]; // @[el2_lib.scala 244:78] - wire _T_465 = _T_461 | _T_464; // @[el2_lib.scala 244:23] - wire _T_467 = &io_trigger_pkt_any_1_tdata2[7:0]; // @[el2_lib.scala 244:36] - wire _T_468 = _T_467 & _T_412; // @[el2_lib.scala 244:41] - wire _T_471 = io_trigger_pkt_any_1_tdata2[8] == dec_i0_match_data_1[8]; // @[el2_lib.scala 244:78] - wire _T_472 = _T_468 | _T_471; // @[el2_lib.scala 244:23] - wire _T_474 = &io_trigger_pkt_any_1_tdata2[8:0]; // @[el2_lib.scala 244:36] - wire _T_475 = _T_474 & _T_412; // @[el2_lib.scala 244:41] - wire _T_478 = io_trigger_pkt_any_1_tdata2[9] == dec_i0_match_data_1[9]; // @[el2_lib.scala 244:78] - wire _T_479 = _T_475 | _T_478; // @[el2_lib.scala 244:23] - wire _T_481 = &io_trigger_pkt_any_1_tdata2[9:0]; // @[el2_lib.scala 244:36] - wire _T_482 = _T_481 & _T_412; // @[el2_lib.scala 244:41] - wire _T_485 = io_trigger_pkt_any_1_tdata2[10] == dec_i0_match_data_1[10]; // @[el2_lib.scala 244:78] - wire _T_486 = _T_482 | _T_485; // @[el2_lib.scala 244:23] - wire _T_488 = &io_trigger_pkt_any_1_tdata2[10:0]; // @[el2_lib.scala 244:36] - wire _T_489 = _T_488 & _T_412; // @[el2_lib.scala 244:41] - wire _T_492 = io_trigger_pkt_any_1_tdata2[11] == dec_i0_match_data_1[11]; // @[el2_lib.scala 244:78] - wire _T_493 = _T_489 | _T_492; // @[el2_lib.scala 244:23] - wire _T_495 = &io_trigger_pkt_any_1_tdata2[11:0]; // @[el2_lib.scala 244:36] - wire _T_496 = _T_495 & _T_412; // @[el2_lib.scala 244:41] - wire _T_499 = io_trigger_pkt_any_1_tdata2[12] == dec_i0_match_data_1[12]; // @[el2_lib.scala 244:78] - wire _T_500 = _T_496 | _T_499; // @[el2_lib.scala 244:23] - wire _T_502 = &io_trigger_pkt_any_1_tdata2[12:0]; // @[el2_lib.scala 244:36] - wire _T_503 = _T_502 & _T_412; // @[el2_lib.scala 244:41] - wire _T_506 = io_trigger_pkt_any_1_tdata2[13] == dec_i0_match_data_1[13]; // @[el2_lib.scala 244:78] - wire _T_507 = _T_503 | _T_506; // @[el2_lib.scala 244:23] - wire _T_509 = &io_trigger_pkt_any_1_tdata2[13:0]; // @[el2_lib.scala 244:36] - wire _T_510 = _T_509 & _T_412; // @[el2_lib.scala 244:41] - wire _T_513 = io_trigger_pkt_any_1_tdata2[14] == dec_i0_match_data_1[14]; // @[el2_lib.scala 244:78] - wire _T_514 = _T_510 | _T_513; // @[el2_lib.scala 244:23] - wire _T_516 = &io_trigger_pkt_any_1_tdata2[14:0]; // @[el2_lib.scala 244:36] - wire _T_517 = _T_516 & _T_412; // @[el2_lib.scala 244:41] - wire _T_520 = io_trigger_pkt_any_1_tdata2[15] == dec_i0_match_data_1[15]; // @[el2_lib.scala 244:78] - wire _T_521 = _T_517 | _T_520; // @[el2_lib.scala 244:23] - wire _T_523 = &io_trigger_pkt_any_1_tdata2[15:0]; // @[el2_lib.scala 244:36] - wire _T_524 = _T_523 & _T_412; // @[el2_lib.scala 244:41] - wire _T_527 = io_trigger_pkt_any_1_tdata2[16] == dec_i0_match_data_1[16]; // @[el2_lib.scala 244:78] - wire _T_528 = _T_524 | _T_527; // @[el2_lib.scala 244:23] - wire _T_530 = &io_trigger_pkt_any_1_tdata2[16:0]; // @[el2_lib.scala 244:36] - wire _T_531 = _T_530 & _T_412; // @[el2_lib.scala 244:41] - wire _T_534 = io_trigger_pkt_any_1_tdata2[17] == dec_i0_match_data_1[17]; // @[el2_lib.scala 244:78] - wire _T_535 = _T_531 | _T_534; // @[el2_lib.scala 244:23] - wire _T_537 = &io_trigger_pkt_any_1_tdata2[17:0]; // @[el2_lib.scala 244:36] - wire _T_538 = _T_537 & _T_412; // @[el2_lib.scala 244:41] - wire _T_541 = io_trigger_pkt_any_1_tdata2[18] == dec_i0_match_data_1[18]; // @[el2_lib.scala 244:78] - wire _T_542 = _T_538 | _T_541; // @[el2_lib.scala 244:23] - wire _T_544 = &io_trigger_pkt_any_1_tdata2[18:0]; // @[el2_lib.scala 244:36] - wire _T_545 = _T_544 & _T_412; // @[el2_lib.scala 244:41] - wire _T_548 = io_trigger_pkt_any_1_tdata2[19] == dec_i0_match_data_1[19]; // @[el2_lib.scala 244:78] - wire _T_549 = _T_545 | _T_548; // @[el2_lib.scala 244:23] - wire _T_551 = &io_trigger_pkt_any_1_tdata2[19:0]; // @[el2_lib.scala 244:36] - wire _T_552 = _T_551 & _T_412; // @[el2_lib.scala 244:41] - wire _T_555 = io_trigger_pkt_any_1_tdata2[20] == dec_i0_match_data_1[20]; // @[el2_lib.scala 244:78] - wire _T_556 = _T_552 | _T_555; // @[el2_lib.scala 244:23] - wire _T_558 = &io_trigger_pkt_any_1_tdata2[20:0]; // @[el2_lib.scala 244:36] - wire _T_559 = _T_558 & _T_412; // @[el2_lib.scala 244:41] - wire _T_562 = io_trigger_pkt_any_1_tdata2[21] == dec_i0_match_data_1[21]; // @[el2_lib.scala 244:78] - wire _T_563 = _T_559 | _T_562; // @[el2_lib.scala 244:23] - wire _T_565 = &io_trigger_pkt_any_1_tdata2[21:0]; // @[el2_lib.scala 244:36] - wire _T_566 = _T_565 & _T_412; // @[el2_lib.scala 244:41] - wire _T_569 = io_trigger_pkt_any_1_tdata2[22] == dec_i0_match_data_1[22]; // @[el2_lib.scala 244:78] - wire _T_570 = _T_566 | _T_569; // @[el2_lib.scala 244:23] - wire _T_572 = &io_trigger_pkt_any_1_tdata2[22:0]; // @[el2_lib.scala 244:36] - wire _T_573 = _T_572 & _T_412; // @[el2_lib.scala 244:41] - wire _T_576 = io_trigger_pkt_any_1_tdata2[23] == dec_i0_match_data_1[23]; // @[el2_lib.scala 244:78] - wire _T_577 = _T_573 | _T_576; // @[el2_lib.scala 244:23] - wire _T_579 = &io_trigger_pkt_any_1_tdata2[23:0]; // @[el2_lib.scala 244:36] - wire _T_580 = _T_579 & _T_412; // @[el2_lib.scala 244:41] - wire _T_583 = io_trigger_pkt_any_1_tdata2[24] == dec_i0_match_data_1[24]; // @[el2_lib.scala 244:78] - wire _T_584 = _T_580 | _T_583; // @[el2_lib.scala 244:23] - wire _T_586 = &io_trigger_pkt_any_1_tdata2[24:0]; // @[el2_lib.scala 244:36] - wire _T_587 = _T_586 & _T_412; // @[el2_lib.scala 244:41] - wire _T_590 = io_trigger_pkt_any_1_tdata2[25] == dec_i0_match_data_1[25]; // @[el2_lib.scala 244:78] - wire _T_591 = _T_587 | _T_590; // @[el2_lib.scala 244:23] - wire _T_593 = &io_trigger_pkt_any_1_tdata2[25:0]; // @[el2_lib.scala 244:36] - wire _T_594 = _T_593 & _T_412; // @[el2_lib.scala 244:41] - wire _T_597 = io_trigger_pkt_any_1_tdata2[26] == dec_i0_match_data_1[26]; // @[el2_lib.scala 244:78] - wire _T_598 = _T_594 | _T_597; // @[el2_lib.scala 244:23] - wire _T_600 = &io_trigger_pkt_any_1_tdata2[26:0]; // @[el2_lib.scala 244:36] - wire _T_601 = _T_600 & _T_412; // @[el2_lib.scala 244:41] - wire _T_604 = io_trigger_pkt_any_1_tdata2[27] == dec_i0_match_data_1[27]; // @[el2_lib.scala 244:78] - wire _T_605 = _T_601 | _T_604; // @[el2_lib.scala 244:23] - wire _T_607 = &io_trigger_pkt_any_1_tdata2[27:0]; // @[el2_lib.scala 244:36] - wire _T_608 = _T_607 & _T_412; // @[el2_lib.scala 244:41] - wire _T_611 = io_trigger_pkt_any_1_tdata2[28] == dec_i0_match_data_1[28]; // @[el2_lib.scala 244:78] - wire _T_612 = _T_608 | _T_611; // @[el2_lib.scala 244:23] - wire _T_614 = &io_trigger_pkt_any_1_tdata2[28:0]; // @[el2_lib.scala 244:36] - wire _T_615 = _T_614 & _T_412; // @[el2_lib.scala 244:41] - wire _T_618 = io_trigger_pkt_any_1_tdata2[29] == dec_i0_match_data_1[29]; // @[el2_lib.scala 244:78] - wire _T_619 = _T_615 | _T_618; // @[el2_lib.scala 244:23] - wire _T_621 = &io_trigger_pkt_any_1_tdata2[29:0]; // @[el2_lib.scala 244:36] - wire _T_622 = _T_621 & _T_412; // @[el2_lib.scala 244:41] - wire _T_625 = io_trigger_pkt_any_1_tdata2[30] == dec_i0_match_data_1[30]; // @[el2_lib.scala 244:78] - wire _T_626 = _T_622 | _T_625; // @[el2_lib.scala 244:23] - wire _T_628 = &io_trigger_pkt_any_1_tdata2[30:0]; // @[el2_lib.scala 244:36] - wire _T_629 = _T_628 & _T_412; // @[el2_lib.scala 244:41] - wire _T_632 = io_trigger_pkt_any_1_tdata2[31] == dec_i0_match_data_1[31]; // @[el2_lib.scala 244:78] - wire _T_633 = _T_629 | _T_632; // @[el2_lib.scala 244:23] - wire [7:0] _T_640 = {_T_465,_T_458,_T_451,_T_444,_T_437,_T_430,_T_423,_T_416}; // @[el2_lib.scala 245:14] - wire [15:0] _T_648 = {_T_521,_T_514,_T_507,_T_500,_T_493,_T_486,_T_479,_T_472,_T_640}; // @[el2_lib.scala 245:14] - wire [7:0] _T_655 = {_T_577,_T_570,_T_563,_T_556,_T_549,_T_542,_T_535,_T_528}; // @[el2_lib.scala 245:14] - wire [31:0] _T_664 = {_T_633,_T_626,_T_619,_T_612,_T_605,_T_598,_T_591,_T_584,_T_655,_T_648}; // @[el2_lib.scala 245:14] - wire [31:0] _GEN_1 = {{31'd0}, _T_407}; // @[dec_trigger.scala 15:109] - wire [31:0] _T_665 = _GEN_1 & _T_664; // @[dec_trigger.scala 15:109] - wire _T_666 = io_trigger_pkt_any_2_execute & io_trigger_pkt_any_2_m; // @[dec_trigger.scala 15:83] - wire _T_669 = &io_trigger_pkt_any_2_tdata2; // @[el2_lib.scala 241:45] - wire _T_670 = ~_T_669; // @[el2_lib.scala 241:39] - wire _T_671 = io_trigger_pkt_any_2_match_pkt & _T_670; // @[el2_lib.scala 241:37] - wire _T_674 = io_trigger_pkt_any_2_tdata2[0] == dec_i0_match_data_2[0]; // @[el2_lib.scala 242:52] - wire _T_675 = _T_671 | _T_674; // @[el2_lib.scala 242:41] - wire _T_677 = &io_trigger_pkt_any_2_tdata2[0]; // @[el2_lib.scala 244:36] - wire _T_678 = _T_677 & _T_671; // @[el2_lib.scala 244:41] - wire _T_681 = io_trigger_pkt_any_2_tdata2[1] == dec_i0_match_data_2[1]; // @[el2_lib.scala 244:78] - wire _T_682 = _T_678 | _T_681; // @[el2_lib.scala 244:23] - wire _T_684 = &io_trigger_pkt_any_2_tdata2[1:0]; // @[el2_lib.scala 244:36] - wire _T_685 = _T_684 & _T_671; // @[el2_lib.scala 244:41] - wire _T_688 = io_trigger_pkt_any_2_tdata2[2] == dec_i0_match_data_2[2]; // @[el2_lib.scala 244:78] - wire _T_689 = _T_685 | _T_688; // @[el2_lib.scala 244:23] - wire _T_691 = &io_trigger_pkt_any_2_tdata2[2:0]; // @[el2_lib.scala 244:36] - wire _T_692 = _T_691 & _T_671; // @[el2_lib.scala 244:41] - wire _T_695 = io_trigger_pkt_any_2_tdata2[3] == dec_i0_match_data_2[3]; // @[el2_lib.scala 244:78] - wire _T_696 = _T_692 | _T_695; // @[el2_lib.scala 244:23] - wire _T_698 = &io_trigger_pkt_any_2_tdata2[3:0]; // @[el2_lib.scala 244:36] - wire _T_699 = _T_698 & _T_671; // @[el2_lib.scala 244:41] - wire _T_702 = io_trigger_pkt_any_2_tdata2[4] == dec_i0_match_data_2[4]; // @[el2_lib.scala 244:78] - wire _T_703 = _T_699 | _T_702; // @[el2_lib.scala 244:23] - wire _T_705 = &io_trigger_pkt_any_2_tdata2[4:0]; // @[el2_lib.scala 244:36] - wire _T_706 = _T_705 & _T_671; // @[el2_lib.scala 244:41] - wire _T_709 = io_trigger_pkt_any_2_tdata2[5] == dec_i0_match_data_2[5]; // @[el2_lib.scala 244:78] - wire _T_710 = _T_706 | _T_709; // @[el2_lib.scala 244:23] - wire _T_712 = &io_trigger_pkt_any_2_tdata2[5:0]; // @[el2_lib.scala 244:36] - wire _T_713 = _T_712 & _T_671; // @[el2_lib.scala 244:41] - wire _T_716 = io_trigger_pkt_any_2_tdata2[6] == dec_i0_match_data_2[6]; // @[el2_lib.scala 244:78] - wire _T_717 = _T_713 | _T_716; // @[el2_lib.scala 244:23] - wire _T_719 = &io_trigger_pkt_any_2_tdata2[6:0]; // @[el2_lib.scala 244:36] - wire _T_720 = _T_719 & _T_671; // @[el2_lib.scala 244:41] - wire _T_723 = io_trigger_pkt_any_2_tdata2[7] == dec_i0_match_data_2[7]; // @[el2_lib.scala 244:78] - wire _T_724 = _T_720 | _T_723; // @[el2_lib.scala 244:23] - wire _T_726 = &io_trigger_pkt_any_2_tdata2[7:0]; // @[el2_lib.scala 244:36] - wire _T_727 = _T_726 & _T_671; // @[el2_lib.scala 244:41] - wire _T_730 = io_trigger_pkt_any_2_tdata2[8] == dec_i0_match_data_2[8]; // @[el2_lib.scala 244:78] - wire _T_731 = _T_727 | _T_730; // @[el2_lib.scala 244:23] - wire _T_733 = &io_trigger_pkt_any_2_tdata2[8:0]; // @[el2_lib.scala 244:36] - wire _T_734 = _T_733 & _T_671; // @[el2_lib.scala 244:41] - wire _T_737 = io_trigger_pkt_any_2_tdata2[9] == dec_i0_match_data_2[9]; // @[el2_lib.scala 244:78] - wire _T_738 = _T_734 | _T_737; // @[el2_lib.scala 244:23] - wire _T_740 = &io_trigger_pkt_any_2_tdata2[9:0]; // @[el2_lib.scala 244:36] - wire _T_741 = _T_740 & _T_671; // @[el2_lib.scala 244:41] - wire _T_744 = io_trigger_pkt_any_2_tdata2[10] == dec_i0_match_data_2[10]; // @[el2_lib.scala 244:78] - wire _T_745 = _T_741 | _T_744; // @[el2_lib.scala 244:23] - wire _T_747 = &io_trigger_pkt_any_2_tdata2[10:0]; // @[el2_lib.scala 244:36] - wire _T_748 = _T_747 & _T_671; // @[el2_lib.scala 244:41] - wire _T_751 = io_trigger_pkt_any_2_tdata2[11] == dec_i0_match_data_2[11]; // @[el2_lib.scala 244:78] - wire _T_752 = _T_748 | _T_751; // @[el2_lib.scala 244:23] - wire _T_754 = &io_trigger_pkt_any_2_tdata2[11:0]; // @[el2_lib.scala 244:36] - wire _T_755 = _T_754 & _T_671; // @[el2_lib.scala 244:41] - wire _T_758 = io_trigger_pkt_any_2_tdata2[12] == dec_i0_match_data_2[12]; // @[el2_lib.scala 244:78] - wire _T_759 = _T_755 | _T_758; // @[el2_lib.scala 244:23] - wire _T_761 = &io_trigger_pkt_any_2_tdata2[12:0]; // @[el2_lib.scala 244:36] - wire _T_762 = _T_761 & _T_671; // @[el2_lib.scala 244:41] - wire _T_765 = io_trigger_pkt_any_2_tdata2[13] == dec_i0_match_data_2[13]; // @[el2_lib.scala 244:78] - wire _T_766 = _T_762 | _T_765; // @[el2_lib.scala 244:23] - wire _T_768 = &io_trigger_pkt_any_2_tdata2[13:0]; // @[el2_lib.scala 244:36] - wire _T_769 = _T_768 & _T_671; // @[el2_lib.scala 244:41] - wire _T_772 = io_trigger_pkt_any_2_tdata2[14] == dec_i0_match_data_2[14]; // @[el2_lib.scala 244:78] - wire _T_773 = _T_769 | _T_772; // @[el2_lib.scala 244:23] - wire _T_775 = &io_trigger_pkt_any_2_tdata2[14:0]; // @[el2_lib.scala 244:36] - wire _T_776 = _T_775 & _T_671; // @[el2_lib.scala 244:41] - wire _T_779 = io_trigger_pkt_any_2_tdata2[15] == dec_i0_match_data_2[15]; // @[el2_lib.scala 244:78] - wire _T_780 = _T_776 | _T_779; // @[el2_lib.scala 244:23] - wire _T_782 = &io_trigger_pkt_any_2_tdata2[15:0]; // @[el2_lib.scala 244:36] - wire _T_783 = _T_782 & _T_671; // @[el2_lib.scala 244:41] - wire _T_786 = io_trigger_pkt_any_2_tdata2[16] == dec_i0_match_data_2[16]; // @[el2_lib.scala 244:78] - wire _T_787 = _T_783 | _T_786; // @[el2_lib.scala 244:23] - wire _T_789 = &io_trigger_pkt_any_2_tdata2[16:0]; // @[el2_lib.scala 244:36] - wire _T_790 = _T_789 & _T_671; // @[el2_lib.scala 244:41] - wire _T_793 = io_trigger_pkt_any_2_tdata2[17] == dec_i0_match_data_2[17]; // @[el2_lib.scala 244:78] - wire _T_794 = _T_790 | _T_793; // @[el2_lib.scala 244:23] - wire _T_796 = &io_trigger_pkt_any_2_tdata2[17:0]; // @[el2_lib.scala 244:36] - wire _T_797 = _T_796 & _T_671; // @[el2_lib.scala 244:41] - wire _T_800 = io_trigger_pkt_any_2_tdata2[18] == dec_i0_match_data_2[18]; // @[el2_lib.scala 244:78] - wire _T_801 = _T_797 | _T_800; // @[el2_lib.scala 244:23] - wire _T_803 = &io_trigger_pkt_any_2_tdata2[18:0]; // @[el2_lib.scala 244:36] - wire _T_804 = _T_803 & _T_671; // @[el2_lib.scala 244:41] - wire _T_807 = io_trigger_pkt_any_2_tdata2[19] == dec_i0_match_data_2[19]; // @[el2_lib.scala 244:78] - wire _T_808 = _T_804 | _T_807; // @[el2_lib.scala 244:23] - wire _T_810 = &io_trigger_pkt_any_2_tdata2[19:0]; // @[el2_lib.scala 244:36] - wire _T_811 = _T_810 & _T_671; // @[el2_lib.scala 244:41] - wire _T_814 = io_trigger_pkt_any_2_tdata2[20] == dec_i0_match_data_2[20]; // @[el2_lib.scala 244:78] - wire _T_815 = _T_811 | _T_814; // @[el2_lib.scala 244:23] - wire _T_817 = &io_trigger_pkt_any_2_tdata2[20:0]; // @[el2_lib.scala 244:36] - wire _T_818 = _T_817 & _T_671; // @[el2_lib.scala 244:41] - wire _T_821 = io_trigger_pkt_any_2_tdata2[21] == dec_i0_match_data_2[21]; // @[el2_lib.scala 244:78] - wire _T_822 = _T_818 | _T_821; // @[el2_lib.scala 244:23] - wire _T_824 = &io_trigger_pkt_any_2_tdata2[21:0]; // @[el2_lib.scala 244:36] - wire _T_825 = _T_824 & _T_671; // @[el2_lib.scala 244:41] - wire _T_828 = io_trigger_pkt_any_2_tdata2[22] == dec_i0_match_data_2[22]; // @[el2_lib.scala 244:78] - wire _T_829 = _T_825 | _T_828; // @[el2_lib.scala 244:23] - wire _T_831 = &io_trigger_pkt_any_2_tdata2[22:0]; // @[el2_lib.scala 244:36] - wire _T_832 = _T_831 & _T_671; // @[el2_lib.scala 244:41] - wire _T_835 = io_trigger_pkt_any_2_tdata2[23] == dec_i0_match_data_2[23]; // @[el2_lib.scala 244:78] - wire _T_836 = _T_832 | _T_835; // @[el2_lib.scala 244:23] - wire _T_838 = &io_trigger_pkt_any_2_tdata2[23:0]; // @[el2_lib.scala 244:36] - wire _T_839 = _T_838 & _T_671; // @[el2_lib.scala 244:41] - wire _T_842 = io_trigger_pkt_any_2_tdata2[24] == dec_i0_match_data_2[24]; // @[el2_lib.scala 244:78] - wire _T_843 = _T_839 | _T_842; // @[el2_lib.scala 244:23] - wire _T_845 = &io_trigger_pkt_any_2_tdata2[24:0]; // @[el2_lib.scala 244:36] - wire _T_846 = _T_845 & _T_671; // @[el2_lib.scala 244:41] - wire _T_849 = io_trigger_pkt_any_2_tdata2[25] == dec_i0_match_data_2[25]; // @[el2_lib.scala 244:78] - wire _T_850 = _T_846 | _T_849; // @[el2_lib.scala 244:23] - wire _T_852 = &io_trigger_pkt_any_2_tdata2[25:0]; // @[el2_lib.scala 244:36] - wire _T_853 = _T_852 & _T_671; // @[el2_lib.scala 244:41] - wire _T_856 = io_trigger_pkt_any_2_tdata2[26] == dec_i0_match_data_2[26]; // @[el2_lib.scala 244:78] - wire _T_857 = _T_853 | _T_856; // @[el2_lib.scala 244:23] - wire _T_859 = &io_trigger_pkt_any_2_tdata2[26:0]; // @[el2_lib.scala 244:36] - wire _T_860 = _T_859 & _T_671; // @[el2_lib.scala 244:41] - wire _T_863 = io_trigger_pkt_any_2_tdata2[27] == dec_i0_match_data_2[27]; // @[el2_lib.scala 244:78] - wire _T_864 = _T_860 | _T_863; // @[el2_lib.scala 244:23] - wire _T_866 = &io_trigger_pkt_any_2_tdata2[27:0]; // @[el2_lib.scala 244:36] - wire _T_867 = _T_866 & _T_671; // @[el2_lib.scala 244:41] - wire _T_870 = io_trigger_pkt_any_2_tdata2[28] == dec_i0_match_data_2[28]; // @[el2_lib.scala 244:78] - wire _T_871 = _T_867 | _T_870; // @[el2_lib.scala 244:23] - wire _T_873 = &io_trigger_pkt_any_2_tdata2[28:0]; // @[el2_lib.scala 244:36] - wire _T_874 = _T_873 & _T_671; // @[el2_lib.scala 244:41] - wire _T_877 = io_trigger_pkt_any_2_tdata2[29] == dec_i0_match_data_2[29]; // @[el2_lib.scala 244:78] - wire _T_878 = _T_874 | _T_877; // @[el2_lib.scala 244:23] - wire _T_880 = &io_trigger_pkt_any_2_tdata2[29:0]; // @[el2_lib.scala 244:36] - wire _T_881 = _T_880 & _T_671; // @[el2_lib.scala 244:41] - wire _T_884 = io_trigger_pkt_any_2_tdata2[30] == dec_i0_match_data_2[30]; // @[el2_lib.scala 244:78] - wire _T_885 = _T_881 | _T_884; // @[el2_lib.scala 244:23] - wire _T_887 = &io_trigger_pkt_any_2_tdata2[30:0]; // @[el2_lib.scala 244:36] - wire _T_888 = _T_887 & _T_671; // @[el2_lib.scala 244:41] - wire _T_891 = io_trigger_pkt_any_2_tdata2[31] == dec_i0_match_data_2[31]; // @[el2_lib.scala 244:78] - wire _T_892 = _T_888 | _T_891; // @[el2_lib.scala 244:23] - wire [7:0] _T_899 = {_T_724,_T_717,_T_710,_T_703,_T_696,_T_689,_T_682,_T_675}; // @[el2_lib.scala 245:14] - wire [15:0] _T_907 = {_T_780,_T_773,_T_766,_T_759,_T_752,_T_745,_T_738,_T_731,_T_899}; // @[el2_lib.scala 245:14] - wire [7:0] _T_914 = {_T_836,_T_829,_T_822,_T_815,_T_808,_T_801,_T_794,_T_787}; // @[el2_lib.scala 245:14] - wire [31:0] _T_923 = {_T_892,_T_885,_T_878,_T_871,_T_864,_T_857,_T_850,_T_843,_T_914,_T_907}; // @[el2_lib.scala 245:14] - wire [31:0] _GEN_2 = {{31'd0}, _T_666}; // @[dec_trigger.scala 15:109] - wire [31:0] _T_924 = _GEN_2 & _T_923; // @[dec_trigger.scala 15:109] - wire _T_925 = io_trigger_pkt_any_3_execute & io_trigger_pkt_any_3_m; // @[dec_trigger.scala 15:83] - wire _T_928 = &io_trigger_pkt_any_3_tdata2; // @[el2_lib.scala 241:45] - wire _T_929 = ~_T_928; // @[el2_lib.scala 241:39] - wire _T_930 = io_trigger_pkt_any_3_match_pkt & _T_929; // @[el2_lib.scala 241:37] - wire _T_933 = io_trigger_pkt_any_3_tdata2[0] == dec_i0_match_data_3[0]; // @[el2_lib.scala 242:52] - wire _T_934 = _T_930 | _T_933; // @[el2_lib.scala 242:41] - wire _T_936 = &io_trigger_pkt_any_3_tdata2[0]; // @[el2_lib.scala 244:36] - wire _T_937 = _T_936 & _T_930; // @[el2_lib.scala 244:41] - wire _T_940 = io_trigger_pkt_any_3_tdata2[1] == dec_i0_match_data_3[1]; // @[el2_lib.scala 244:78] - wire _T_941 = _T_937 | _T_940; // @[el2_lib.scala 244:23] - wire _T_943 = &io_trigger_pkt_any_3_tdata2[1:0]; // @[el2_lib.scala 244:36] - wire _T_944 = _T_943 & _T_930; // @[el2_lib.scala 244:41] - wire _T_947 = io_trigger_pkt_any_3_tdata2[2] == dec_i0_match_data_3[2]; // @[el2_lib.scala 244:78] - wire _T_948 = _T_944 | _T_947; // @[el2_lib.scala 244:23] - wire _T_950 = &io_trigger_pkt_any_3_tdata2[2:0]; // @[el2_lib.scala 244:36] - wire _T_951 = _T_950 & _T_930; // @[el2_lib.scala 244:41] - wire _T_954 = io_trigger_pkt_any_3_tdata2[3] == dec_i0_match_data_3[3]; // @[el2_lib.scala 244:78] - wire _T_955 = _T_951 | _T_954; // @[el2_lib.scala 244:23] - wire _T_957 = &io_trigger_pkt_any_3_tdata2[3:0]; // @[el2_lib.scala 244:36] - wire _T_958 = _T_957 & _T_930; // @[el2_lib.scala 244:41] - wire _T_961 = io_trigger_pkt_any_3_tdata2[4] == dec_i0_match_data_3[4]; // @[el2_lib.scala 244:78] - wire _T_962 = _T_958 | _T_961; // @[el2_lib.scala 244:23] - wire _T_964 = &io_trigger_pkt_any_3_tdata2[4:0]; // @[el2_lib.scala 244:36] - wire _T_965 = _T_964 & _T_930; // @[el2_lib.scala 244:41] - wire _T_968 = io_trigger_pkt_any_3_tdata2[5] == dec_i0_match_data_3[5]; // @[el2_lib.scala 244:78] - wire _T_969 = _T_965 | _T_968; // @[el2_lib.scala 244:23] - wire _T_971 = &io_trigger_pkt_any_3_tdata2[5:0]; // @[el2_lib.scala 244:36] - wire _T_972 = _T_971 & _T_930; // @[el2_lib.scala 244:41] - wire _T_975 = io_trigger_pkt_any_3_tdata2[6] == dec_i0_match_data_3[6]; // @[el2_lib.scala 244:78] - wire _T_976 = _T_972 | _T_975; // @[el2_lib.scala 244:23] - wire _T_978 = &io_trigger_pkt_any_3_tdata2[6:0]; // @[el2_lib.scala 244:36] - wire _T_979 = _T_978 & _T_930; // @[el2_lib.scala 244:41] - wire _T_982 = io_trigger_pkt_any_3_tdata2[7] == dec_i0_match_data_3[7]; // @[el2_lib.scala 244:78] - wire _T_983 = _T_979 | _T_982; // @[el2_lib.scala 244:23] - wire _T_985 = &io_trigger_pkt_any_3_tdata2[7:0]; // @[el2_lib.scala 244:36] - wire _T_986 = _T_985 & _T_930; // @[el2_lib.scala 244:41] - wire _T_989 = io_trigger_pkt_any_3_tdata2[8] == dec_i0_match_data_3[8]; // @[el2_lib.scala 244:78] - wire _T_990 = _T_986 | _T_989; // @[el2_lib.scala 244:23] - wire _T_992 = &io_trigger_pkt_any_3_tdata2[8:0]; // @[el2_lib.scala 244:36] - wire _T_993 = _T_992 & _T_930; // @[el2_lib.scala 244:41] - wire _T_996 = io_trigger_pkt_any_3_tdata2[9] == dec_i0_match_data_3[9]; // @[el2_lib.scala 244:78] - wire _T_997 = _T_993 | _T_996; // @[el2_lib.scala 244:23] - wire _T_999 = &io_trigger_pkt_any_3_tdata2[9:0]; // @[el2_lib.scala 244:36] - wire _T_1000 = _T_999 & _T_930; // @[el2_lib.scala 244:41] - wire _T_1003 = io_trigger_pkt_any_3_tdata2[10] == dec_i0_match_data_3[10]; // @[el2_lib.scala 244:78] - wire _T_1004 = _T_1000 | _T_1003; // @[el2_lib.scala 244:23] - wire _T_1006 = &io_trigger_pkt_any_3_tdata2[10:0]; // @[el2_lib.scala 244:36] - wire _T_1007 = _T_1006 & _T_930; // @[el2_lib.scala 244:41] - wire _T_1010 = io_trigger_pkt_any_3_tdata2[11] == dec_i0_match_data_3[11]; // @[el2_lib.scala 244:78] - wire _T_1011 = _T_1007 | _T_1010; // @[el2_lib.scala 244:23] - wire _T_1013 = &io_trigger_pkt_any_3_tdata2[11:0]; // @[el2_lib.scala 244:36] - wire _T_1014 = _T_1013 & _T_930; // @[el2_lib.scala 244:41] - wire _T_1017 = io_trigger_pkt_any_3_tdata2[12] == dec_i0_match_data_3[12]; // @[el2_lib.scala 244:78] - wire _T_1018 = _T_1014 | _T_1017; // @[el2_lib.scala 244:23] - wire _T_1020 = &io_trigger_pkt_any_3_tdata2[12:0]; // @[el2_lib.scala 244:36] - wire _T_1021 = _T_1020 & _T_930; // @[el2_lib.scala 244:41] - wire _T_1024 = io_trigger_pkt_any_3_tdata2[13] == dec_i0_match_data_3[13]; // @[el2_lib.scala 244:78] - wire _T_1025 = _T_1021 | _T_1024; // @[el2_lib.scala 244:23] - wire _T_1027 = &io_trigger_pkt_any_3_tdata2[13:0]; // @[el2_lib.scala 244:36] - wire _T_1028 = _T_1027 & _T_930; // @[el2_lib.scala 244:41] - wire _T_1031 = io_trigger_pkt_any_3_tdata2[14] == dec_i0_match_data_3[14]; // @[el2_lib.scala 244:78] - wire _T_1032 = _T_1028 | _T_1031; // @[el2_lib.scala 244:23] - wire _T_1034 = &io_trigger_pkt_any_3_tdata2[14:0]; // @[el2_lib.scala 244:36] - wire _T_1035 = _T_1034 & _T_930; // @[el2_lib.scala 244:41] - wire _T_1038 = io_trigger_pkt_any_3_tdata2[15] == dec_i0_match_data_3[15]; // @[el2_lib.scala 244:78] - wire _T_1039 = _T_1035 | _T_1038; // @[el2_lib.scala 244:23] - wire _T_1041 = &io_trigger_pkt_any_3_tdata2[15:0]; // @[el2_lib.scala 244:36] - wire _T_1042 = _T_1041 & _T_930; // @[el2_lib.scala 244:41] - wire _T_1045 = io_trigger_pkt_any_3_tdata2[16] == dec_i0_match_data_3[16]; // @[el2_lib.scala 244:78] - wire _T_1046 = _T_1042 | _T_1045; // @[el2_lib.scala 244:23] - wire _T_1048 = &io_trigger_pkt_any_3_tdata2[16:0]; // @[el2_lib.scala 244:36] - wire _T_1049 = _T_1048 & _T_930; // @[el2_lib.scala 244:41] - wire _T_1052 = io_trigger_pkt_any_3_tdata2[17] == dec_i0_match_data_3[17]; // @[el2_lib.scala 244:78] - wire _T_1053 = _T_1049 | _T_1052; // @[el2_lib.scala 244:23] - wire _T_1055 = &io_trigger_pkt_any_3_tdata2[17:0]; // @[el2_lib.scala 244:36] - wire _T_1056 = _T_1055 & _T_930; // @[el2_lib.scala 244:41] - wire _T_1059 = io_trigger_pkt_any_3_tdata2[18] == dec_i0_match_data_3[18]; // @[el2_lib.scala 244:78] - wire _T_1060 = _T_1056 | _T_1059; // @[el2_lib.scala 244:23] - wire _T_1062 = &io_trigger_pkt_any_3_tdata2[18:0]; // @[el2_lib.scala 244:36] - wire _T_1063 = _T_1062 & _T_930; // @[el2_lib.scala 244:41] - wire _T_1066 = io_trigger_pkt_any_3_tdata2[19] == dec_i0_match_data_3[19]; // @[el2_lib.scala 244:78] - wire _T_1067 = _T_1063 | _T_1066; // @[el2_lib.scala 244:23] - wire _T_1069 = &io_trigger_pkt_any_3_tdata2[19:0]; // @[el2_lib.scala 244:36] - wire _T_1070 = _T_1069 & _T_930; // @[el2_lib.scala 244:41] - wire _T_1073 = io_trigger_pkt_any_3_tdata2[20] == dec_i0_match_data_3[20]; // @[el2_lib.scala 244:78] - wire _T_1074 = _T_1070 | _T_1073; // @[el2_lib.scala 244:23] - wire _T_1076 = &io_trigger_pkt_any_3_tdata2[20:0]; // @[el2_lib.scala 244:36] - wire _T_1077 = _T_1076 & _T_930; // @[el2_lib.scala 244:41] - wire _T_1080 = io_trigger_pkt_any_3_tdata2[21] == dec_i0_match_data_3[21]; // @[el2_lib.scala 244:78] - wire _T_1081 = _T_1077 | _T_1080; // @[el2_lib.scala 244:23] - wire _T_1083 = &io_trigger_pkt_any_3_tdata2[21:0]; // @[el2_lib.scala 244:36] - wire _T_1084 = _T_1083 & _T_930; // @[el2_lib.scala 244:41] - wire _T_1087 = io_trigger_pkt_any_3_tdata2[22] == dec_i0_match_data_3[22]; // @[el2_lib.scala 244:78] - wire _T_1088 = _T_1084 | _T_1087; // @[el2_lib.scala 244:23] - wire _T_1090 = &io_trigger_pkt_any_3_tdata2[22:0]; // @[el2_lib.scala 244:36] - wire _T_1091 = _T_1090 & _T_930; // @[el2_lib.scala 244:41] - wire _T_1094 = io_trigger_pkt_any_3_tdata2[23] == dec_i0_match_data_3[23]; // @[el2_lib.scala 244:78] - wire _T_1095 = _T_1091 | _T_1094; // @[el2_lib.scala 244:23] - wire _T_1097 = &io_trigger_pkt_any_3_tdata2[23:0]; // @[el2_lib.scala 244:36] - wire _T_1098 = _T_1097 & _T_930; // @[el2_lib.scala 244:41] - wire _T_1101 = io_trigger_pkt_any_3_tdata2[24] == dec_i0_match_data_3[24]; // @[el2_lib.scala 244:78] - wire _T_1102 = _T_1098 | _T_1101; // @[el2_lib.scala 244:23] - wire _T_1104 = &io_trigger_pkt_any_3_tdata2[24:0]; // @[el2_lib.scala 244:36] - wire _T_1105 = _T_1104 & _T_930; // @[el2_lib.scala 244:41] - wire _T_1108 = io_trigger_pkt_any_3_tdata2[25] == dec_i0_match_data_3[25]; // @[el2_lib.scala 244:78] - wire _T_1109 = _T_1105 | _T_1108; // @[el2_lib.scala 244:23] - wire _T_1111 = &io_trigger_pkt_any_3_tdata2[25:0]; // @[el2_lib.scala 244:36] - wire _T_1112 = _T_1111 & _T_930; // @[el2_lib.scala 244:41] - wire _T_1115 = io_trigger_pkt_any_3_tdata2[26] == dec_i0_match_data_3[26]; // @[el2_lib.scala 244:78] - wire _T_1116 = _T_1112 | _T_1115; // @[el2_lib.scala 244:23] - wire _T_1118 = &io_trigger_pkt_any_3_tdata2[26:0]; // @[el2_lib.scala 244:36] - wire _T_1119 = _T_1118 & _T_930; // @[el2_lib.scala 244:41] - wire _T_1122 = io_trigger_pkt_any_3_tdata2[27] == dec_i0_match_data_3[27]; // @[el2_lib.scala 244:78] - wire _T_1123 = _T_1119 | _T_1122; // @[el2_lib.scala 244:23] - wire _T_1125 = &io_trigger_pkt_any_3_tdata2[27:0]; // @[el2_lib.scala 244:36] - wire _T_1126 = _T_1125 & _T_930; // @[el2_lib.scala 244:41] - wire _T_1129 = io_trigger_pkt_any_3_tdata2[28] == dec_i0_match_data_3[28]; // @[el2_lib.scala 244:78] - wire _T_1130 = _T_1126 | _T_1129; // @[el2_lib.scala 244:23] - wire _T_1132 = &io_trigger_pkt_any_3_tdata2[28:0]; // @[el2_lib.scala 244:36] - wire _T_1133 = _T_1132 & _T_930; // @[el2_lib.scala 244:41] - wire _T_1136 = io_trigger_pkt_any_3_tdata2[29] == dec_i0_match_data_3[29]; // @[el2_lib.scala 244:78] - wire _T_1137 = _T_1133 | _T_1136; // @[el2_lib.scala 244:23] - wire _T_1139 = &io_trigger_pkt_any_3_tdata2[29:0]; // @[el2_lib.scala 244:36] - wire _T_1140 = _T_1139 & _T_930; // @[el2_lib.scala 244:41] - wire _T_1143 = io_trigger_pkt_any_3_tdata2[30] == dec_i0_match_data_3[30]; // @[el2_lib.scala 244:78] - wire _T_1144 = _T_1140 | _T_1143; // @[el2_lib.scala 244:23] - wire _T_1146 = &io_trigger_pkt_any_3_tdata2[30:0]; // @[el2_lib.scala 244:36] - wire _T_1147 = _T_1146 & _T_930; // @[el2_lib.scala 244:41] - wire _T_1150 = io_trigger_pkt_any_3_tdata2[31] == dec_i0_match_data_3[31]; // @[el2_lib.scala 244:78] - wire _T_1151 = _T_1147 | _T_1150; // @[el2_lib.scala 244:23] - wire [7:0] _T_1158 = {_T_983,_T_976,_T_969,_T_962,_T_955,_T_948,_T_941,_T_934}; // @[el2_lib.scala 245:14] - wire [15:0] _T_1166 = {_T_1039,_T_1032,_T_1025,_T_1018,_T_1011,_T_1004,_T_997,_T_990,_T_1158}; // @[el2_lib.scala 245:14] - wire [7:0] _T_1173 = {_T_1095,_T_1088,_T_1081,_T_1074,_T_1067,_T_1060,_T_1053,_T_1046}; // @[el2_lib.scala 245:14] - wire [31:0] _T_1182 = {_T_1151,_T_1144,_T_1137,_T_1130,_T_1123,_T_1116,_T_1109,_T_1102,_T_1173,_T_1166}; // @[el2_lib.scala 245:14] - wire [31:0] _GEN_3 = {{31'd0}, _T_925}; // @[dec_trigger.scala 15:109] - wire [31:0] _T_1183 = _GEN_3 & _T_1182; // @[dec_trigger.scala 15:109] - wire [127:0] _T_1186 = {_T_1183,_T_924,_T_665,_T_406}; // @[Cat.scala 29:58] - assign io_dec_i0_trigger_match_d = _T_1186[3:0]; // @[dec_trigger.scala 15:29] + wire _T_406 = &_T_405; // @[el2_lib.scala 245:25] + wire _T_407 = _T_148 & _T_406; // @[dec_trigger.scala 15:109] + wire _T_408 = io_trigger_pkt_any_1_execute & io_trigger_pkt_any_1_m; // @[dec_trigger.scala 15:83] + wire _T_411 = &io_trigger_pkt_any_1_tdata2; // @[el2_lib.scala 241:45] + wire _T_412 = ~_T_411; // @[el2_lib.scala 241:39] + wire _T_413 = io_trigger_pkt_any_1_match_pkt & _T_412; // @[el2_lib.scala 241:37] + wire _T_416 = io_trigger_pkt_any_1_tdata2[0] == dec_i0_match_data_1[0]; // @[el2_lib.scala 242:52] + wire _T_417 = _T_413 | _T_416; // @[el2_lib.scala 242:41] + wire _T_419 = &io_trigger_pkt_any_1_tdata2[0]; // @[el2_lib.scala 244:36] + wire _T_420 = _T_419 & _T_413; // @[el2_lib.scala 244:41] + wire _T_423 = io_trigger_pkt_any_1_tdata2[1] == dec_i0_match_data_1[1]; // @[el2_lib.scala 244:78] + wire _T_424 = _T_420 | _T_423; // @[el2_lib.scala 244:23] + wire _T_426 = &io_trigger_pkt_any_1_tdata2[1:0]; // @[el2_lib.scala 244:36] + wire _T_427 = _T_426 & _T_413; // @[el2_lib.scala 244:41] + wire _T_430 = io_trigger_pkt_any_1_tdata2[2] == dec_i0_match_data_1[2]; // @[el2_lib.scala 244:78] + wire _T_431 = _T_427 | _T_430; // @[el2_lib.scala 244:23] + wire _T_433 = &io_trigger_pkt_any_1_tdata2[2:0]; // @[el2_lib.scala 244:36] + wire _T_434 = _T_433 & _T_413; // @[el2_lib.scala 244:41] + wire _T_437 = io_trigger_pkt_any_1_tdata2[3] == dec_i0_match_data_1[3]; // @[el2_lib.scala 244:78] + wire _T_438 = _T_434 | _T_437; // @[el2_lib.scala 244:23] + wire _T_440 = &io_trigger_pkt_any_1_tdata2[3:0]; // @[el2_lib.scala 244:36] + wire _T_441 = _T_440 & _T_413; // @[el2_lib.scala 244:41] + wire _T_444 = io_trigger_pkt_any_1_tdata2[4] == dec_i0_match_data_1[4]; // @[el2_lib.scala 244:78] + wire _T_445 = _T_441 | _T_444; // @[el2_lib.scala 244:23] + wire _T_447 = &io_trigger_pkt_any_1_tdata2[4:0]; // @[el2_lib.scala 244:36] + wire _T_448 = _T_447 & _T_413; // @[el2_lib.scala 244:41] + wire _T_451 = io_trigger_pkt_any_1_tdata2[5] == dec_i0_match_data_1[5]; // @[el2_lib.scala 244:78] + wire _T_452 = _T_448 | _T_451; // @[el2_lib.scala 244:23] + wire _T_454 = &io_trigger_pkt_any_1_tdata2[5:0]; // @[el2_lib.scala 244:36] + wire _T_455 = _T_454 & _T_413; // @[el2_lib.scala 244:41] + wire _T_458 = io_trigger_pkt_any_1_tdata2[6] == dec_i0_match_data_1[6]; // @[el2_lib.scala 244:78] + wire _T_459 = _T_455 | _T_458; // @[el2_lib.scala 244:23] + wire _T_461 = &io_trigger_pkt_any_1_tdata2[6:0]; // @[el2_lib.scala 244:36] + wire _T_462 = _T_461 & _T_413; // @[el2_lib.scala 244:41] + wire _T_465 = io_trigger_pkt_any_1_tdata2[7] == dec_i0_match_data_1[7]; // @[el2_lib.scala 244:78] + wire _T_466 = _T_462 | _T_465; // @[el2_lib.scala 244:23] + wire _T_468 = &io_trigger_pkt_any_1_tdata2[7:0]; // @[el2_lib.scala 244:36] + wire _T_469 = _T_468 & _T_413; // @[el2_lib.scala 244:41] + wire _T_472 = io_trigger_pkt_any_1_tdata2[8] == dec_i0_match_data_1[8]; // @[el2_lib.scala 244:78] + wire _T_473 = _T_469 | _T_472; // @[el2_lib.scala 244:23] + wire _T_475 = &io_trigger_pkt_any_1_tdata2[8:0]; // @[el2_lib.scala 244:36] + wire _T_476 = _T_475 & _T_413; // @[el2_lib.scala 244:41] + wire _T_479 = io_trigger_pkt_any_1_tdata2[9] == dec_i0_match_data_1[9]; // @[el2_lib.scala 244:78] + wire _T_480 = _T_476 | _T_479; // @[el2_lib.scala 244:23] + wire _T_482 = &io_trigger_pkt_any_1_tdata2[9:0]; // @[el2_lib.scala 244:36] + wire _T_483 = _T_482 & _T_413; // @[el2_lib.scala 244:41] + wire _T_486 = io_trigger_pkt_any_1_tdata2[10] == dec_i0_match_data_1[10]; // @[el2_lib.scala 244:78] + wire _T_487 = _T_483 | _T_486; // @[el2_lib.scala 244:23] + wire _T_489 = &io_trigger_pkt_any_1_tdata2[10:0]; // @[el2_lib.scala 244:36] + wire _T_490 = _T_489 & _T_413; // @[el2_lib.scala 244:41] + wire _T_493 = io_trigger_pkt_any_1_tdata2[11] == dec_i0_match_data_1[11]; // @[el2_lib.scala 244:78] + wire _T_494 = _T_490 | _T_493; // @[el2_lib.scala 244:23] + wire _T_496 = &io_trigger_pkt_any_1_tdata2[11:0]; // @[el2_lib.scala 244:36] + wire _T_497 = _T_496 & _T_413; // @[el2_lib.scala 244:41] + wire _T_500 = io_trigger_pkt_any_1_tdata2[12] == dec_i0_match_data_1[12]; // @[el2_lib.scala 244:78] + wire _T_501 = _T_497 | _T_500; // @[el2_lib.scala 244:23] + wire _T_503 = &io_trigger_pkt_any_1_tdata2[12:0]; // @[el2_lib.scala 244:36] + wire _T_504 = _T_503 & _T_413; // @[el2_lib.scala 244:41] + wire _T_507 = io_trigger_pkt_any_1_tdata2[13] == dec_i0_match_data_1[13]; // @[el2_lib.scala 244:78] + wire _T_508 = _T_504 | _T_507; // @[el2_lib.scala 244:23] + wire _T_510 = &io_trigger_pkt_any_1_tdata2[13:0]; // @[el2_lib.scala 244:36] + wire _T_511 = _T_510 & _T_413; // @[el2_lib.scala 244:41] + wire _T_514 = io_trigger_pkt_any_1_tdata2[14] == dec_i0_match_data_1[14]; // @[el2_lib.scala 244:78] + wire _T_515 = _T_511 | _T_514; // @[el2_lib.scala 244:23] + wire _T_517 = &io_trigger_pkt_any_1_tdata2[14:0]; // @[el2_lib.scala 244:36] + wire _T_518 = _T_517 & _T_413; // @[el2_lib.scala 244:41] + wire _T_521 = io_trigger_pkt_any_1_tdata2[15] == dec_i0_match_data_1[15]; // @[el2_lib.scala 244:78] + wire _T_522 = _T_518 | _T_521; // @[el2_lib.scala 244:23] + wire _T_524 = &io_trigger_pkt_any_1_tdata2[15:0]; // @[el2_lib.scala 244:36] + wire _T_525 = _T_524 & _T_413; // @[el2_lib.scala 244:41] + wire _T_528 = io_trigger_pkt_any_1_tdata2[16] == dec_i0_match_data_1[16]; // @[el2_lib.scala 244:78] + wire _T_529 = _T_525 | _T_528; // @[el2_lib.scala 244:23] + wire _T_531 = &io_trigger_pkt_any_1_tdata2[16:0]; // @[el2_lib.scala 244:36] + wire _T_532 = _T_531 & _T_413; // @[el2_lib.scala 244:41] + wire _T_535 = io_trigger_pkt_any_1_tdata2[17] == dec_i0_match_data_1[17]; // @[el2_lib.scala 244:78] + wire _T_536 = _T_532 | _T_535; // @[el2_lib.scala 244:23] + wire _T_538 = &io_trigger_pkt_any_1_tdata2[17:0]; // @[el2_lib.scala 244:36] + wire _T_539 = _T_538 & _T_413; // @[el2_lib.scala 244:41] + wire _T_542 = io_trigger_pkt_any_1_tdata2[18] == dec_i0_match_data_1[18]; // @[el2_lib.scala 244:78] + wire _T_543 = _T_539 | _T_542; // @[el2_lib.scala 244:23] + wire _T_545 = &io_trigger_pkt_any_1_tdata2[18:0]; // @[el2_lib.scala 244:36] + wire _T_546 = _T_545 & _T_413; // @[el2_lib.scala 244:41] + wire _T_549 = io_trigger_pkt_any_1_tdata2[19] == dec_i0_match_data_1[19]; // @[el2_lib.scala 244:78] + wire _T_550 = _T_546 | _T_549; // @[el2_lib.scala 244:23] + wire _T_552 = &io_trigger_pkt_any_1_tdata2[19:0]; // @[el2_lib.scala 244:36] + wire _T_553 = _T_552 & _T_413; // @[el2_lib.scala 244:41] + wire _T_556 = io_trigger_pkt_any_1_tdata2[20] == dec_i0_match_data_1[20]; // @[el2_lib.scala 244:78] + wire _T_557 = _T_553 | _T_556; // @[el2_lib.scala 244:23] + wire _T_559 = &io_trigger_pkt_any_1_tdata2[20:0]; // @[el2_lib.scala 244:36] + wire _T_560 = _T_559 & _T_413; // @[el2_lib.scala 244:41] + wire _T_563 = io_trigger_pkt_any_1_tdata2[21] == dec_i0_match_data_1[21]; // @[el2_lib.scala 244:78] + wire _T_564 = _T_560 | _T_563; // @[el2_lib.scala 244:23] + wire _T_566 = &io_trigger_pkt_any_1_tdata2[21:0]; // @[el2_lib.scala 244:36] + wire _T_567 = _T_566 & _T_413; // @[el2_lib.scala 244:41] + wire _T_570 = io_trigger_pkt_any_1_tdata2[22] == dec_i0_match_data_1[22]; // @[el2_lib.scala 244:78] + wire _T_571 = _T_567 | _T_570; // @[el2_lib.scala 244:23] + wire _T_573 = &io_trigger_pkt_any_1_tdata2[22:0]; // @[el2_lib.scala 244:36] + wire _T_574 = _T_573 & _T_413; // @[el2_lib.scala 244:41] + wire _T_577 = io_trigger_pkt_any_1_tdata2[23] == dec_i0_match_data_1[23]; // @[el2_lib.scala 244:78] + wire _T_578 = _T_574 | _T_577; // @[el2_lib.scala 244:23] + wire _T_580 = &io_trigger_pkt_any_1_tdata2[23:0]; // @[el2_lib.scala 244:36] + wire _T_581 = _T_580 & _T_413; // @[el2_lib.scala 244:41] + wire _T_584 = io_trigger_pkt_any_1_tdata2[24] == dec_i0_match_data_1[24]; // @[el2_lib.scala 244:78] + wire _T_585 = _T_581 | _T_584; // @[el2_lib.scala 244:23] + wire _T_587 = &io_trigger_pkt_any_1_tdata2[24:0]; // @[el2_lib.scala 244:36] + wire _T_588 = _T_587 & _T_413; // @[el2_lib.scala 244:41] + wire _T_591 = io_trigger_pkt_any_1_tdata2[25] == dec_i0_match_data_1[25]; // @[el2_lib.scala 244:78] + wire _T_592 = _T_588 | _T_591; // @[el2_lib.scala 244:23] + wire _T_594 = &io_trigger_pkt_any_1_tdata2[25:0]; // @[el2_lib.scala 244:36] + wire _T_595 = _T_594 & _T_413; // @[el2_lib.scala 244:41] + wire _T_598 = io_trigger_pkt_any_1_tdata2[26] == dec_i0_match_data_1[26]; // @[el2_lib.scala 244:78] + wire _T_599 = _T_595 | _T_598; // @[el2_lib.scala 244:23] + wire _T_601 = &io_trigger_pkt_any_1_tdata2[26:0]; // @[el2_lib.scala 244:36] + wire _T_602 = _T_601 & _T_413; // @[el2_lib.scala 244:41] + wire _T_605 = io_trigger_pkt_any_1_tdata2[27] == dec_i0_match_data_1[27]; // @[el2_lib.scala 244:78] + wire _T_606 = _T_602 | _T_605; // @[el2_lib.scala 244:23] + wire _T_608 = &io_trigger_pkt_any_1_tdata2[27:0]; // @[el2_lib.scala 244:36] + wire _T_609 = _T_608 & _T_413; // @[el2_lib.scala 244:41] + wire _T_612 = io_trigger_pkt_any_1_tdata2[28] == dec_i0_match_data_1[28]; // @[el2_lib.scala 244:78] + wire _T_613 = _T_609 | _T_612; // @[el2_lib.scala 244:23] + wire _T_615 = &io_trigger_pkt_any_1_tdata2[28:0]; // @[el2_lib.scala 244:36] + wire _T_616 = _T_615 & _T_413; // @[el2_lib.scala 244:41] + wire _T_619 = io_trigger_pkt_any_1_tdata2[29] == dec_i0_match_data_1[29]; // @[el2_lib.scala 244:78] + wire _T_620 = _T_616 | _T_619; // @[el2_lib.scala 244:23] + wire _T_622 = &io_trigger_pkt_any_1_tdata2[29:0]; // @[el2_lib.scala 244:36] + wire _T_623 = _T_622 & _T_413; // @[el2_lib.scala 244:41] + wire _T_626 = io_trigger_pkt_any_1_tdata2[30] == dec_i0_match_data_1[30]; // @[el2_lib.scala 244:78] + wire _T_627 = _T_623 | _T_626; // @[el2_lib.scala 244:23] + wire _T_629 = &io_trigger_pkt_any_1_tdata2[30:0]; // @[el2_lib.scala 244:36] + wire _T_630 = _T_629 & _T_413; // @[el2_lib.scala 244:41] + wire _T_633 = io_trigger_pkt_any_1_tdata2[31] == dec_i0_match_data_1[31]; // @[el2_lib.scala 244:78] + wire _T_634 = _T_630 | _T_633; // @[el2_lib.scala 244:23] + wire [7:0] _T_641 = {_T_466,_T_459,_T_452,_T_445,_T_438,_T_431,_T_424,_T_417}; // @[el2_lib.scala 245:14] + wire [15:0] _T_649 = {_T_522,_T_515,_T_508,_T_501,_T_494,_T_487,_T_480,_T_473,_T_641}; // @[el2_lib.scala 245:14] + wire [7:0] _T_656 = {_T_578,_T_571,_T_564,_T_557,_T_550,_T_543,_T_536,_T_529}; // @[el2_lib.scala 245:14] + wire [31:0] _T_665 = {_T_634,_T_627,_T_620,_T_613,_T_606,_T_599,_T_592,_T_585,_T_656,_T_649}; // @[el2_lib.scala 245:14] + wire _T_666 = &_T_665; // @[el2_lib.scala 245:25] + wire _T_667 = _T_408 & _T_666; // @[dec_trigger.scala 15:109] + wire _T_668 = io_trigger_pkt_any_2_execute & io_trigger_pkt_any_2_m; // @[dec_trigger.scala 15:83] + wire _T_671 = &io_trigger_pkt_any_2_tdata2; // @[el2_lib.scala 241:45] + wire _T_672 = ~_T_671; // @[el2_lib.scala 241:39] + wire _T_673 = io_trigger_pkt_any_2_match_pkt & _T_672; // @[el2_lib.scala 241:37] + wire _T_676 = io_trigger_pkt_any_2_tdata2[0] == dec_i0_match_data_2[0]; // @[el2_lib.scala 242:52] + wire _T_677 = _T_673 | _T_676; // @[el2_lib.scala 242:41] + wire _T_679 = &io_trigger_pkt_any_2_tdata2[0]; // @[el2_lib.scala 244:36] + wire _T_680 = _T_679 & _T_673; // @[el2_lib.scala 244:41] + wire _T_683 = io_trigger_pkt_any_2_tdata2[1] == dec_i0_match_data_2[1]; // @[el2_lib.scala 244:78] + wire _T_684 = _T_680 | _T_683; // @[el2_lib.scala 244:23] + wire _T_686 = &io_trigger_pkt_any_2_tdata2[1:0]; // @[el2_lib.scala 244:36] + wire _T_687 = _T_686 & _T_673; // @[el2_lib.scala 244:41] + wire _T_690 = io_trigger_pkt_any_2_tdata2[2] == dec_i0_match_data_2[2]; // @[el2_lib.scala 244:78] + wire _T_691 = _T_687 | _T_690; // @[el2_lib.scala 244:23] + wire _T_693 = &io_trigger_pkt_any_2_tdata2[2:0]; // @[el2_lib.scala 244:36] + wire _T_694 = _T_693 & _T_673; // @[el2_lib.scala 244:41] + wire _T_697 = io_trigger_pkt_any_2_tdata2[3] == dec_i0_match_data_2[3]; // @[el2_lib.scala 244:78] + wire _T_698 = _T_694 | _T_697; // @[el2_lib.scala 244:23] + wire _T_700 = &io_trigger_pkt_any_2_tdata2[3:0]; // @[el2_lib.scala 244:36] + wire _T_701 = _T_700 & _T_673; // @[el2_lib.scala 244:41] + wire _T_704 = io_trigger_pkt_any_2_tdata2[4] == dec_i0_match_data_2[4]; // @[el2_lib.scala 244:78] + wire _T_705 = _T_701 | _T_704; // @[el2_lib.scala 244:23] + wire _T_707 = &io_trigger_pkt_any_2_tdata2[4:0]; // @[el2_lib.scala 244:36] + wire _T_708 = _T_707 & _T_673; // @[el2_lib.scala 244:41] + wire _T_711 = io_trigger_pkt_any_2_tdata2[5] == dec_i0_match_data_2[5]; // @[el2_lib.scala 244:78] + wire _T_712 = _T_708 | _T_711; // @[el2_lib.scala 244:23] + wire _T_714 = &io_trigger_pkt_any_2_tdata2[5:0]; // @[el2_lib.scala 244:36] + wire _T_715 = _T_714 & _T_673; // @[el2_lib.scala 244:41] + wire _T_718 = io_trigger_pkt_any_2_tdata2[6] == dec_i0_match_data_2[6]; // @[el2_lib.scala 244:78] + wire _T_719 = _T_715 | _T_718; // @[el2_lib.scala 244:23] + wire _T_721 = &io_trigger_pkt_any_2_tdata2[6:0]; // @[el2_lib.scala 244:36] + wire _T_722 = _T_721 & _T_673; // @[el2_lib.scala 244:41] + wire _T_725 = io_trigger_pkt_any_2_tdata2[7] == dec_i0_match_data_2[7]; // @[el2_lib.scala 244:78] + wire _T_726 = _T_722 | _T_725; // @[el2_lib.scala 244:23] + wire _T_728 = &io_trigger_pkt_any_2_tdata2[7:0]; // @[el2_lib.scala 244:36] + wire _T_729 = _T_728 & _T_673; // @[el2_lib.scala 244:41] + wire _T_732 = io_trigger_pkt_any_2_tdata2[8] == dec_i0_match_data_2[8]; // @[el2_lib.scala 244:78] + wire _T_733 = _T_729 | _T_732; // @[el2_lib.scala 244:23] + wire _T_735 = &io_trigger_pkt_any_2_tdata2[8:0]; // @[el2_lib.scala 244:36] + wire _T_736 = _T_735 & _T_673; // @[el2_lib.scala 244:41] + wire _T_739 = io_trigger_pkt_any_2_tdata2[9] == dec_i0_match_data_2[9]; // @[el2_lib.scala 244:78] + wire _T_740 = _T_736 | _T_739; // @[el2_lib.scala 244:23] + wire _T_742 = &io_trigger_pkt_any_2_tdata2[9:0]; // @[el2_lib.scala 244:36] + wire _T_743 = _T_742 & _T_673; // @[el2_lib.scala 244:41] + wire _T_746 = io_trigger_pkt_any_2_tdata2[10] == dec_i0_match_data_2[10]; // @[el2_lib.scala 244:78] + wire _T_747 = _T_743 | _T_746; // @[el2_lib.scala 244:23] + wire _T_749 = &io_trigger_pkt_any_2_tdata2[10:0]; // @[el2_lib.scala 244:36] + wire _T_750 = _T_749 & _T_673; // @[el2_lib.scala 244:41] + wire _T_753 = io_trigger_pkt_any_2_tdata2[11] == dec_i0_match_data_2[11]; // @[el2_lib.scala 244:78] + wire _T_754 = _T_750 | _T_753; // @[el2_lib.scala 244:23] + wire _T_756 = &io_trigger_pkt_any_2_tdata2[11:0]; // @[el2_lib.scala 244:36] + wire _T_757 = _T_756 & _T_673; // @[el2_lib.scala 244:41] + wire _T_760 = io_trigger_pkt_any_2_tdata2[12] == dec_i0_match_data_2[12]; // @[el2_lib.scala 244:78] + wire _T_761 = _T_757 | _T_760; // @[el2_lib.scala 244:23] + wire _T_763 = &io_trigger_pkt_any_2_tdata2[12:0]; // @[el2_lib.scala 244:36] + wire _T_764 = _T_763 & _T_673; // @[el2_lib.scala 244:41] + wire _T_767 = io_trigger_pkt_any_2_tdata2[13] == dec_i0_match_data_2[13]; // @[el2_lib.scala 244:78] + wire _T_768 = _T_764 | _T_767; // @[el2_lib.scala 244:23] + wire _T_770 = &io_trigger_pkt_any_2_tdata2[13:0]; // @[el2_lib.scala 244:36] + wire _T_771 = _T_770 & _T_673; // @[el2_lib.scala 244:41] + wire _T_774 = io_trigger_pkt_any_2_tdata2[14] == dec_i0_match_data_2[14]; // @[el2_lib.scala 244:78] + wire _T_775 = _T_771 | _T_774; // @[el2_lib.scala 244:23] + wire _T_777 = &io_trigger_pkt_any_2_tdata2[14:0]; // @[el2_lib.scala 244:36] + wire _T_778 = _T_777 & _T_673; // @[el2_lib.scala 244:41] + wire _T_781 = io_trigger_pkt_any_2_tdata2[15] == dec_i0_match_data_2[15]; // @[el2_lib.scala 244:78] + wire _T_782 = _T_778 | _T_781; // @[el2_lib.scala 244:23] + wire _T_784 = &io_trigger_pkt_any_2_tdata2[15:0]; // @[el2_lib.scala 244:36] + wire _T_785 = _T_784 & _T_673; // @[el2_lib.scala 244:41] + wire _T_788 = io_trigger_pkt_any_2_tdata2[16] == dec_i0_match_data_2[16]; // @[el2_lib.scala 244:78] + wire _T_789 = _T_785 | _T_788; // @[el2_lib.scala 244:23] + wire _T_791 = &io_trigger_pkt_any_2_tdata2[16:0]; // @[el2_lib.scala 244:36] + wire _T_792 = _T_791 & _T_673; // @[el2_lib.scala 244:41] + wire _T_795 = io_trigger_pkt_any_2_tdata2[17] == dec_i0_match_data_2[17]; // @[el2_lib.scala 244:78] + wire _T_796 = _T_792 | _T_795; // @[el2_lib.scala 244:23] + wire _T_798 = &io_trigger_pkt_any_2_tdata2[17:0]; // @[el2_lib.scala 244:36] + wire _T_799 = _T_798 & _T_673; // @[el2_lib.scala 244:41] + wire _T_802 = io_trigger_pkt_any_2_tdata2[18] == dec_i0_match_data_2[18]; // @[el2_lib.scala 244:78] + wire _T_803 = _T_799 | _T_802; // @[el2_lib.scala 244:23] + wire _T_805 = &io_trigger_pkt_any_2_tdata2[18:0]; // @[el2_lib.scala 244:36] + wire _T_806 = _T_805 & _T_673; // @[el2_lib.scala 244:41] + wire _T_809 = io_trigger_pkt_any_2_tdata2[19] == dec_i0_match_data_2[19]; // @[el2_lib.scala 244:78] + wire _T_810 = _T_806 | _T_809; // @[el2_lib.scala 244:23] + wire _T_812 = &io_trigger_pkt_any_2_tdata2[19:0]; // @[el2_lib.scala 244:36] + wire _T_813 = _T_812 & _T_673; // @[el2_lib.scala 244:41] + wire _T_816 = io_trigger_pkt_any_2_tdata2[20] == dec_i0_match_data_2[20]; // @[el2_lib.scala 244:78] + wire _T_817 = _T_813 | _T_816; // @[el2_lib.scala 244:23] + wire _T_819 = &io_trigger_pkt_any_2_tdata2[20:0]; // @[el2_lib.scala 244:36] + wire _T_820 = _T_819 & _T_673; // @[el2_lib.scala 244:41] + wire _T_823 = io_trigger_pkt_any_2_tdata2[21] == dec_i0_match_data_2[21]; // @[el2_lib.scala 244:78] + wire _T_824 = _T_820 | _T_823; // @[el2_lib.scala 244:23] + wire _T_826 = &io_trigger_pkt_any_2_tdata2[21:0]; // @[el2_lib.scala 244:36] + wire _T_827 = _T_826 & _T_673; // @[el2_lib.scala 244:41] + wire _T_830 = io_trigger_pkt_any_2_tdata2[22] == dec_i0_match_data_2[22]; // @[el2_lib.scala 244:78] + wire _T_831 = _T_827 | _T_830; // @[el2_lib.scala 244:23] + wire _T_833 = &io_trigger_pkt_any_2_tdata2[22:0]; // @[el2_lib.scala 244:36] + wire _T_834 = _T_833 & _T_673; // @[el2_lib.scala 244:41] + wire _T_837 = io_trigger_pkt_any_2_tdata2[23] == dec_i0_match_data_2[23]; // @[el2_lib.scala 244:78] + wire _T_838 = _T_834 | _T_837; // @[el2_lib.scala 244:23] + wire _T_840 = &io_trigger_pkt_any_2_tdata2[23:0]; // @[el2_lib.scala 244:36] + wire _T_841 = _T_840 & _T_673; // @[el2_lib.scala 244:41] + wire _T_844 = io_trigger_pkt_any_2_tdata2[24] == dec_i0_match_data_2[24]; // @[el2_lib.scala 244:78] + wire _T_845 = _T_841 | _T_844; // @[el2_lib.scala 244:23] + wire _T_847 = &io_trigger_pkt_any_2_tdata2[24:0]; // @[el2_lib.scala 244:36] + wire _T_848 = _T_847 & _T_673; // @[el2_lib.scala 244:41] + wire _T_851 = io_trigger_pkt_any_2_tdata2[25] == dec_i0_match_data_2[25]; // @[el2_lib.scala 244:78] + wire _T_852 = _T_848 | _T_851; // @[el2_lib.scala 244:23] + wire _T_854 = &io_trigger_pkt_any_2_tdata2[25:0]; // @[el2_lib.scala 244:36] + wire _T_855 = _T_854 & _T_673; // @[el2_lib.scala 244:41] + wire _T_858 = io_trigger_pkt_any_2_tdata2[26] == dec_i0_match_data_2[26]; // @[el2_lib.scala 244:78] + wire _T_859 = _T_855 | _T_858; // @[el2_lib.scala 244:23] + wire _T_861 = &io_trigger_pkt_any_2_tdata2[26:0]; // @[el2_lib.scala 244:36] + wire _T_862 = _T_861 & _T_673; // @[el2_lib.scala 244:41] + wire _T_865 = io_trigger_pkt_any_2_tdata2[27] == dec_i0_match_data_2[27]; // @[el2_lib.scala 244:78] + wire _T_866 = _T_862 | _T_865; // @[el2_lib.scala 244:23] + wire _T_868 = &io_trigger_pkt_any_2_tdata2[27:0]; // @[el2_lib.scala 244:36] + wire _T_869 = _T_868 & _T_673; // @[el2_lib.scala 244:41] + wire _T_872 = io_trigger_pkt_any_2_tdata2[28] == dec_i0_match_data_2[28]; // @[el2_lib.scala 244:78] + wire _T_873 = _T_869 | _T_872; // @[el2_lib.scala 244:23] + wire _T_875 = &io_trigger_pkt_any_2_tdata2[28:0]; // @[el2_lib.scala 244:36] + wire _T_876 = _T_875 & _T_673; // @[el2_lib.scala 244:41] + wire _T_879 = io_trigger_pkt_any_2_tdata2[29] == dec_i0_match_data_2[29]; // @[el2_lib.scala 244:78] + wire _T_880 = _T_876 | _T_879; // @[el2_lib.scala 244:23] + wire _T_882 = &io_trigger_pkt_any_2_tdata2[29:0]; // @[el2_lib.scala 244:36] + wire _T_883 = _T_882 & _T_673; // @[el2_lib.scala 244:41] + wire _T_886 = io_trigger_pkt_any_2_tdata2[30] == dec_i0_match_data_2[30]; // @[el2_lib.scala 244:78] + wire _T_887 = _T_883 | _T_886; // @[el2_lib.scala 244:23] + wire _T_889 = &io_trigger_pkt_any_2_tdata2[30:0]; // @[el2_lib.scala 244:36] + wire _T_890 = _T_889 & _T_673; // @[el2_lib.scala 244:41] + wire _T_893 = io_trigger_pkt_any_2_tdata2[31] == dec_i0_match_data_2[31]; // @[el2_lib.scala 244:78] + wire _T_894 = _T_890 | _T_893; // @[el2_lib.scala 244:23] + wire [7:0] _T_901 = {_T_726,_T_719,_T_712,_T_705,_T_698,_T_691,_T_684,_T_677}; // @[el2_lib.scala 245:14] + wire [15:0] _T_909 = {_T_782,_T_775,_T_768,_T_761,_T_754,_T_747,_T_740,_T_733,_T_901}; // @[el2_lib.scala 245:14] + wire [7:0] _T_916 = {_T_838,_T_831,_T_824,_T_817,_T_810,_T_803,_T_796,_T_789}; // @[el2_lib.scala 245:14] + wire [31:0] _T_925 = {_T_894,_T_887,_T_880,_T_873,_T_866,_T_859,_T_852,_T_845,_T_916,_T_909}; // @[el2_lib.scala 245:14] + wire _T_926 = &_T_925; // @[el2_lib.scala 245:25] + wire _T_927 = _T_668 & _T_926; // @[dec_trigger.scala 15:109] + wire _T_928 = io_trigger_pkt_any_3_execute & io_trigger_pkt_any_3_m; // @[dec_trigger.scala 15:83] + wire _T_931 = &io_trigger_pkt_any_3_tdata2; // @[el2_lib.scala 241:45] + wire _T_932 = ~_T_931; // @[el2_lib.scala 241:39] + wire _T_933 = io_trigger_pkt_any_3_match_pkt & _T_932; // @[el2_lib.scala 241:37] + wire _T_936 = io_trigger_pkt_any_3_tdata2[0] == dec_i0_match_data_3[0]; // @[el2_lib.scala 242:52] + wire _T_937 = _T_933 | _T_936; // @[el2_lib.scala 242:41] + wire _T_939 = &io_trigger_pkt_any_3_tdata2[0]; // @[el2_lib.scala 244:36] + wire _T_940 = _T_939 & _T_933; // @[el2_lib.scala 244:41] + wire _T_943 = io_trigger_pkt_any_3_tdata2[1] == dec_i0_match_data_3[1]; // @[el2_lib.scala 244:78] + wire _T_944 = _T_940 | _T_943; // @[el2_lib.scala 244:23] + wire _T_946 = &io_trigger_pkt_any_3_tdata2[1:0]; // @[el2_lib.scala 244:36] + wire _T_947 = _T_946 & _T_933; // @[el2_lib.scala 244:41] + wire _T_950 = io_trigger_pkt_any_3_tdata2[2] == dec_i0_match_data_3[2]; // @[el2_lib.scala 244:78] + wire _T_951 = _T_947 | _T_950; // @[el2_lib.scala 244:23] + wire _T_953 = &io_trigger_pkt_any_3_tdata2[2:0]; // @[el2_lib.scala 244:36] + wire _T_954 = _T_953 & _T_933; // @[el2_lib.scala 244:41] + wire _T_957 = io_trigger_pkt_any_3_tdata2[3] == dec_i0_match_data_3[3]; // @[el2_lib.scala 244:78] + wire _T_958 = _T_954 | _T_957; // @[el2_lib.scala 244:23] + wire _T_960 = &io_trigger_pkt_any_3_tdata2[3:0]; // @[el2_lib.scala 244:36] + wire _T_961 = _T_960 & _T_933; // @[el2_lib.scala 244:41] + wire _T_964 = io_trigger_pkt_any_3_tdata2[4] == dec_i0_match_data_3[4]; // @[el2_lib.scala 244:78] + wire _T_965 = _T_961 | _T_964; // @[el2_lib.scala 244:23] + wire _T_967 = &io_trigger_pkt_any_3_tdata2[4:0]; // @[el2_lib.scala 244:36] + wire _T_968 = _T_967 & _T_933; // @[el2_lib.scala 244:41] + wire _T_971 = io_trigger_pkt_any_3_tdata2[5] == dec_i0_match_data_3[5]; // @[el2_lib.scala 244:78] + wire _T_972 = _T_968 | _T_971; // @[el2_lib.scala 244:23] + wire _T_974 = &io_trigger_pkt_any_3_tdata2[5:0]; // @[el2_lib.scala 244:36] + wire _T_975 = _T_974 & _T_933; // @[el2_lib.scala 244:41] + wire _T_978 = io_trigger_pkt_any_3_tdata2[6] == dec_i0_match_data_3[6]; // @[el2_lib.scala 244:78] + wire _T_979 = _T_975 | _T_978; // @[el2_lib.scala 244:23] + wire _T_981 = &io_trigger_pkt_any_3_tdata2[6:0]; // @[el2_lib.scala 244:36] + wire _T_982 = _T_981 & _T_933; // @[el2_lib.scala 244:41] + wire _T_985 = io_trigger_pkt_any_3_tdata2[7] == dec_i0_match_data_3[7]; // @[el2_lib.scala 244:78] + wire _T_986 = _T_982 | _T_985; // @[el2_lib.scala 244:23] + wire _T_988 = &io_trigger_pkt_any_3_tdata2[7:0]; // @[el2_lib.scala 244:36] + wire _T_989 = _T_988 & _T_933; // @[el2_lib.scala 244:41] + wire _T_992 = io_trigger_pkt_any_3_tdata2[8] == dec_i0_match_data_3[8]; // @[el2_lib.scala 244:78] + wire _T_993 = _T_989 | _T_992; // @[el2_lib.scala 244:23] + wire _T_995 = &io_trigger_pkt_any_3_tdata2[8:0]; // @[el2_lib.scala 244:36] + wire _T_996 = _T_995 & _T_933; // @[el2_lib.scala 244:41] + wire _T_999 = io_trigger_pkt_any_3_tdata2[9] == dec_i0_match_data_3[9]; // @[el2_lib.scala 244:78] + wire _T_1000 = _T_996 | _T_999; // @[el2_lib.scala 244:23] + wire _T_1002 = &io_trigger_pkt_any_3_tdata2[9:0]; // @[el2_lib.scala 244:36] + wire _T_1003 = _T_1002 & _T_933; // @[el2_lib.scala 244:41] + wire _T_1006 = io_trigger_pkt_any_3_tdata2[10] == dec_i0_match_data_3[10]; // @[el2_lib.scala 244:78] + wire _T_1007 = _T_1003 | _T_1006; // @[el2_lib.scala 244:23] + wire _T_1009 = &io_trigger_pkt_any_3_tdata2[10:0]; // @[el2_lib.scala 244:36] + wire _T_1010 = _T_1009 & _T_933; // @[el2_lib.scala 244:41] + wire _T_1013 = io_trigger_pkt_any_3_tdata2[11] == dec_i0_match_data_3[11]; // @[el2_lib.scala 244:78] + wire _T_1014 = _T_1010 | _T_1013; // @[el2_lib.scala 244:23] + wire _T_1016 = &io_trigger_pkt_any_3_tdata2[11:0]; // @[el2_lib.scala 244:36] + wire _T_1017 = _T_1016 & _T_933; // @[el2_lib.scala 244:41] + wire _T_1020 = io_trigger_pkt_any_3_tdata2[12] == dec_i0_match_data_3[12]; // @[el2_lib.scala 244:78] + wire _T_1021 = _T_1017 | _T_1020; // @[el2_lib.scala 244:23] + wire _T_1023 = &io_trigger_pkt_any_3_tdata2[12:0]; // @[el2_lib.scala 244:36] + wire _T_1024 = _T_1023 & _T_933; // @[el2_lib.scala 244:41] + wire _T_1027 = io_trigger_pkt_any_3_tdata2[13] == dec_i0_match_data_3[13]; // @[el2_lib.scala 244:78] + wire _T_1028 = _T_1024 | _T_1027; // @[el2_lib.scala 244:23] + wire _T_1030 = &io_trigger_pkt_any_3_tdata2[13:0]; // @[el2_lib.scala 244:36] + wire _T_1031 = _T_1030 & _T_933; // @[el2_lib.scala 244:41] + wire _T_1034 = io_trigger_pkt_any_3_tdata2[14] == dec_i0_match_data_3[14]; // @[el2_lib.scala 244:78] + wire _T_1035 = _T_1031 | _T_1034; // @[el2_lib.scala 244:23] + wire _T_1037 = &io_trigger_pkt_any_3_tdata2[14:0]; // @[el2_lib.scala 244:36] + wire _T_1038 = _T_1037 & _T_933; // @[el2_lib.scala 244:41] + wire _T_1041 = io_trigger_pkt_any_3_tdata2[15] == dec_i0_match_data_3[15]; // @[el2_lib.scala 244:78] + wire _T_1042 = _T_1038 | _T_1041; // @[el2_lib.scala 244:23] + wire _T_1044 = &io_trigger_pkt_any_3_tdata2[15:0]; // @[el2_lib.scala 244:36] + wire _T_1045 = _T_1044 & _T_933; // @[el2_lib.scala 244:41] + wire _T_1048 = io_trigger_pkt_any_3_tdata2[16] == dec_i0_match_data_3[16]; // @[el2_lib.scala 244:78] + wire _T_1049 = _T_1045 | _T_1048; // @[el2_lib.scala 244:23] + wire _T_1051 = &io_trigger_pkt_any_3_tdata2[16:0]; // @[el2_lib.scala 244:36] + wire _T_1052 = _T_1051 & _T_933; // @[el2_lib.scala 244:41] + wire _T_1055 = io_trigger_pkt_any_3_tdata2[17] == dec_i0_match_data_3[17]; // @[el2_lib.scala 244:78] + wire _T_1056 = _T_1052 | _T_1055; // @[el2_lib.scala 244:23] + wire _T_1058 = &io_trigger_pkt_any_3_tdata2[17:0]; // @[el2_lib.scala 244:36] + wire _T_1059 = _T_1058 & _T_933; // @[el2_lib.scala 244:41] + wire _T_1062 = io_trigger_pkt_any_3_tdata2[18] == dec_i0_match_data_3[18]; // @[el2_lib.scala 244:78] + wire _T_1063 = _T_1059 | _T_1062; // @[el2_lib.scala 244:23] + wire _T_1065 = &io_trigger_pkt_any_3_tdata2[18:0]; // @[el2_lib.scala 244:36] + wire _T_1066 = _T_1065 & _T_933; // @[el2_lib.scala 244:41] + wire _T_1069 = io_trigger_pkt_any_3_tdata2[19] == dec_i0_match_data_3[19]; // @[el2_lib.scala 244:78] + wire _T_1070 = _T_1066 | _T_1069; // @[el2_lib.scala 244:23] + wire _T_1072 = &io_trigger_pkt_any_3_tdata2[19:0]; // @[el2_lib.scala 244:36] + wire _T_1073 = _T_1072 & _T_933; // @[el2_lib.scala 244:41] + wire _T_1076 = io_trigger_pkt_any_3_tdata2[20] == dec_i0_match_data_3[20]; // @[el2_lib.scala 244:78] + wire _T_1077 = _T_1073 | _T_1076; // @[el2_lib.scala 244:23] + wire _T_1079 = &io_trigger_pkt_any_3_tdata2[20:0]; // @[el2_lib.scala 244:36] + wire _T_1080 = _T_1079 & _T_933; // @[el2_lib.scala 244:41] + wire _T_1083 = io_trigger_pkt_any_3_tdata2[21] == dec_i0_match_data_3[21]; // @[el2_lib.scala 244:78] + wire _T_1084 = _T_1080 | _T_1083; // @[el2_lib.scala 244:23] + wire _T_1086 = &io_trigger_pkt_any_3_tdata2[21:0]; // @[el2_lib.scala 244:36] + wire _T_1087 = _T_1086 & _T_933; // @[el2_lib.scala 244:41] + wire _T_1090 = io_trigger_pkt_any_3_tdata2[22] == dec_i0_match_data_3[22]; // @[el2_lib.scala 244:78] + wire _T_1091 = _T_1087 | _T_1090; // @[el2_lib.scala 244:23] + wire _T_1093 = &io_trigger_pkt_any_3_tdata2[22:0]; // @[el2_lib.scala 244:36] + wire _T_1094 = _T_1093 & _T_933; // @[el2_lib.scala 244:41] + wire _T_1097 = io_trigger_pkt_any_3_tdata2[23] == dec_i0_match_data_3[23]; // @[el2_lib.scala 244:78] + wire _T_1098 = _T_1094 | _T_1097; // @[el2_lib.scala 244:23] + wire _T_1100 = &io_trigger_pkt_any_3_tdata2[23:0]; // @[el2_lib.scala 244:36] + wire _T_1101 = _T_1100 & _T_933; // @[el2_lib.scala 244:41] + wire _T_1104 = io_trigger_pkt_any_3_tdata2[24] == dec_i0_match_data_3[24]; // @[el2_lib.scala 244:78] + wire _T_1105 = _T_1101 | _T_1104; // @[el2_lib.scala 244:23] + wire _T_1107 = &io_trigger_pkt_any_3_tdata2[24:0]; // @[el2_lib.scala 244:36] + wire _T_1108 = _T_1107 & _T_933; // @[el2_lib.scala 244:41] + wire _T_1111 = io_trigger_pkt_any_3_tdata2[25] == dec_i0_match_data_3[25]; // @[el2_lib.scala 244:78] + wire _T_1112 = _T_1108 | _T_1111; // @[el2_lib.scala 244:23] + wire _T_1114 = &io_trigger_pkt_any_3_tdata2[25:0]; // @[el2_lib.scala 244:36] + wire _T_1115 = _T_1114 & _T_933; // @[el2_lib.scala 244:41] + wire _T_1118 = io_trigger_pkt_any_3_tdata2[26] == dec_i0_match_data_3[26]; // @[el2_lib.scala 244:78] + wire _T_1119 = _T_1115 | _T_1118; // @[el2_lib.scala 244:23] + wire _T_1121 = &io_trigger_pkt_any_3_tdata2[26:0]; // @[el2_lib.scala 244:36] + wire _T_1122 = _T_1121 & _T_933; // @[el2_lib.scala 244:41] + wire _T_1125 = io_trigger_pkt_any_3_tdata2[27] == dec_i0_match_data_3[27]; // @[el2_lib.scala 244:78] + wire _T_1126 = _T_1122 | _T_1125; // @[el2_lib.scala 244:23] + wire _T_1128 = &io_trigger_pkt_any_3_tdata2[27:0]; // @[el2_lib.scala 244:36] + wire _T_1129 = _T_1128 & _T_933; // @[el2_lib.scala 244:41] + wire _T_1132 = io_trigger_pkt_any_3_tdata2[28] == dec_i0_match_data_3[28]; // @[el2_lib.scala 244:78] + wire _T_1133 = _T_1129 | _T_1132; // @[el2_lib.scala 244:23] + wire _T_1135 = &io_trigger_pkt_any_3_tdata2[28:0]; // @[el2_lib.scala 244:36] + wire _T_1136 = _T_1135 & _T_933; // @[el2_lib.scala 244:41] + wire _T_1139 = io_trigger_pkt_any_3_tdata2[29] == dec_i0_match_data_3[29]; // @[el2_lib.scala 244:78] + wire _T_1140 = _T_1136 | _T_1139; // @[el2_lib.scala 244:23] + wire _T_1142 = &io_trigger_pkt_any_3_tdata2[29:0]; // @[el2_lib.scala 244:36] + wire _T_1143 = _T_1142 & _T_933; // @[el2_lib.scala 244:41] + wire _T_1146 = io_trigger_pkt_any_3_tdata2[30] == dec_i0_match_data_3[30]; // @[el2_lib.scala 244:78] + wire _T_1147 = _T_1143 | _T_1146; // @[el2_lib.scala 244:23] + wire _T_1149 = &io_trigger_pkt_any_3_tdata2[30:0]; // @[el2_lib.scala 244:36] + wire _T_1150 = _T_1149 & _T_933; // @[el2_lib.scala 244:41] + wire _T_1153 = io_trigger_pkt_any_3_tdata2[31] == dec_i0_match_data_3[31]; // @[el2_lib.scala 244:78] + wire _T_1154 = _T_1150 | _T_1153; // @[el2_lib.scala 244:23] + wire [7:0] _T_1161 = {_T_986,_T_979,_T_972,_T_965,_T_958,_T_951,_T_944,_T_937}; // @[el2_lib.scala 245:14] + wire [15:0] _T_1169 = {_T_1042,_T_1035,_T_1028,_T_1021,_T_1014,_T_1007,_T_1000,_T_993,_T_1161}; // @[el2_lib.scala 245:14] + wire [7:0] _T_1176 = {_T_1098,_T_1091,_T_1084,_T_1077,_T_1070,_T_1063,_T_1056,_T_1049}; // @[el2_lib.scala 245:14] + wire [31:0] _T_1185 = {_T_1154,_T_1147,_T_1140,_T_1133,_T_1126,_T_1119,_T_1112,_T_1105,_T_1176,_T_1169}; // @[el2_lib.scala 245:14] + wire _T_1186 = &_T_1185; // @[el2_lib.scala 245:25] + wire _T_1187 = _T_928 & _T_1186; // @[dec_trigger.scala 15:109] + wire [2:0] _T_1189 = {_T_1187,_T_927,_T_667}; // @[Cat.scala 29:58] + assign io_dec_i0_trigger_match_d = {_T_1189,_T_407}; // @[dec_trigger.scala 15:29] endmodule module dec( input clock, @@ -59358,27 +59358,6 @@ module dec( assign dec_trigger_io_trigger_pkt_any_3_tdata2 = tlu_io_trigger_pkt_any_3_tdata2; // @[dec.scala 129:34] assign dec_trigger_io_dec_i0_pc_d = instbuff_io_ib_exu_dec_i0_pc_d; // @[dec.scala 128:30] endmodule -module rvclkhdr_757( - output io_l1clk, - input io_clk, - input io_en, - input io_scan_mode -); - wire clkhdr_Q; // @[el2_lib.scala 474:26] - wire clkhdr_CK; // @[el2_lib.scala 474:26] - wire clkhdr_EN; // @[el2_lib.scala 474:26] - wire clkhdr_SE; // @[el2_lib.scala 474:26] - gated_latch clkhdr ( // @[el2_lib.scala 474:26] - .Q(clkhdr_Q), - .CK(clkhdr_CK), - .EN(clkhdr_EN), - .SE(clkhdr_SE) - ); - assign io_l1clk = clkhdr_Q; // @[el2_lib.scala 475:14] - assign clkhdr_CK = io_clk; // @[el2_lib.scala 476:18] - assign clkhdr_EN = io_en; // @[el2_lib.scala 477:18] - assign clkhdr_SE = io_scan_mode; // @[el2_lib.scala 478:18] -endmodule module dbg( input clock, input reset, @@ -59458,6 +59437,39 @@ module dbg( reg [31:0] _RAND_19; reg [31:0] _RAND_20; `endif // RANDOMIZE_REG_INIT + wire [2:0] dbg_state; + wire dbg_state_en; + wire [3:0] sb_state; + wire sb_state_en; + wire [31:0] dmcontrol_reg; + wire [31:0] sbaddress0_reg; + wire sbcs_sbbusy_wren; + wire sbcs_sberror_wren; + wire [63:0] sb_bus_rdata; + wire sbaddress0_reg_wren1; + wire [31:0] dmstatus_reg; + wire dmstatus_havereset; + wire dmstatus_resumeack; + wire dmstatus_unavail; + wire dmstatus_running; + wire dmstatus_halted; + wire abstractcs_busy_wren; + wire sb_bus_cmd_read; + wire sb_bus_cmd_write_addr; + wire sb_bus_cmd_write_data; + wire sb_bus_rsp_read; + wire sb_bus_rsp_error; + wire sb_bus_rsp_write; + wire sbcs_sbbusy_din; + wire [31:0] data1_reg; + wire [31:0] sbcs_reg; + wire _T = dbg_state != 3'h0; // @[dbg.scala 95:51] + wire _T_1 = io_dmi_reg_en | _T; // @[dbg.scala 95:38] + wire _T_2 = _T_1 | dbg_state_en; // @[dbg.scala 95:69] + wire _T_3 = _T_2 | io_dec_tlu_dbg_halted; // @[dbg.scala 95:84] + wire _T_4 = io_dmi_reg_en | sb_state_en; // @[dbg.scala 96:37] + wire _T_5 = sb_state != 4'h0; // @[dbg.scala 96:63] + wire _T_6 = _T_4 | _T_5; // @[dbg.scala 96:51] wire rvclkhdr_io_l1clk; // @[el2_lib.scala 483:22] wire rvclkhdr_io_clk; // @[el2_lib.scala 483:22] wire rvclkhdr_io_en; // @[el2_lib.scala 483:22] @@ -59466,438 +59478,420 @@ module dbg( wire rvclkhdr_1_io_clk; // @[el2_lib.scala 483:22] wire rvclkhdr_1_io_en; // @[el2_lib.scala 483:22] wire rvclkhdr_1_io_scan_mode; // @[el2_lib.scala 483:22] - wire rvclkhdr_2_io_l1clk; // @[el2_lib.scala 508:23] - wire rvclkhdr_2_io_clk; // @[el2_lib.scala 508:23] - wire rvclkhdr_2_io_en; // @[el2_lib.scala 508:23] - wire rvclkhdr_2_io_scan_mode; // @[el2_lib.scala 508:23] - wire rvclkhdr_3_io_l1clk; // @[el2_lib.scala 508:23] - wire rvclkhdr_3_io_clk; // @[el2_lib.scala 508:23] - wire rvclkhdr_3_io_en; // @[el2_lib.scala 508:23] - wire rvclkhdr_3_io_scan_mode; // @[el2_lib.scala 508:23] - wire rvclkhdr_4_io_l1clk; // @[el2_lib.scala 508:23] - wire rvclkhdr_4_io_clk; // @[el2_lib.scala 508:23] - wire rvclkhdr_4_io_en; // @[el2_lib.scala 508:23] - wire rvclkhdr_4_io_scan_mode; // @[el2_lib.scala 508:23] - wire rvclkhdr_5_io_l1clk; // @[el2_lib.scala 508:23] - wire rvclkhdr_5_io_clk; // @[el2_lib.scala 508:23] - wire rvclkhdr_5_io_en; // @[el2_lib.scala 508:23] - wire rvclkhdr_5_io_scan_mode; // @[el2_lib.scala 508:23] - reg [2:0] dbg_state; // @[Reg.scala 27:20] - wire _T = dbg_state != 3'h0; // @[dbg.scala 95:51] - wire _T_1 = io_dmi_reg_en | _T; // @[dbg.scala 95:38] - wire _T_309 = 3'h0 == dbg_state; // @[Conditional.scala 37:30] - reg [3:0] dm_temp; // @[Reg.scala 27:20] - reg dm_temp_0; // @[Reg.scala 27:20] - wire [31:0] temp = {dm_temp[3:2],1'h0,dm_temp[1],26'h0,dm_temp[0],dm_temp_0}; // @[Cat.scala 29:58] - wire _T_314 = ~io_dec_tlu_debug_mode; // @[dbg.scala 266:45] - wire _T_315 = temp[31] & _T_314; // @[dbg.scala 266:43] - reg dmstatus_havereset; // @[Reg.scala 27:20] - wire [1:0] _T_150 = dmstatus_havereset ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - reg dmstatus_resumeack; // @[Reg.scala 27:20] - wire [1:0] _T_152 = dmstatus_resumeack ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire _T_183 = ~reset; // @[dbg.scala 191:43] - wire dmstatus_unavail = temp[1] | _T_183; // @[dbg.scala 191:41] - wire [1:0] _T_154 = dmstatus_unavail ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - reg dmstatus_halted; // @[dbg.scala 198:12] - wire _T_186 = dmstatus_unavail | dmstatus_halted; // @[dbg.scala 192:42] - wire dmstatus_running = ~_T_186; // @[dbg.scala 192:23] - wire [1:0] _T_156 = dmstatus_running ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_158 = dmstatus_halted ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [31:0] dmstatus_reg = {12'h0,_T_150,_T_152,2'h0,_T_154,_T_156,_T_158,1'h1,7'h2}; // @[Cat.scala 29:58] - wire _T_317 = _T_315 | dmstatus_reg[9]; // @[dbg.scala 266:69] - wire _T_318 = _T_317 | io_dec_tlu_mpc_halted_only; // @[dbg.scala 266:87] - wire _T_320 = ~temp[1]; // @[dbg.scala 266:119] - wire _T_321 = _T_318 & _T_320; // @[dbg.scala 266:117] - wire _T_327 = 3'h1 == dbg_state; // @[Conditional.scala 37:30] - wire _T_332 = dmstatus_reg[9] | temp[1]; // @[dbg.scala 271:39] - wire _T_339 = 3'h2 == dbg_state; // @[Conditional.scala 37:30] - wire _T_354 = dmstatus_reg[9] & temp[30]; // @[dbg.scala 278:39] - wire _T_356 = ~temp[31]; // @[dbg.scala 278:61] - wire _T_357 = _T_354 & _T_356; // @[dbg.scala 278:59] - reg dmcontrol_wren_Q; // @[dbg.scala 181:12] - wire _T_358 = _T_357 & dmcontrol_wren_Q; // @[dbg.scala 278:80] - wire _T_275 = io_dmi_reg_addr == 7'h17; // @[dbg.scala 235:39] - wire _T_276 = _T_275 & io_dmi_reg_en; // @[dbg.scala 235:52] - wire _T_277 = _T_276 & io_dmi_reg_wr_en; // @[dbg.scala 235:68] - wire _T_278 = dbg_state == 3'h2; // @[dbg.scala 235:100] - wire command_wren = _T_277 & _T_278; // @[dbg.scala 235:87] - wire _T_359 = _T_358 | command_wren; // @[dbg.scala 278:99] - wire _T_361 = _T_359 | temp[1]; // @[dbg.scala 278:114] - wire _T_363 = dmstatus_reg[9] | io_dec_tlu_mpc_halted_only; // @[dbg.scala 279:46] - wire _T_364 = ~_T_363; // @[dbg.scala 279:28] - wire _T_365 = _T_361 | _T_364; // @[dbg.scala 279:26] - wire _T_377 = 3'h3 == dbg_state; // @[Conditional.scala 37:30] - reg abs_temp_12; // @[Reg.scala 27:20] - reg [2:0] abs_temp_10_8; // @[dbg.scala 230:12] - wire [31:0] abstractcs_reg = {19'h0,abs_temp_12,1'h0,abs_temp_10_8,8'h2}; // @[Cat.scala 29:58] - wire _T_384 = |abstractcs_reg[10:8]; // @[dbg.scala 287:79] - wire _T_385 = io_dbg_dec_dbg_ib_dbg_cmd_valid | _T_384; // @[dbg.scala 287:55] - wire _T_387 = _T_385 | temp[1]; // @[dbg.scala 287:83] - wire _T_394 = 3'h4 == dbg_state; // @[Conditional.scala 37:30] - wire _T_398 = io_core_dbg_cmd_done | temp[1]; // @[dbg.scala 292:44] - wire _T_405 = 3'h5 == dbg_state; // @[Conditional.scala 37:30] - wire _T_414 = 3'h6 == dbg_state; // @[Conditional.scala 37:30] - wire _T_417 = dmstatus_reg[17] | temp[1]; // @[dbg.scala 304:40] - wire _GEN_13 = _T_414 & _T_417; // @[Conditional.scala 39:67] - wire _GEN_16 = _T_405 | _GEN_13; // @[Conditional.scala 39:67] - wire _GEN_21 = _T_394 ? _T_398 : _GEN_16; // @[Conditional.scala 39:67] - wire _GEN_26 = _T_377 ? _T_387 : _GEN_21; // @[Conditional.scala 39:67] - wire _GEN_31 = _T_339 ? _T_365 : _GEN_26; // @[Conditional.scala 39:67] - wire _GEN_37 = _T_327 ? _T_332 : _GEN_31; // @[Conditional.scala 39:67] - wire dbg_state_en = _T_309 ? _T_321 : _GEN_37; // @[Conditional.scala 40:58] - wire _T_2 = _T_1 | dbg_state_en; // @[dbg.scala 95:69] - wire _T_3 = _T_2 | io_dec_tlu_dbg_halted; // @[dbg.scala 95:84] - reg [3:0] sb_state; // @[Reg.scala 27:20] - wire sbcs_sbbusy_din = 4'h0 == sb_state; // @[Conditional.scala 37:30] - wire _T_130 = io_dmi_reg_en & io_dmi_reg_wr_en; // @[dbg.scala 165:40] - wire _T_131 = io_dmi_reg_addr == 7'h3c; // @[dbg.scala 165:78] - wire sbdata0wr_access = _T_130 & _T_131; // @[dbg.scala 165:59] - wire _T_125 = ~io_dmi_reg_wr_en; // @[dbg.scala 164:45] - wire _T_126 = io_dmi_reg_en & _T_125; // @[dbg.scala 164:43] - wire _T_128 = _T_126 & _T_131; // @[dbg.scala 164:63] + wire _T_9 = dmcontrol_reg[0] | io_scan_mode; // @[dbg.scala 100:65] + wire dbg_dm_rst_l = io_dbg_rst_l & _T_9; // @[dbg.scala 100:94] + wire _T_11 = io_dbg_rst_l & _T_9; // @[dbg.scala 102:38] + wire rst_temp = _T_11 & reset; // @[dbg.scala 102:71] + wire _T_15 = ~dmcontrol_reg[1]; // @[dbg.scala 105:25] + wire _T_17 = io_dmi_reg_addr == 7'h38; // @[dbg.scala 106:36] + wire _T_18 = _T_17 & io_dmi_reg_en; // @[dbg.scala 106:49] + wire _T_19 = _T_18 & io_dmi_reg_wr_en; // @[dbg.scala 106:65] + wire _T_20 = sb_state == 4'h0; // @[dbg.scala 106:96] + wire sbcs_wren = _T_19 & _T_20; // @[dbg.scala 106:84] + wire _T_22 = sbcs_wren & io_dmi_reg_wdata[22]; // @[dbg.scala 107:42] + wire _T_24 = _T_5 & io_dmi_reg_en; // @[dbg.scala 107:102] + wire _T_25 = io_dmi_reg_addr == 7'h39; // @[dbg.scala 108:23] + wire _T_26 = io_dmi_reg_addr == 7'h3c; // @[dbg.scala 108:55] + wire _T_27 = _T_25 | _T_26; // @[dbg.scala 108:36] + wire _T_28 = io_dmi_reg_addr == 7'h3d; // @[dbg.scala 108:87] + wire _T_29 = _T_27 | _T_28; // @[dbg.scala 108:68] + wire _T_30 = _T_24 & _T_29; // @[dbg.scala 107:118] + wire sbcs_sbbusyerror_wren = _T_22 | _T_30; // @[dbg.scala 107:66] + wire sbcs_sbbusyerror_din = ~_T_22; // @[dbg.scala 110:31] reg temp_sbcs_22; // @[Reg.scala 27:20] reg temp_sbcs_21; // @[Reg.scala 27:20] reg temp_sbcs_20; // @[Reg.scala 27:20] reg [4:0] temp_sbcs_19_15; // @[Reg.scala 27:20] reg [2:0] temp_sbcs_14_12; // @[Reg.scala 27:20] - wire [31:0] sbcs_reg = {9'h40,temp_sbcs_22,temp_sbcs_21,temp_sbcs_20,temp_sbcs_19_15,temp_sbcs_14_12,12'h40f}; // @[Cat.scala 29:58] - wire sbreadondata_access = _T_128 & sbcs_reg[15]; // @[dbg.scala 164:95] - wire _T_516 = sbdata0wr_access | sbreadondata_access; // @[dbg.scala 343:39] - wire _T_122 = io_dmi_reg_addr == 7'h39; // @[dbg.scala 163:81] - wire _T_123 = _T_130 & _T_122; // @[dbg.scala 163:62] - wire sbreadonaddr_access = _T_123 & sbcs_reg[20]; // @[dbg.scala 163:94] - wire _T_517 = _T_516 | sbreadonaddr_access; // @[dbg.scala 343:61] - wire _T_525 = 4'h1 == sb_state; // @[Conditional.scala 37:30] - wire _T_46 = sbcs_reg[19:17] == 3'h1; // @[dbg.scala 127:42] - reg [31:0] sbaddress0_reg; // @[el2_lib.scala 514:16] - wire _T_48 = _T_46 & sbaddress0_reg[0]; // @[dbg.scala 127:56] - wire _T_50 = sbcs_reg[19:17] == 3'h2; // @[dbg.scala 128:23] - wire _T_52 = |sbaddress0_reg[1:0]; // @[dbg.scala 128:60] - wire _T_53 = _T_50 & _T_52; // @[dbg.scala 128:37] - wire _T_54 = _T_48 | _T_53; // @[dbg.scala 127:76] - wire _T_56 = sbcs_reg[19:17] == 3'h3; // @[dbg.scala 129:23] - wire _T_58 = |sbaddress0_reg[2:0]; // @[dbg.scala 129:60] - wire _T_59 = _T_56 & _T_58; // @[dbg.scala 129:37] - wire sbcs_unaligned = _T_54 | _T_59; // @[dbg.scala 128:64] - wire _T_528 = io_dbg_bus_clk_en | sbcs_unaligned; // @[dbg.scala 351:40] - wire sbcs_illegal_size = sbcs_reg[19]; // @[dbg.scala 131:35] - wire _T_529 = _T_528 | sbcs_illegal_size; // @[dbg.scala 351:57] - wire _T_532 = 4'h2 == sb_state; // @[Conditional.scala 37:30] - wire _T_539 = 4'h3 == sb_state; // @[Conditional.scala 37:30] - wire sb_bus_cmd_read = io_sb_axi_ar_valid & io_sb_axi_ar_ready; // @[dbg.scala 401:41] - wire _T_540 = sb_bus_cmd_read & io_dbg_bus_clk_en; // @[dbg.scala 363:38] - wire _T_541 = 4'h4 == sb_state; // @[Conditional.scala 37:30] - wire sb_bus_cmd_write_addr = io_sb_axi_aw_valid & io_sb_axi_aw_ready; // @[dbg.scala 402:47] - wire sb_bus_cmd_write_data = io_sb_axi_w_valid & io_sb_axi_w_ready; // @[dbg.scala 403:46] - wire _T_545 = sb_bus_cmd_write_addr | sb_bus_cmd_write_data; // @[dbg.scala 367:45] - wire _T_546 = _T_545 & io_dbg_bus_clk_en; // @[dbg.scala 367:70] - wire _T_547 = 4'h5 == sb_state; // @[Conditional.scala 37:30] - wire _T_548 = sb_bus_cmd_write_addr & io_dbg_bus_clk_en; // @[dbg.scala 371:44] - wire _T_549 = 4'h6 == sb_state; // @[Conditional.scala 37:30] - wire _T_550 = sb_bus_cmd_write_data & io_dbg_bus_clk_en; // @[dbg.scala 375:44] - wire _T_551 = 4'h7 == sb_state; // @[Conditional.scala 37:30] - wire sb_bus_rsp_read = io_sb_axi_r_valid & io_sb_axi_r_ready; // @[dbg.scala 404:40] - wire _T_552 = sb_bus_rsp_read & io_dbg_bus_clk_en; // @[dbg.scala 379:38] - wire _T_554 = 4'h8 == sb_state; // @[Conditional.scala 37:30] - wire sb_bus_rsp_write = io_sb_axi_b_valid & io_sb_axi_b_ready; // @[dbg.scala 405:41] - wire _T_555 = sb_bus_rsp_write & io_dbg_bus_clk_en; // @[dbg.scala 385:39] - wire _T_557 = 4'h9 == sb_state; // @[Conditional.scala 37:30] - wire _GEN_55 = _T_554 ? _T_555 : _T_557; // @[Conditional.scala 39:67] - wire _GEN_62 = _T_551 ? _T_552 : _GEN_55; // @[Conditional.scala 39:67] - wire _GEN_69 = _T_549 ? _T_550 : _GEN_62; // @[Conditional.scala 39:67] - wire _GEN_76 = _T_547 ? _T_548 : _GEN_69; // @[Conditional.scala 39:67] - wire _GEN_83 = _T_541 ? _T_546 : _GEN_76; // @[Conditional.scala 39:67] - wire _GEN_90 = _T_539 ? _T_540 : _GEN_83; // @[Conditional.scala 39:67] - wire _GEN_97 = _T_532 ? _T_529 : _GEN_90; // @[Conditional.scala 39:67] - wire _GEN_104 = _T_525 ? _T_529 : _GEN_97; // @[Conditional.scala 39:67] - wire sb_state_en = sbcs_sbbusy_din ? _T_517 : _GEN_104; // @[Conditional.scala 40:58] - wire _T_4 = io_dmi_reg_en | sb_state_en; // @[dbg.scala 96:37] - wire _T_5 = sb_state != 4'h0; // @[dbg.scala 96:63] - wire _T_6 = _T_4 | _T_5; // @[dbg.scala 96:51] - wire _T_9 = temp[0] | io_scan_mode; // @[dbg.scala 99:64] - wire dbg_dm_rst_l = io_dbg_rst_l & _T_9; // @[dbg.scala 99:44] - wire _T_13 = io_dmi_reg_addr == 7'h38; // @[dbg.scala 101:36] - wire _T_14 = _T_13 & io_dmi_reg_en; // @[dbg.scala 101:49] - wire _T_15 = _T_14 & io_dmi_reg_wr_en; // @[dbg.scala 101:65] - wire _T_16 = sb_state == 4'h0; // @[dbg.scala 101:96] - wire sbcs_wren = _T_15 & _T_16; // @[dbg.scala 101:84] - wire _T_18 = sbcs_wren & io_dmi_reg_wdata[22]; // @[dbg.scala 102:42] - wire _T_20 = _T_5 & io_dmi_reg_en; // @[dbg.scala 102:102] - wire _T_23 = _T_122 | _T_131; // @[dbg.scala 103:36] - wire _T_24 = io_dmi_reg_addr == 7'h3d; // @[dbg.scala 103:87] - wire _T_25 = _T_23 | _T_24; // @[dbg.scala 103:68] - wire _T_26 = _T_20 & _T_25; // @[dbg.scala 102:118] - wire sbcs_sbbusyerror_wren = _T_18 | _T_26; // @[dbg.scala 102:66] - wire sbcs_sbbusyerror_din = ~_T_18; // @[dbg.scala 105:31] - wire _T_29 = ~dbg_dm_rst_l; // @[dbg.scala 106:53] - wire _GEN_58 = _T_554 ? 1'h0 : _T_557; // @[Conditional.scala 39:67] - wire _GEN_65 = _T_551 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] - wire _GEN_72 = _T_549 ? 1'h0 : _GEN_65; // @[Conditional.scala 39:67] - wire _GEN_79 = _T_547 ? 1'h0 : _GEN_72; // @[Conditional.scala 39:67] - wire _GEN_86 = _T_541 ? 1'h0 : _GEN_79; // @[Conditional.scala 39:67] - wire _GEN_93 = _T_539 ? 1'h0 : _GEN_86; // @[Conditional.scala 39:67] - wire _GEN_100 = _T_532 ? 1'h0 : _GEN_93; // @[Conditional.scala 39:67] - wire _GEN_107 = _T_525 ? 1'h0 : _GEN_100; // @[Conditional.scala 39:67] - wire sbcs_sbbusy_wren = sbcs_sbbusy_din ? sb_state_en : _GEN_107; // @[Conditional.scala 40:58] - wire _T_522 = io_dmi_reg_wdata[14:12] == 3'h0; // @[dbg.scala 347:27] - wire [2:0] _GEN_118 = {{2'd0}, _T_522}; // @[dbg.scala 347:53] - wire [2:0] _T_524 = _GEN_118 & sbcs_reg[14:12]; // @[dbg.scala 347:53] - wire _T_519 = |io_dmi_reg_wdata[14:12]; // @[dbg.scala 346:65] - wire _T_520 = sbcs_wren & _T_519; // @[dbg.scala 346:38] - wire _T_530 = sbcs_unaligned | sbcs_illegal_size; // @[dbg.scala 352:43] - wire _T_567 = |io_sb_axi_r_bits_resp; // @[dbg.scala 406:69] - wire _T_568 = sb_bus_rsp_read & _T_567; // @[dbg.scala 406:39] - wire _T_570 = |io_sb_axi_b_bits_resp; // @[dbg.scala 406:122] - wire _T_571 = sb_bus_rsp_write & _T_570; // @[dbg.scala 406:92] - wire sb_bus_rsp_error = _T_568 | _T_571; // @[dbg.scala 406:73] - wire _T_553 = sb_state_en & sb_bus_rsp_error; // @[dbg.scala 380:40] - wire _GEN_56 = _T_554 & _T_553; // @[Conditional.scala 39:67] - wire _GEN_63 = _T_551 ? _T_553 : _GEN_56; // @[Conditional.scala 39:67] - wire _GEN_70 = _T_549 ? 1'h0 : _GEN_63; // @[Conditional.scala 39:67] - wire _GEN_77 = _T_547 ? 1'h0 : _GEN_70; // @[Conditional.scala 39:67] - wire _GEN_84 = _T_541 ? 1'h0 : _GEN_77; // @[Conditional.scala 39:67] - wire _GEN_91 = _T_539 ? 1'h0 : _GEN_84; // @[Conditional.scala 39:67] - wire _GEN_98 = _T_532 ? _T_530 : _GEN_91; // @[Conditional.scala 39:67] - wire _GEN_105 = _T_525 ? _T_530 : _GEN_98; // @[Conditional.scala 39:67] - wire sbcs_sberror_wren = sbcs_sbbusy_din ? _T_520 : _GEN_105; // @[Conditional.scala 40:58] - wire _T_61 = sbcs_reg[19:17] == 3'h0; // @[dbg.scala 132:51] - wire [3:0] _T_63 = _T_61 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_64 = _T_63 & 4'h1; // @[dbg.scala 132:64] - wire [3:0] _T_68 = _T_46 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_69 = _T_68 & 4'h2; // @[dbg.scala 132:117] - wire [3:0] _T_70 = _T_64 | _T_69; // @[dbg.scala 132:76] - wire [3:0] _T_74 = _T_50 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_75 = _T_74 & 4'h4; // @[dbg.scala 133:44] - wire [3:0] _T_76 = _T_70 | _T_75; // @[dbg.scala 132:129] - wire [3:0] _T_80 = _T_56 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_81 = _T_80 & 4'h8; // @[dbg.scala 133:97] - wire [3:0] sbaddress0_incr = _T_76 | _T_81; // @[dbg.scala 133:56] - wire _T_84 = sb_state == 4'h7; // @[dbg.scala 136:37] - wire _T_85 = _T_84 & sb_state_en; // @[dbg.scala 136:60] - wire _T_86 = ~sbcs_sberror_wren; // @[dbg.scala 136:76] - wire sbdata0_reg_wren1 = _T_85 & _T_86; // @[dbg.scala 136:74] - wire sbdata1_reg_wren0 = _T_130 & _T_24; // @[dbg.scala 138:60] - wire [31:0] _T_93 = sbdata0wr_access ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_94 = _T_93 & io_dmi_reg_wdata; // @[dbg.scala 141:49] - wire [31:0] _T_96 = sbdata0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [63:0] _T_656 = _T_61 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire [3:0] _GEN_119 = {{1'd0}, sbaddress0_reg[2:0]}; // @[dbg.scala 441:99] - wire [6:0] _T_659 = 4'h8 * _GEN_119; // @[dbg.scala 441:99] - wire [63:0] _T_660 = io_sb_axi_r_bits_data >> _T_659; // @[dbg.scala 441:92] - wire [63:0] _T_661 = _T_660 & 64'hff; // @[dbg.scala 441:123] - wire [63:0] _T_662 = _T_656 & _T_661; // @[dbg.scala 441:59] - wire [63:0] _T_666 = _T_46 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire [4:0] _GEN_120 = {{3'd0}, sbaddress0_reg[2:1]}; // @[dbg.scala 442:86] - wire [6:0] _T_669 = 5'h10 * _GEN_120; // @[dbg.scala 442:86] - wire [63:0] _T_670 = io_sb_axi_r_bits_data >> _T_669; // @[dbg.scala 442:78] - wire [63:0] _T_671 = _T_670 & 64'hffff; // @[dbg.scala 442:110] - wire [63:0] _T_672 = _T_666 & _T_671; // @[dbg.scala 442:45] - wire [63:0] _T_673 = _T_662 | _T_672; // @[dbg.scala 441:140] - wire [63:0] _T_677 = _T_50 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire [5:0] _GEN_121 = {{5'd0}, sbaddress0_reg[2]}; // @[dbg.scala 443:86] - wire [6:0] _T_680 = 6'h20 * _GEN_121; // @[dbg.scala 443:86] - wire [63:0] _T_681 = io_sb_axi_r_bits_data >> _T_680; // @[dbg.scala 443:78] - wire [63:0] _T_682 = _T_681 & 64'hffffffff; // @[dbg.scala 443:107] - wire [63:0] _T_683 = _T_677 & _T_682; // @[dbg.scala 443:45] - wire [63:0] _T_684 = _T_673 | _T_683; // @[dbg.scala 442:129] - wire [63:0] _T_688 = _T_56 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire [63:0] _T_690 = _T_688 & io_sb_axi_r_bits_data; // @[dbg.scala 444:45] - wire [63:0] sb_bus_rdata = _T_684 | _T_690; // @[dbg.scala 443:131] - wire [31:0] _T_98 = _T_96 & sb_bus_rdata[31:0]; // @[dbg.scala 142:33] - wire [31:0] sbdata0_din = _T_94 | _T_98; // @[dbg.scala 141:68] - wire [31:0] _T_100 = sbdata1_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_101 = _T_100 & io_dmi_reg_wdata; // @[dbg.scala 144:49] - wire [31:0] _T_105 = _T_96 & sb_bus_rdata[63:32]; // @[dbg.scala 145:33] - wire [31:0] sbdata1_din = _T_101 | _T_105; // @[dbg.scala 144:68] + wire [19:0] _T_38 = {temp_sbcs_19_15,temp_sbcs_14_12,12'h40f}; // @[Cat.scala 29:58] + wire [11:0] _T_42 = {9'h40,temp_sbcs_22,temp_sbcs_21,temp_sbcs_20}; // @[Cat.scala 29:58] + wire _T_45 = sbcs_reg[19:17] == 3'h1; // @[dbg.scala 132:42] + wire _T_47 = _T_45 & sbaddress0_reg[0]; // @[dbg.scala 132:61] + wire _T_49 = sbcs_reg[19:17] == 3'h2; // @[dbg.scala 133:23] + wire _T_51 = |sbaddress0_reg[1:0]; // @[dbg.scala 133:65] + wire _T_52 = _T_49 & _T_51; // @[dbg.scala 133:42] + wire _T_53 = _T_47 | _T_52; // @[dbg.scala 132:81] + wire _T_55 = sbcs_reg[19:17] == 3'h3; // @[dbg.scala 134:23] + wire _T_57 = |sbaddress0_reg[2:0]; // @[dbg.scala 134:65] + wire _T_58 = _T_55 & _T_57; // @[dbg.scala 134:42] + wire sbcs_unaligned = _T_53 | _T_58; // @[dbg.scala 133:69] + wire sbcs_illegal_size = sbcs_reg[19]; // @[dbg.scala 136:35] + wire _T_60 = sbcs_reg[19:17] == 3'h0; // @[dbg.scala 137:51] + wire [3:0] _T_62 = _T_60 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_63 = _T_62 & 4'h1; // @[dbg.scala 137:64] + wire [3:0] _T_67 = _T_45 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_68 = _T_67 & 4'h2; // @[dbg.scala 137:122] + wire [3:0] _T_69 = _T_63 | _T_68; // @[dbg.scala 137:81] + wire [3:0] _T_73 = _T_49 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_74 = _T_73 & 4'h4; // @[dbg.scala 138:44] + wire [3:0] _T_75 = _T_69 | _T_74; // @[dbg.scala 137:139] + wire [3:0] _T_79 = _T_55 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_80 = _T_79 & 4'h8; // @[dbg.scala 138:102] + wire [3:0] sbaddress0_incr = _T_75 | _T_80; // @[dbg.scala 138:61] + wire _T_81 = io_dmi_reg_en & io_dmi_reg_wr_en; // @[dbg.scala 140:41] + wire sbdata0_reg_wren0 = _T_81 & _T_26; // @[dbg.scala 140:60] + wire _T_83 = sb_state == 4'h7; // @[dbg.scala 141:37] + wire _T_84 = _T_83 & sb_state_en; // @[dbg.scala 141:60] + wire _T_85 = ~sbcs_sberror_wren; // @[dbg.scala 141:76] + wire sbdata0_reg_wren1 = _T_84 & _T_85; // @[dbg.scala 141:74] + wire sbdata1_reg_wren0 = _T_81 & _T_28; // @[dbg.scala 143:60] + wire [31:0] _T_92 = sbdata0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_93 = _T_92 & io_dmi_reg_wdata; // @[dbg.scala 146:49] + wire [31:0] _T_95 = sbdata0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_97 = _T_95 & sb_bus_rdata[31:0]; // @[dbg.scala 147:33] + wire [31:0] _T_99 = sbdata1_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_100 = _T_99 & io_dmi_reg_wdata; // @[dbg.scala 149:49] + wire [31:0] _T_104 = _T_95 & sb_bus_rdata[63:32]; // @[dbg.scala 150:33] + wire rvclkhdr_2_io_l1clk; // @[el2_lib.scala 508:23] + wire rvclkhdr_2_io_clk; // @[el2_lib.scala 508:23] + wire rvclkhdr_2_io_en; // @[el2_lib.scala 508:23] + wire rvclkhdr_2_io_scan_mode; // @[el2_lib.scala 508:23] reg [31:0] sbdata0_reg; // @[el2_lib.scala 514:16] + wire rvclkhdr_3_io_l1clk; // @[el2_lib.scala 508:23] + wire rvclkhdr_3_io_clk; // @[el2_lib.scala 508:23] + wire rvclkhdr_3_io_en; // @[el2_lib.scala 508:23] + wire rvclkhdr_3_io_scan_mode; // @[el2_lib.scala 508:23] reg [31:0] sbdata1_reg; // @[el2_lib.scala 514:16] - wire _GEN_53 = _T_557 & sbcs_reg[16]; // @[Conditional.scala 39:67] - wire _GEN_60 = _T_554 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] - wire _GEN_67 = _T_551 ? 1'h0 : _GEN_60; // @[Conditional.scala 39:67] - wire _GEN_74 = _T_549 ? 1'h0 : _GEN_67; // @[Conditional.scala 39:67] - wire _GEN_81 = _T_547 ? 1'h0 : _GEN_74; // @[Conditional.scala 39:67] - wire _GEN_88 = _T_541 ? 1'h0 : _GEN_81; // @[Conditional.scala 39:67] - wire _GEN_95 = _T_539 ? 1'h0 : _GEN_88; // @[Conditional.scala 39:67] - wire _GEN_102 = _T_532 ? 1'h0 : _GEN_95; // @[Conditional.scala 39:67] - wire _GEN_109 = _T_525 ? 1'h0 : _GEN_102; // @[Conditional.scala 39:67] - wire sbaddress0_reg_wren1 = sbcs_sbbusy_din ? 1'h0 : _GEN_109; // @[Conditional.scala 40:58] - wire [31:0] _T_111 = _T_123 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_112 = _T_111 & io_dmi_reg_wdata; // @[dbg.scala 157:59] - wire [31:0] _T_114 = sbaddress0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_115 = {28'h0,sbaddress0_incr}; // @[Cat.scala 29:58] - wire [31:0] _T_117 = sbaddress0_reg + _T_115; // @[dbg.scala 158:54] - wire [31:0] _T_118 = _T_114 & _T_117; // @[dbg.scala 158:36] - wire [31:0] sbaddress0_reg_din = _T_112 | _T_118; // @[dbg.scala 157:78] - wire _T_132 = io_dmi_reg_addr == 7'h10; // @[dbg.scala 166:41] - wire _T_133 = _T_132 & io_dmi_reg_en; // @[dbg.scala 166:54] - wire dmcontrol_wren = _T_133 & io_dmi_reg_wr_en; // @[dbg.scala 166:70] - wire [3:0] _T_139 = {io_dmi_reg_wdata[31:30],io_dmi_reg_wdata[28],io_dmi_reg_wdata[1]}; // @[Cat.scala 29:58] - wire _T_168 = dbg_state == 3'h6; // @[dbg.scala 186:44] - wire _T_169 = _T_168 & io_dec_tlu_resume_ack; // @[dbg.scala 186:66] - wire _T_171 = ~temp[30]; // @[dbg.scala 186:113] - wire _T_172 = dmstatus_resumeack & _T_171; // @[dbg.scala 186:111] - wire dmstatus_resumeack_wren = _T_169 | _T_172; // @[dbg.scala 186:90] - wire _T_176 = _T_132 & io_dmi_reg_wdata[1]; // @[dbg.scala 188:63] - wire _T_177 = _T_176 & io_dmi_reg_en; // @[dbg.scala 188:85] - wire dmstatus_havereset_wren = _T_177 & io_dmi_reg_wr_en; // @[dbg.scala 188:101] - wire _T_180 = _T_132 & io_dmi_reg_wdata[28]; // @[dbg.scala 189:62] - wire _T_181 = _T_180 & io_dmi_reg_en; // @[dbg.scala 189:85] - wire dmstatus_havereset_rst = _T_181 & io_dmi_reg_wr_en; // @[dbg.scala 189:101] - wire _T_191 = ~io_dec_tlu_mpc_halted_only; // @[dbg.scala 198:37] - wire _T_192 = io_dec_tlu_dbg_halted & _T_191; // @[dbg.scala 198:35] - wire _T_195 = ~dmstatus_havereset_rst; // @[dbg.scala 202:15] - wire _T_198 = abstractcs_reg[12] & io_dmi_reg_en; // @[dbg.scala 208:50] - wire _T_199 = io_dmi_reg_addr == 7'h16; // @[dbg.scala 208:106] - wire _T_201 = _T_199 | _T_275; // @[dbg.scala 208:119] - wire _T_202 = io_dmi_reg_wr_en & _T_201; // @[dbg.scala 208:86] - wire _T_203 = io_dmi_reg_addr == 7'h4; // @[dbg.scala 208:171] - wire _T_204 = _T_202 | _T_203; // @[dbg.scala 208:152] - wire abstractcs_error_sel0 = _T_198 & _T_204; // @[dbg.scala 208:66] - wire _T_207 = _T_130 & _T_275; // @[dbg.scala 209:64] - wire _T_209 = io_dmi_reg_wdata[31:24] == 8'h0; // @[dbg.scala 209:126] - wire _T_211 = io_dmi_reg_wdata[31:24] == 8'h2; // @[dbg.scala 209:163] - wire _T_212 = _T_209 | _T_211; // @[dbg.scala 209:135] - wire _T_213 = ~_T_212; // @[dbg.scala 209:98] - wire abstractcs_error_sel1 = _T_207 & _T_213; // @[dbg.scala 209:96] - wire abstractcs_error_sel2 = io_core_dbg_cmd_done & io_core_dbg_cmd_fail; // @[dbg.scala 210:52] - wire _T_218 = ~dmstatus_reg[9]; // @[dbg.scala 211:98] - wire abstractcs_error_sel3 = _T_207 & _T_218; // @[dbg.scala 211:96] - wire _T_223 = io_dmi_reg_wdata[22:20] != 3'h2; // @[dbg.scala 213:32] - reg [31:0] data1_reg; // @[el2_lib.scala 514:16] - wire _T_227 = |data1_reg[1:0]; // @[dbg.scala 213:106] - wire _T_228 = _T_211 & _T_227; // @[dbg.scala 213:87] - wire _T_229 = _T_223 | _T_228; // @[dbg.scala 213:46] - wire abstractcs_error_sel4 = _T_277 & _T_229; // @[dbg.scala 212:96] - wire _T_231 = _T_199 & io_dmi_reg_en; // @[dbg.scala 215:61] - wire abstractcs_error_sel5 = _T_231 & io_dmi_reg_wr_en; // @[dbg.scala 215:77] - wire _T_232 = abstractcs_error_sel0 | abstractcs_error_sel1; // @[dbg.scala 216:54] - wire _T_233 = _T_232 | abstractcs_error_sel2; // @[dbg.scala 216:78] - wire _T_234 = _T_233 | abstractcs_error_sel3; // @[dbg.scala 216:102] - wire _T_235 = _T_234 | abstractcs_error_sel4; // @[dbg.scala 216:126] - wire abstractcs_error_selor = _T_235 | abstractcs_error_sel5; // @[dbg.scala 216:150] - wire [2:0] _T_237 = abstractcs_error_sel0 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_238 = _T_237 & 3'h1; // @[dbg.scala 217:62] - wire [2:0] _T_240 = abstractcs_error_sel1 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_241 = _T_240 & 3'h2; // @[dbg.scala 218:37] - wire [2:0] _T_242 = _T_238 | _T_241; // @[dbg.scala 217:74] - wire [2:0] _T_244 = abstractcs_error_sel2 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_245 = _T_244 & 3'h3; // @[dbg.scala 219:37] - wire [2:0] _T_246 = _T_242 | _T_245; // @[dbg.scala 218:49] - wire [2:0] _T_248 = abstractcs_error_sel3 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_249 = _T_248 & 3'h4; // @[dbg.scala 220:37] - wire [2:0] _T_250 = _T_246 | _T_249; // @[dbg.scala 219:49] - wire [2:0] _T_252 = abstractcs_error_sel4 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_254 = _T_250 | _T_252; // @[dbg.scala 220:49] - wire [2:0] _T_256 = abstractcs_error_sel5 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_258 = ~io_dmi_reg_wdata[10:8]; // @[dbg.scala 222:40] - wire [2:0] _T_259 = _T_256 & _T_258; // @[dbg.scala 222:37] - wire [2:0] _T_261 = _T_259 & abstractcs_reg[10:8]; // @[dbg.scala 222:75] - wire [2:0] _T_262 = _T_254 | _T_261; // @[dbg.scala 221:49] - wire _T_263 = ~abstractcs_error_selor; // @[dbg.scala 223:15] - wire [2:0] _T_265 = _T_263 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_267 = _T_265 & abstractcs_reg[10:8]; // @[dbg.scala 223:50] - wire [2:0] abstractcs_error_din = _T_262 | _T_267; // @[dbg.scala 222:100] - wire [2:0] _T_312 = _T_363 ? 3'h2 : 3'h1; // @[dbg.scala 265:26] - wire [2:0] _T_329 = temp[1] ? 3'h0 : 3'h2; // @[dbg.scala 270:26] - wire _T_343 = dmstatus_reg[9] & _T_320; // @[dbg.scala 275:43] - wire _T_346 = ~temp[3]; // @[dbg.scala 276:33] - wire _T_347 = temp[30] & _T_346; // @[dbg.scala 276:31] - wire [2:0] _T_348 = _T_347 ? 3'h6 : 3'h3; // @[dbg.scala 276:12] - wire [2:0] _T_350 = temp[31] ? 3'h1 : 3'h0; // @[dbg.scala 277:12] - wire [2:0] _T_351 = _T_343 ? _T_348 : _T_350; // @[dbg.scala 275:26] - wire [2:0] _T_381 = _T_384 ? 3'h5 : 3'h4; // @[dbg.scala 286:62] - wire [2:0] _T_382 = temp[1] ? 3'h0 : _T_381; // @[dbg.scala 286:26] - wire [2:0] _T_396 = temp[1] ? 3'h0 : 3'h5; // @[dbg.scala 291:26] - wire [2:0] _GEN_15 = _T_405 ? _T_329 : 3'h0; // @[Conditional.scala 39:67] - wire [2:0] _GEN_20 = _T_394 ? _T_396 : _GEN_15; // @[Conditional.scala 39:67] - wire [2:0] _GEN_25 = _T_377 ? _T_382 : _GEN_20; // @[Conditional.scala 39:67] - wire [2:0] _GEN_30 = _T_339 ? _T_351 : _GEN_25; // @[Conditional.scala 39:67] - wire [2:0] _GEN_36 = _T_327 ? _T_329 : _GEN_30; // @[Conditional.scala 39:67] - wire [2:0] dbg_nxtstate = _T_309 ? _T_312 : _GEN_36; // @[Conditional.scala 40:58] - wire _T_366 = dbg_nxtstate == 3'h3; // @[dbg.scala 280:60] - wire _T_367 = dbg_state_en & _T_366; // @[dbg.scala 280:44] - wire _GEN_17 = _T_405 & dbg_state_en; // @[Conditional.scala 39:67] - wire _GEN_23 = _T_394 ? 1'h0 : _GEN_17; // @[Conditional.scala 39:67] - wire _GEN_28 = _T_377 ? 1'h0 : _GEN_23; // @[Conditional.scala 39:67] - wire _GEN_32 = _T_339 ? _T_367 : _GEN_28; // @[Conditional.scala 39:67] - wire _GEN_39 = _T_327 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] - wire abstractcs_busy_wren = _T_309 ? 1'h0 : _GEN_39; // @[Conditional.scala 40:58] - wire [31:0] command_din = {io_dmi_reg_wdata[31:24],1'h0,io_dmi_reg_wdata[22:20],3'h0,io_dmi_reg_wdata[16:0]}; // @[Cat.scala 29:58] - reg [31:0] command_reg; // @[Reg.scala 27:20] - wire _T_288 = _T_130 & _T_203; // @[dbg.scala 241:58] - wire data0_reg_wren0 = _T_288 & _T_278; // @[dbg.scala 241:89] - wire _T_290 = dbg_state == 3'h4; // @[dbg.scala 242:59] - wire _T_291 = io_core_dbg_cmd_done & _T_290; // @[dbg.scala 242:46] - wire _T_293 = ~command_reg[16]; // @[dbg.scala 242:83] - wire data0_reg_wren1 = _T_291 & _T_293; // @[dbg.scala 242:81] - wire data0_reg_wren = data0_reg_wren0 | data0_reg_wren1; // @[dbg.scala 244:40] - wire [31:0] _T_295 = data0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_296 = _T_295 & io_dmi_reg_wdata; // @[dbg.scala 245:45] - wire [31:0] _T_298 = data0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_299 = _T_298 & io_core_dbg_rddata; // @[dbg.scala 245:92] - wire [31:0] data0_din = _T_296 | _T_299; // @[dbg.scala 245:64] - reg [31:0] data0_reg; // @[Reg.scala 27:20] - wire _T_302 = io_dmi_reg_addr == 7'h5; // @[dbg.scala 250:77] - wire _T_303 = _T_130 & _T_302; // @[dbg.scala 250:58] - wire data1_reg_wren = _T_303 & _T_278; // @[dbg.scala 250:89] - wire [31:0] _T_306 = data1_reg_wren ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] data1_din = _T_306 & io_dmi_reg_wdata; // @[dbg.scala 251:44] - wire _T_325 = temp[31] & _T_320; // @[dbg.scala 267:45] - wire _T_334 = dmcontrol_wren_Q & temp[31]; // @[dbg.scala 272:44] - wire _T_337 = _T_334 & _T_320; // @[dbg.scala 272:64] - wire _T_368 = dbg_nxtstate == 3'h6; // @[dbg.scala 282:58] - wire _T_369 = dbg_state_en & _T_368; // @[dbg.scala 282:42] - wire _GEN_14 = _T_414 & _T_337; // @[Conditional.scala 39:67] - wire _GEN_19 = _T_405 ? _T_337 : _GEN_14; // @[Conditional.scala 39:67] - wire _GEN_22 = _T_394 ? _T_337 : _GEN_19; // @[Conditional.scala 39:67] - wire _GEN_27 = _T_377 ? _T_337 : _GEN_22; // @[Conditional.scala 39:67] - wire _GEN_34 = _T_339 & _T_369; // @[Conditional.scala 39:67] - wire _GEN_35 = _T_339 ? _T_337 : _GEN_27; // @[Conditional.scala 39:67] - wire _GEN_38 = _T_327 ? _T_337 : _GEN_35; // @[Conditional.scala 39:67] - wire _GEN_41 = _T_327 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] - wire _T_478 = _T_29 & reset; // @[dbg.scala 315:62] - wire _T_483 = command_reg[31:24] == 8'h2; // @[dbg.scala 324:62] - wire [30:0] _T_485 = {data1_reg[31:2],1'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_487 = {20'h0,command_reg[11:0]}; // @[Cat.scala 29:58] - wire _T_490 = dbg_state == 3'h3; // @[dbg.scala 326:50] - wire _T_493 = ~_T_384; // @[dbg.scala 326:75] - wire _T_494 = _T_490 & _T_493; // @[dbg.scala 326:73] - wire _T_502 = command_reg[15:12] == 4'h0; // @[dbg.scala 328:117] - wire [1:0] _T_503 = {1'h0,_T_502}; // @[Cat.scala 29:58] - wire _T_542 = sb_bus_cmd_write_addr & sb_bus_cmd_write_data; // @[dbg.scala 366:48] - wire _T_573 = sb_state == 4'h4; // @[dbg.scala 407:36] - wire _T_574 = sb_state == 4'h5; // @[dbg.scala 407:71] - wire _T_580 = sb_state == 4'h6; // @[dbg.scala 418:70] - wire [63:0] _T_590 = {sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0]}; // @[Cat.scala 29:58] - wire [63:0] _T_591 = _T_656 & _T_590; // @[dbg.scala 419:65] - wire [63:0] _T_598 = {sbdata0_reg[15:0],sbdata0_reg[15:0],sbdata0_reg[15:0],sbdata0_reg[15:0]}; // @[Cat.scala 29:58] - wire [63:0] _T_599 = _T_666 & _T_598; // @[dbg.scala 419:138] - wire [63:0] _T_600 = _T_591 | _T_599; // @[dbg.scala 419:96] - wire [63:0] _T_606 = {sbdata0_reg,sbdata0_reg}; // @[Cat.scala 29:58] - wire [63:0] _T_607 = _T_677 & _T_606; // @[dbg.scala 420:45] - wire [63:0] _T_608 = _T_600 | _T_607; // @[dbg.scala 419:168] - wire [63:0] _T_615 = {sbdata1_reg,sbdata0_reg}; // @[Cat.scala 29:58] - wire [63:0] _T_616 = _T_688 & _T_615; // @[dbg.scala 420:119] - wire [7:0] _T_621 = _T_61 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [14:0] _T_623 = 15'h1 << sbaddress0_reg[2:0]; // @[dbg.scala 422:82] - wire [14:0] _GEN_122 = {{7'd0}, _T_621}; // @[dbg.scala 422:67] - wire [14:0] _T_624 = _GEN_122 & _T_623; // @[dbg.scala 422:67] - wire [7:0] _T_628 = _T_46 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_630 = {sbaddress0_reg[2:1],1'h0}; // @[Cat.scala 29:58] - wire [14:0] _T_631 = 15'h3 << _T_630; // @[dbg.scala 423:59] - wire [14:0] _GEN_123 = {{7'd0}, _T_628}; // @[dbg.scala 423:44] - wire [14:0] _T_632 = _GEN_123 & _T_631; // @[dbg.scala 423:44] - wire [14:0] _T_633 = _T_624 | _T_632; // @[dbg.scala 422:107] - wire [7:0] _T_637 = _T_50 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_639 = {sbaddress0_reg[2],1'h0}; // @[Cat.scala 29:58] - wire [10:0] _T_640 = 11'hf << _T_639; // @[dbg.scala 424:59] - wire [10:0] _GEN_124 = {{3'd0}, _T_637}; // @[dbg.scala 424:44] - wire [10:0] _T_641 = _GEN_124 & _T_640; // @[dbg.scala 424:44] - wire [14:0] _GEN_125 = {{4'd0}, _T_641}; // @[dbg.scala 423:97] - wire [14:0] _T_642 = _T_633 | _GEN_125; // @[dbg.scala 423:97] - wire [7:0] _T_646 = _T_56 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [14:0] _GEN_126 = {{7'd0}, _T_646}; // @[dbg.scala 424:95] - wire [14:0] _T_648 = _T_642 | _GEN_126; // @[dbg.scala 424:95] + wire sbaddress0_reg_wren0 = _T_81 & _T_25; // @[dbg.scala 160:63] + wire [31:0] _T_108 = sbaddress0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_109 = _T_108 & io_dmi_reg_wdata; // @[dbg.scala 162:59] + wire [31:0] _T_111 = sbaddress0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_112 = {28'h0,sbaddress0_incr}; // @[Cat.scala 29:58] + wire [31:0] _T_114 = sbaddress0_reg + _T_112; // @[dbg.scala 163:54] + wire [31:0] _T_115 = _T_111 & _T_114; // @[dbg.scala 163:36] + wire rvclkhdr_4_io_l1clk; // @[el2_lib.scala 508:23] + wire rvclkhdr_4_io_clk; // @[el2_lib.scala 508:23] + wire rvclkhdr_4_io_en; // @[el2_lib.scala 508:23] + wire rvclkhdr_4_io_scan_mode; // @[el2_lib.scala 508:23] + reg [31:0] _T_116; // @[el2_lib.scala 514:16] + wire sbreadonaddr_access = sbaddress0_reg_wren0 & sbcs_reg[20]; // @[dbg.scala 168:94] + wire _T_121 = ~io_dmi_reg_wr_en; // @[dbg.scala 169:45] + wire _T_122 = io_dmi_reg_en & _T_121; // @[dbg.scala 169:43] + wire _T_124 = _T_122 & _T_26; // @[dbg.scala 169:63] + wire sbreadondata_access = _T_124 & sbcs_reg[15]; // @[dbg.scala 169:95] + wire _T_128 = io_dmi_reg_addr == 7'h10; // @[dbg.scala 171:41] + wire _T_129 = _T_128 & io_dmi_reg_en; // @[dbg.scala 171:54] + wire dmcontrol_wren = _T_129 & io_dmi_reg_wr_en; // @[dbg.scala 171:70] + wire [3:0] _T_134 = {io_dmi_reg_wdata[31:30],io_dmi_reg_wdata[28],io_dmi_reg_wdata[1]}; // @[Cat.scala 29:58] + reg [3:0] dm_temp; // @[Reg.scala 27:20] + reg dm_temp_0; // @[Reg.scala 27:20] + wire [27:0] _T_141 = {26'h0,dm_temp[0],dm_temp_0}; // @[Cat.scala 29:58] + wire [3:0] _T_143 = {dm_temp[3:2],1'h0,dm_temp[1]}; // @[Cat.scala 29:58] + reg dmcontrol_wren_Q; // @[dbg.scala 186:12] + wire [1:0] _T_145 = dmstatus_havereset ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_147 = dmstatus_resumeack ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_149 = dmstatus_unavail ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_151 = dmstatus_running ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_153 = dmstatus_halted ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [11:0] _T_157 = {_T_151,_T_153,1'h1,7'h2}; // @[Cat.scala 29:58] + wire [19:0] _T_161 = {12'h0,_T_145,_T_147,2'h0,_T_149}; // @[Cat.scala 29:58] + wire _T_163 = dbg_state == 3'h6; // @[dbg.scala 191:44] + wire _T_164 = _T_163 & io_dec_tlu_resume_ack; // @[dbg.scala 191:66] + wire _T_166 = ~dmcontrol_reg[30]; // @[dbg.scala 191:113] + wire _T_167 = dmstatus_resumeack & _T_166; // @[dbg.scala 191:111] + wire dmstatus_resumeack_wren = _T_164 | _T_167; // @[dbg.scala 191:90] + wire _T_171 = _T_128 & io_dmi_reg_wdata[1]; // @[dbg.scala 193:63] + wire _T_172 = _T_171 & io_dmi_reg_en; // @[dbg.scala 193:85] + wire dmstatus_havereset_wren = _T_172 & io_dmi_reg_wr_en; // @[dbg.scala 193:101] + wire _T_175 = _T_128 & io_dmi_reg_wdata[28]; // @[dbg.scala 194:62] + wire _T_176 = _T_175 & io_dmi_reg_en; // @[dbg.scala 194:85] + wire dmstatus_havereset_rst = _T_176 & io_dmi_reg_wr_en; // @[dbg.scala 194:101] + wire _T_178 = ~reset; // @[dbg.scala 196:43] + wire _T_181 = dmstatus_unavail | dmstatus_halted; // @[dbg.scala 197:42] + reg _T_183; // @[Reg.scala 27:20] + wire _T_184 = ~io_dec_tlu_mpc_halted_only; // @[dbg.scala 203:37] + reg _T_186; // @[dbg.scala 203:12] + wire _T_187 = dmstatus_havereset_wren | dmstatus_havereset; // @[dbg.scala 207:16] + wire _T_188 = ~dmstatus_havereset_rst; // @[dbg.scala 207:72] + reg _T_190; // @[dbg.scala 207:12] + wire [31:0] abstractcs_reg; + wire _T_192 = abstractcs_reg[12] & io_dmi_reg_en; // @[dbg.scala 213:50] + wire _T_193 = io_dmi_reg_addr == 7'h16; // @[dbg.scala 213:106] + wire _T_194 = io_dmi_reg_addr == 7'h17; // @[dbg.scala 213:138] + wire _T_195 = _T_193 | _T_194; // @[dbg.scala 213:119] + wire _T_196 = io_dmi_reg_wr_en & _T_195; // @[dbg.scala 213:86] + wire _T_197 = io_dmi_reg_addr == 7'h4; // @[dbg.scala 213:171] + wire _T_198 = _T_196 | _T_197; // @[dbg.scala 213:152] + wire abstractcs_error_sel0 = _T_192 & _T_198; // @[dbg.scala 213:66] + wire _T_201 = _T_81 & _T_194; // @[dbg.scala 214:64] + wire _T_203 = io_dmi_reg_wdata[31:24] == 8'h0; // @[dbg.scala 214:126] + wire _T_205 = io_dmi_reg_wdata[31:24] == 8'h2; // @[dbg.scala 214:163] + wire _T_206 = _T_203 | _T_205; // @[dbg.scala 214:135] + wire _T_207 = ~_T_206; // @[dbg.scala 214:98] + wire abstractcs_error_sel1 = _T_201 & _T_207; // @[dbg.scala 214:96] + wire abstractcs_error_sel2 = io_core_dbg_cmd_done & io_core_dbg_cmd_fail; // @[dbg.scala 215:52] + wire _T_212 = ~dmstatus_reg[9]; // @[dbg.scala 216:98] + wire abstractcs_error_sel3 = _T_201 & _T_212; // @[dbg.scala 216:96] + wire _T_214 = _T_194 & io_dmi_reg_en; // @[dbg.scala 217:61] + wire _T_215 = _T_214 & io_dmi_reg_wr_en; // @[dbg.scala 217:77] + wire _T_217 = io_dmi_reg_wdata[22:20] != 3'h2; // @[dbg.scala 218:32] + wire _T_221 = |data1_reg[1:0]; // @[dbg.scala 218:111] + wire _T_222 = _T_205 & _T_221; // @[dbg.scala 218:92] + wire _T_223 = _T_217 | _T_222; // @[dbg.scala 218:51] + wire abstractcs_error_sel4 = _T_215 & _T_223; // @[dbg.scala 217:96] + wire _T_225 = _T_193 & io_dmi_reg_en; // @[dbg.scala 220:61] + wire abstractcs_error_sel5 = _T_225 & io_dmi_reg_wr_en; // @[dbg.scala 220:77] + wire _T_226 = abstractcs_error_sel0 | abstractcs_error_sel1; // @[dbg.scala 221:54] + wire _T_227 = _T_226 | abstractcs_error_sel2; // @[dbg.scala 221:78] + wire _T_228 = _T_227 | abstractcs_error_sel3; // @[dbg.scala 221:102] + wire _T_229 = _T_228 | abstractcs_error_sel4; // @[dbg.scala 221:126] + wire abstractcs_error_selor = _T_229 | abstractcs_error_sel5; // @[dbg.scala 221:150] + wire [2:0] _T_231 = abstractcs_error_sel0 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_232 = _T_231 & 3'h1; // @[dbg.scala 222:62] + wire [2:0] _T_234 = abstractcs_error_sel1 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_235 = _T_234 & 3'h2; // @[dbg.scala 223:37] + wire [2:0] _T_236 = _T_232 | _T_235; // @[dbg.scala 222:79] + wire [2:0] _T_238 = abstractcs_error_sel2 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_239 = _T_238 & 3'h3; // @[dbg.scala 224:37] + wire [2:0] _T_240 = _T_236 | _T_239; // @[dbg.scala 223:54] + wire [2:0] _T_242 = abstractcs_error_sel3 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_243 = _T_242 & 3'h4; // @[dbg.scala 225:37] + wire [2:0] _T_244 = _T_240 | _T_243; // @[dbg.scala 224:54] + wire [2:0] _T_246 = abstractcs_error_sel4 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_248 = _T_244 | _T_246; // @[dbg.scala 225:54] + wire [2:0] _T_250 = abstractcs_error_sel5 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_252 = ~io_dmi_reg_wdata[10:8]; // @[dbg.scala 227:40] + wire [2:0] _T_253 = _T_250 & _T_252; // @[dbg.scala 227:37] + wire [2:0] _T_255 = _T_253 & abstractcs_reg[10:8]; // @[dbg.scala 227:75] + wire [2:0] _T_256 = _T_248 | _T_255; // @[dbg.scala 226:54] + wire _T_257 = ~abstractcs_error_selor; // @[dbg.scala 228:15] + wire [2:0] _T_259 = _T_257 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_261 = _T_259 & abstractcs_reg[10:8]; // @[dbg.scala 228:50] + reg abs_temp_12; // @[Reg.scala 27:20] + reg [2:0] abs_temp_10_8; // @[dbg.scala 235:12] + wire [10:0] _T_263 = {abs_temp_10_8,8'h2}; // @[Cat.scala 29:58] + wire [20:0] _T_265 = {19'h0,abs_temp_12,1'h0}; // @[Cat.scala 29:58] + wire _T_270 = dbg_state == 3'h2; // @[dbg.scala 240:100] + wire command_wren = _T_215 & _T_270; // @[dbg.scala 240:87] + wire [19:0] _T_274 = {3'h0,io_dmi_reg_wdata[16:0]}; // @[Cat.scala 29:58] + wire [11:0] _T_276 = {io_dmi_reg_wdata[31:24],1'h0,io_dmi_reg_wdata[22:20]}; // @[Cat.scala 29:58] + wire rvclkhdr_5_io_l1clk; // @[el2_lib.scala 508:23] + wire rvclkhdr_5_io_clk; // @[el2_lib.scala 508:23] + wire rvclkhdr_5_io_en; // @[el2_lib.scala 508:23] + wire rvclkhdr_5_io_scan_mode; // @[el2_lib.scala 508:23] + reg [31:0] command_reg; // @[el2_lib.scala 514:16] + wire _T_279 = _T_81 & _T_197; // @[dbg.scala 246:58] + wire data0_reg_wren0 = _T_279 & _T_270; // @[dbg.scala 246:89] + wire _T_281 = dbg_state == 3'h4; // @[dbg.scala 247:59] + wire _T_282 = io_core_dbg_cmd_done & _T_281; // @[dbg.scala 247:46] + wire _T_284 = ~command_reg[16]; // @[dbg.scala 247:83] + wire data0_reg_wren1 = _T_282 & _T_284; // @[dbg.scala 247:81] + wire [31:0] _T_286 = data0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_287 = _T_286 & io_dmi_reg_wdata; // @[dbg.scala 250:45] + wire [31:0] _T_289 = data0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_290 = _T_289 & io_core_dbg_rddata; // @[dbg.scala 250:92] + wire rvclkhdr_6_io_l1clk; // @[el2_lib.scala 508:23] + wire rvclkhdr_6_io_clk; // @[el2_lib.scala 508:23] + wire rvclkhdr_6_io_en; // @[el2_lib.scala 508:23] + wire rvclkhdr_6_io_scan_mode; // @[el2_lib.scala 508:23] + reg [31:0] data0_reg; // @[el2_lib.scala 514:16] + wire _T_292 = io_dmi_reg_addr == 7'h5; // @[dbg.scala 255:77] + wire _T_293 = _T_81 & _T_292; // @[dbg.scala 255:58] + wire data1_reg_wren = _T_293 & _T_270; // @[dbg.scala 255:89] + wire [31:0] _T_296 = data1_reg_wren ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire rvclkhdr_7_io_l1clk; // @[el2_lib.scala 508:23] + wire rvclkhdr_7_io_clk; // @[el2_lib.scala 508:23] + wire rvclkhdr_7_io_en; // @[el2_lib.scala 508:23] + wire rvclkhdr_7_io_scan_mode; // @[el2_lib.scala 508:23] + reg [31:0] _T_297; // @[el2_lib.scala 514:16] + wire [2:0] dbg_nxtstate; + wire _T_298 = 3'h0 == dbg_state; // @[Conditional.scala 37:30] + wire _T_300 = dmstatus_reg[9] | io_dec_tlu_mpc_halted_only; // @[dbg.scala 270:43] + wire [2:0] _T_301 = _T_300 ? 3'h2 : 3'h1; // @[dbg.scala 270:26] + wire _T_303 = ~io_dec_tlu_debug_mode; // @[dbg.scala 271:45] + wire _T_304 = dmcontrol_reg[31] & _T_303; // @[dbg.scala 271:43] + wire _T_306 = _T_304 | dmstatus_reg[9]; // @[dbg.scala 271:69] + wire _T_307 = _T_306 | io_dec_tlu_mpc_halted_only; // @[dbg.scala 271:87] + wire _T_310 = _T_307 & _T_15; // @[dbg.scala 271:117] + wire _T_314 = dmcontrol_reg[31] & _T_15; // @[dbg.scala 272:45] + wire _T_316 = 3'h1 == dbg_state; // @[Conditional.scala 37:30] + wire [2:0] _T_318 = dmcontrol_reg[1] ? 3'h0 : 3'h2; // @[dbg.scala 275:26] + wire _T_321 = dmstatus_reg[9] | dmcontrol_reg[1]; // @[dbg.scala 276:39] + wire _T_323 = dmcontrol_wren_Q & dmcontrol_reg[31]; // @[dbg.scala 277:44] + wire _T_326 = _T_323 & _T_15; // @[dbg.scala 277:64] + wire _T_328 = 3'h2 == dbg_state; // @[Conditional.scala 37:30] + wire _T_332 = dmstatus_reg[9] & _T_15; // @[dbg.scala 280:43] + wire _T_335 = ~dmcontrol_reg[31]; // @[dbg.scala 281:33] + wire _T_336 = dmcontrol_reg[30] & _T_335; // @[dbg.scala 281:31] + wire [2:0] _T_337 = _T_336 ? 3'h6 : 3'h3; // @[dbg.scala 281:12] + wire [2:0] _T_339 = dmcontrol_reg[31] ? 3'h1 : 3'h0; // @[dbg.scala 282:12] + wire [2:0] _T_340 = _T_332 ? _T_337 : _T_339; // @[dbg.scala 280:26] + wire _T_343 = dmstatus_reg[9] & dmcontrol_reg[30]; // @[dbg.scala 283:39] + wire _T_346 = _T_343 & _T_335; // @[dbg.scala 283:59] + wire _T_347 = _T_346 & dmcontrol_wren_Q; // @[dbg.scala 283:80] + wire _T_348 = _T_347 | command_wren; // @[dbg.scala 283:99] + wire _T_350 = _T_348 | dmcontrol_reg[1]; // @[dbg.scala 283:114] + wire _T_353 = ~_T_300; // @[dbg.scala 284:28] + wire _T_354 = _T_350 | _T_353; // @[dbg.scala 284:26] + wire _T_355 = dbg_nxtstate == 3'h3; // @[dbg.scala 285:60] + wire _T_356 = dbg_state_en & _T_355; // @[dbg.scala 285:44] + wire _T_357 = dbg_nxtstate == 3'h6; // @[dbg.scala 287:58] + wire _T_358 = dbg_state_en & _T_357; // @[dbg.scala 287:42] + wire _T_366 = 3'h3 == dbg_state; // @[Conditional.scala 37:30] + wire _T_369 = |abstractcs_reg[10:8]; // @[dbg.scala 291:85] + wire [2:0] _T_370 = _T_369 ? 3'h5 : 3'h4; // @[dbg.scala 291:62] + wire [2:0] _T_371 = dmcontrol_reg[1] ? 3'h0 : _T_370; // @[dbg.scala 291:26] + wire _T_374 = io_dbg_dec_dbg_ib_dbg_cmd_valid | _T_369; // @[dbg.scala 292:55] + wire _T_376 = _T_374 | dmcontrol_reg[1]; // @[dbg.scala 292:83] + wire _T_383 = 3'h4 == dbg_state; // @[Conditional.scala 37:30] + wire [2:0] _T_385 = dmcontrol_reg[1] ? 3'h0 : 3'h5; // @[dbg.scala 296:26] + wire _T_387 = io_core_dbg_cmd_done | dmcontrol_reg[1]; // @[dbg.scala 297:44] + wire _T_394 = 3'h5 == dbg_state; // @[Conditional.scala 37:30] + wire _T_403 = 3'h6 == dbg_state; // @[Conditional.scala 37:30] + wire _T_406 = dmstatus_reg[17] | dmcontrol_reg[1]; // @[dbg.scala 309:40] + wire _GEN_10 = _T_403 & _T_406; // @[Conditional.scala 39:67] + wire _GEN_11 = _T_403 & _T_326; // @[Conditional.scala 39:67] + wire [2:0] _GEN_12 = _T_394 ? _T_318 : 3'h0; // @[Conditional.scala 39:67] + wire _GEN_13 = _T_394 | _GEN_10; // @[Conditional.scala 39:67] + wire _GEN_14 = _T_394 & dbg_state_en; // @[Conditional.scala 39:67] + wire _GEN_16 = _T_394 ? _T_326 : _GEN_11; // @[Conditional.scala 39:67] + wire [2:0] _GEN_17 = _T_383 ? _T_385 : _GEN_12; // @[Conditional.scala 39:67] + wire _GEN_18 = _T_383 ? _T_387 : _GEN_13; // @[Conditional.scala 39:67] + wire _GEN_19 = _T_383 ? _T_326 : _GEN_16; // @[Conditional.scala 39:67] + wire _GEN_20 = _T_383 ? 1'h0 : _GEN_14; // @[Conditional.scala 39:67] + wire [2:0] _GEN_22 = _T_366 ? _T_371 : _GEN_17; // @[Conditional.scala 39:67] + wire _GEN_23 = _T_366 ? _T_376 : _GEN_18; // @[Conditional.scala 39:67] + wire _GEN_24 = _T_366 ? _T_326 : _GEN_19; // @[Conditional.scala 39:67] + wire _GEN_25 = _T_366 ? 1'h0 : _GEN_20; // @[Conditional.scala 39:67] + wire [2:0] _GEN_27 = _T_328 ? _T_340 : _GEN_22; // @[Conditional.scala 39:67] + wire _GEN_28 = _T_328 ? _T_354 : _GEN_23; // @[Conditional.scala 39:67] + wire _GEN_29 = _T_328 ? _T_356 : _GEN_25; // @[Conditional.scala 39:67] + wire _GEN_31 = _T_328 & _T_358; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_328 ? _T_326 : _GEN_24; // @[Conditional.scala 39:67] + wire [2:0] _GEN_33 = _T_316 ? _T_318 : _GEN_27; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_316 ? _T_321 : _GEN_28; // @[Conditional.scala 39:67] + wire _GEN_35 = _T_316 ? _T_326 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_36 = _T_316 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_316 ? 1'h0 : _GEN_31; // @[Conditional.scala 39:67] + reg [2:0] _T_466; // @[Reg.scala 27:20] + wire _T_469 = command_reg[31:24] == 8'h2; // @[dbg.scala 329:62] + wire [31:0] _T_471 = {data1_reg[31:2],2'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_473 = {20'h0,command_reg[11:0]}; // @[Cat.scala 29:58] + wire _T_476 = dbg_state == 3'h3; // @[dbg.scala 331:50] + wire _T_479 = ~_T_369; // @[dbg.scala 331:75] + wire _T_480 = _T_476 & _T_479; // @[dbg.scala 331:73] + wire _T_488 = command_reg[15:12] == 4'h0; // @[dbg.scala 333:122] + wire [1:0] _T_489 = {1'h0,_T_488}; // @[Cat.scala 29:58] + wire _T_500 = 4'h0 == sb_state; // @[Conditional.scala 37:30] + wire _T_502 = sbdata0_reg_wren0 | sbreadondata_access; // @[dbg.scala 348:39] + wire _T_503 = _T_502 | sbreadonaddr_access; // @[dbg.scala 348:61] + wire _T_505 = |io_dmi_reg_wdata[14:12]; // @[dbg.scala 351:65] + wire _T_506 = sbcs_wren & _T_505; // @[dbg.scala 351:38] + wire [2:0] _T_508 = ~io_dmi_reg_wdata[14:12]; // @[dbg.scala 352:27] + wire [2:0] _T_510 = _T_508 & sbcs_reg[14:12]; // @[dbg.scala 352:53] + wire _T_511 = 4'h1 == sb_state; // @[Conditional.scala 37:30] + wire _T_512 = sbcs_unaligned | sbcs_illegal_size; // @[dbg.scala 355:41] + wire _T_514 = io_dbg_bus_clk_en | sbcs_unaligned; // @[dbg.scala 356:40] + wire _T_515 = _T_514 | sbcs_illegal_size; // @[dbg.scala 356:57] + wire _T_518 = 4'h2 == sb_state; // @[Conditional.scala 37:30] + wire _T_525 = 4'h3 == sb_state; // @[Conditional.scala 37:30] + wire _T_526 = sb_bus_cmd_read & io_dbg_bus_clk_en; // @[dbg.scala 368:38] + wire _T_527 = 4'h4 == sb_state; // @[Conditional.scala 37:30] + wire _T_528 = sb_bus_cmd_write_addr & sb_bus_cmd_write_data; // @[dbg.scala 371:48] + wire _T_531 = sb_bus_cmd_write_addr | sb_bus_cmd_write_data; // @[dbg.scala 372:45] + wire _T_532 = _T_531 & io_dbg_bus_clk_en; // @[dbg.scala 372:70] + wire _T_533 = 4'h5 == sb_state; // @[Conditional.scala 37:30] + wire _T_534 = sb_bus_cmd_write_addr & io_dbg_bus_clk_en; // @[dbg.scala 376:44] + wire _T_535 = 4'h6 == sb_state; // @[Conditional.scala 37:30] + wire _T_536 = sb_bus_cmd_write_data & io_dbg_bus_clk_en; // @[dbg.scala 380:44] + wire _T_537 = 4'h7 == sb_state; // @[Conditional.scala 37:30] + wire _T_538 = sb_bus_rsp_read & io_dbg_bus_clk_en; // @[dbg.scala 384:38] + wire _T_539 = sb_state_en & sb_bus_rsp_error; // @[dbg.scala 385:40] + wire _T_540 = 4'h8 == sb_state; // @[Conditional.scala 37:30] + wire _T_541 = sb_bus_rsp_write & io_dbg_bus_clk_en; // @[dbg.scala 390:39] + wire _T_543 = 4'h9 == sb_state; // @[Conditional.scala 37:30] + wire _GEN_50 = _T_543 & sbcs_reg[16]; // @[Conditional.scala 39:67] + wire _GEN_52 = _T_540 ? _T_541 : _T_543; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_540 & _T_539; // @[Conditional.scala 39:67] + wire _GEN_55 = _T_540 ? 1'h0 : _T_543; // @[Conditional.scala 39:67] + wire _GEN_57 = _T_540 ? 1'h0 : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_537 ? _T_538 : _GEN_52; // @[Conditional.scala 39:67] + wire _GEN_60 = _T_537 ? _T_539 : _GEN_53; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_537 ? 1'h0 : _GEN_55; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_537 ? 1'h0 : _GEN_57; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_535 ? _T_536 : _GEN_59; // @[Conditional.scala 39:67] + wire _GEN_67 = _T_535 ? 1'h0 : _GEN_60; // @[Conditional.scala 39:67] + wire _GEN_69 = _T_535 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_535 ? 1'h0 : _GEN_64; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_533 ? _T_534 : _GEN_66; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_533 ? 1'h0 : _GEN_67; // @[Conditional.scala 39:67] + wire _GEN_76 = _T_533 ? 1'h0 : _GEN_69; // @[Conditional.scala 39:67] + wire _GEN_78 = _T_533 ? 1'h0 : _GEN_71; // @[Conditional.scala 39:67] + wire _GEN_80 = _T_527 ? _T_532 : _GEN_73; // @[Conditional.scala 39:67] + wire _GEN_81 = _T_527 ? 1'h0 : _GEN_74; // @[Conditional.scala 39:67] + wire _GEN_83 = _T_527 ? 1'h0 : _GEN_76; // @[Conditional.scala 39:67] + wire _GEN_85 = _T_527 ? 1'h0 : _GEN_78; // @[Conditional.scala 39:67] + wire _GEN_87 = _T_525 ? _T_526 : _GEN_80; // @[Conditional.scala 39:67] + wire _GEN_88 = _T_525 ? 1'h0 : _GEN_81; // @[Conditional.scala 39:67] + wire _GEN_90 = _T_525 ? 1'h0 : _GEN_83; // @[Conditional.scala 39:67] + wire _GEN_92 = _T_525 ? 1'h0 : _GEN_85; // @[Conditional.scala 39:67] + wire _GEN_94 = _T_518 ? _T_515 : _GEN_87; // @[Conditional.scala 39:67] + wire _GEN_95 = _T_518 ? _T_512 : _GEN_88; // @[Conditional.scala 39:67] + wire _GEN_97 = _T_518 ? 1'h0 : _GEN_90; // @[Conditional.scala 39:67] + wire _GEN_99 = _T_518 ? 1'h0 : _GEN_92; // @[Conditional.scala 39:67] + wire _GEN_101 = _T_511 ? _T_515 : _GEN_94; // @[Conditional.scala 39:67] + wire _GEN_102 = _T_511 ? _T_512 : _GEN_95; // @[Conditional.scala 39:67] + wire _GEN_104 = _T_511 ? 1'h0 : _GEN_97; // @[Conditional.scala 39:67] + wire _GEN_106 = _T_511 ? 1'h0 : _GEN_99; // @[Conditional.scala 39:67] + reg [3:0] _T_545; // @[Reg.scala 27:20] + wire _T_552 = |io_sb_axi_r_bits_resp; // @[dbg.scala 411:69] + wire _T_553 = sb_bus_rsp_read & _T_552; // @[dbg.scala 411:39] + wire _T_555 = |io_sb_axi_b_bits_resp; // @[dbg.scala 411:122] + wire _T_556 = sb_bus_rsp_write & _T_555; // @[dbg.scala 411:92] + wire _T_558 = sb_state == 4'h4; // @[dbg.scala 412:36] + wire _T_559 = sb_state == 4'h5; // @[dbg.scala 412:71] + wire _T_565 = sb_state == 4'h6; // @[dbg.scala 423:70] + wire [63:0] _T_571 = _T_60 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_575 = {sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0]}; // @[Cat.scala 29:58] + wire [63:0] _T_576 = _T_571 & _T_575; // @[dbg.scala 424:65] + wire [63:0] _T_580 = _T_45 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_583 = {sbdata0_reg[15:0],sbdata0_reg[15:0],sbdata0_reg[15:0],sbdata0_reg[15:0]}; // @[Cat.scala 29:58] + wire [63:0] _T_584 = _T_580 & _T_583; // @[dbg.scala 424:138] + wire [63:0] _T_585 = _T_576 | _T_584; // @[dbg.scala 424:96] + wire [63:0] _T_589 = _T_49 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_591 = {sbdata0_reg,sbdata0_reg}; // @[Cat.scala 29:58] + wire [63:0] _T_592 = _T_589 & _T_591; // @[dbg.scala 425:45] + wire [63:0] _T_593 = _T_585 | _T_592; // @[dbg.scala 424:168] + wire [63:0] _T_597 = _T_55 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_600 = {sbdata1_reg,sbdata0_reg}; // @[Cat.scala 29:58] + wire [63:0] _T_601 = _T_597 & _T_600; // @[dbg.scala 425:119] + wire [7:0] _T_606 = _T_60 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [14:0] _T_608 = 15'h1 << sbaddress0_reg[2:0]; // @[dbg.scala 427:82] + wire [14:0] _GEN_115 = {{7'd0}, _T_606}; // @[dbg.scala 427:67] + wire [14:0] _T_609 = _GEN_115 & _T_608; // @[dbg.scala 427:67] + wire [7:0] _T_613 = _T_45 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_615 = {sbaddress0_reg[2:1],1'h0}; // @[Cat.scala 29:58] + wire [14:0] _T_616 = 15'h3 << _T_615; // @[dbg.scala 428:59] + wire [14:0] _GEN_116 = {{7'd0}, _T_613}; // @[dbg.scala 428:44] + wire [14:0] _T_617 = _GEN_116 & _T_616; // @[dbg.scala 428:44] + wire [14:0] _T_618 = _T_609 | _T_617; // @[dbg.scala 427:107] + wire [7:0] _T_622 = _T_49 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_624 = {sbaddress0_reg[2],2'h0}; // @[Cat.scala 29:58] + wire [14:0] _T_625 = 15'hf << _T_624; // @[dbg.scala 429:59] + wire [14:0] _GEN_117 = {{7'd0}, _T_622}; // @[dbg.scala 429:44] + wire [14:0] _T_626 = _GEN_117 & _T_625; // @[dbg.scala 429:44] + wire [14:0] _T_627 = _T_618 | _T_626; // @[dbg.scala 428:97] + wire [7:0] _T_631 = _T_55 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [14:0] _GEN_118 = {{7'd0}, _T_631}; // @[dbg.scala 429:100] + wire [14:0] _T_633 = _T_627 | _GEN_118; // @[dbg.scala 429:100] + wire [3:0] _GEN_119 = {{1'd0}, sbaddress0_reg[2:0]}; // @[dbg.scala 446:99] + wire [6:0] _T_644 = 4'h8 * _GEN_119; // @[dbg.scala 446:99] + wire [63:0] _T_645 = io_sb_axi_r_bits_data >> _T_644; // @[dbg.scala 446:92] + wire [63:0] _T_646 = _T_645 & 64'hff; // @[dbg.scala 446:123] + wire [63:0] _T_647 = _T_571 & _T_646; // @[dbg.scala 446:59] + wire [4:0] _GEN_120 = {{3'd0}, sbaddress0_reg[2:1]}; // @[dbg.scala 447:86] + wire [6:0] _T_654 = 5'h10 * _GEN_120; // @[dbg.scala 447:86] + wire [63:0] _T_655 = io_sb_axi_r_bits_data >> _T_654; // @[dbg.scala 447:78] + wire [63:0] _T_656 = _T_655 & 64'hffff; // @[dbg.scala 447:110] + wire [63:0] _T_657 = _T_580 & _T_656; // @[dbg.scala 447:45] + wire [63:0] _T_658 = _T_647 | _T_657; // @[dbg.scala 446:140] + wire [5:0] _GEN_121 = {{5'd0}, sbaddress0_reg[2]}; // @[dbg.scala 448:86] + wire [6:0] _T_665 = 6'h20 * _GEN_121; // @[dbg.scala 448:86] + wire [63:0] _T_666 = io_sb_axi_r_bits_data >> _T_665; // @[dbg.scala 448:78] + wire [63:0] _T_667 = _T_666 & 64'hffffffff; // @[dbg.scala 448:107] + wire [63:0] _T_668 = _T_589 & _T_667; // @[dbg.scala 448:45] + wire [63:0] _T_669 = _T_658 | _T_668; // @[dbg.scala 447:129] + wire [63:0] _T_675 = _T_597 & io_sb_axi_r_bits_data; // @[dbg.scala 449:45] rvclkhdr rvclkhdr ( // @[el2_lib.scala 483:22] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -59910,58 +59904,96 @@ module dbg( .io_en(rvclkhdr_1_io_en), .io_scan_mode(rvclkhdr_1_io_scan_mode) ); - rvclkhdr_757 rvclkhdr_2 ( // @[el2_lib.scala 508:23] + rvclkhdr rvclkhdr_2 ( // @[el2_lib.scala 508:23] .io_l1clk(rvclkhdr_2_io_l1clk), .io_clk(rvclkhdr_2_io_clk), .io_en(rvclkhdr_2_io_en), .io_scan_mode(rvclkhdr_2_io_scan_mode) ); - rvclkhdr_757 rvclkhdr_3 ( // @[el2_lib.scala 508:23] + rvclkhdr rvclkhdr_3 ( // @[el2_lib.scala 508:23] .io_l1clk(rvclkhdr_3_io_l1clk), .io_clk(rvclkhdr_3_io_clk), .io_en(rvclkhdr_3_io_en), .io_scan_mode(rvclkhdr_3_io_scan_mode) ); - rvclkhdr_757 rvclkhdr_4 ( // @[el2_lib.scala 508:23] + rvclkhdr rvclkhdr_4 ( // @[el2_lib.scala 508:23] .io_l1clk(rvclkhdr_4_io_l1clk), .io_clk(rvclkhdr_4_io_clk), .io_en(rvclkhdr_4_io_en), .io_scan_mode(rvclkhdr_4_io_scan_mode) ); - rvclkhdr_757 rvclkhdr_5 ( // @[el2_lib.scala 508:23] + rvclkhdr rvclkhdr_5 ( // @[el2_lib.scala 508:23] .io_l1clk(rvclkhdr_5_io_l1clk), .io_clk(rvclkhdr_5_io_clk), .io_en(rvclkhdr_5_io_en), .io_scan_mode(rvclkhdr_5_io_scan_mode) ); - assign io_dbg_cmd_size = command_reg[21:20]; // @[dbg.scala 329:19] - assign io_dbg_core_rst_l = ~temp[1]; // @[dbg.scala 100:21] - assign io_dbg_halt_req = _T_309 ? _T_325 : _GEN_38; // @[dbg.scala 261:19 dbg.scala 267:23 dbg.scala 272:23 dbg.scala 283:23 dbg.scala 288:23 dbg.scala 293:23 dbg.scala 300:23 dbg.scala 305:23] - assign io_dbg_resume_req = _T_309 ? 1'h0 : _GEN_41; // @[dbg.scala 262:21 dbg.scala 282:25] - assign io_sb_axi_aw_valid = _T_573 | _T_574; // @[dbg.scala 407:22] - assign io_sb_axi_aw_bits_addr = sbaddress0_reg; // @[dbg.scala 408:26] - assign io_sb_axi_aw_bits_region = sbaddress0_reg[31:28]; // @[dbg.scala 413:28] - assign io_sb_axi_aw_bits_size = sbcs_reg[19:17]; // @[dbg.scala 410:26] - assign io_sb_axi_w_valid = _T_573 | _T_580; // @[dbg.scala 418:21] - assign io_sb_axi_w_bits_data = _T_608 | _T_616; // @[dbg.scala 419:25] - assign io_sb_axi_w_bits_strb = _T_648[7:0]; // @[dbg.scala 422:25] - assign io_sb_axi_b_ready = 1'h1; // @[dbg.scala 439:21] - assign io_sb_axi_ar_valid = sb_state == 4'h3; // @[dbg.scala 428:22] - assign io_sb_axi_ar_bits_addr = sbaddress0_reg; // @[dbg.scala 429:26] - assign io_sb_axi_ar_bits_region = sbaddress0_reg[31:28]; // @[dbg.scala 434:28] - assign io_sb_axi_ar_bits_size = sbcs_reg[19:17]; // @[dbg.scala 431:26] - assign io_sb_axi_r_ready = 1'h1; // @[dbg.scala 440:21] - assign io_dbg_dec_dbg_ib_dbg_cmd_valid = _T_494 & io_dbg_dma_io_dma_dbg_ready; // @[dbg.scala 326:35] - assign io_dbg_dec_dbg_ib_dbg_cmd_write = command_reg[16]; // @[dbg.scala 327:35] - assign io_dbg_dec_dbg_ib_dbg_cmd_type = _T_483 ? 2'h2 : _T_503; // @[dbg.scala 328:34] - assign io_dbg_dec_dbg_ib_dbg_cmd_addr = _T_483 ? {{1'd0}, _T_485} : _T_487; // @[dbg.scala 324:34] - assign io_dbg_dec_dbg_dctl_dbg_cmd_wrdata = data0_reg[1:0]; // @[dbg.scala 325:38] - assign io_dbg_dma_dbg_ib_dbg_cmd_valid = io_dbg_dec_dbg_ib_dbg_cmd_valid; // @[dbg.scala 449:39] - assign io_dbg_dma_dbg_ib_dbg_cmd_write = io_dbg_dec_dbg_ib_dbg_cmd_write; // @[dbg.scala 450:39] - assign io_dbg_dma_dbg_ib_dbg_cmd_type = io_dbg_dec_dbg_ib_dbg_cmd_type; // @[dbg.scala 451:39] - assign io_dbg_dma_dbg_ib_dbg_cmd_addr = io_dbg_dec_dbg_ib_dbg_cmd_addr; // @[dbg.scala 447:39] - assign io_dbg_dma_dbg_dctl_dbg_cmd_wrdata = io_dbg_dec_dbg_dctl_dbg_cmd_wrdata; // @[dbg.scala 448:39] - assign io_dbg_dma_io_dbg_dma_bubble = _T_494 | _T_290; // @[dbg.scala 330:32] + rvclkhdr rvclkhdr_6 ( // @[el2_lib.scala 508:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[el2_lib.scala 508:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + assign io_dbg_cmd_size = command_reg[21:20]; // @[dbg.scala 334:19] + assign io_dbg_core_rst_l = ~dmcontrol_reg[1]; // @[dbg.scala 105:21] + assign io_dbg_halt_req = _T_298 ? _T_314 : _GEN_35; // @[dbg.scala 266:19 dbg.scala 272:23 dbg.scala 277:23 dbg.scala 288:23 dbg.scala 293:23 dbg.scala 298:23 dbg.scala 305:23 dbg.scala 310:23] + assign io_dbg_resume_req = _T_298 ? 1'h0 : _GEN_38; // @[dbg.scala 267:21 dbg.scala 287:25] + assign io_sb_axi_aw_valid = _T_558 | _T_559; // @[dbg.scala 412:22] + assign io_sb_axi_aw_bits_addr = sbaddress0_reg; // @[dbg.scala 413:26] + assign io_sb_axi_aw_bits_region = sbaddress0_reg[31:28]; // @[dbg.scala 418:28] + assign io_sb_axi_aw_bits_size = sbcs_reg[19:17]; // @[dbg.scala 415:26] + assign io_sb_axi_w_valid = _T_558 | _T_565; // @[dbg.scala 423:21] + assign io_sb_axi_w_bits_data = _T_593 | _T_601; // @[dbg.scala 424:25] + assign io_sb_axi_w_bits_strb = _T_633[7:0]; // @[dbg.scala 427:25] + assign io_sb_axi_b_ready = 1'h1; // @[dbg.scala 444:21] + assign io_sb_axi_ar_valid = sb_state == 4'h3; // @[dbg.scala 433:22] + assign io_sb_axi_ar_bits_addr = sbaddress0_reg; // @[dbg.scala 434:26] + assign io_sb_axi_ar_bits_region = sbaddress0_reg[31:28]; // @[dbg.scala 439:28] + assign io_sb_axi_ar_bits_size = sbcs_reg[19:17]; // @[dbg.scala 436:26] + assign io_sb_axi_r_ready = 1'h1; // @[dbg.scala 445:21] + assign io_dbg_dec_dbg_ib_dbg_cmd_valid = _T_480 & io_dbg_dma_io_dma_dbg_ready; // @[dbg.scala 331:35] + assign io_dbg_dec_dbg_ib_dbg_cmd_write = command_reg[16]; // @[dbg.scala 332:35] + assign io_dbg_dec_dbg_ib_dbg_cmd_type = _T_469 ? 2'h2 : _T_489; // @[dbg.scala 333:34] + assign io_dbg_dec_dbg_ib_dbg_cmd_addr = _T_469 ? _T_471 : _T_473; // @[dbg.scala 329:34] + assign io_dbg_dec_dbg_dctl_dbg_cmd_wrdata = data0_reg[1:0]; // @[dbg.scala 330:38] + assign io_dbg_dma_dbg_ib_dbg_cmd_valid = io_dbg_dec_dbg_ib_dbg_cmd_valid; // @[dbg.scala 454:39] + assign io_dbg_dma_dbg_ib_dbg_cmd_write = io_dbg_dec_dbg_ib_dbg_cmd_write; // @[dbg.scala 455:39] + assign io_dbg_dma_dbg_ib_dbg_cmd_type = io_dbg_dec_dbg_ib_dbg_cmd_type; // @[dbg.scala 456:39] + assign io_dbg_dma_dbg_ib_dbg_cmd_addr = io_dbg_dec_dbg_ib_dbg_cmd_addr; // @[dbg.scala 452:39] + assign io_dbg_dma_dbg_dctl_dbg_cmd_wrdata = io_dbg_dec_dbg_dctl_dbg_cmd_wrdata; // @[dbg.scala 453:39] + assign io_dbg_dma_io_dbg_dma_bubble = _T_480 | _T_281; // @[dbg.scala 335:32] + assign dbg_state = _T_466; // @[dbg.scala 320:13] + assign dbg_state_en = _T_298 ? _T_310 : _GEN_34; // @[dbg.scala 263:16 dbg.scala 271:20 dbg.scala 276:20 dbg.scala 283:20 dbg.scala 292:20 dbg.scala 297:20 dbg.scala 302:20 dbg.scala 309:20] + assign sb_state = _T_545; // @[dbg.scala 402:12] + assign sb_state_en = _T_500 ? _T_503 : _GEN_101; // @[dbg.scala 348:19 dbg.scala 356:19 dbg.scala 362:19 dbg.scala 368:19 dbg.scala 372:19 dbg.scala 376:19 dbg.scala 380:19 dbg.scala 384:19 dbg.scala 390:19 dbg.scala 396:19] + assign dmcontrol_reg = {_T_143,_T_141}; // @[dbg.scala 183:17] + assign sbaddress0_reg = _T_116; // @[dbg.scala 164:18] + assign sbcs_sbbusy_wren = _T_500 ? sb_state_en : _GEN_104; // @[dbg.scala 340:20 dbg.scala 349:24 dbg.scala 397:24] + assign sbcs_sberror_wren = _T_500 ? _T_506 : _GEN_102; // @[dbg.scala 342:21 dbg.scala 351:25 dbg.scala 357:25 dbg.scala 363:25 dbg.scala 385:25 dbg.scala 391:25] + assign sb_bus_rdata = _T_669 | _T_675; // @[dbg.scala 446:16] + assign sbaddress0_reg_wren1 = _T_500 ? 1'h0 : _GEN_106; // @[dbg.scala 344:24 dbg.scala 399:28] + assign dmstatus_reg = {_T_161,_T_157}; // @[dbg.scala 189:16] + assign dmstatus_havereset = _T_190; // @[dbg.scala 206:22] + assign dmstatus_resumeack = _T_183; // @[dbg.scala 198:22] + assign dmstatus_unavail = dmcontrol_reg[1] | _T_178; // @[dbg.scala 196:20] + assign dmstatus_running = ~_T_181; // @[dbg.scala 197:20] + assign dmstatus_halted = _T_186; // @[dbg.scala 202:19] + assign abstractcs_busy_wren = _T_298 ? 1'h0 : _GEN_36; // @[dbg.scala 264:24 dbg.scala 285:28 dbg.scala 303:28] + assign sb_bus_cmd_read = io_sb_axi_ar_valid & io_sb_axi_ar_ready; // @[dbg.scala 406:19] + assign sb_bus_cmd_write_addr = io_sb_axi_aw_valid & io_sb_axi_aw_ready; // @[dbg.scala 407:25] + assign sb_bus_cmd_write_data = io_sb_axi_w_valid & io_sb_axi_w_ready; // @[dbg.scala 408:25] + assign sb_bus_rsp_read = io_sb_axi_r_valid & io_sb_axi_r_ready; // @[dbg.scala 409:19] + assign sb_bus_rsp_error = _T_553 | _T_556; // @[dbg.scala 411:20] + assign sb_bus_rsp_write = io_sb_axi_b_valid & io_sb_axi_b_ready; // @[dbg.scala 410:20] + assign sbcs_sbbusy_din = 4'h0 == sb_state; // @[dbg.scala 341:19 dbg.scala 350:23 dbg.scala 398:23] + assign data1_reg = _T_297; // @[dbg.scala 257:13] + assign sbcs_reg = {_T_42,_T_38}; // @[dbg.scala 130:12] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 484:17] assign rvclkhdr_io_en = _T_3 | io_clk_override; // @[el2_lib.scala 485:16] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 486:23] @@ -59969,17 +60001,25 @@ module dbg( assign rvclkhdr_1_io_en = _T_6 | io_clk_override; // @[el2_lib.scala 485:16] assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[el2_lib.scala 486:23] assign rvclkhdr_2_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_2_io_en = sbdata0wr_access | sbdata0_reg_wren1; // @[el2_lib.scala 511:17] + assign rvclkhdr_2_io_en = sbdata0_reg_wren0 | sbdata0_reg_wren1; // @[el2_lib.scala 511:17] assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] assign rvclkhdr_3_io_clk = clock; // @[el2_lib.scala 510:18] assign rvclkhdr_3_io_en = sbdata1_reg_wren0 | sbdata0_reg_wren1; // @[el2_lib.scala 511:17] assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] assign rvclkhdr_4_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_4_io_en = _T_123 | sbaddress0_reg_wren1; // @[el2_lib.scala 511:17] + assign rvclkhdr_4_io_en = sbaddress0_reg_wren0 | sbaddress0_reg_wren1; // @[el2_lib.scala 511:17] assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] + assign abstractcs_reg = {_T_265,_T_263}; // @[dbg.scala 238:18] assign rvclkhdr_5_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_5_io_en = _T_303 & _T_278; // @[el2_lib.scala 511:17] + assign rvclkhdr_5_io_en = _T_215 & _T_270; // @[el2_lib.scala 511:17] assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] + assign rvclkhdr_6_io_clk = clock; // @[el2_lib.scala 510:18] + assign rvclkhdr_6_io_en = data0_reg_wren0 | data0_reg_wren1; // @[el2_lib.scala 511:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] + assign rvclkhdr_7_io_clk = clock; // @[el2_lib.scala 510:18] + assign rvclkhdr_7_io_en = _T_293 & _T_270; // @[el2_lib.scala 511:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] + assign dbg_nxtstate = _T_298 ? _T_301 : _GEN_33; // @[dbg.scala 262:16 dbg.scala 270:20 dbg.scala 275:20 dbg.scala 280:20 dbg.scala 291:20 dbg.scala 296:20 dbg.scala 301:20 dbg.scala 308:20] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -60016,289 +60056,380 @@ initial begin `endif `ifdef RANDOMIZE_REG_INIT _RAND_0 = {1{`RANDOM}}; - dbg_state = _RAND_0[2:0]; + temp_sbcs_22 = _RAND_0[0:0]; _RAND_1 = {1{`RANDOM}}; - dm_temp = _RAND_1[3:0]; + temp_sbcs_21 = _RAND_1[0:0]; _RAND_2 = {1{`RANDOM}}; - dm_temp_0 = _RAND_2[0:0]; + temp_sbcs_20 = _RAND_2[0:0]; _RAND_3 = {1{`RANDOM}}; - dmstatus_havereset = _RAND_3[0:0]; + temp_sbcs_19_15 = _RAND_3[4:0]; _RAND_4 = {1{`RANDOM}}; - dmstatus_resumeack = _RAND_4[0:0]; + temp_sbcs_14_12 = _RAND_4[2:0]; _RAND_5 = {1{`RANDOM}}; - dmstatus_halted = _RAND_5[0:0]; + sbdata0_reg = _RAND_5[31:0]; _RAND_6 = {1{`RANDOM}}; - dmcontrol_wren_Q = _RAND_6[0:0]; + sbdata1_reg = _RAND_6[31:0]; _RAND_7 = {1{`RANDOM}}; - abs_temp_12 = _RAND_7[0:0]; + _T_116 = _RAND_7[31:0]; _RAND_8 = {1{`RANDOM}}; - abs_temp_10_8 = _RAND_8[2:0]; + dm_temp = _RAND_8[3:0]; _RAND_9 = {1{`RANDOM}}; - sb_state = _RAND_9[3:0]; + dm_temp_0 = _RAND_9[0:0]; _RAND_10 = {1{`RANDOM}}; - temp_sbcs_22 = _RAND_10[0:0]; + dmcontrol_wren_Q = _RAND_10[0:0]; _RAND_11 = {1{`RANDOM}}; - temp_sbcs_21 = _RAND_11[0:0]; + _T_183 = _RAND_11[0:0]; _RAND_12 = {1{`RANDOM}}; - temp_sbcs_20 = _RAND_12[0:0]; + _T_186 = _RAND_12[0:0]; _RAND_13 = {1{`RANDOM}}; - temp_sbcs_19_15 = _RAND_13[4:0]; + _T_190 = _RAND_13[0:0]; _RAND_14 = {1{`RANDOM}}; - temp_sbcs_14_12 = _RAND_14[2:0]; + abs_temp_12 = _RAND_14[0:0]; _RAND_15 = {1{`RANDOM}}; - sbaddress0_reg = _RAND_15[31:0]; + abs_temp_10_8 = _RAND_15[2:0]; _RAND_16 = {1{`RANDOM}}; - sbdata0_reg = _RAND_16[31:0]; + command_reg = _RAND_16[31:0]; _RAND_17 = {1{`RANDOM}}; - sbdata1_reg = _RAND_17[31:0]; + data0_reg = _RAND_17[31:0]; _RAND_18 = {1{`RANDOM}}; - data1_reg = _RAND_18[31:0]; + _T_297 = _RAND_18[31:0]; _RAND_19 = {1{`RANDOM}}; - command_reg = _RAND_19[31:0]; + _T_466 = _RAND_19[2:0]; _RAND_20 = {1{`RANDOM}}; - data0_reg = _RAND_20[31:0]; + _T_545 = _RAND_20[3:0]; `endif // RANDOMIZE_REG_INIT + if (dbg_dm_rst_l) begin + temp_sbcs_22 = 1'h0; + end + if (dbg_dm_rst_l) begin + temp_sbcs_21 = 1'h0; + end + if (dbg_dm_rst_l) begin + temp_sbcs_20 = 1'h0; + end + if (dbg_dm_rst_l) begin + temp_sbcs_19_15 = 5'h0; + end + if (dbg_dm_rst_l) begin + temp_sbcs_14_12 = 3'h0; + end + if (dbg_dm_rst_l) begin + sbdata0_reg = 32'h0; + end + if (dbg_dm_rst_l) begin + sbdata1_reg = 32'h0; + end + if (dbg_dm_rst_l) begin + _T_116 = 32'h0; + end + if (dbg_dm_rst_l) begin + dm_temp = 4'h0; + end + if (io_dbg_rst_l) begin + dm_temp_0 = 1'h0; + end + if (dbg_dm_rst_l) begin + dmcontrol_wren_Q = 1'h0; + end + if (dbg_dm_rst_l) begin + _T_183 = 1'h0; + end + if (dbg_dm_rst_l) begin + _T_186 = 1'h0; + end + if (dbg_dm_rst_l) begin + _T_190 = 1'h0; + end + if (dbg_dm_rst_l) begin + abs_temp_12 = 1'h0; + end + if (dbg_dm_rst_l) begin + abs_temp_10_8 = 3'h0; + end + if (dbg_dm_rst_l) begin + command_reg = 32'h0; + end + if (dbg_dm_rst_l) begin + data0_reg = 32'h0; + end + if (dbg_dm_rst_l) begin + _T_297 = 32'h0; + end + if (rst_temp) begin + _T_466 = 3'h0; + end + if (dbg_dm_rst_l) begin + _T_545 = 4'h0; + end `endif // RANDOMIZE end // initial `ifdef FIRRTL_AFTER_INITIAL `FIRRTL_AFTER_INITIAL `endif `endif // SYNTHESIS - always @(posedge rvclkhdr_io_l1clk) begin - if (_T_478) begin - dbg_state <= 3'h0; - end else if (dbg_state_en) begin - if (_T_309) begin - if (_T_363) begin - dbg_state <= 3'h2; + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + temp_sbcs_22 <= 1'h0; + end else if (sbcs_sbbusyerror_wren) begin + temp_sbcs_22 <= sbcs_sbbusyerror_din; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + temp_sbcs_21 <= 1'h0; + end else if (sbcs_sbbusy_wren) begin + temp_sbcs_21 <= sbcs_sbbusy_din; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + temp_sbcs_20 <= 1'h0; + end else if (sbcs_wren) begin + temp_sbcs_20 <= io_dmi_reg_wdata[20]; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + temp_sbcs_19_15 <= 5'h0; + end else if (sbcs_wren) begin + temp_sbcs_19_15 <= io_dmi_reg_wdata[19:15]; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + temp_sbcs_14_12 <= 3'h0; + end else if (sbcs_sberror_wren) begin + if (_T_500) begin + temp_sbcs_14_12 <= _T_510; + end else if (_T_511) begin + if (sbcs_unaligned) begin + temp_sbcs_14_12 <= 3'h3; end else begin - dbg_state <= 3'h1; + temp_sbcs_14_12 <= 3'h4; end - end else if (_T_327) begin - if (temp[1]) begin - dbg_state <= 3'h0; + end else if (_T_518) begin + if (sbcs_unaligned) begin + temp_sbcs_14_12 <= 3'h3; end else begin - dbg_state <= 3'h2; - end - end else if (_T_339) begin - if (_T_343) begin - if (_T_347) begin - dbg_state <= 3'h6; - end else begin - dbg_state <= 3'h3; - end - end else if (temp[31]) begin - dbg_state <= 3'h1; - end else begin - dbg_state <= 3'h0; - end - end else if (_T_377) begin - if (temp[1]) begin - dbg_state <= 3'h0; - end else if (_T_384) begin - dbg_state <= 3'h5; - end else begin - dbg_state <= 3'h4; - end - end else if (_T_394) begin - if (temp[1]) begin - dbg_state <= 3'h0; - end else begin - dbg_state <= 3'h5; - end - end else if (_T_405) begin - if (temp[1]) begin - dbg_state <= 3'h0; - end else begin - dbg_state <= 3'h2; + temp_sbcs_14_12 <= 3'h4; end + end else if (_T_525) begin + temp_sbcs_14_12 <= 3'h0; + end else if (_T_527) begin + temp_sbcs_14_12 <= 3'h0; + end else if (_T_533) begin + temp_sbcs_14_12 <= 3'h0; + end else if (_T_535) begin + temp_sbcs_14_12 <= 3'h0; + end else if (_T_537) begin + temp_sbcs_14_12 <= 3'h2; + end else if (_T_540) begin + temp_sbcs_14_12 <= 3'h2; end else begin - dbg_state <= 3'h0; + temp_sbcs_14_12 <= 3'h0; end end - if (_T_29) begin + end + always @(posedge rvclkhdr_2_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + sbdata0_reg <= 32'h0; + end else begin + sbdata0_reg <= _T_93 | _T_97; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + sbdata1_reg <= 32'h0; + end else begin + sbdata1_reg <= _T_100 | _T_104; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_116 <= 32'h0; + end else begin + _T_116 <= _T_109 | _T_115; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin dm_temp <= 4'h0; end else if (dmcontrol_wren) begin - dm_temp <= _T_139; + dm_temp <= _T_134; end + end + always @(posedge rvclkhdr_io_l1clk or posedge io_dbg_rst_l) begin if (io_dbg_rst_l) begin dm_temp_0 <= 1'h0; end else if (dmcontrol_wren) begin dm_temp_0 <= io_dmi_reg_wdata[0]; end - if (_T_29) begin - dmstatus_havereset <= 1'h0; - end else if (dmstatus_havereset_wren) begin - dmstatus_havereset <= _T_195; - end - if (_T_29) begin - dmstatus_resumeack <= 1'h0; - end else if (dmstatus_resumeack_wren) begin - dmstatus_resumeack <= _T_169; - end - if (_T_29) begin - dmstatus_halted <= 1'h0; - end else begin - dmstatus_halted <= _T_192; - end - if (_T_29) begin + end + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin dmcontrol_wren_Q <= 1'h0; end else begin - dmcontrol_wren_Q <= dmcontrol_wren; + dmcontrol_wren_Q <= _T_129 & io_dmi_reg_wr_en; end - if (_T_29) begin + end + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_183 <= 1'h0; + end else if (dmstatus_resumeack_wren) begin + _T_183 <= _T_164; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_186 <= 1'h0; + end else begin + _T_186 <= io_dec_tlu_dbg_halted & _T_184; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_190 <= 1'h0; + end else begin + _T_190 <= _T_187 & _T_188; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin abs_temp_12 <= 1'h0; end else if (abstractcs_busy_wren) begin - if (_T_309) begin + if (_T_298) begin abs_temp_12 <= 1'h0; - end else if (_T_327) begin + end else if (_T_316) begin abs_temp_12 <= 1'h0; end else begin - abs_temp_12 <= _T_339; + abs_temp_12 <= _T_328; end end - if (_T_29) begin + end + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin abs_temp_10_8 <= 3'h0; end else begin - abs_temp_10_8 <= abstractcs_error_din; + abs_temp_10_8 <= _T_256 | _T_261; end end - always @(posedge rvclkhdr_1_io_l1clk) begin - if (_T_29) begin - sb_state <= 4'h0; - end else if (sb_state_en) begin - if (sbcs_sbbusy_din) begin - if (sbdata0wr_access) begin - sb_state <= 4'h2; - end else begin - sb_state <= 4'h1; - end - end else if (_T_525) begin - if (_T_530) begin - sb_state <= 4'h9; - end else begin - sb_state <= 4'h3; - end - end else if (_T_532) begin - if (_T_530) begin - sb_state <= 4'h9; - end else begin - sb_state <= 4'h4; - end - end else if (_T_539) begin - sb_state <= 4'h7; - end else if (_T_541) begin - if (_T_542) begin - sb_state <= 4'h8; - end else if (sb_bus_cmd_write_data) begin - sb_state <= 4'h5; - end else begin - sb_state <= 4'h6; - end - end else if (_T_547) begin - sb_state <= 4'h8; - end else if (_T_549) begin - sb_state <= 4'h8; - end else if (_T_551) begin - sb_state <= 4'h9; - end else if (_T_554) begin - sb_state <= 4'h9; - end else begin - sb_state <= 4'h0; - end - end - if (_T_29) begin - temp_sbcs_22 <= 1'h0; - end else if (sbcs_sbbusyerror_wren) begin - temp_sbcs_22 <= sbcs_sbbusyerror_din; - end - if (_T_29) begin - temp_sbcs_21 <= 1'h0; - end else if (sbcs_sbbusy_wren) begin - temp_sbcs_21 <= sbcs_sbbusy_din; - end - if (_T_29) begin - temp_sbcs_20 <= 1'h0; - end else if (sbcs_wren) begin - temp_sbcs_20 <= io_dmi_reg_wdata[20]; - end - if (_T_29) begin - temp_sbcs_19_15 <= 5'h0; - end else if (sbcs_wren) begin - temp_sbcs_19_15 <= io_dmi_reg_wdata[19:15]; - end - if (_T_29) begin - temp_sbcs_14_12 <= 3'h0; - end else if (sbcs_sberror_wren) begin - if (sbcs_sbbusy_din) begin - temp_sbcs_14_12 <= _T_524; - end else if (_T_525) begin - if (sbcs_unaligned) begin - temp_sbcs_14_12 <= 3'h3; - end else begin - temp_sbcs_14_12 <= 3'h4; - end - end else if (_T_532) begin - if (sbcs_unaligned) begin - temp_sbcs_14_12 <= 3'h3; - end else begin - temp_sbcs_14_12 <= 3'h4; - end - end else if (_T_539) begin - temp_sbcs_14_12 <= 3'h0; - end else if (_T_541) begin - temp_sbcs_14_12 <= 3'h0; - end else if (_T_547) begin - temp_sbcs_14_12 <= 3'h0; - end else if (_T_549) begin - temp_sbcs_14_12 <= 3'h0; - end else if (_T_551) begin - temp_sbcs_14_12 <= 3'h2; - end else if (_T_554) begin - temp_sbcs_14_12 <= 3'h2; - end else begin - temp_sbcs_14_12 <= 3'h0; - end - end - end - always @(posedge rvclkhdr_4_io_l1clk) begin - if (_T_29) begin - sbaddress0_reg <= 32'h0; - end else begin - sbaddress0_reg <= sbaddress0_reg_din; - end - end - always @(posedge rvclkhdr_2_io_l1clk) begin - if (_T_29) begin - sbdata0_reg <= 32'h0; - end else begin - sbdata0_reg <= sbdata0_din; - end - end - always @(posedge rvclkhdr_3_io_l1clk) begin - if (_T_29) begin - sbdata1_reg <= 32'h0; - end else begin - sbdata1_reg <= sbdata1_din; - end - end - always @(posedge rvclkhdr_5_io_l1clk) begin - if (_T_29) begin - data1_reg <= 32'h0; - end else begin - data1_reg <= data1_din; - end - end - always @(posedge clock) begin - if (_T_29) begin + always @(posedge rvclkhdr_5_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin command_reg <= 32'h0; - end else if (command_wren) begin - command_reg <= command_din; + end else begin + command_reg <= {_T_276,_T_274}; end - if (_T_29) begin + end + always @(posedge rvclkhdr_6_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin data0_reg <= 32'h0; - end else if (data0_reg_wren) begin - data0_reg <= data0_din; + end else begin + data0_reg <= _T_287 | _T_290; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_297 <= 32'h0; + end else begin + _T_297 <= _T_296 & io_dmi_reg_wdata; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge rst_temp) begin + if (rst_temp) begin + _T_466 <= 3'h0; + end else if (dbg_state_en) begin + if (_T_298) begin + if (_T_300) begin + _T_466 <= 3'h2; + end else begin + _T_466 <= 3'h1; + end + end else if (_T_316) begin + if (dmcontrol_reg[1]) begin + _T_466 <= 3'h0; + end else begin + _T_466 <= 3'h2; + end + end else if (_T_328) begin + if (_T_332) begin + if (_T_336) begin + _T_466 <= 3'h6; + end else begin + _T_466 <= 3'h3; + end + end else if (dmcontrol_reg[31]) begin + _T_466 <= 3'h1; + end else begin + _T_466 <= 3'h0; + end + end else if (_T_366) begin + if (dmcontrol_reg[1]) begin + _T_466 <= 3'h0; + end else if (_T_369) begin + _T_466 <= 3'h5; + end else begin + _T_466 <= 3'h4; + end + end else if (_T_383) begin + if (dmcontrol_reg[1]) begin + _T_466 <= 3'h0; + end else begin + _T_466 <= 3'h5; + end + end else if (_T_394) begin + if (dmcontrol_reg[1]) begin + _T_466 <= 3'h0; + end else begin + _T_466 <= 3'h2; + end + end else begin + _T_466 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_545 <= 4'h0; + end else if (sb_state_en) begin + if (_T_500) begin + if (sbdata0_reg_wren0) begin + _T_545 <= 4'h2; + end else begin + _T_545 <= 4'h1; + end + end else if (_T_511) begin + if (_T_512) begin + _T_545 <= 4'h9; + end else begin + _T_545 <= 4'h3; + end + end else if (_T_518) begin + if (_T_512) begin + _T_545 <= 4'h9; + end else begin + _T_545 <= 4'h4; + end + end else if (_T_525) begin + _T_545 <= 4'h7; + end else if (_T_527) begin + if (_T_528) begin + _T_545 <= 4'h8; + end else if (sb_bus_cmd_write_data) begin + _T_545 <= 4'h5; + end else begin + _T_545 <= 4'h6; + end + end else if (_T_533) begin + _T_545 <= 4'h8; + end else if (_T_535) begin + _T_545 <= 4'h8; + end else if (_T_537) begin + _T_545 <= 4'h9; + end else if (_T_540) begin + _T_545 <= 4'h9; + end else begin + _T_545 <= 4'h0; + end end end endmodule @@ -67211,430 +67342,430 @@ module lsu_trigger( wire [15:0] _T_287 = {_T_160,_T_153,_T_146,_T_139,_T_132,_T_125,_T_118,_T_111,_T_279}; // @[el2_lib.scala 245:14] wire [7:0] _T_294 = {_T_216,_T_209,_T_202,_T_195,_T_188,_T_181,_T_174,_T_167}; // @[el2_lib.scala 245:14] wire [31:0] _T_303 = {_T_272,_T_265,_T_258,_T_251,_T_244,_T_237,_T_230,_T_223,_T_294,_T_287}; // @[el2_lib.scala 245:14] - wire [31:0] _GEN_0 = {{31'd0}, _T_46}; // @[lsu_trigger.scala 19:92] - wire [31:0] _T_304 = _GEN_0 & _T_303; // @[lsu_trigger.scala 19:92] - wire _T_307 = io_trigger_pkt_any_1_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] - wire _T_308 = io_trigger_pkt_any_1_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] - wire _T_310 = _T_308 & _T_19; // @[lsu_trigger.scala 19:58] - wire _T_311 = _T_307 | _T_310; // @[lsu_trigger.scala 18:152] - wire _T_312 = _T_40 & _T_311; // @[lsu_trigger.scala 18:94] - wire _T_315 = &io_trigger_pkt_any_1_tdata2; // @[el2_lib.scala 241:45] - wire _T_316 = ~_T_315; // @[el2_lib.scala 241:39] - wire _T_317 = io_trigger_pkt_any_1_match_pkt & _T_316; // @[el2_lib.scala 241:37] - wire _T_320 = io_trigger_pkt_any_1_tdata2[0] == lsu_match_data_1[0]; // @[el2_lib.scala 242:52] - wire _T_321 = _T_317 | _T_320; // @[el2_lib.scala 242:41] - wire _T_323 = &io_trigger_pkt_any_1_tdata2[0]; // @[el2_lib.scala 244:36] - wire _T_324 = _T_323 & _T_317; // @[el2_lib.scala 244:41] - wire _T_327 = io_trigger_pkt_any_1_tdata2[1] == lsu_match_data_1[1]; // @[el2_lib.scala 244:78] - wire _T_328 = _T_324 | _T_327; // @[el2_lib.scala 244:23] - wire _T_330 = &io_trigger_pkt_any_1_tdata2[1:0]; // @[el2_lib.scala 244:36] - wire _T_331 = _T_330 & _T_317; // @[el2_lib.scala 244:41] - wire _T_334 = io_trigger_pkt_any_1_tdata2[2] == lsu_match_data_1[2]; // @[el2_lib.scala 244:78] - wire _T_335 = _T_331 | _T_334; // @[el2_lib.scala 244:23] - wire _T_337 = &io_trigger_pkt_any_1_tdata2[2:0]; // @[el2_lib.scala 244:36] - wire _T_338 = _T_337 & _T_317; // @[el2_lib.scala 244:41] - wire _T_341 = io_trigger_pkt_any_1_tdata2[3] == lsu_match_data_1[3]; // @[el2_lib.scala 244:78] - wire _T_342 = _T_338 | _T_341; // @[el2_lib.scala 244:23] - wire _T_344 = &io_trigger_pkt_any_1_tdata2[3:0]; // @[el2_lib.scala 244:36] - wire _T_345 = _T_344 & _T_317; // @[el2_lib.scala 244:41] - wire _T_348 = io_trigger_pkt_any_1_tdata2[4] == lsu_match_data_1[4]; // @[el2_lib.scala 244:78] - wire _T_349 = _T_345 | _T_348; // @[el2_lib.scala 244:23] - wire _T_351 = &io_trigger_pkt_any_1_tdata2[4:0]; // @[el2_lib.scala 244:36] - wire _T_352 = _T_351 & _T_317; // @[el2_lib.scala 244:41] - wire _T_355 = io_trigger_pkt_any_1_tdata2[5] == lsu_match_data_1[5]; // @[el2_lib.scala 244:78] - wire _T_356 = _T_352 | _T_355; // @[el2_lib.scala 244:23] - wire _T_358 = &io_trigger_pkt_any_1_tdata2[5:0]; // @[el2_lib.scala 244:36] - wire _T_359 = _T_358 & _T_317; // @[el2_lib.scala 244:41] - wire _T_362 = io_trigger_pkt_any_1_tdata2[6] == lsu_match_data_1[6]; // @[el2_lib.scala 244:78] - wire _T_363 = _T_359 | _T_362; // @[el2_lib.scala 244:23] - wire _T_365 = &io_trigger_pkt_any_1_tdata2[6:0]; // @[el2_lib.scala 244:36] - wire _T_366 = _T_365 & _T_317; // @[el2_lib.scala 244:41] - wire _T_369 = io_trigger_pkt_any_1_tdata2[7] == lsu_match_data_1[7]; // @[el2_lib.scala 244:78] - wire _T_370 = _T_366 | _T_369; // @[el2_lib.scala 244:23] - wire _T_372 = &io_trigger_pkt_any_1_tdata2[7:0]; // @[el2_lib.scala 244:36] - wire _T_373 = _T_372 & _T_317; // @[el2_lib.scala 244:41] - wire _T_376 = io_trigger_pkt_any_1_tdata2[8] == lsu_match_data_1[8]; // @[el2_lib.scala 244:78] - wire _T_377 = _T_373 | _T_376; // @[el2_lib.scala 244:23] - wire _T_379 = &io_trigger_pkt_any_1_tdata2[8:0]; // @[el2_lib.scala 244:36] - wire _T_380 = _T_379 & _T_317; // @[el2_lib.scala 244:41] - wire _T_383 = io_trigger_pkt_any_1_tdata2[9] == lsu_match_data_1[9]; // @[el2_lib.scala 244:78] - wire _T_384 = _T_380 | _T_383; // @[el2_lib.scala 244:23] - wire _T_386 = &io_trigger_pkt_any_1_tdata2[9:0]; // @[el2_lib.scala 244:36] - wire _T_387 = _T_386 & _T_317; // @[el2_lib.scala 244:41] - wire _T_390 = io_trigger_pkt_any_1_tdata2[10] == lsu_match_data_1[10]; // @[el2_lib.scala 244:78] - wire _T_391 = _T_387 | _T_390; // @[el2_lib.scala 244:23] - wire _T_393 = &io_trigger_pkt_any_1_tdata2[10:0]; // @[el2_lib.scala 244:36] - wire _T_394 = _T_393 & _T_317; // @[el2_lib.scala 244:41] - wire _T_397 = io_trigger_pkt_any_1_tdata2[11] == lsu_match_data_1[11]; // @[el2_lib.scala 244:78] - wire _T_398 = _T_394 | _T_397; // @[el2_lib.scala 244:23] - wire _T_400 = &io_trigger_pkt_any_1_tdata2[11:0]; // @[el2_lib.scala 244:36] - wire _T_401 = _T_400 & _T_317; // @[el2_lib.scala 244:41] - wire _T_404 = io_trigger_pkt_any_1_tdata2[12] == lsu_match_data_1[12]; // @[el2_lib.scala 244:78] - wire _T_405 = _T_401 | _T_404; // @[el2_lib.scala 244:23] - wire _T_407 = &io_trigger_pkt_any_1_tdata2[12:0]; // @[el2_lib.scala 244:36] - wire _T_408 = _T_407 & _T_317; // @[el2_lib.scala 244:41] - wire _T_411 = io_trigger_pkt_any_1_tdata2[13] == lsu_match_data_1[13]; // @[el2_lib.scala 244:78] - wire _T_412 = _T_408 | _T_411; // @[el2_lib.scala 244:23] - wire _T_414 = &io_trigger_pkt_any_1_tdata2[13:0]; // @[el2_lib.scala 244:36] - wire _T_415 = _T_414 & _T_317; // @[el2_lib.scala 244:41] - wire _T_418 = io_trigger_pkt_any_1_tdata2[14] == lsu_match_data_1[14]; // @[el2_lib.scala 244:78] - wire _T_419 = _T_415 | _T_418; // @[el2_lib.scala 244:23] - wire _T_421 = &io_trigger_pkt_any_1_tdata2[14:0]; // @[el2_lib.scala 244:36] - wire _T_422 = _T_421 & _T_317; // @[el2_lib.scala 244:41] - wire _T_425 = io_trigger_pkt_any_1_tdata2[15] == lsu_match_data_1[15]; // @[el2_lib.scala 244:78] - wire _T_426 = _T_422 | _T_425; // @[el2_lib.scala 244:23] - wire _T_428 = &io_trigger_pkt_any_1_tdata2[15:0]; // @[el2_lib.scala 244:36] - wire _T_429 = _T_428 & _T_317; // @[el2_lib.scala 244:41] - wire _T_432 = io_trigger_pkt_any_1_tdata2[16] == lsu_match_data_1[16]; // @[el2_lib.scala 244:78] - wire _T_433 = _T_429 | _T_432; // @[el2_lib.scala 244:23] - wire _T_435 = &io_trigger_pkt_any_1_tdata2[16:0]; // @[el2_lib.scala 244:36] - wire _T_436 = _T_435 & _T_317; // @[el2_lib.scala 244:41] - wire _T_439 = io_trigger_pkt_any_1_tdata2[17] == lsu_match_data_1[17]; // @[el2_lib.scala 244:78] - wire _T_440 = _T_436 | _T_439; // @[el2_lib.scala 244:23] - wire _T_442 = &io_trigger_pkt_any_1_tdata2[17:0]; // @[el2_lib.scala 244:36] - wire _T_443 = _T_442 & _T_317; // @[el2_lib.scala 244:41] - wire _T_446 = io_trigger_pkt_any_1_tdata2[18] == lsu_match_data_1[18]; // @[el2_lib.scala 244:78] - wire _T_447 = _T_443 | _T_446; // @[el2_lib.scala 244:23] - wire _T_449 = &io_trigger_pkt_any_1_tdata2[18:0]; // @[el2_lib.scala 244:36] - wire _T_450 = _T_449 & _T_317; // @[el2_lib.scala 244:41] - wire _T_453 = io_trigger_pkt_any_1_tdata2[19] == lsu_match_data_1[19]; // @[el2_lib.scala 244:78] - wire _T_454 = _T_450 | _T_453; // @[el2_lib.scala 244:23] - wire _T_456 = &io_trigger_pkt_any_1_tdata2[19:0]; // @[el2_lib.scala 244:36] - wire _T_457 = _T_456 & _T_317; // @[el2_lib.scala 244:41] - wire _T_460 = io_trigger_pkt_any_1_tdata2[20] == lsu_match_data_1[20]; // @[el2_lib.scala 244:78] - wire _T_461 = _T_457 | _T_460; // @[el2_lib.scala 244:23] - wire _T_463 = &io_trigger_pkt_any_1_tdata2[20:0]; // @[el2_lib.scala 244:36] - wire _T_464 = _T_463 & _T_317; // @[el2_lib.scala 244:41] - wire _T_467 = io_trigger_pkt_any_1_tdata2[21] == lsu_match_data_1[21]; // @[el2_lib.scala 244:78] - wire _T_468 = _T_464 | _T_467; // @[el2_lib.scala 244:23] - wire _T_470 = &io_trigger_pkt_any_1_tdata2[21:0]; // @[el2_lib.scala 244:36] - wire _T_471 = _T_470 & _T_317; // @[el2_lib.scala 244:41] - wire _T_474 = io_trigger_pkt_any_1_tdata2[22] == lsu_match_data_1[22]; // @[el2_lib.scala 244:78] - wire _T_475 = _T_471 | _T_474; // @[el2_lib.scala 244:23] - wire _T_477 = &io_trigger_pkt_any_1_tdata2[22:0]; // @[el2_lib.scala 244:36] - wire _T_478 = _T_477 & _T_317; // @[el2_lib.scala 244:41] - wire _T_481 = io_trigger_pkt_any_1_tdata2[23] == lsu_match_data_1[23]; // @[el2_lib.scala 244:78] - wire _T_482 = _T_478 | _T_481; // @[el2_lib.scala 244:23] - wire _T_484 = &io_trigger_pkt_any_1_tdata2[23:0]; // @[el2_lib.scala 244:36] - wire _T_485 = _T_484 & _T_317; // @[el2_lib.scala 244:41] - wire _T_488 = io_trigger_pkt_any_1_tdata2[24] == lsu_match_data_1[24]; // @[el2_lib.scala 244:78] - wire _T_489 = _T_485 | _T_488; // @[el2_lib.scala 244:23] - wire _T_491 = &io_trigger_pkt_any_1_tdata2[24:0]; // @[el2_lib.scala 244:36] - wire _T_492 = _T_491 & _T_317; // @[el2_lib.scala 244:41] - wire _T_495 = io_trigger_pkt_any_1_tdata2[25] == lsu_match_data_1[25]; // @[el2_lib.scala 244:78] - wire _T_496 = _T_492 | _T_495; // @[el2_lib.scala 244:23] - wire _T_498 = &io_trigger_pkt_any_1_tdata2[25:0]; // @[el2_lib.scala 244:36] - wire _T_499 = _T_498 & _T_317; // @[el2_lib.scala 244:41] - wire _T_502 = io_trigger_pkt_any_1_tdata2[26] == lsu_match_data_1[26]; // @[el2_lib.scala 244:78] - wire _T_503 = _T_499 | _T_502; // @[el2_lib.scala 244:23] - wire _T_505 = &io_trigger_pkt_any_1_tdata2[26:0]; // @[el2_lib.scala 244:36] - wire _T_506 = _T_505 & _T_317; // @[el2_lib.scala 244:41] - wire _T_509 = io_trigger_pkt_any_1_tdata2[27] == lsu_match_data_1[27]; // @[el2_lib.scala 244:78] - wire _T_510 = _T_506 | _T_509; // @[el2_lib.scala 244:23] - wire _T_512 = &io_trigger_pkt_any_1_tdata2[27:0]; // @[el2_lib.scala 244:36] - wire _T_513 = _T_512 & _T_317; // @[el2_lib.scala 244:41] - wire _T_516 = io_trigger_pkt_any_1_tdata2[28] == lsu_match_data_1[28]; // @[el2_lib.scala 244:78] - wire _T_517 = _T_513 | _T_516; // @[el2_lib.scala 244:23] - wire _T_519 = &io_trigger_pkt_any_1_tdata2[28:0]; // @[el2_lib.scala 244:36] - wire _T_520 = _T_519 & _T_317; // @[el2_lib.scala 244:41] - wire _T_523 = io_trigger_pkt_any_1_tdata2[29] == lsu_match_data_1[29]; // @[el2_lib.scala 244:78] - wire _T_524 = _T_520 | _T_523; // @[el2_lib.scala 244:23] - wire _T_526 = &io_trigger_pkt_any_1_tdata2[29:0]; // @[el2_lib.scala 244:36] - wire _T_527 = _T_526 & _T_317; // @[el2_lib.scala 244:41] - wire _T_530 = io_trigger_pkt_any_1_tdata2[30] == lsu_match_data_1[30]; // @[el2_lib.scala 244:78] - wire _T_531 = _T_527 | _T_530; // @[el2_lib.scala 244:23] - wire _T_533 = &io_trigger_pkt_any_1_tdata2[30:0]; // @[el2_lib.scala 244:36] - wire _T_534 = _T_533 & _T_317; // @[el2_lib.scala 244:41] - wire _T_537 = io_trigger_pkt_any_1_tdata2[31] == lsu_match_data_1[31]; // @[el2_lib.scala 244:78] - wire _T_538 = _T_534 | _T_537; // @[el2_lib.scala 244:23] - wire [7:0] _T_545 = {_T_370,_T_363,_T_356,_T_349,_T_342,_T_335,_T_328,_T_321}; // @[el2_lib.scala 245:14] - wire [15:0] _T_553 = {_T_426,_T_419,_T_412,_T_405,_T_398,_T_391,_T_384,_T_377,_T_545}; // @[el2_lib.scala 245:14] - wire [7:0] _T_560 = {_T_482,_T_475,_T_468,_T_461,_T_454,_T_447,_T_440,_T_433}; // @[el2_lib.scala 245:14] - wire [31:0] _T_569 = {_T_538,_T_531,_T_524,_T_517,_T_510,_T_503,_T_496,_T_489,_T_560,_T_553}; // @[el2_lib.scala 245:14] - wire [31:0] _GEN_1 = {{31'd0}, _T_312}; // @[lsu_trigger.scala 19:92] - wire [31:0] _T_570 = _GEN_1 & _T_569; // @[lsu_trigger.scala 19:92] - wire _T_573 = io_trigger_pkt_any_2_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] - wire _T_574 = io_trigger_pkt_any_2_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] - wire _T_576 = _T_574 & _T_26; // @[lsu_trigger.scala 19:58] - wire _T_577 = _T_573 | _T_576; // @[lsu_trigger.scala 18:152] - wire _T_578 = _T_40 & _T_577; // @[lsu_trigger.scala 18:94] - wire _T_581 = &io_trigger_pkt_any_2_tdata2; // @[el2_lib.scala 241:45] - wire _T_582 = ~_T_581; // @[el2_lib.scala 241:39] - wire _T_583 = io_trigger_pkt_any_2_match_pkt & _T_582; // @[el2_lib.scala 241:37] - wire _T_586 = io_trigger_pkt_any_2_tdata2[0] == lsu_match_data_2[0]; // @[el2_lib.scala 242:52] - wire _T_587 = _T_583 | _T_586; // @[el2_lib.scala 242:41] - wire _T_589 = &io_trigger_pkt_any_2_tdata2[0]; // @[el2_lib.scala 244:36] - wire _T_590 = _T_589 & _T_583; // @[el2_lib.scala 244:41] - wire _T_593 = io_trigger_pkt_any_2_tdata2[1] == lsu_match_data_2[1]; // @[el2_lib.scala 244:78] - wire _T_594 = _T_590 | _T_593; // @[el2_lib.scala 244:23] - wire _T_596 = &io_trigger_pkt_any_2_tdata2[1:0]; // @[el2_lib.scala 244:36] - wire _T_597 = _T_596 & _T_583; // @[el2_lib.scala 244:41] - wire _T_600 = io_trigger_pkt_any_2_tdata2[2] == lsu_match_data_2[2]; // @[el2_lib.scala 244:78] - wire _T_601 = _T_597 | _T_600; // @[el2_lib.scala 244:23] - wire _T_603 = &io_trigger_pkt_any_2_tdata2[2:0]; // @[el2_lib.scala 244:36] - wire _T_604 = _T_603 & _T_583; // @[el2_lib.scala 244:41] - wire _T_607 = io_trigger_pkt_any_2_tdata2[3] == lsu_match_data_2[3]; // @[el2_lib.scala 244:78] - wire _T_608 = _T_604 | _T_607; // @[el2_lib.scala 244:23] - wire _T_610 = &io_trigger_pkt_any_2_tdata2[3:0]; // @[el2_lib.scala 244:36] - wire _T_611 = _T_610 & _T_583; // @[el2_lib.scala 244:41] - wire _T_614 = io_trigger_pkt_any_2_tdata2[4] == lsu_match_data_2[4]; // @[el2_lib.scala 244:78] - wire _T_615 = _T_611 | _T_614; // @[el2_lib.scala 244:23] - wire _T_617 = &io_trigger_pkt_any_2_tdata2[4:0]; // @[el2_lib.scala 244:36] - wire _T_618 = _T_617 & _T_583; // @[el2_lib.scala 244:41] - wire _T_621 = io_trigger_pkt_any_2_tdata2[5] == lsu_match_data_2[5]; // @[el2_lib.scala 244:78] - wire _T_622 = _T_618 | _T_621; // @[el2_lib.scala 244:23] - wire _T_624 = &io_trigger_pkt_any_2_tdata2[5:0]; // @[el2_lib.scala 244:36] - wire _T_625 = _T_624 & _T_583; // @[el2_lib.scala 244:41] - wire _T_628 = io_trigger_pkt_any_2_tdata2[6] == lsu_match_data_2[6]; // @[el2_lib.scala 244:78] - wire _T_629 = _T_625 | _T_628; // @[el2_lib.scala 244:23] - wire _T_631 = &io_trigger_pkt_any_2_tdata2[6:0]; // @[el2_lib.scala 244:36] - wire _T_632 = _T_631 & _T_583; // @[el2_lib.scala 244:41] - wire _T_635 = io_trigger_pkt_any_2_tdata2[7] == lsu_match_data_2[7]; // @[el2_lib.scala 244:78] - wire _T_636 = _T_632 | _T_635; // @[el2_lib.scala 244:23] - wire _T_638 = &io_trigger_pkt_any_2_tdata2[7:0]; // @[el2_lib.scala 244:36] - wire _T_639 = _T_638 & _T_583; // @[el2_lib.scala 244:41] - wire _T_642 = io_trigger_pkt_any_2_tdata2[8] == lsu_match_data_2[8]; // @[el2_lib.scala 244:78] - wire _T_643 = _T_639 | _T_642; // @[el2_lib.scala 244:23] - wire _T_645 = &io_trigger_pkt_any_2_tdata2[8:0]; // @[el2_lib.scala 244:36] - wire _T_646 = _T_645 & _T_583; // @[el2_lib.scala 244:41] - wire _T_649 = io_trigger_pkt_any_2_tdata2[9] == lsu_match_data_2[9]; // @[el2_lib.scala 244:78] - wire _T_650 = _T_646 | _T_649; // @[el2_lib.scala 244:23] - wire _T_652 = &io_trigger_pkt_any_2_tdata2[9:0]; // @[el2_lib.scala 244:36] - wire _T_653 = _T_652 & _T_583; // @[el2_lib.scala 244:41] - wire _T_656 = io_trigger_pkt_any_2_tdata2[10] == lsu_match_data_2[10]; // @[el2_lib.scala 244:78] - wire _T_657 = _T_653 | _T_656; // @[el2_lib.scala 244:23] - wire _T_659 = &io_trigger_pkt_any_2_tdata2[10:0]; // @[el2_lib.scala 244:36] - wire _T_660 = _T_659 & _T_583; // @[el2_lib.scala 244:41] - wire _T_663 = io_trigger_pkt_any_2_tdata2[11] == lsu_match_data_2[11]; // @[el2_lib.scala 244:78] - wire _T_664 = _T_660 | _T_663; // @[el2_lib.scala 244:23] - wire _T_666 = &io_trigger_pkt_any_2_tdata2[11:0]; // @[el2_lib.scala 244:36] - wire _T_667 = _T_666 & _T_583; // @[el2_lib.scala 244:41] - wire _T_670 = io_trigger_pkt_any_2_tdata2[12] == lsu_match_data_2[12]; // @[el2_lib.scala 244:78] - wire _T_671 = _T_667 | _T_670; // @[el2_lib.scala 244:23] - wire _T_673 = &io_trigger_pkt_any_2_tdata2[12:0]; // @[el2_lib.scala 244:36] - wire _T_674 = _T_673 & _T_583; // @[el2_lib.scala 244:41] - wire _T_677 = io_trigger_pkt_any_2_tdata2[13] == lsu_match_data_2[13]; // @[el2_lib.scala 244:78] - wire _T_678 = _T_674 | _T_677; // @[el2_lib.scala 244:23] - wire _T_680 = &io_trigger_pkt_any_2_tdata2[13:0]; // @[el2_lib.scala 244:36] - wire _T_681 = _T_680 & _T_583; // @[el2_lib.scala 244:41] - wire _T_684 = io_trigger_pkt_any_2_tdata2[14] == lsu_match_data_2[14]; // @[el2_lib.scala 244:78] - wire _T_685 = _T_681 | _T_684; // @[el2_lib.scala 244:23] - wire _T_687 = &io_trigger_pkt_any_2_tdata2[14:0]; // @[el2_lib.scala 244:36] - wire _T_688 = _T_687 & _T_583; // @[el2_lib.scala 244:41] - wire _T_691 = io_trigger_pkt_any_2_tdata2[15] == lsu_match_data_2[15]; // @[el2_lib.scala 244:78] - wire _T_692 = _T_688 | _T_691; // @[el2_lib.scala 244:23] - wire _T_694 = &io_trigger_pkt_any_2_tdata2[15:0]; // @[el2_lib.scala 244:36] - wire _T_695 = _T_694 & _T_583; // @[el2_lib.scala 244:41] - wire _T_698 = io_trigger_pkt_any_2_tdata2[16] == lsu_match_data_2[16]; // @[el2_lib.scala 244:78] - wire _T_699 = _T_695 | _T_698; // @[el2_lib.scala 244:23] - wire _T_701 = &io_trigger_pkt_any_2_tdata2[16:0]; // @[el2_lib.scala 244:36] - wire _T_702 = _T_701 & _T_583; // @[el2_lib.scala 244:41] - wire _T_705 = io_trigger_pkt_any_2_tdata2[17] == lsu_match_data_2[17]; // @[el2_lib.scala 244:78] - wire _T_706 = _T_702 | _T_705; // @[el2_lib.scala 244:23] - wire _T_708 = &io_trigger_pkt_any_2_tdata2[17:0]; // @[el2_lib.scala 244:36] - wire _T_709 = _T_708 & _T_583; // @[el2_lib.scala 244:41] - wire _T_712 = io_trigger_pkt_any_2_tdata2[18] == lsu_match_data_2[18]; // @[el2_lib.scala 244:78] - wire _T_713 = _T_709 | _T_712; // @[el2_lib.scala 244:23] - wire _T_715 = &io_trigger_pkt_any_2_tdata2[18:0]; // @[el2_lib.scala 244:36] - wire _T_716 = _T_715 & _T_583; // @[el2_lib.scala 244:41] - wire _T_719 = io_trigger_pkt_any_2_tdata2[19] == lsu_match_data_2[19]; // @[el2_lib.scala 244:78] - wire _T_720 = _T_716 | _T_719; // @[el2_lib.scala 244:23] - wire _T_722 = &io_trigger_pkt_any_2_tdata2[19:0]; // @[el2_lib.scala 244:36] - wire _T_723 = _T_722 & _T_583; // @[el2_lib.scala 244:41] - wire _T_726 = io_trigger_pkt_any_2_tdata2[20] == lsu_match_data_2[20]; // @[el2_lib.scala 244:78] - wire _T_727 = _T_723 | _T_726; // @[el2_lib.scala 244:23] - wire _T_729 = &io_trigger_pkt_any_2_tdata2[20:0]; // @[el2_lib.scala 244:36] - wire _T_730 = _T_729 & _T_583; // @[el2_lib.scala 244:41] - wire _T_733 = io_trigger_pkt_any_2_tdata2[21] == lsu_match_data_2[21]; // @[el2_lib.scala 244:78] - wire _T_734 = _T_730 | _T_733; // @[el2_lib.scala 244:23] - wire _T_736 = &io_trigger_pkt_any_2_tdata2[21:0]; // @[el2_lib.scala 244:36] - wire _T_737 = _T_736 & _T_583; // @[el2_lib.scala 244:41] - wire _T_740 = io_trigger_pkt_any_2_tdata2[22] == lsu_match_data_2[22]; // @[el2_lib.scala 244:78] - wire _T_741 = _T_737 | _T_740; // @[el2_lib.scala 244:23] - wire _T_743 = &io_trigger_pkt_any_2_tdata2[22:0]; // @[el2_lib.scala 244:36] - wire _T_744 = _T_743 & _T_583; // @[el2_lib.scala 244:41] - wire _T_747 = io_trigger_pkt_any_2_tdata2[23] == lsu_match_data_2[23]; // @[el2_lib.scala 244:78] - wire _T_748 = _T_744 | _T_747; // @[el2_lib.scala 244:23] - wire _T_750 = &io_trigger_pkt_any_2_tdata2[23:0]; // @[el2_lib.scala 244:36] - wire _T_751 = _T_750 & _T_583; // @[el2_lib.scala 244:41] - wire _T_754 = io_trigger_pkt_any_2_tdata2[24] == lsu_match_data_2[24]; // @[el2_lib.scala 244:78] - wire _T_755 = _T_751 | _T_754; // @[el2_lib.scala 244:23] - wire _T_757 = &io_trigger_pkt_any_2_tdata2[24:0]; // @[el2_lib.scala 244:36] - wire _T_758 = _T_757 & _T_583; // @[el2_lib.scala 244:41] - wire _T_761 = io_trigger_pkt_any_2_tdata2[25] == lsu_match_data_2[25]; // @[el2_lib.scala 244:78] - wire _T_762 = _T_758 | _T_761; // @[el2_lib.scala 244:23] - wire _T_764 = &io_trigger_pkt_any_2_tdata2[25:0]; // @[el2_lib.scala 244:36] - wire _T_765 = _T_764 & _T_583; // @[el2_lib.scala 244:41] - wire _T_768 = io_trigger_pkt_any_2_tdata2[26] == lsu_match_data_2[26]; // @[el2_lib.scala 244:78] - wire _T_769 = _T_765 | _T_768; // @[el2_lib.scala 244:23] - wire _T_771 = &io_trigger_pkt_any_2_tdata2[26:0]; // @[el2_lib.scala 244:36] - wire _T_772 = _T_771 & _T_583; // @[el2_lib.scala 244:41] - wire _T_775 = io_trigger_pkt_any_2_tdata2[27] == lsu_match_data_2[27]; // @[el2_lib.scala 244:78] - wire _T_776 = _T_772 | _T_775; // @[el2_lib.scala 244:23] - wire _T_778 = &io_trigger_pkt_any_2_tdata2[27:0]; // @[el2_lib.scala 244:36] - wire _T_779 = _T_778 & _T_583; // @[el2_lib.scala 244:41] - wire _T_782 = io_trigger_pkt_any_2_tdata2[28] == lsu_match_data_2[28]; // @[el2_lib.scala 244:78] - wire _T_783 = _T_779 | _T_782; // @[el2_lib.scala 244:23] - wire _T_785 = &io_trigger_pkt_any_2_tdata2[28:0]; // @[el2_lib.scala 244:36] - wire _T_786 = _T_785 & _T_583; // @[el2_lib.scala 244:41] - wire _T_789 = io_trigger_pkt_any_2_tdata2[29] == lsu_match_data_2[29]; // @[el2_lib.scala 244:78] - wire _T_790 = _T_786 | _T_789; // @[el2_lib.scala 244:23] - wire _T_792 = &io_trigger_pkt_any_2_tdata2[29:0]; // @[el2_lib.scala 244:36] - wire _T_793 = _T_792 & _T_583; // @[el2_lib.scala 244:41] - wire _T_796 = io_trigger_pkt_any_2_tdata2[30] == lsu_match_data_2[30]; // @[el2_lib.scala 244:78] - wire _T_797 = _T_793 | _T_796; // @[el2_lib.scala 244:23] - wire _T_799 = &io_trigger_pkt_any_2_tdata2[30:0]; // @[el2_lib.scala 244:36] - wire _T_800 = _T_799 & _T_583; // @[el2_lib.scala 244:41] - wire _T_803 = io_trigger_pkt_any_2_tdata2[31] == lsu_match_data_2[31]; // @[el2_lib.scala 244:78] - wire _T_804 = _T_800 | _T_803; // @[el2_lib.scala 244:23] - wire [7:0] _T_811 = {_T_636,_T_629,_T_622,_T_615,_T_608,_T_601,_T_594,_T_587}; // @[el2_lib.scala 245:14] - wire [15:0] _T_819 = {_T_692,_T_685,_T_678,_T_671,_T_664,_T_657,_T_650,_T_643,_T_811}; // @[el2_lib.scala 245:14] - wire [7:0] _T_826 = {_T_748,_T_741,_T_734,_T_727,_T_720,_T_713,_T_706,_T_699}; // @[el2_lib.scala 245:14] - wire [31:0] _T_835 = {_T_804,_T_797,_T_790,_T_783,_T_776,_T_769,_T_762,_T_755,_T_826,_T_819}; // @[el2_lib.scala 245:14] - wire [31:0] _GEN_2 = {{31'd0}, _T_578}; // @[lsu_trigger.scala 19:92] - wire [31:0] _T_836 = _GEN_2 & _T_835; // @[lsu_trigger.scala 19:92] - wire _T_839 = io_trigger_pkt_any_3_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] - wire _T_840 = io_trigger_pkt_any_3_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] - wire _T_842 = _T_840 & _T_33; // @[lsu_trigger.scala 19:58] - wire _T_843 = _T_839 | _T_842; // @[lsu_trigger.scala 18:152] - wire _T_844 = _T_40 & _T_843; // @[lsu_trigger.scala 18:94] - wire _T_847 = &io_trigger_pkt_any_3_tdata2; // @[el2_lib.scala 241:45] - wire _T_848 = ~_T_847; // @[el2_lib.scala 241:39] - wire _T_849 = io_trigger_pkt_any_3_match_pkt & _T_848; // @[el2_lib.scala 241:37] - wire _T_852 = io_trigger_pkt_any_3_tdata2[0] == lsu_match_data_3[0]; // @[el2_lib.scala 242:52] - wire _T_853 = _T_849 | _T_852; // @[el2_lib.scala 242:41] - wire _T_855 = &io_trigger_pkt_any_3_tdata2[0]; // @[el2_lib.scala 244:36] - wire _T_856 = _T_855 & _T_849; // @[el2_lib.scala 244:41] - wire _T_859 = io_trigger_pkt_any_3_tdata2[1] == lsu_match_data_3[1]; // @[el2_lib.scala 244:78] - wire _T_860 = _T_856 | _T_859; // @[el2_lib.scala 244:23] - wire _T_862 = &io_trigger_pkt_any_3_tdata2[1:0]; // @[el2_lib.scala 244:36] - wire _T_863 = _T_862 & _T_849; // @[el2_lib.scala 244:41] - wire _T_866 = io_trigger_pkt_any_3_tdata2[2] == lsu_match_data_3[2]; // @[el2_lib.scala 244:78] - wire _T_867 = _T_863 | _T_866; // @[el2_lib.scala 244:23] - wire _T_869 = &io_trigger_pkt_any_3_tdata2[2:0]; // @[el2_lib.scala 244:36] - wire _T_870 = _T_869 & _T_849; // @[el2_lib.scala 244:41] - wire _T_873 = io_trigger_pkt_any_3_tdata2[3] == lsu_match_data_3[3]; // @[el2_lib.scala 244:78] - wire _T_874 = _T_870 | _T_873; // @[el2_lib.scala 244:23] - wire _T_876 = &io_trigger_pkt_any_3_tdata2[3:0]; // @[el2_lib.scala 244:36] - wire _T_877 = _T_876 & _T_849; // @[el2_lib.scala 244:41] - wire _T_880 = io_trigger_pkt_any_3_tdata2[4] == lsu_match_data_3[4]; // @[el2_lib.scala 244:78] - wire _T_881 = _T_877 | _T_880; // @[el2_lib.scala 244:23] - wire _T_883 = &io_trigger_pkt_any_3_tdata2[4:0]; // @[el2_lib.scala 244:36] - wire _T_884 = _T_883 & _T_849; // @[el2_lib.scala 244:41] - wire _T_887 = io_trigger_pkt_any_3_tdata2[5] == lsu_match_data_3[5]; // @[el2_lib.scala 244:78] - wire _T_888 = _T_884 | _T_887; // @[el2_lib.scala 244:23] - wire _T_890 = &io_trigger_pkt_any_3_tdata2[5:0]; // @[el2_lib.scala 244:36] - wire _T_891 = _T_890 & _T_849; // @[el2_lib.scala 244:41] - wire _T_894 = io_trigger_pkt_any_3_tdata2[6] == lsu_match_data_3[6]; // @[el2_lib.scala 244:78] - wire _T_895 = _T_891 | _T_894; // @[el2_lib.scala 244:23] - wire _T_897 = &io_trigger_pkt_any_3_tdata2[6:0]; // @[el2_lib.scala 244:36] - wire _T_898 = _T_897 & _T_849; // @[el2_lib.scala 244:41] - wire _T_901 = io_trigger_pkt_any_3_tdata2[7] == lsu_match_data_3[7]; // @[el2_lib.scala 244:78] - wire _T_902 = _T_898 | _T_901; // @[el2_lib.scala 244:23] - wire _T_904 = &io_trigger_pkt_any_3_tdata2[7:0]; // @[el2_lib.scala 244:36] - wire _T_905 = _T_904 & _T_849; // @[el2_lib.scala 244:41] - wire _T_908 = io_trigger_pkt_any_3_tdata2[8] == lsu_match_data_3[8]; // @[el2_lib.scala 244:78] - wire _T_909 = _T_905 | _T_908; // @[el2_lib.scala 244:23] - wire _T_911 = &io_trigger_pkt_any_3_tdata2[8:0]; // @[el2_lib.scala 244:36] - wire _T_912 = _T_911 & _T_849; // @[el2_lib.scala 244:41] - wire _T_915 = io_trigger_pkt_any_3_tdata2[9] == lsu_match_data_3[9]; // @[el2_lib.scala 244:78] - wire _T_916 = _T_912 | _T_915; // @[el2_lib.scala 244:23] - wire _T_918 = &io_trigger_pkt_any_3_tdata2[9:0]; // @[el2_lib.scala 244:36] - wire _T_919 = _T_918 & _T_849; // @[el2_lib.scala 244:41] - wire _T_922 = io_trigger_pkt_any_3_tdata2[10] == lsu_match_data_3[10]; // @[el2_lib.scala 244:78] - wire _T_923 = _T_919 | _T_922; // @[el2_lib.scala 244:23] - wire _T_925 = &io_trigger_pkt_any_3_tdata2[10:0]; // @[el2_lib.scala 244:36] - wire _T_926 = _T_925 & _T_849; // @[el2_lib.scala 244:41] - wire _T_929 = io_trigger_pkt_any_3_tdata2[11] == lsu_match_data_3[11]; // @[el2_lib.scala 244:78] - wire _T_930 = _T_926 | _T_929; // @[el2_lib.scala 244:23] - wire _T_932 = &io_trigger_pkt_any_3_tdata2[11:0]; // @[el2_lib.scala 244:36] - wire _T_933 = _T_932 & _T_849; // @[el2_lib.scala 244:41] - wire _T_936 = io_trigger_pkt_any_3_tdata2[12] == lsu_match_data_3[12]; // @[el2_lib.scala 244:78] - wire _T_937 = _T_933 | _T_936; // @[el2_lib.scala 244:23] - wire _T_939 = &io_trigger_pkt_any_3_tdata2[12:0]; // @[el2_lib.scala 244:36] - wire _T_940 = _T_939 & _T_849; // @[el2_lib.scala 244:41] - wire _T_943 = io_trigger_pkt_any_3_tdata2[13] == lsu_match_data_3[13]; // @[el2_lib.scala 244:78] - wire _T_944 = _T_940 | _T_943; // @[el2_lib.scala 244:23] - wire _T_946 = &io_trigger_pkt_any_3_tdata2[13:0]; // @[el2_lib.scala 244:36] - wire _T_947 = _T_946 & _T_849; // @[el2_lib.scala 244:41] - wire _T_950 = io_trigger_pkt_any_3_tdata2[14] == lsu_match_data_3[14]; // @[el2_lib.scala 244:78] - wire _T_951 = _T_947 | _T_950; // @[el2_lib.scala 244:23] - wire _T_953 = &io_trigger_pkt_any_3_tdata2[14:0]; // @[el2_lib.scala 244:36] - wire _T_954 = _T_953 & _T_849; // @[el2_lib.scala 244:41] - wire _T_957 = io_trigger_pkt_any_3_tdata2[15] == lsu_match_data_3[15]; // @[el2_lib.scala 244:78] - wire _T_958 = _T_954 | _T_957; // @[el2_lib.scala 244:23] - wire _T_960 = &io_trigger_pkt_any_3_tdata2[15:0]; // @[el2_lib.scala 244:36] - wire _T_961 = _T_960 & _T_849; // @[el2_lib.scala 244:41] - wire _T_964 = io_trigger_pkt_any_3_tdata2[16] == lsu_match_data_3[16]; // @[el2_lib.scala 244:78] - wire _T_965 = _T_961 | _T_964; // @[el2_lib.scala 244:23] - wire _T_967 = &io_trigger_pkt_any_3_tdata2[16:0]; // @[el2_lib.scala 244:36] - wire _T_968 = _T_967 & _T_849; // @[el2_lib.scala 244:41] - wire _T_971 = io_trigger_pkt_any_3_tdata2[17] == lsu_match_data_3[17]; // @[el2_lib.scala 244:78] - wire _T_972 = _T_968 | _T_971; // @[el2_lib.scala 244:23] - wire _T_974 = &io_trigger_pkt_any_3_tdata2[17:0]; // @[el2_lib.scala 244:36] - wire _T_975 = _T_974 & _T_849; // @[el2_lib.scala 244:41] - wire _T_978 = io_trigger_pkt_any_3_tdata2[18] == lsu_match_data_3[18]; // @[el2_lib.scala 244:78] - wire _T_979 = _T_975 | _T_978; // @[el2_lib.scala 244:23] - wire _T_981 = &io_trigger_pkt_any_3_tdata2[18:0]; // @[el2_lib.scala 244:36] - wire _T_982 = _T_981 & _T_849; // @[el2_lib.scala 244:41] - wire _T_985 = io_trigger_pkt_any_3_tdata2[19] == lsu_match_data_3[19]; // @[el2_lib.scala 244:78] - wire _T_986 = _T_982 | _T_985; // @[el2_lib.scala 244:23] - wire _T_988 = &io_trigger_pkt_any_3_tdata2[19:0]; // @[el2_lib.scala 244:36] - wire _T_989 = _T_988 & _T_849; // @[el2_lib.scala 244:41] - wire _T_992 = io_trigger_pkt_any_3_tdata2[20] == lsu_match_data_3[20]; // @[el2_lib.scala 244:78] - wire _T_993 = _T_989 | _T_992; // @[el2_lib.scala 244:23] - wire _T_995 = &io_trigger_pkt_any_3_tdata2[20:0]; // @[el2_lib.scala 244:36] - wire _T_996 = _T_995 & _T_849; // @[el2_lib.scala 244:41] - wire _T_999 = io_trigger_pkt_any_3_tdata2[21] == lsu_match_data_3[21]; // @[el2_lib.scala 244:78] - wire _T_1000 = _T_996 | _T_999; // @[el2_lib.scala 244:23] - wire _T_1002 = &io_trigger_pkt_any_3_tdata2[21:0]; // @[el2_lib.scala 244:36] - wire _T_1003 = _T_1002 & _T_849; // @[el2_lib.scala 244:41] - wire _T_1006 = io_trigger_pkt_any_3_tdata2[22] == lsu_match_data_3[22]; // @[el2_lib.scala 244:78] - wire _T_1007 = _T_1003 | _T_1006; // @[el2_lib.scala 244:23] - wire _T_1009 = &io_trigger_pkt_any_3_tdata2[22:0]; // @[el2_lib.scala 244:36] - wire _T_1010 = _T_1009 & _T_849; // @[el2_lib.scala 244:41] - wire _T_1013 = io_trigger_pkt_any_3_tdata2[23] == lsu_match_data_3[23]; // @[el2_lib.scala 244:78] - wire _T_1014 = _T_1010 | _T_1013; // @[el2_lib.scala 244:23] - wire _T_1016 = &io_trigger_pkt_any_3_tdata2[23:0]; // @[el2_lib.scala 244:36] - wire _T_1017 = _T_1016 & _T_849; // @[el2_lib.scala 244:41] - wire _T_1020 = io_trigger_pkt_any_3_tdata2[24] == lsu_match_data_3[24]; // @[el2_lib.scala 244:78] - wire _T_1021 = _T_1017 | _T_1020; // @[el2_lib.scala 244:23] - wire _T_1023 = &io_trigger_pkt_any_3_tdata2[24:0]; // @[el2_lib.scala 244:36] - wire _T_1024 = _T_1023 & _T_849; // @[el2_lib.scala 244:41] - wire _T_1027 = io_trigger_pkt_any_3_tdata2[25] == lsu_match_data_3[25]; // @[el2_lib.scala 244:78] - wire _T_1028 = _T_1024 | _T_1027; // @[el2_lib.scala 244:23] - wire _T_1030 = &io_trigger_pkt_any_3_tdata2[25:0]; // @[el2_lib.scala 244:36] - wire _T_1031 = _T_1030 & _T_849; // @[el2_lib.scala 244:41] - wire _T_1034 = io_trigger_pkt_any_3_tdata2[26] == lsu_match_data_3[26]; // @[el2_lib.scala 244:78] - wire _T_1035 = _T_1031 | _T_1034; // @[el2_lib.scala 244:23] - wire _T_1037 = &io_trigger_pkt_any_3_tdata2[26:0]; // @[el2_lib.scala 244:36] - wire _T_1038 = _T_1037 & _T_849; // @[el2_lib.scala 244:41] - wire _T_1041 = io_trigger_pkt_any_3_tdata2[27] == lsu_match_data_3[27]; // @[el2_lib.scala 244:78] - wire _T_1042 = _T_1038 | _T_1041; // @[el2_lib.scala 244:23] - wire _T_1044 = &io_trigger_pkt_any_3_tdata2[27:0]; // @[el2_lib.scala 244:36] - wire _T_1045 = _T_1044 & _T_849; // @[el2_lib.scala 244:41] - wire _T_1048 = io_trigger_pkt_any_3_tdata2[28] == lsu_match_data_3[28]; // @[el2_lib.scala 244:78] - wire _T_1049 = _T_1045 | _T_1048; // @[el2_lib.scala 244:23] - wire _T_1051 = &io_trigger_pkt_any_3_tdata2[28:0]; // @[el2_lib.scala 244:36] - wire _T_1052 = _T_1051 & _T_849; // @[el2_lib.scala 244:41] - wire _T_1055 = io_trigger_pkt_any_3_tdata2[29] == lsu_match_data_3[29]; // @[el2_lib.scala 244:78] - wire _T_1056 = _T_1052 | _T_1055; // @[el2_lib.scala 244:23] - wire _T_1058 = &io_trigger_pkt_any_3_tdata2[29:0]; // @[el2_lib.scala 244:36] - wire _T_1059 = _T_1058 & _T_849; // @[el2_lib.scala 244:41] - wire _T_1062 = io_trigger_pkt_any_3_tdata2[30] == lsu_match_data_3[30]; // @[el2_lib.scala 244:78] - wire _T_1063 = _T_1059 | _T_1062; // @[el2_lib.scala 244:23] - wire _T_1065 = &io_trigger_pkt_any_3_tdata2[30:0]; // @[el2_lib.scala 244:36] - wire _T_1066 = _T_1065 & _T_849; // @[el2_lib.scala 244:41] - wire _T_1069 = io_trigger_pkt_any_3_tdata2[31] == lsu_match_data_3[31]; // @[el2_lib.scala 244:78] - wire _T_1070 = _T_1066 | _T_1069; // @[el2_lib.scala 244:23] - wire [7:0] _T_1077 = {_T_902,_T_895,_T_888,_T_881,_T_874,_T_867,_T_860,_T_853}; // @[el2_lib.scala 245:14] - wire [15:0] _T_1085 = {_T_958,_T_951,_T_944,_T_937,_T_930,_T_923,_T_916,_T_909,_T_1077}; // @[el2_lib.scala 245:14] - wire [7:0] _T_1092 = {_T_1014,_T_1007,_T_1000,_T_993,_T_986,_T_979,_T_972,_T_965}; // @[el2_lib.scala 245:14] - wire [31:0] _T_1101 = {_T_1070,_T_1063,_T_1056,_T_1049,_T_1042,_T_1035,_T_1028,_T_1021,_T_1092,_T_1085}; // @[el2_lib.scala 245:14] - wire [31:0] _GEN_3 = {{31'd0}, _T_844}; // @[lsu_trigger.scala 19:92] - wire [31:0] _T_1102 = _GEN_3 & _T_1101; // @[lsu_trigger.scala 19:92] - wire [127:0] _T_1105 = {_T_1102,_T_836,_T_570,_T_304}; // @[Cat.scala 29:58] - assign io_lsu_trigger_match_m = _T_1105[3:0]; // @[lsu_trigger.scala 18:26] + wire _T_304 = &_T_303; // @[el2_lib.scala 245:25] + wire _T_305 = _T_46 & _T_304; // @[lsu_trigger.scala 19:92] + wire _T_308 = io_trigger_pkt_any_1_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] + wire _T_309 = io_trigger_pkt_any_1_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] + wire _T_311 = _T_309 & _T_19; // @[lsu_trigger.scala 19:58] + wire _T_312 = _T_308 | _T_311; // @[lsu_trigger.scala 18:152] + wire _T_313 = _T_40 & _T_312; // @[lsu_trigger.scala 18:94] + wire _T_316 = &io_trigger_pkt_any_1_tdata2; // @[el2_lib.scala 241:45] + wire _T_317 = ~_T_316; // @[el2_lib.scala 241:39] + wire _T_318 = io_trigger_pkt_any_1_match_pkt & _T_317; // @[el2_lib.scala 241:37] + wire _T_321 = io_trigger_pkt_any_1_tdata2[0] == lsu_match_data_1[0]; // @[el2_lib.scala 242:52] + wire _T_322 = _T_318 | _T_321; // @[el2_lib.scala 242:41] + wire _T_324 = &io_trigger_pkt_any_1_tdata2[0]; // @[el2_lib.scala 244:36] + wire _T_325 = _T_324 & _T_318; // @[el2_lib.scala 244:41] + wire _T_328 = io_trigger_pkt_any_1_tdata2[1] == lsu_match_data_1[1]; // @[el2_lib.scala 244:78] + wire _T_329 = _T_325 | _T_328; // @[el2_lib.scala 244:23] + wire _T_331 = &io_trigger_pkt_any_1_tdata2[1:0]; // @[el2_lib.scala 244:36] + wire _T_332 = _T_331 & _T_318; // @[el2_lib.scala 244:41] + wire _T_335 = io_trigger_pkt_any_1_tdata2[2] == lsu_match_data_1[2]; // @[el2_lib.scala 244:78] + wire _T_336 = _T_332 | _T_335; // @[el2_lib.scala 244:23] + wire _T_338 = &io_trigger_pkt_any_1_tdata2[2:0]; // @[el2_lib.scala 244:36] + wire _T_339 = _T_338 & _T_318; // @[el2_lib.scala 244:41] + wire _T_342 = io_trigger_pkt_any_1_tdata2[3] == lsu_match_data_1[3]; // @[el2_lib.scala 244:78] + wire _T_343 = _T_339 | _T_342; // @[el2_lib.scala 244:23] + wire _T_345 = &io_trigger_pkt_any_1_tdata2[3:0]; // @[el2_lib.scala 244:36] + wire _T_346 = _T_345 & _T_318; // @[el2_lib.scala 244:41] + wire _T_349 = io_trigger_pkt_any_1_tdata2[4] == lsu_match_data_1[4]; // @[el2_lib.scala 244:78] + wire _T_350 = _T_346 | _T_349; // @[el2_lib.scala 244:23] + wire _T_352 = &io_trigger_pkt_any_1_tdata2[4:0]; // @[el2_lib.scala 244:36] + wire _T_353 = _T_352 & _T_318; // @[el2_lib.scala 244:41] + wire _T_356 = io_trigger_pkt_any_1_tdata2[5] == lsu_match_data_1[5]; // @[el2_lib.scala 244:78] + wire _T_357 = _T_353 | _T_356; // @[el2_lib.scala 244:23] + wire _T_359 = &io_trigger_pkt_any_1_tdata2[5:0]; // @[el2_lib.scala 244:36] + wire _T_360 = _T_359 & _T_318; // @[el2_lib.scala 244:41] + wire _T_363 = io_trigger_pkt_any_1_tdata2[6] == lsu_match_data_1[6]; // @[el2_lib.scala 244:78] + wire _T_364 = _T_360 | _T_363; // @[el2_lib.scala 244:23] + wire _T_366 = &io_trigger_pkt_any_1_tdata2[6:0]; // @[el2_lib.scala 244:36] + wire _T_367 = _T_366 & _T_318; // @[el2_lib.scala 244:41] + wire _T_370 = io_trigger_pkt_any_1_tdata2[7] == lsu_match_data_1[7]; // @[el2_lib.scala 244:78] + wire _T_371 = _T_367 | _T_370; // @[el2_lib.scala 244:23] + wire _T_373 = &io_trigger_pkt_any_1_tdata2[7:0]; // @[el2_lib.scala 244:36] + wire _T_374 = _T_373 & _T_318; // @[el2_lib.scala 244:41] + wire _T_377 = io_trigger_pkt_any_1_tdata2[8] == lsu_match_data_1[8]; // @[el2_lib.scala 244:78] + wire _T_378 = _T_374 | _T_377; // @[el2_lib.scala 244:23] + wire _T_380 = &io_trigger_pkt_any_1_tdata2[8:0]; // @[el2_lib.scala 244:36] + wire _T_381 = _T_380 & _T_318; // @[el2_lib.scala 244:41] + wire _T_384 = io_trigger_pkt_any_1_tdata2[9] == lsu_match_data_1[9]; // @[el2_lib.scala 244:78] + wire _T_385 = _T_381 | _T_384; // @[el2_lib.scala 244:23] + wire _T_387 = &io_trigger_pkt_any_1_tdata2[9:0]; // @[el2_lib.scala 244:36] + wire _T_388 = _T_387 & _T_318; // @[el2_lib.scala 244:41] + wire _T_391 = io_trigger_pkt_any_1_tdata2[10] == lsu_match_data_1[10]; // @[el2_lib.scala 244:78] + wire _T_392 = _T_388 | _T_391; // @[el2_lib.scala 244:23] + wire _T_394 = &io_trigger_pkt_any_1_tdata2[10:0]; // @[el2_lib.scala 244:36] + wire _T_395 = _T_394 & _T_318; // @[el2_lib.scala 244:41] + wire _T_398 = io_trigger_pkt_any_1_tdata2[11] == lsu_match_data_1[11]; // @[el2_lib.scala 244:78] + wire _T_399 = _T_395 | _T_398; // @[el2_lib.scala 244:23] + wire _T_401 = &io_trigger_pkt_any_1_tdata2[11:0]; // @[el2_lib.scala 244:36] + wire _T_402 = _T_401 & _T_318; // @[el2_lib.scala 244:41] + wire _T_405 = io_trigger_pkt_any_1_tdata2[12] == lsu_match_data_1[12]; // @[el2_lib.scala 244:78] + wire _T_406 = _T_402 | _T_405; // @[el2_lib.scala 244:23] + wire _T_408 = &io_trigger_pkt_any_1_tdata2[12:0]; // @[el2_lib.scala 244:36] + wire _T_409 = _T_408 & _T_318; // @[el2_lib.scala 244:41] + wire _T_412 = io_trigger_pkt_any_1_tdata2[13] == lsu_match_data_1[13]; // @[el2_lib.scala 244:78] + wire _T_413 = _T_409 | _T_412; // @[el2_lib.scala 244:23] + wire _T_415 = &io_trigger_pkt_any_1_tdata2[13:0]; // @[el2_lib.scala 244:36] + wire _T_416 = _T_415 & _T_318; // @[el2_lib.scala 244:41] + wire _T_419 = io_trigger_pkt_any_1_tdata2[14] == lsu_match_data_1[14]; // @[el2_lib.scala 244:78] + wire _T_420 = _T_416 | _T_419; // @[el2_lib.scala 244:23] + wire _T_422 = &io_trigger_pkt_any_1_tdata2[14:0]; // @[el2_lib.scala 244:36] + wire _T_423 = _T_422 & _T_318; // @[el2_lib.scala 244:41] + wire _T_426 = io_trigger_pkt_any_1_tdata2[15] == lsu_match_data_1[15]; // @[el2_lib.scala 244:78] + wire _T_427 = _T_423 | _T_426; // @[el2_lib.scala 244:23] + wire _T_429 = &io_trigger_pkt_any_1_tdata2[15:0]; // @[el2_lib.scala 244:36] + wire _T_430 = _T_429 & _T_318; // @[el2_lib.scala 244:41] + wire _T_433 = io_trigger_pkt_any_1_tdata2[16] == lsu_match_data_1[16]; // @[el2_lib.scala 244:78] + wire _T_434 = _T_430 | _T_433; // @[el2_lib.scala 244:23] + wire _T_436 = &io_trigger_pkt_any_1_tdata2[16:0]; // @[el2_lib.scala 244:36] + wire _T_437 = _T_436 & _T_318; // @[el2_lib.scala 244:41] + wire _T_440 = io_trigger_pkt_any_1_tdata2[17] == lsu_match_data_1[17]; // @[el2_lib.scala 244:78] + wire _T_441 = _T_437 | _T_440; // @[el2_lib.scala 244:23] + wire _T_443 = &io_trigger_pkt_any_1_tdata2[17:0]; // @[el2_lib.scala 244:36] + wire _T_444 = _T_443 & _T_318; // @[el2_lib.scala 244:41] + wire _T_447 = io_trigger_pkt_any_1_tdata2[18] == lsu_match_data_1[18]; // @[el2_lib.scala 244:78] + wire _T_448 = _T_444 | _T_447; // @[el2_lib.scala 244:23] + wire _T_450 = &io_trigger_pkt_any_1_tdata2[18:0]; // @[el2_lib.scala 244:36] + wire _T_451 = _T_450 & _T_318; // @[el2_lib.scala 244:41] + wire _T_454 = io_trigger_pkt_any_1_tdata2[19] == lsu_match_data_1[19]; // @[el2_lib.scala 244:78] + wire _T_455 = _T_451 | _T_454; // @[el2_lib.scala 244:23] + wire _T_457 = &io_trigger_pkt_any_1_tdata2[19:0]; // @[el2_lib.scala 244:36] + wire _T_458 = _T_457 & _T_318; // @[el2_lib.scala 244:41] + wire _T_461 = io_trigger_pkt_any_1_tdata2[20] == lsu_match_data_1[20]; // @[el2_lib.scala 244:78] + wire _T_462 = _T_458 | _T_461; // @[el2_lib.scala 244:23] + wire _T_464 = &io_trigger_pkt_any_1_tdata2[20:0]; // @[el2_lib.scala 244:36] + wire _T_465 = _T_464 & _T_318; // @[el2_lib.scala 244:41] + wire _T_468 = io_trigger_pkt_any_1_tdata2[21] == lsu_match_data_1[21]; // @[el2_lib.scala 244:78] + wire _T_469 = _T_465 | _T_468; // @[el2_lib.scala 244:23] + wire _T_471 = &io_trigger_pkt_any_1_tdata2[21:0]; // @[el2_lib.scala 244:36] + wire _T_472 = _T_471 & _T_318; // @[el2_lib.scala 244:41] + wire _T_475 = io_trigger_pkt_any_1_tdata2[22] == lsu_match_data_1[22]; // @[el2_lib.scala 244:78] + wire _T_476 = _T_472 | _T_475; // @[el2_lib.scala 244:23] + wire _T_478 = &io_trigger_pkt_any_1_tdata2[22:0]; // @[el2_lib.scala 244:36] + wire _T_479 = _T_478 & _T_318; // @[el2_lib.scala 244:41] + wire _T_482 = io_trigger_pkt_any_1_tdata2[23] == lsu_match_data_1[23]; // @[el2_lib.scala 244:78] + wire _T_483 = _T_479 | _T_482; // @[el2_lib.scala 244:23] + wire _T_485 = &io_trigger_pkt_any_1_tdata2[23:0]; // @[el2_lib.scala 244:36] + wire _T_486 = _T_485 & _T_318; // @[el2_lib.scala 244:41] + wire _T_489 = io_trigger_pkt_any_1_tdata2[24] == lsu_match_data_1[24]; // @[el2_lib.scala 244:78] + wire _T_490 = _T_486 | _T_489; // @[el2_lib.scala 244:23] + wire _T_492 = &io_trigger_pkt_any_1_tdata2[24:0]; // @[el2_lib.scala 244:36] + wire _T_493 = _T_492 & _T_318; // @[el2_lib.scala 244:41] + wire _T_496 = io_trigger_pkt_any_1_tdata2[25] == lsu_match_data_1[25]; // @[el2_lib.scala 244:78] + wire _T_497 = _T_493 | _T_496; // @[el2_lib.scala 244:23] + wire _T_499 = &io_trigger_pkt_any_1_tdata2[25:0]; // @[el2_lib.scala 244:36] + wire _T_500 = _T_499 & _T_318; // @[el2_lib.scala 244:41] + wire _T_503 = io_trigger_pkt_any_1_tdata2[26] == lsu_match_data_1[26]; // @[el2_lib.scala 244:78] + wire _T_504 = _T_500 | _T_503; // @[el2_lib.scala 244:23] + wire _T_506 = &io_trigger_pkt_any_1_tdata2[26:0]; // @[el2_lib.scala 244:36] + wire _T_507 = _T_506 & _T_318; // @[el2_lib.scala 244:41] + wire _T_510 = io_trigger_pkt_any_1_tdata2[27] == lsu_match_data_1[27]; // @[el2_lib.scala 244:78] + wire _T_511 = _T_507 | _T_510; // @[el2_lib.scala 244:23] + wire _T_513 = &io_trigger_pkt_any_1_tdata2[27:0]; // @[el2_lib.scala 244:36] + wire _T_514 = _T_513 & _T_318; // @[el2_lib.scala 244:41] + wire _T_517 = io_trigger_pkt_any_1_tdata2[28] == lsu_match_data_1[28]; // @[el2_lib.scala 244:78] + wire _T_518 = _T_514 | _T_517; // @[el2_lib.scala 244:23] + wire _T_520 = &io_trigger_pkt_any_1_tdata2[28:0]; // @[el2_lib.scala 244:36] + wire _T_521 = _T_520 & _T_318; // @[el2_lib.scala 244:41] + wire _T_524 = io_trigger_pkt_any_1_tdata2[29] == lsu_match_data_1[29]; // @[el2_lib.scala 244:78] + wire _T_525 = _T_521 | _T_524; // @[el2_lib.scala 244:23] + wire _T_527 = &io_trigger_pkt_any_1_tdata2[29:0]; // @[el2_lib.scala 244:36] + wire _T_528 = _T_527 & _T_318; // @[el2_lib.scala 244:41] + wire _T_531 = io_trigger_pkt_any_1_tdata2[30] == lsu_match_data_1[30]; // @[el2_lib.scala 244:78] + wire _T_532 = _T_528 | _T_531; // @[el2_lib.scala 244:23] + wire _T_534 = &io_trigger_pkt_any_1_tdata2[30:0]; // @[el2_lib.scala 244:36] + wire _T_535 = _T_534 & _T_318; // @[el2_lib.scala 244:41] + wire _T_538 = io_trigger_pkt_any_1_tdata2[31] == lsu_match_data_1[31]; // @[el2_lib.scala 244:78] + wire _T_539 = _T_535 | _T_538; // @[el2_lib.scala 244:23] + wire [7:0] _T_546 = {_T_371,_T_364,_T_357,_T_350,_T_343,_T_336,_T_329,_T_322}; // @[el2_lib.scala 245:14] + wire [15:0] _T_554 = {_T_427,_T_420,_T_413,_T_406,_T_399,_T_392,_T_385,_T_378,_T_546}; // @[el2_lib.scala 245:14] + wire [7:0] _T_561 = {_T_483,_T_476,_T_469,_T_462,_T_455,_T_448,_T_441,_T_434}; // @[el2_lib.scala 245:14] + wire [31:0] _T_570 = {_T_539,_T_532,_T_525,_T_518,_T_511,_T_504,_T_497,_T_490,_T_561,_T_554}; // @[el2_lib.scala 245:14] + wire _T_571 = &_T_570; // @[el2_lib.scala 245:25] + wire _T_572 = _T_313 & _T_571; // @[lsu_trigger.scala 19:92] + wire _T_575 = io_trigger_pkt_any_2_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] + wire _T_576 = io_trigger_pkt_any_2_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] + wire _T_578 = _T_576 & _T_26; // @[lsu_trigger.scala 19:58] + wire _T_579 = _T_575 | _T_578; // @[lsu_trigger.scala 18:152] + wire _T_580 = _T_40 & _T_579; // @[lsu_trigger.scala 18:94] + wire _T_583 = &io_trigger_pkt_any_2_tdata2; // @[el2_lib.scala 241:45] + wire _T_584 = ~_T_583; // @[el2_lib.scala 241:39] + wire _T_585 = io_trigger_pkt_any_2_match_pkt & _T_584; // @[el2_lib.scala 241:37] + wire _T_588 = io_trigger_pkt_any_2_tdata2[0] == lsu_match_data_2[0]; // @[el2_lib.scala 242:52] + wire _T_589 = _T_585 | _T_588; // @[el2_lib.scala 242:41] + wire _T_591 = &io_trigger_pkt_any_2_tdata2[0]; // @[el2_lib.scala 244:36] + wire _T_592 = _T_591 & _T_585; // @[el2_lib.scala 244:41] + wire _T_595 = io_trigger_pkt_any_2_tdata2[1] == lsu_match_data_2[1]; // @[el2_lib.scala 244:78] + wire _T_596 = _T_592 | _T_595; // @[el2_lib.scala 244:23] + wire _T_598 = &io_trigger_pkt_any_2_tdata2[1:0]; // @[el2_lib.scala 244:36] + wire _T_599 = _T_598 & _T_585; // @[el2_lib.scala 244:41] + wire _T_602 = io_trigger_pkt_any_2_tdata2[2] == lsu_match_data_2[2]; // @[el2_lib.scala 244:78] + wire _T_603 = _T_599 | _T_602; // @[el2_lib.scala 244:23] + wire _T_605 = &io_trigger_pkt_any_2_tdata2[2:0]; // @[el2_lib.scala 244:36] + wire _T_606 = _T_605 & _T_585; // @[el2_lib.scala 244:41] + wire _T_609 = io_trigger_pkt_any_2_tdata2[3] == lsu_match_data_2[3]; // @[el2_lib.scala 244:78] + wire _T_610 = _T_606 | _T_609; // @[el2_lib.scala 244:23] + wire _T_612 = &io_trigger_pkt_any_2_tdata2[3:0]; // @[el2_lib.scala 244:36] + wire _T_613 = _T_612 & _T_585; // @[el2_lib.scala 244:41] + wire _T_616 = io_trigger_pkt_any_2_tdata2[4] == lsu_match_data_2[4]; // @[el2_lib.scala 244:78] + wire _T_617 = _T_613 | _T_616; // @[el2_lib.scala 244:23] + wire _T_619 = &io_trigger_pkt_any_2_tdata2[4:0]; // @[el2_lib.scala 244:36] + wire _T_620 = _T_619 & _T_585; // @[el2_lib.scala 244:41] + wire _T_623 = io_trigger_pkt_any_2_tdata2[5] == lsu_match_data_2[5]; // @[el2_lib.scala 244:78] + wire _T_624 = _T_620 | _T_623; // @[el2_lib.scala 244:23] + wire _T_626 = &io_trigger_pkt_any_2_tdata2[5:0]; // @[el2_lib.scala 244:36] + wire _T_627 = _T_626 & _T_585; // @[el2_lib.scala 244:41] + wire _T_630 = io_trigger_pkt_any_2_tdata2[6] == lsu_match_data_2[6]; // @[el2_lib.scala 244:78] + wire _T_631 = _T_627 | _T_630; // @[el2_lib.scala 244:23] + wire _T_633 = &io_trigger_pkt_any_2_tdata2[6:0]; // @[el2_lib.scala 244:36] + wire _T_634 = _T_633 & _T_585; // @[el2_lib.scala 244:41] + wire _T_637 = io_trigger_pkt_any_2_tdata2[7] == lsu_match_data_2[7]; // @[el2_lib.scala 244:78] + wire _T_638 = _T_634 | _T_637; // @[el2_lib.scala 244:23] + wire _T_640 = &io_trigger_pkt_any_2_tdata2[7:0]; // @[el2_lib.scala 244:36] + wire _T_641 = _T_640 & _T_585; // @[el2_lib.scala 244:41] + wire _T_644 = io_trigger_pkt_any_2_tdata2[8] == lsu_match_data_2[8]; // @[el2_lib.scala 244:78] + wire _T_645 = _T_641 | _T_644; // @[el2_lib.scala 244:23] + wire _T_647 = &io_trigger_pkt_any_2_tdata2[8:0]; // @[el2_lib.scala 244:36] + wire _T_648 = _T_647 & _T_585; // @[el2_lib.scala 244:41] + wire _T_651 = io_trigger_pkt_any_2_tdata2[9] == lsu_match_data_2[9]; // @[el2_lib.scala 244:78] + wire _T_652 = _T_648 | _T_651; // @[el2_lib.scala 244:23] + wire _T_654 = &io_trigger_pkt_any_2_tdata2[9:0]; // @[el2_lib.scala 244:36] + wire _T_655 = _T_654 & _T_585; // @[el2_lib.scala 244:41] + wire _T_658 = io_trigger_pkt_any_2_tdata2[10] == lsu_match_data_2[10]; // @[el2_lib.scala 244:78] + wire _T_659 = _T_655 | _T_658; // @[el2_lib.scala 244:23] + wire _T_661 = &io_trigger_pkt_any_2_tdata2[10:0]; // @[el2_lib.scala 244:36] + wire _T_662 = _T_661 & _T_585; // @[el2_lib.scala 244:41] + wire _T_665 = io_trigger_pkt_any_2_tdata2[11] == lsu_match_data_2[11]; // @[el2_lib.scala 244:78] + wire _T_666 = _T_662 | _T_665; // @[el2_lib.scala 244:23] + wire _T_668 = &io_trigger_pkt_any_2_tdata2[11:0]; // @[el2_lib.scala 244:36] + wire _T_669 = _T_668 & _T_585; // @[el2_lib.scala 244:41] + wire _T_672 = io_trigger_pkt_any_2_tdata2[12] == lsu_match_data_2[12]; // @[el2_lib.scala 244:78] + wire _T_673 = _T_669 | _T_672; // @[el2_lib.scala 244:23] + wire _T_675 = &io_trigger_pkt_any_2_tdata2[12:0]; // @[el2_lib.scala 244:36] + wire _T_676 = _T_675 & _T_585; // @[el2_lib.scala 244:41] + wire _T_679 = io_trigger_pkt_any_2_tdata2[13] == lsu_match_data_2[13]; // @[el2_lib.scala 244:78] + wire _T_680 = _T_676 | _T_679; // @[el2_lib.scala 244:23] + wire _T_682 = &io_trigger_pkt_any_2_tdata2[13:0]; // @[el2_lib.scala 244:36] + wire _T_683 = _T_682 & _T_585; // @[el2_lib.scala 244:41] + wire _T_686 = io_trigger_pkt_any_2_tdata2[14] == lsu_match_data_2[14]; // @[el2_lib.scala 244:78] + wire _T_687 = _T_683 | _T_686; // @[el2_lib.scala 244:23] + wire _T_689 = &io_trigger_pkt_any_2_tdata2[14:0]; // @[el2_lib.scala 244:36] + wire _T_690 = _T_689 & _T_585; // @[el2_lib.scala 244:41] + wire _T_693 = io_trigger_pkt_any_2_tdata2[15] == lsu_match_data_2[15]; // @[el2_lib.scala 244:78] + wire _T_694 = _T_690 | _T_693; // @[el2_lib.scala 244:23] + wire _T_696 = &io_trigger_pkt_any_2_tdata2[15:0]; // @[el2_lib.scala 244:36] + wire _T_697 = _T_696 & _T_585; // @[el2_lib.scala 244:41] + wire _T_700 = io_trigger_pkt_any_2_tdata2[16] == lsu_match_data_2[16]; // @[el2_lib.scala 244:78] + wire _T_701 = _T_697 | _T_700; // @[el2_lib.scala 244:23] + wire _T_703 = &io_trigger_pkt_any_2_tdata2[16:0]; // @[el2_lib.scala 244:36] + wire _T_704 = _T_703 & _T_585; // @[el2_lib.scala 244:41] + wire _T_707 = io_trigger_pkt_any_2_tdata2[17] == lsu_match_data_2[17]; // @[el2_lib.scala 244:78] + wire _T_708 = _T_704 | _T_707; // @[el2_lib.scala 244:23] + wire _T_710 = &io_trigger_pkt_any_2_tdata2[17:0]; // @[el2_lib.scala 244:36] + wire _T_711 = _T_710 & _T_585; // @[el2_lib.scala 244:41] + wire _T_714 = io_trigger_pkt_any_2_tdata2[18] == lsu_match_data_2[18]; // @[el2_lib.scala 244:78] + wire _T_715 = _T_711 | _T_714; // @[el2_lib.scala 244:23] + wire _T_717 = &io_trigger_pkt_any_2_tdata2[18:0]; // @[el2_lib.scala 244:36] + wire _T_718 = _T_717 & _T_585; // @[el2_lib.scala 244:41] + wire _T_721 = io_trigger_pkt_any_2_tdata2[19] == lsu_match_data_2[19]; // @[el2_lib.scala 244:78] + wire _T_722 = _T_718 | _T_721; // @[el2_lib.scala 244:23] + wire _T_724 = &io_trigger_pkt_any_2_tdata2[19:0]; // @[el2_lib.scala 244:36] + wire _T_725 = _T_724 & _T_585; // @[el2_lib.scala 244:41] + wire _T_728 = io_trigger_pkt_any_2_tdata2[20] == lsu_match_data_2[20]; // @[el2_lib.scala 244:78] + wire _T_729 = _T_725 | _T_728; // @[el2_lib.scala 244:23] + wire _T_731 = &io_trigger_pkt_any_2_tdata2[20:0]; // @[el2_lib.scala 244:36] + wire _T_732 = _T_731 & _T_585; // @[el2_lib.scala 244:41] + wire _T_735 = io_trigger_pkt_any_2_tdata2[21] == lsu_match_data_2[21]; // @[el2_lib.scala 244:78] + wire _T_736 = _T_732 | _T_735; // @[el2_lib.scala 244:23] + wire _T_738 = &io_trigger_pkt_any_2_tdata2[21:0]; // @[el2_lib.scala 244:36] + wire _T_739 = _T_738 & _T_585; // @[el2_lib.scala 244:41] + wire _T_742 = io_trigger_pkt_any_2_tdata2[22] == lsu_match_data_2[22]; // @[el2_lib.scala 244:78] + wire _T_743 = _T_739 | _T_742; // @[el2_lib.scala 244:23] + wire _T_745 = &io_trigger_pkt_any_2_tdata2[22:0]; // @[el2_lib.scala 244:36] + wire _T_746 = _T_745 & _T_585; // @[el2_lib.scala 244:41] + wire _T_749 = io_trigger_pkt_any_2_tdata2[23] == lsu_match_data_2[23]; // @[el2_lib.scala 244:78] + wire _T_750 = _T_746 | _T_749; // @[el2_lib.scala 244:23] + wire _T_752 = &io_trigger_pkt_any_2_tdata2[23:0]; // @[el2_lib.scala 244:36] + wire _T_753 = _T_752 & _T_585; // @[el2_lib.scala 244:41] + wire _T_756 = io_trigger_pkt_any_2_tdata2[24] == lsu_match_data_2[24]; // @[el2_lib.scala 244:78] + wire _T_757 = _T_753 | _T_756; // @[el2_lib.scala 244:23] + wire _T_759 = &io_trigger_pkt_any_2_tdata2[24:0]; // @[el2_lib.scala 244:36] + wire _T_760 = _T_759 & _T_585; // @[el2_lib.scala 244:41] + wire _T_763 = io_trigger_pkt_any_2_tdata2[25] == lsu_match_data_2[25]; // @[el2_lib.scala 244:78] + wire _T_764 = _T_760 | _T_763; // @[el2_lib.scala 244:23] + wire _T_766 = &io_trigger_pkt_any_2_tdata2[25:0]; // @[el2_lib.scala 244:36] + wire _T_767 = _T_766 & _T_585; // @[el2_lib.scala 244:41] + wire _T_770 = io_trigger_pkt_any_2_tdata2[26] == lsu_match_data_2[26]; // @[el2_lib.scala 244:78] + wire _T_771 = _T_767 | _T_770; // @[el2_lib.scala 244:23] + wire _T_773 = &io_trigger_pkt_any_2_tdata2[26:0]; // @[el2_lib.scala 244:36] + wire _T_774 = _T_773 & _T_585; // @[el2_lib.scala 244:41] + wire _T_777 = io_trigger_pkt_any_2_tdata2[27] == lsu_match_data_2[27]; // @[el2_lib.scala 244:78] + wire _T_778 = _T_774 | _T_777; // @[el2_lib.scala 244:23] + wire _T_780 = &io_trigger_pkt_any_2_tdata2[27:0]; // @[el2_lib.scala 244:36] + wire _T_781 = _T_780 & _T_585; // @[el2_lib.scala 244:41] + wire _T_784 = io_trigger_pkt_any_2_tdata2[28] == lsu_match_data_2[28]; // @[el2_lib.scala 244:78] + wire _T_785 = _T_781 | _T_784; // @[el2_lib.scala 244:23] + wire _T_787 = &io_trigger_pkt_any_2_tdata2[28:0]; // @[el2_lib.scala 244:36] + wire _T_788 = _T_787 & _T_585; // @[el2_lib.scala 244:41] + wire _T_791 = io_trigger_pkt_any_2_tdata2[29] == lsu_match_data_2[29]; // @[el2_lib.scala 244:78] + wire _T_792 = _T_788 | _T_791; // @[el2_lib.scala 244:23] + wire _T_794 = &io_trigger_pkt_any_2_tdata2[29:0]; // @[el2_lib.scala 244:36] + wire _T_795 = _T_794 & _T_585; // @[el2_lib.scala 244:41] + wire _T_798 = io_trigger_pkt_any_2_tdata2[30] == lsu_match_data_2[30]; // @[el2_lib.scala 244:78] + wire _T_799 = _T_795 | _T_798; // @[el2_lib.scala 244:23] + wire _T_801 = &io_trigger_pkt_any_2_tdata2[30:0]; // @[el2_lib.scala 244:36] + wire _T_802 = _T_801 & _T_585; // @[el2_lib.scala 244:41] + wire _T_805 = io_trigger_pkt_any_2_tdata2[31] == lsu_match_data_2[31]; // @[el2_lib.scala 244:78] + wire _T_806 = _T_802 | _T_805; // @[el2_lib.scala 244:23] + wire [7:0] _T_813 = {_T_638,_T_631,_T_624,_T_617,_T_610,_T_603,_T_596,_T_589}; // @[el2_lib.scala 245:14] + wire [15:0] _T_821 = {_T_694,_T_687,_T_680,_T_673,_T_666,_T_659,_T_652,_T_645,_T_813}; // @[el2_lib.scala 245:14] + wire [7:0] _T_828 = {_T_750,_T_743,_T_736,_T_729,_T_722,_T_715,_T_708,_T_701}; // @[el2_lib.scala 245:14] + wire [31:0] _T_837 = {_T_806,_T_799,_T_792,_T_785,_T_778,_T_771,_T_764,_T_757,_T_828,_T_821}; // @[el2_lib.scala 245:14] + wire _T_838 = &_T_837; // @[el2_lib.scala 245:25] + wire _T_839 = _T_580 & _T_838; // @[lsu_trigger.scala 19:92] + wire _T_842 = io_trigger_pkt_any_3_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] + wire _T_843 = io_trigger_pkt_any_3_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] + wire _T_845 = _T_843 & _T_33; // @[lsu_trigger.scala 19:58] + wire _T_846 = _T_842 | _T_845; // @[lsu_trigger.scala 18:152] + wire _T_847 = _T_40 & _T_846; // @[lsu_trigger.scala 18:94] + wire _T_850 = &io_trigger_pkt_any_3_tdata2; // @[el2_lib.scala 241:45] + wire _T_851 = ~_T_850; // @[el2_lib.scala 241:39] + wire _T_852 = io_trigger_pkt_any_3_match_pkt & _T_851; // @[el2_lib.scala 241:37] + wire _T_855 = io_trigger_pkt_any_3_tdata2[0] == lsu_match_data_3[0]; // @[el2_lib.scala 242:52] + wire _T_856 = _T_852 | _T_855; // @[el2_lib.scala 242:41] + wire _T_858 = &io_trigger_pkt_any_3_tdata2[0]; // @[el2_lib.scala 244:36] + wire _T_859 = _T_858 & _T_852; // @[el2_lib.scala 244:41] + wire _T_862 = io_trigger_pkt_any_3_tdata2[1] == lsu_match_data_3[1]; // @[el2_lib.scala 244:78] + wire _T_863 = _T_859 | _T_862; // @[el2_lib.scala 244:23] + wire _T_865 = &io_trigger_pkt_any_3_tdata2[1:0]; // @[el2_lib.scala 244:36] + wire _T_866 = _T_865 & _T_852; // @[el2_lib.scala 244:41] + wire _T_869 = io_trigger_pkt_any_3_tdata2[2] == lsu_match_data_3[2]; // @[el2_lib.scala 244:78] + wire _T_870 = _T_866 | _T_869; // @[el2_lib.scala 244:23] + wire _T_872 = &io_trigger_pkt_any_3_tdata2[2:0]; // @[el2_lib.scala 244:36] + wire _T_873 = _T_872 & _T_852; // @[el2_lib.scala 244:41] + wire _T_876 = io_trigger_pkt_any_3_tdata2[3] == lsu_match_data_3[3]; // @[el2_lib.scala 244:78] + wire _T_877 = _T_873 | _T_876; // @[el2_lib.scala 244:23] + wire _T_879 = &io_trigger_pkt_any_3_tdata2[3:0]; // @[el2_lib.scala 244:36] + wire _T_880 = _T_879 & _T_852; // @[el2_lib.scala 244:41] + wire _T_883 = io_trigger_pkt_any_3_tdata2[4] == lsu_match_data_3[4]; // @[el2_lib.scala 244:78] + wire _T_884 = _T_880 | _T_883; // @[el2_lib.scala 244:23] + wire _T_886 = &io_trigger_pkt_any_3_tdata2[4:0]; // @[el2_lib.scala 244:36] + wire _T_887 = _T_886 & _T_852; // @[el2_lib.scala 244:41] + wire _T_890 = io_trigger_pkt_any_3_tdata2[5] == lsu_match_data_3[5]; // @[el2_lib.scala 244:78] + wire _T_891 = _T_887 | _T_890; // @[el2_lib.scala 244:23] + wire _T_893 = &io_trigger_pkt_any_3_tdata2[5:0]; // @[el2_lib.scala 244:36] + wire _T_894 = _T_893 & _T_852; // @[el2_lib.scala 244:41] + wire _T_897 = io_trigger_pkt_any_3_tdata2[6] == lsu_match_data_3[6]; // @[el2_lib.scala 244:78] + wire _T_898 = _T_894 | _T_897; // @[el2_lib.scala 244:23] + wire _T_900 = &io_trigger_pkt_any_3_tdata2[6:0]; // @[el2_lib.scala 244:36] + wire _T_901 = _T_900 & _T_852; // @[el2_lib.scala 244:41] + wire _T_904 = io_trigger_pkt_any_3_tdata2[7] == lsu_match_data_3[7]; // @[el2_lib.scala 244:78] + wire _T_905 = _T_901 | _T_904; // @[el2_lib.scala 244:23] + wire _T_907 = &io_trigger_pkt_any_3_tdata2[7:0]; // @[el2_lib.scala 244:36] + wire _T_908 = _T_907 & _T_852; // @[el2_lib.scala 244:41] + wire _T_911 = io_trigger_pkt_any_3_tdata2[8] == lsu_match_data_3[8]; // @[el2_lib.scala 244:78] + wire _T_912 = _T_908 | _T_911; // @[el2_lib.scala 244:23] + wire _T_914 = &io_trigger_pkt_any_3_tdata2[8:0]; // @[el2_lib.scala 244:36] + wire _T_915 = _T_914 & _T_852; // @[el2_lib.scala 244:41] + wire _T_918 = io_trigger_pkt_any_3_tdata2[9] == lsu_match_data_3[9]; // @[el2_lib.scala 244:78] + wire _T_919 = _T_915 | _T_918; // @[el2_lib.scala 244:23] + wire _T_921 = &io_trigger_pkt_any_3_tdata2[9:0]; // @[el2_lib.scala 244:36] + wire _T_922 = _T_921 & _T_852; // @[el2_lib.scala 244:41] + wire _T_925 = io_trigger_pkt_any_3_tdata2[10] == lsu_match_data_3[10]; // @[el2_lib.scala 244:78] + wire _T_926 = _T_922 | _T_925; // @[el2_lib.scala 244:23] + wire _T_928 = &io_trigger_pkt_any_3_tdata2[10:0]; // @[el2_lib.scala 244:36] + wire _T_929 = _T_928 & _T_852; // @[el2_lib.scala 244:41] + wire _T_932 = io_trigger_pkt_any_3_tdata2[11] == lsu_match_data_3[11]; // @[el2_lib.scala 244:78] + wire _T_933 = _T_929 | _T_932; // @[el2_lib.scala 244:23] + wire _T_935 = &io_trigger_pkt_any_3_tdata2[11:0]; // @[el2_lib.scala 244:36] + wire _T_936 = _T_935 & _T_852; // @[el2_lib.scala 244:41] + wire _T_939 = io_trigger_pkt_any_3_tdata2[12] == lsu_match_data_3[12]; // @[el2_lib.scala 244:78] + wire _T_940 = _T_936 | _T_939; // @[el2_lib.scala 244:23] + wire _T_942 = &io_trigger_pkt_any_3_tdata2[12:0]; // @[el2_lib.scala 244:36] + wire _T_943 = _T_942 & _T_852; // @[el2_lib.scala 244:41] + wire _T_946 = io_trigger_pkt_any_3_tdata2[13] == lsu_match_data_3[13]; // @[el2_lib.scala 244:78] + wire _T_947 = _T_943 | _T_946; // @[el2_lib.scala 244:23] + wire _T_949 = &io_trigger_pkt_any_3_tdata2[13:0]; // @[el2_lib.scala 244:36] + wire _T_950 = _T_949 & _T_852; // @[el2_lib.scala 244:41] + wire _T_953 = io_trigger_pkt_any_3_tdata2[14] == lsu_match_data_3[14]; // @[el2_lib.scala 244:78] + wire _T_954 = _T_950 | _T_953; // @[el2_lib.scala 244:23] + wire _T_956 = &io_trigger_pkt_any_3_tdata2[14:0]; // @[el2_lib.scala 244:36] + wire _T_957 = _T_956 & _T_852; // @[el2_lib.scala 244:41] + wire _T_960 = io_trigger_pkt_any_3_tdata2[15] == lsu_match_data_3[15]; // @[el2_lib.scala 244:78] + wire _T_961 = _T_957 | _T_960; // @[el2_lib.scala 244:23] + wire _T_963 = &io_trigger_pkt_any_3_tdata2[15:0]; // @[el2_lib.scala 244:36] + wire _T_964 = _T_963 & _T_852; // @[el2_lib.scala 244:41] + wire _T_967 = io_trigger_pkt_any_3_tdata2[16] == lsu_match_data_3[16]; // @[el2_lib.scala 244:78] + wire _T_968 = _T_964 | _T_967; // @[el2_lib.scala 244:23] + wire _T_970 = &io_trigger_pkt_any_3_tdata2[16:0]; // @[el2_lib.scala 244:36] + wire _T_971 = _T_970 & _T_852; // @[el2_lib.scala 244:41] + wire _T_974 = io_trigger_pkt_any_3_tdata2[17] == lsu_match_data_3[17]; // @[el2_lib.scala 244:78] + wire _T_975 = _T_971 | _T_974; // @[el2_lib.scala 244:23] + wire _T_977 = &io_trigger_pkt_any_3_tdata2[17:0]; // @[el2_lib.scala 244:36] + wire _T_978 = _T_977 & _T_852; // @[el2_lib.scala 244:41] + wire _T_981 = io_trigger_pkt_any_3_tdata2[18] == lsu_match_data_3[18]; // @[el2_lib.scala 244:78] + wire _T_982 = _T_978 | _T_981; // @[el2_lib.scala 244:23] + wire _T_984 = &io_trigger_pkt_any_3_tdata2[18:0]; // @[el2_lib.scala 244:36] + wire _T_985 = _T_984 & _T_852; // @[el2_lib.scala 244:41] + wire _T_988 = io_trigger_pkt_any_3_tdata2[19] == lsu_match_data_3[19]; // @[el2_lib.scala 244:78] + wire _T_989 = _T_985 | _T_988; // @[el2_lib.scala 244:23] + wire _T_991 = &io_trigger_pkt_any_3_tdata2[19:0]; // @[el2_lib.scala 244:36] + wire _T_992 = _T_991 & _T_852; // @[el2_lib.scala 244:41] + wire _T_995 = io_trigger_pkt_any_3_tdata2[20] == lsu_match_data_3[20]; // @[el2_lib.scala 244:78] + wire _T_996 = _T_992 | _T_995; // @[el2_lib.scala 244:23] + wire _T_998 = &io_trigger_pkt_any_3_tdata2[20:0]; // @[el2_lib.scala 244:36] + wire _T_999 = _T_998 & _T_852; // @[el2_lib.scala 244:41] + wire _T_1002 = io_trigger_pkt_any_3_tdata2[21] == lsu_match_data_3[21]; // @[el2_lib.scala 244:78] + wire _T_1003 = _T_999 | _T_1002; // @[el2_lib.scala 244:23] + wire _T_1005 = &io_trigger_pkt_any_3_tdata2[21:0]; // @[el2_lib.scala 244:36] + wire _T_1006 = _T_1005 & _T_852; // @[el2_lib.scala 244:41] + wire _T_1009 = io_trigger_pkt_any_3_tdata2[22] == lsu_match_data_3[22]; // @[el2_lib.scala 244:78] + wire _T_1010 = _T_1006 | _T_1009; // @[el2_lib.scala 244:23] + wire _T_1012 = &io_trigger_pkt_any_3_tdata2[22:0]; // @[el2_lib.scala 244:36] + wire _T_1013 = _T_1012 & _T_852; // @[el2_lib.scala 244:41] + wire _T_1016 = io_trigger_pkt_any_3_tdata2[23] == lsu_match_data_3[23]; // @[el2_lib.scala 244:78] + wire _T_1017 = _T_1013 | _T_1016; // @[el2_lib.scala 244:23] + wire _T_1019 = &io_trigger_pkt_any_3_tdata2[23:0]; // @[el2_lib.scala 244:36] + wire _T_1020 = _T_1019 & _T_852; // @[el2_lib.scala 244:41] + wire _T_1023 = io_trigger_pkt_any_3_tdata2[24] == lsu_match_data_3[24]; // @[el2_lib.scala 244:78] + wire _T_1024 = _T_1020 | _T_1023; // @[el2_lib.scala 244:23] + wire _T_1026 = &io_trigger_pkt_any_3_tdata2[24:0]; // @[el2_lib.scala 244:36] + wire _T_1027 = _T_1026 & _T_852; // @[el2_lib.scala 244:41] + wire _T_1030 = io_trigger_pkt_any_3_tdata2[25] == lsu_match_data_3[25]; // @[el2_lib.scala 244:78] + wire _T_1031 = _T_1027 | _T_1030; // @[el2_lib.scala 244:23] + wire _T_1033 = &io_trigger_pkt_any_3_tdata2[25:0]; // @[el2_lib.scala 244:36] + wire _T_1034 = _T_1033 & _T_852; // @[el2_lib.scala 244:41] + wire _T_1037 = io_trigger_pkt_any_3_tdata2[26] == lsu_match_data_3[26]; // @[el2_lib.scala 244:78] + wire _T_1038 = _T_1034 | _T_1037; // @[el2_lib.scala 244:23] + wire _T_1040 = &io_trigger_pkt_any_3_tdata2[26:0]; // @[el2_lib.scala 244:36] + wire _T_1041 = _T_1040 & _T_852; // @[el2_lib.scala 244:41] + wire _T_1044 = io_trigger_pkt_any_3_tdata2[27] == lsu_match_data_3[27]; // @[el2_lib.scala 244:78] + wire _T_1045 = _T_1041 | _T_1044; // @[el2_lib.scala 244:23] + wire _T_1047 = &io_trigger_pkt_any_3_tdata2[27:0]; // @[el2_lib.scala 244:36] + wire _T_1048 = _T_1047 & _T_852; // @[el2_lib.scala 244:41] + wire _T_1051 = io_trigger_pkt_any_3_tdata2[28] == lsu_match_data_3[28]; // @[el2_lib.scala 244:78] + wire _T_1052 = _T_1048 | _T_1051; // @[el2_lib.scala 244:23] + wire _T_1054 = &io_trigger_pkt_any_3_tdata2[28:0]; // @[el2_lib.scala 244:36] + wire _T_1055 = _T_1054 & _T_852; // @[el2_lib.scala 244:41] + wire _T_1058 = io_trigger_pkt_any_3_tdata2[29] == lsu_match_data_3[29]; // @[el2_lib.scala 244:78] + wire _T_1059 = _T_1055 | _T_1058; // @[el2_lib.scala 244:23] + wire _T_1061 = &io_trigger_pkt_any_3_tdata2[29:0]; // @[el2_lib.scala 244:36] + wire _T_1062 = _T_1061 & _T_852; // @[el2_lib.scala 244:41] + wire _T_1065 = io_trigger_pkt_any_3_tdata2[30] == lsu_match_data_3[30]; // @[el2_lib.scala 244:78] + wire _T_1066 = _T_1062 | _T_1065; // @[el2_lib.scala 244:23] + wire _T_1068 = &io_trigger_pkt_any_3_tdata2[30:0]; // @[el2_lib.scala 244:36] + wire _T_1069 = _T_1068 & _T_852; // @[el2_lib.scala 244:41] + wire _T_1072 = io_trigger_pkt_any_3_tdata2[31] == lsu_match_data_3[31]; // @[el2_lib.scala 244:78] + wire _T_1073 = _T_1069 | _T_1072; // @[el2_lib.scala 244:23] + wire [7:0] _T_1080 = {_T_905,_T_898,_T_891,_T_884,_T_877,_T_870,_T_863,_T_856}; // @[el2_lib.scala 245:14] + wire [15:0] _T_1088 = {_T_961,_T_954,_T_947,_T_940,_T_933,_T_926,_T_919,_T_912,_T_1080}; // @[el2_lib.scala 245:14] + wire [7:0] _T_1095 = {_T_1017,_T_1010,_T_1003,_T_996,_T_989,_T_982,_T_975,_T_968}; // @[el2_lib.scala 245:14] + wire [31:0] _T_1104 = {_T_1073,_T_1066,_T_1059,_T_1052,_T_1045,_T_1038,_T_1031,_T_1024,_T_1095,_T_1088}; // @[el2_lib.scala 245:14] + wire _T_1105 = &_T_1104; // @[el2_lib.scala 245:25] + wire _T_1106 = _T_847 & _T_1105; // @[lsu_trigger.scala 19:92] + wire [2:0] _T_1108 = {_T_1106,_T_839,_T_572}; // @[Cat.scala 29:58] + assign io_lsu_trigger_match_m = {_T_1108,_T_305}; // @[lsu_trigger.scala 18:26] endmodule module lsu_clkdomain( input clock, @@ -68043,6 +68174,7 @@ module lsu_bus_buffer( input io_lsu_axi_r_valid, input [2:0] io_lsu_axi_r_bits_id, input [63:0] io_lsu_axi_r_bits_data, + input [1:0] io_lsu_axi_r_bits_resp, input io_lsu_bus_clk_en, input io_lsu_bus_clk_en_q, output io_lsu_busreq_r, @@ -68275,85 +68407,85 @@ module lsu_bus_buffer( wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 141:95] wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[lsu_bus_buffer.scala 500:60] - wire _T_2621 = buf_state_3 == 3'h2; // @[lsu_bus_buffer.scala 412:93] + reg [3:0] buf_ageQ_3; // @[lsu_bus_buffer.scala 499:60] + wire _T_2621 = buf_state_3 == 3'h2; // @[lsu_bus_buffer.scala 411:93] wire _T_4107 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4130 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4134 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1848; // @[Reg.scala 27:20] - wire [2:0] obuf_tag0 = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 352:13] - wire _T_4141 = obuf_tag0 == 3'h3; // @[lsu_bus_buffer.scala 455:48] + wire [2:0] obuf_tag0 = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 351:13] + wire _T_4141 = obuf_tag0 == 3'h3; // @[lsu_bus_buffer.scala 454:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_358 = {{1'd0}, obuf_tag1}; // @[lsu_bus_buffer.scala 455:104] - wire _T_4142 = _GEN_358 == 3'h3; // @[lsu_bus_buffer.scala 455:104] - wire _T_4143 = obuf_merge & _T_4142; // @[lsu_bus_buffer.scala 455:91] - wire _T_4144 = _T_4141 | _T_4143; // @[lsu_bus_buffer.scala 455:77] - reg obuf_valid; // @[lsu_bus_buffer.scala 346:54] - wire _T_4145 = _T_4144 & obuf_valid; // @[lsu_bus_buffer.scala 455:135] - reg obuf_wr_enQ; // @[lsu_bus_buffer.scala 345:55] - wire _T_4146 = _T_4145 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 455:148] + wire [2:0] _GEN_358 = {{1'd0}, obuf_tag1}; // @[lsu_bus_buffer.scala 454:104] + wire _T_4142 = _GEN_358 == 3'h3; // @[lsu_bus_buffer.scala 454:104] + wire _T_4143 = obuf_merge & _T_4142; // @[lsu_bus_buffer.scala 454:91] + wire _T_4144 = _T_4141 | _T_4143; // @[lsu_bus_buffer.scala 454:77] + reg obuf_valid; // @[lsu_bus_buffer.scala 345:54] + wire _T_4145 = _T_4144 & obuf_valid; // @[lsu_bus_buffer.scala 454:135] + reg obuf_wr_enQ; // @[lsu_bus_buffer.scala 344:55] + wire _T_4146 = _T_4145 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 454:148] wire _GEN_280 = _T_4134 & _T_4146; // @[Conditional.scala 39:67] wire _GEN_293 = _T_4130 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_3 = _T_4107 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2622 = _T_2621 & buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 412:103] - wire _T_2623 = ~_T_2622; // @[lsu_bus_buffer.scala 412:78] - wire _T_2624 = buf_ageQ_3[3] & _T_2623; // @[lsu_bus_buffer.scala 412:76] - wire _T_2616 = buf_state_2 == 3'h2; // @[lsu_bus_buffer.scala 412:93] + wire _T_2622 = _T_2621 & buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 411:103] + wire _T_2623 = ~_T_2622; // @[lsu_bus_buffer.scala 411:78] + wire _T_2624 = buf_ageQ_3[3] & _T_2623; // @[lsu_bus_buffer.scala 411:76] + wire _T_2616 = buf_state_2 == 3'h2; // @[lsu_bus_buffer.scala 411:93] wire _T_3914 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3937 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3941 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3948 = obuf_tag0 == 3'h2; // @[lsu_bus_buffer.scala 455:48] - wire _T_3949 = _GEN_358 == 3'h2; // @[lsu_bus_buffer.scala 455:104] - wire _T_3950 = obuf_merge & _T_3949; // @[lsu_bus_buffer.scala 455:91] - wire _T_3951 = _T_3948 | _T_3950; // @[lsu_bus_buffer.scala 455:77] - wire _T_3952 = _T_3951 & obuf_valid; // @[lsu_bus_buffer.scala 455:135] - wire _T_3953 = _T_3952 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 455:148] + wire _T_3948 = obuf_tag0 == 3'h2; // @[lsu_bus_buffer.scala 454:48] + wire _T_3949 = _GEN_358 == 3'h2; // @[lsu_bus_buffer.scala 454:104] + wire _T_3950 = obuf_merge & _T_3949; // @[lsu_bus_buffer.scala 454:91] + wire _T_3951 = _T_3948 | _T_3950; // @[lsu_bus_buffer.scala 454:77] + wire _T_3952 = _T_3951 & obuf_valid; // @[lsu_bus_buffer.scala 454:135] + wire _T_3953 = _T_3952 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 454:148] wire _GEN_204 = _T_3941 & _T_3953; // @[Conditional.scala 39:67] wire _GEN_217 = _T_3937 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_2 = _T_3914 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2617 = _T_2616 & buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 412:103] - wire _T_2618 = ~_T_2617; // @[lsu_bus_buffer.scala 412:78] - wire _T_2619 = buf_ageQ_3[2] & _T_2618; // @[lsu_bus_buffer.scala 412:76] - wire _T_2611 = buf_state_1 == 3'h2; // @[lsu_bus_buffer.scala 412:93] + wire _T_2617 = _T_2616 & buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 411:103] + wire _T_2618 = ~_T_2617; // @[lsu_bus_buffer.scala 411:78] + wire _T_2619 = buf_ageQ_3[2] & _T_2618; // @[lsu_bus_buffer.scala 411:76] + wire _T_2611 = buf_state_1 == 3'h2; // @[lsu_bus_buffer.scala 411:93] wire _T_3721 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3744 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3748 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3755 = obuf_tag0 == 3'h1; // @[lsu_bus_buffer.scala 455:48] - wire _T_3756 = _GEN_358 == 3'h1; // @[lsu_bus_buffer.scala 455:104] - wire _T_3757 = obuf_merge & _T_3756; // @[lsu_bus_buffer.scala 455:91] - wire _T_3758 = _T_3755 | _T_3757; // @[lsu_bus_buffer.scala 455:77] - wire _T_3759 = _T_3758 & obuf_valid; // @[lsu_bus_buffer.scala 455:135] - wire _T_3760 = _T_3759 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 455:148] + wire _T_3755 = obuf_tag0 == 3'h1; // @[lsu_bus_buffer.scala 454:48] + wire _T_3756 = _GEN_358 == 3'h1; // @[lsu_bus_buffer.scala 454:104] + wire _T_3757 = obuf_merge & _T_3756; // @[lsu_bus_buffer.scala 454:91] + wire _T_3758 = _T_3755 | _T_3757; // @[lsu_bus_buffer.scala 454:77] + wire _T_3759 = _T_3758 & obuf_valid; // @[lsu_bus_buffer.scala 454:135] + wire _T_3760 = _T_3759 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 454:148] wire _GEN_128 = _T_3748 & _T_3760; // @[Conditional.scala 39:67] wire _GEN_141 = _T_3744 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_1 = _T_3721 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2612 = _T_2611 & buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 412:103] - wire _T_2613 = ~_T_2612; // @[lsu_bus_buffer.scala 412:78] - wire _T_2614 = buf_ageQ_3[1] & _T_2613; // @[lsu_bus_buffer.scala 412:76] - wire _T_2606 = buf_state_0 == 3'h2; // @[lsu_bus_buffer.scala 412:93] + wire _T_2612 = _T_2611 & buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 411:103] + wire _T_2613 = ~_T_2612; // @[lsu_bus_buffer.scala 411:78] + wire _T_2614 = buf_ageQ_3[1] & _T_2613; // @[lsu_bus_buffer.scala 411:76] + wire _T_2606 = buf_state_0 == 3'h2; // @[lsu_bus_buffer.scala 411:93] wire _T_3528 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3551 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3555 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3562 = obuf_tag0 == 3'h0; // @[lsu_bus_buffer.scala 455:48] - wire _T_3563 = _GEN_358 == 3'h0; // @[lsu_bus_buffer.scala 455:104] - wire _T_3564 = obuf_merge & _T_3563; // @[lsu_bus_buffer.scala 455:91] - wire _T_3565 = _T_3562 | _T_3564; // @[lsu_bus_buffer.scala 455:77] - wire _T_3566 = _T_3565 & obuf_valid; // @[lsu_bus_buffer.scala 455:135] - wire _T_3567 = _T_3566 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 455:148] + wire _T_3562 = obuf_tag0 == 3'h0; // @[lsu_bus_buffer.scala 454:48] + wire _T_3563 = _GEN_358 == 3'h0; // @[lsu_bus_buffer.scala 454:104] + wire _T_3564 = obuf_merge & _T_3563; // @[lsu_bus_buffer.scala 454:91] + wire _T_3565 = _T_3562 | _T_3564; // @[lsu_bus_buffer.scala 454:77] + wire _T_3566 = _T_3565 & obuf_valid; // @[lsu_bus_buffer.scala 454:135] + wire _T_3567 = _T_3566 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 454:148] wire _GEN_52 = _T_3555 & _T_3567; // @[Conditional.scala 39:67] wire _GEN_65 = _T_3551 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_0 = _T_3528 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2607 = _T_2606 & buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 412:103] - wire _T_2608 = ~_T_2607; // @[lsu_bus_buffer.scala 412:78] - wire _T_2609 = buf_ageQ_3[0] & _T_2608; // @[lsu_bus_buffer.scala 412:76] + wire _T_2607 = _T_2606 & buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 411:103] + wire _T_2608 = ~_T_2607; // @[lsu_bus_buffer.scala 411:78] + wire _T_2609 = buf_ageQ_3[0] & _T_2608; // @[lsu_bus_buffer.scala 411:76] wire [3:0] buf_age_3 = {_T_2624,_T_2619,_T_2614,_T_2609}; // @[Cat.scala 29:58] - wire _T_2723 = ~buf_age_3[2]; // @[lsu_bus_buffer.scala 413:89] - wire _T_2725 = _T_2723 & _T_19; // @[lsu_bus_buffer.scala 413:104] - wire _T_2717 = ~buf_age_3[1]; // @[lsu_bus_buffer.scala 413:89] - wire _T_2719 = _T_2717 & _T_12; // @[lsu_bus_buffer.scala 413:104] - wire _T_2711 = ~buf_age_3[0]; // @[lsu_bus_buffer.scala 413:89] - wire _T_2713 = _T_2711 & _T_5; // @[lsu_bus_buffer.scala 413:104] + wire _T_2723 = ~buf_age_3[2]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2725 = _T_2723 & _T_19; // @[lsu_bus_buffer.scala 412:104] + wire _T_2717 = ~buf_age_3[1]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2719 = _T_2717 & _T_12; // @[lsu_bus_buffer.scala 412:104] + wire _T_2711 = ~buf_age_3[0]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2713 = _T_2711 & _T_5; // @[lsu_bus_buffer.scala 412:104] wire [3:0] buf_age_younger_3 = {1'h0,_T_2725,_T_2719,_T_2713}; // @[Cat.scala 29:58] wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] wire _T_256 = |_T_255; // @[lsu_bus_buffer.scala 146:144] @@ -68372,54 +68504,54 @@ module lsu_bus_buffer( wire [3:0] ld_byte_ibuf_hit_lo = _T_522 & ldst_byteen_lo_m; // @[lsu_bus_buffer.scala 157:69] wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 146:150] wire _T_261 = _T_258 & _T_260; // @[lsu_bus_buffer.scala 146:148] - reg [3:0] buf_ageQ_2; // @[lsu_bus_buffer.scala 500:60] - wire _T_2601 = buf_ageQ_2[3] & _T_2623; // @[lsu_bus_buffer.scala 412:76] - wire _T_2596 = buf_ageQ_2[2] & _T_2618; // @[lsu_bus_buffer.scala 412:76] - wire _T_2591 = buf_ageQ_2[1] & _T_2613; // @[lsu_bus_buffer.scala 412:76] - wire _T_2586 = buf_ageQ_2[0] & _T_2608; // @[lsu_bus_buffer.scala 412:76] + reg [3:0] buf_ageQ_2; // @[lsu_bus_buffer.scala 499:60] + wire _T_2601 = buf_ageQ_2[3] & _T_2623; // @[lsu_bus_buffer.scala 411:76] + wire _T_2596 = buf_ageQ_2[2] & _T_2618; // @[lsu_bus_buffer.scala 411:76] + wire _T_2591 = buf_ageQ_2[1] & _T_2613; // @[lsu_bus_buffer.scala 411:76] + wire _T_2586 = buf_ageQ_2[0] & _T_2608; // @[lsu_bus_buffer.scala 411:76] wire [3:0] buf_age_2 = {_T_2601,_T_2596,_T_2591,_T_2586}; // @[Cat.scala 29:58] - wire _T_2702 = ~buf_age_2[3]; // @[lsu_bus_buffer.scala 413:89] - wire _T_2704 = _T_2702 & _T_26; // @[lsu_bus_buffer.scala 413:104] - wire _T_2690 = ~buf_age_2[1]; // @[lsu_bus_buffer.scala 413:89] - wire _T_2692 = _T_2690 & _T_12; // @[lsu_bus_buffer.scala 413:104] - wire _T_2684 = ~buf_age_2[0]; // @[lsu_bus_buffer.scala 413:89] - wire _T_2686 = _T_2684 & _T_5; // @[lsu_bus_buffer.scala 413:104] + wire _T_2702 = ~buf_age_2[3]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2704 = _T_2702 & _T_26; // @[lsu_bus_buffer.scala 412:104] + wire _T_2690 = ~buf_age_2[1]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2692 = _T_2690 & _T_12; // @[lsu_bus_buffer.scala 412:104] + wire _T_2684 = ~buf_age_2[0]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2686 = _T_2684 & _T_5; // @[lsu_bus_buffer.scala 412:104] wire [3:0] buf_age_younger_2 = {_T_2704,1'h0,_T_2692,_T_2686}; // @[Cat.scala 29:58] wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] wire _T_248 = |_T_247; // @[lsu_bus_buffer.scala 146:144] wire _T_249 = ~_T_248; // @[lsu_bus_buffer.scala 146:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[lsu_bus_buffer.scala 146:97] wire _T_253 = _T_250 & _T_260; // @[lsu_bus_buffer.scala 146:148] - reg [3:0] buf_ageQ_1; // @[lsu_bus_buffer.scala 500:60] - wire _T_2578 = buf_ageQ_1[3] & _T_2623; // @[lsu_bus_buffer.scala 412:76] - wire _T_2573 = buf_ageQ_1[2] & _T_2618; // @[lsu_bus_buffer.scala 412:76] - wire _T_2568 = buf_ageQ_1[1] & _T_2613; // @[lsu_bus_buffer.scala 412:76] - wire _T_2563 = buf_ageQ_1[0] & _T_2608; // @[lsu_bus_buffer.scala 412:76] + reg [3:0] buf_ageQ_1; // @[lsu_bus_buffer.scala 499:60] + wire _T_2578 = buf_ageQ_1[3] & _T_2623; // @[lsu_bus_buffer.scala 411:76] + wire _T_2573 = buf_ageQ_1[2] & _T_2618; // @[lsu_bus_buffer.scala 411:76] + wire _T_2568 = buf_ageQ_1[1] & _T_2613; // @[lsu_bus_buffer.scala 411:76] + wire _T_2563 = buf_ageQ_1[0] & _T_2608; // @[lsu_bus_buffer.scala 411:76] wire [3:0] buf_age_1 = {_T_2578,_T_2573,_T_2568,_T_2563}; // @[Cat.scala 29:58] - wire _T_2675 = ~buf_age_1[3]; // @[lsu_bus_buffer.scala 413:89] - wire _T_2677 = _T_2675 & _T_26; // @[lsu_bus_buffer.scala 413:104] - wire _T_2669 = ~buf_age_1[2]; // @[lsu_bus_buffer.scala 413:89] - wire _T_2671 = _T_2669 & _T_19; // @[lsu_bus_buffer.scala 413:104] - wire _T_2657 = ~buf_age_1[0]; // @[lsu_bus_buffer.scala 413:89] - wire _T_2659 = _T_2657 & _T_5; // @[lsu_bus_buffer.scala 413:104] + wire _T_2675 = ~buf_age_1[3]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2677 = _T_2675 & _T_26; // @[lsu_bus_buffer.scala 412:104] + wire _T_2669 = ~buf_age_1[2]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2671 = _T_2669 & _T_19; // @[lsu_bus_buffer.scala 412:104] + wire _T_2657 = ~buf_age_1[0]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2659 = _T_2657 & _T_5; // @[lsu_bus_buffer.scala 412:104] wire [3:0] buf_age_younger_1 = {_T_2677,_T_2671,1'h0,_T_2659}; // @[Cat.scala 29:58] wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] wire _T_240 = |_T_239; // @[lsu_bus_buffer.scala 146:144] wire _T_241 = ~_T_240; // @[lsu_bus_buffer.scala 146:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[lsu_bus_buffer.scala 146:97] wire _T_245 = _T_242 & _T_260; // @[lsu_bus_buffer.scala 146:148] - reg [3:0] buf_ageQ_0; // @[lsu_bus_buffer.scala 500:60] - wire _T_2555 = buf_ageQ_0[3] & _T_2623; // @[lsu_bus_buffer.scala 412:76] - wire _T_2550 = buf_ageQ_0[2] & _T_2618; // @[lsu_bus_buffer.scala 412:76] - wire _T_2545 = buf_ageQ_0[1] & _T_2613; // @[lsu_bus_buffer.scala 412:76] - wire _T_2540 = buf_ageQ_0[0] & _T_2608; // @[lsu_bus_buffer.scala 412:76] + reg [3:0] buf_ageQ_0; // @[lsu_bus_buffer.scala 499:60] + wire _T_2555 = buf_ageQ_0[3] & _T_2623; // @[lsu_bus_buffer.scala 411:76] + wire _T_2550 = buf_ageQ_0[2] & _T_2618; // @[lsu_bus_buffer.scala 411:76] + wire _T_2545 = buf_ageQ_0[1] & _T_2613; // @[lsu_bus_buffer.scala 411:76] + wire _T_2540 = buf_ageQ_0[0] & _T_2608; // @[lsu_bus_buffer.scala 411:76] wire [3:0] buf_age_0 = {_T_2555,_T_2550,_T_2545,_T_2540}; // @[Cat.scala 29:58] - wire _T_2648 = ~buf_age_0[3]; // @[lsu_bus_buffer.scala 413:89] - wire _T_2650 = _T_2648 & _T_26; // @[lsu_bus_buffer.scala 413:104] - wire _T_2642 = ~buf_age_0[2]; // @[lsu_bus_buffer.scala 413:89] - wire _T_2644 = _T_2642 & _T_19; // @[lsu_bus_buffer.scala 413:104] - wire _T_2636 = ~buf_age_0[1]; // @[lsu_bus_buffer.scala 413:89] - wire _T_2638 = _T_2636 & _T_12; // @[lsu_bus_buffer.scala 413:104] + wire _T_2648 = ~buf_age_0[3]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2650 = _T_2648 & _T_26; // @[lsu_bus_buffer.scala 412:104] + wire _T_2642 = ~buf_age_0[2]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2644 = _T_2642 & _T_19; // @[lsu_bus_buffer.scala 412:104] + wire _T_2636 = ~buf_age_0[1]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2638 = _T_2636 & _T_12; // @[lsu_bus_buffer.scala 412:104] wire [3:0] buf_age_younger_0 = {_T_2650,_T_2644,_T_2638,1'h0}; // @[Cat.scala 29:58] wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] wire _T_232 = |_T_231; // @[lsu_bus_buffer.scala 146:144] @@ -68867,8 +68999,8 @@ module lsu_bus_buffer( wire ibuf_drain_vld = ibuf_valid & _T_874; // @[lsu_bus_buffer.scala 215:32] wire _T_856 = ibuf_drain_vld & _T_855; // @[lsu_bus_buffer.scala 209:34] wire ibuf_rst = _T_856 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 209:49] - reg [1:0] WrPtr1_r; // @[lsu_bus_buffer.scala 616:49] - reg [1:0] WrPtr0_r; // @[lsu_bus_buffer.scala 615:49] + reg [1:0] WrPtr1_r; // @[lsu_bus_buffer.scala 615:49] + reg [1:0] WrPtr0_r; // @[lsu_bus_buffer.scala 614:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_bits_word,io_lsu_pkt_r_bits_half}; // @[Cat.scala 29:58] wire [3:0] _T_881 = ibuf_byteen | ldst_byteen_lo_r; // @[lsu_bus_buffer.scala 225:77] @@ -68911,68 +69043,68 @@ module lsu_bus_buffer( reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4446 = buf_write[3] & _T_2621; // @[lsu_bus_buffer.scala 522:64] - wire _T_4447 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 522:91] - wire _T_4448 = _T_4446 & _T_4447; // @[lsu_bus_buffer.scala 522:89] - wire _T_4441 = buf_write[2] & _T_2616; // @[lsu_bus_buffer.scala 522:64] - wire _T_4442 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 522:91] - wire _T_4443 = _T_4441 & _T_4442; // @[lsu_bus_buffer.scala 522:89] - wire [1:0] _T_4449 = _T_4448 + _T_4443; // @[lsu_bus_buffer.scala 522:142] - wire _T_4436 = buf_write[1] & _T_2611; // @[lsu_bus_buffer.scala 522:64] - wire _T_4437 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 522:91] - wire _T_4438 = _T_4436 & _T_4437; // @[lsu_bus_buffer.scala 522:89] - wire [1:0] _GEN_362 = {{1'd0}, _T_4438}; // @[lsu_bus_buffer.scala 522:142] - wire [2:0] _T_4450 = _T_4449 + _GEN_362; // @[lsu_bus_buffer.scala 522:142] - wire _T_4431 = buf_write[0] & _T_2606; // @[lsu_bus_buffer.scala 522:64] - wire _T_4432 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 522:91] - wire _T_4433 = _T_4431 & _T_4432; // @[lsu_bus_buffer.scala 522:89] - wire [2:0] _GEN_363 = {{2'd0}, _T_4433}; // @[lsu_bus_buffer.scala 522:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4450 + _GEN_363; // @[lsu_bus_buffer.scala 522:142] + wire _T_4446 = buf_write[3] & _T_2621; // @[lsu_bus_buffer.scala 521:64] + wire _T_4447 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 521:91] + wire _T_4448 = _T_4446 & _T_4447; // @[lsu_bus_buffer.scala 521:89] + wire _T_4441 = buf_write[2] & _T_2616; // @[lsu_bus_buffer.scala 521:64] + wire _T_4442 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 521:91] + wire _T_4443 = _T_4441 & _T_4442; // @[lsu_bus_buffer.scala 521:89] + wire [1:0] _T_4449 = _T_4448 + _T_4443; // @[lsu_bus_buffer.scala 521:142] + wire _T_4436 = buf_write[1] & _T_2611; // @[lsu_bus_buffer.scala 521:64] + wire _T_4437 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 521:91] + wire _T_4438 = _T_4436 & _T_4437; // @[lsu_bus_buffer.scala 521:89] + wire [1:0] _GEN_362 = {{1'd0}, _T_4438}; // @[lsu_bus_buffer.scala 521:142] + wire [2:0] _T_4450 = _T_4449 + _GEN_362; // @[lsu_bus_buffer.scala 521:142] + wire _T_4431 = buf_write[0] & _T_2606; // @[lsu_bus_buffer.scala 521:64] + wire _T_4432 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 521:91] + wire _T_4433 = _T_4431 & _T_4432; // @[lsu_bus_buffer.scala 521:89] + wire [2:0] _GEN_363 = {{2'd0}, _T_4433}; // @[lsu_bus_buffer.scala 521:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4450 + _GEN_363; // @[lsu_bus_buffer.scala 521:142] wire _T_1016 = buf_numvld_wrcmd_any == 4'h1; // @[lsu_bus_buffer.scala 262:43] - wire _T_4463 = _T_2621 & _T_4447; // @[lsu_bus_buffer.scala 523:73] - wire _T_4460 = _T_2616 & _T_4442; // @[lsu_bus_buffer.scala 523:73] - wire [1:0] _T_4464 = _T_4463 + _T_4460; // @[lsu_bus_buffer.scala 523:126] - wire _T_4457 = _T_2611 & _T_4437; // @[lsu_bus_buffer.scala 523:73] - wire [1:0] _GEN_364 = {{1'd0}, _T_4457}; // @[lsu_bus_buffer.scala 523:126] - wire [2:0] _T_4465 = _T_4464 + _GEN_364; // @[lsu_bus_buffer.scala 523:126] - wire _T_4454 = _T_2606 & _T_4432; // @[lsu_bus_buffer.scala 523:73] - wire [2:0] _GEN_365 = {{2'd0}, _T_4454}; // @[lsu_bus_buffer.scala 523:126] - wire [3:0] buf_numvld_cmd_any = _T_4465 + _GEN_365; // @[lsu_bus_buffer.scala 523:126] + wire _T_4463 = _T_2621 & _T_4447; // @[lsu_bus_buffer.scala 522:73] + wire _T_4460 = _T_2616 & _T_4442; // @[lsu_bus_buffer.scala 522:73] + wire [1:0] _T_4464 = _T_4463 + _T_4460; // @[lsu_bus_buffer.scala 522:126] + wire _T_4457 = _T_2611 & _T_4437; // @[lsu_bus_buffer.scala 522:73] + wire [1:0] _GEN_364 = {{1'd0}, _T_4457}; // @[lsu_bus_buffer.scala 522:126] + wire [2:0] _T_4465 = _T_4464 + _GEN_364; // @[lsu_bus_buffer.scala 522:126] + wire _T_4454 = _T_2606 & _T_4432; // @[lsu_bus_buffer.scala 522:73] + wire [2:0] _GEN_365 = {{2'd0}, _T_4454}; // @[lsu_bus_buffer.scala 522:126] + wire [3:0] buf_numvld_cmd_any = _T_4465 + _GEN_365; // @[lsu_bus_buffer.scala 522:126] wire _T_1017 = buf_numvld_cmd_any == 4'h1; // @[lsu_bus_buffer.scala 262:72] wire _T_1018 = _T_1016 & _T_1017; // @[lsu_bus_buffer.scala 262:51] - reg [2:0] obuf_wr_timer; // @[lsu_bus_buffer.scala 361:54] + reg [2:0] obuf_wr_timer; // @[lsu_bus_buffer.scala 360:54] wire _T_1019 = obuf_wr_timer != 3'h7; // @[lsu_bus_buffer.scala 262:97] wire _T_1020 = _T_1018 & _T_1019; // @[lsu_bus_buffer.scala 262:80] wire _T_1022 = _T_1020 & _T_938; // @[lsu_bus_buffer.scala 262:114] - wire _T_1979 = |buf_age_3; // @[lsu_bus_buffer.scala 378:58] - wire _T_1980 = ~_T_1979; // @[lsu_bus_buffer.scala 378:45] - wire _T_1982 = _T_1980 & _T_2621; // @[lsu_bus_buffer.scala 378:63] - wire _T_1984 = _T_1982 & _T_4447; // @[lsu_bus_buffer.scala 378:88] - wire _T_1973 = |buf_age_2; // @[lsu_bus_buffer.scala 378:58] - wire _T_1974 = ~_T_1973; // @[lsu_bus_buffer.scala 378:45] - wire _T_1976 = _T_1974 & _T_2616; // @[lsu_bus_buffer.scala 378:63] - wire _T_1978 = _T_1976 & _T_4442; // @[lsu_bus_buffer.scala 378:88] - wire _T_1967 = |buf_age_1; // @[lsu_bus_buffer.scala 378:58] - wire _T_1968 = ~_T_1967; // @[lsu_bus_buffer.scala 378:45] - wire _T_1970 = _T_1968 & _T_2611; // @[lsu_bus_buffer.scala 378:63] - wire _T_1972 = _T_1970 & _T_4437; // @[lsu_bus_buffer.scala 378:88] - wire _T_1961 = |buf_age_0; // @[lsu_bus_buffer.scala 378:58] - wire _T_1962 = ~_T_1961; // @[lsu_bus_buffer.scala 378:45] - wire _T_1964 = _T_1962 & _T_2606; // @[lsu_bus_buffer.scala 378:63] - wire _T_1966 = _T_1964 & _T_4432; // @[lsu_bus_buffer.scala 378:88] + wire _T_1979 = |buf_age_3; // @[lsu_bus_buffer.scala 377:58] + wire _T_1980 = ~_T_1979; // @[lsu_bus_buffer.scala 377:45] + wire _T_1982 = _T_1980 & _T_2621; // @[lsu_bus_buffer.scala 377:63] + wire _T_1984 = _T_1982 & _T_4447; // @[lsu_bus_buffer.scala 377:88] + wire _T_1973 = |buf_age_2; // @[lsu_bus_buffer.scala 377:58] + wire _T_1974 = ~_T_1973; // @[lsu_bus_buffer.scala 377:45] + wire _T_1976 = _T_1974 & _T_2616; // @[lsu_bus_buffer.scala 377:63] + wire _T_1978 = _T_1976 & _T_4442; // @[lsu_bus_buffer.scala 377:88] + wire _T_1967 = |buf_age_1; // @[lsu_bus_buffer.scala 377:58] + wire _T_1968 = ~_T_1967; // @[lsu_bus_buffer.scala 377:45] + wire _T_1970 = _T_1968 & _T_2611; // @[lsu_bus_buffer.scala 377:63] + wire _T_1972 = _T_1970 & _T_4437; // @[lsu_bus_buffer.scala 377:88] + wire _T_1961 = |buf_age_0; // @[lsu_bus_buffer.scala 377:58] + wire _T_1962 = ~_T_1961; // @[lsu_bus_buffer.scala 377:45] + wire _T_1964 = _T_1962 & _T_2606; // @[lsu_bus_buffer.scala 377:63] + wire _T_1966 = _T_1964 & _T_4432; // @[lsu_bus_buffer.scala 377:88] wire [3:0] CmdPtr0Dec = {_T_1984,_T_1978,_T_1972,_T_1966}; // @[Cat.scala 29:58] wire [7:0] _T_2054 = {4'h0,_T_1984,_T_1978,_T_1972,_T_1966}; // @[Cat.scala 29:58] - wire _T_2057 = _T_2054[4] | _T_2054[5]; // @[lsu_bus_buffer.scala 386:42] - wire _T_2059 = _T_2057 | _T_2054[6]; // @[lsu_bus_buffer.scala 386:48] - wire _T_2061 = _T_2059 | _T_2054[7]; // @[lsu_bus_buffer.scala 386:54] - wire _T_2064 = _T_2054[2] | _T_2054[3]; // @[lsu_bus_buffer.scala 386:67] - wire _T_2066 = _T_2064 | _T_2054[6]; // @[lsu_bus_buffer.scala 386:73] - wire _T_2068 = _T_2066 | _T_2054[7]; // @[lsu_bus_buffer.scala 386:79] - wire _T_2071 = _T_2054[1] | _T_2054[3]; // @[lsu_bus_buffer.scala 386:92] - wire _T_2073 = _T_2071 | _T_2054[5]; // @[lsu_bus_buffer.scala 386:98] - wire _T_2075 = _T_2073 | _T_2054[7]; // @[lsu_bus_buffer.scala 386:104] + wire _T_2057 = _T_2054[4] | _T_2054[5]; // @[lsu_bus_buffer.scala 385:42] + wire _T_2059 = _T_2057 | _T_2054[6]; // @[lsu_bus_buffer.scala 385:48] + wire _T_2061 = _T_2059 | _T_2054[7]; // @[lsu_bus_buffer.scala 385:54] + wire _T_2064 = _T_2054[2] | _T_2054[3]; // @[lsu_bus_buffer.scala 385:67] + wire _T_2066 = _T_2064 | _T_2054[6]; // @[lsu_bus_buffer.scala 385:73] + wire _T_2068 = _T_2066 | _T_2054[7]; // @[lsu_bus_buffer.scala 385:79] + wire _T_2071 = _T_2054[1] | _T_2054[3]; // @[lsu_bus_buffer.scala 385:92] + wire _T_2073 = _T_2071 | _T_2054[5]; // @[lsu_bus_buffer.scala 385:98] + wire _T_2075 = _T_2073 | _T_2054[7]; // @[lsu_bus_buffer.scala 385:104] wire [2:0] _T_2077 = {_T_2061,_T_2068,_T_2075}; // @[Cat.scala 29:58] - wire [1:0] CmdPtr0 = _T_2077[1:0]; // @[lsu_bus_buffer.scala 391:11] + wire [1:0] CmdPtr0 = _T_2077[1:0]; // @[lsu_bus_buffer.scala 390:11] wire _T_1023 = CmdPtr0 == 2'h0; // @[lsu_bus_buffer.scala 263:114] wire _T_1024 = CmdPtr0 == 2'h1; // @[lsu_bus_buffer.scala 263:114] wire _T_1025 = CmdPtr0 == 2'h2; // @[lsu_bus_buffer.scala 263:114] @@ -69021,40 +69153,44 @@ module lsu_bus_buffer( wire _T_1057 = obuf_wr_timer < 3'h7; // @[lsu_bus_buffer.scala 265:95] wire _T_1058 = _T_1056 & _T_1057; // @[lsu_bus_buffer.scala 265:79] wire [2:0] _T_1060 = obuf_wr_timer + 3'h1; // @[lsu_bus_buffer.scala 265:123] - wire _T_4482 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 524:63] - wire _T_4486 = _T_4482 | _T_4463; // @[lsu_bus_buffer.scala 524:74] - wire _T_4477 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 524:63] - wire _T_4481 = _T_4477 | _T_4460; // @[lsu_bus_buffer.scala 524:74] - wire [1:0] _T_4487 = _T_4486 + _T_4481; // @[lsu_bus_buffer.scala 524:154] - wire _T_4472 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 524:63] - wire _T_4476 = _T_4472 | _T_4457; // @[lsu_bus_buffer.scala 524:74] - wire [1:0] _GEN_366 = {{1'd0}, _T_4476}; // @[lsu_bus_buffer.scala 524:154] - wire [2:0] _T_4488 = _T_4487 + _GEN_366; // @[lsu_bus_buffer.scala 524:154] - wire _T_4467 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 524:63] - wire _T_4471 = _T_4467 | _T_4454; // @[lsu_bus_buffer.scala 524:74] - wire [2:0] _GEN_367 = {{2'd0}, _T_4471}; // @[lsu_bus_buffer.scala 524:154] - wire [3:0] buf_numvld_pend_any = _T_4488 + _GEN_367; // @[lsu_bus_buffer.scala 524:154] + wire _T_4482 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 523:63] + wire _T_4486 = _T_4482 | _T_4463; // @[lsu_bus_buffer.scala 523:74] + wire _T_4477 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 523:63] + wire _T_4481 = _T_4477 | _T_4460; // @[lsu_bus_buffer.scala 523:74] + wire [1:0] _T_4487 = _T_4486 + _T_4481; // @[lsu_bus_buffer.scala 523:154] + wire _T_4472 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 523:63] + wire _T_4476 = _T_4472 | _T_4457; // @[lsu_bus_buffer.scala 523:74] + wire [1:0] _GEN_366 = {{1'd0}, _T_4476}; // @[lsu_bus_buffer.scala 523:154] + wire [2:0] _T_4488 = _T_4487 + _GEN_366; // @[lsu_bus_buffer.scala 523:154] + wire _T_4467 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 523:63] + wire _T_4471 = _T_4467 | _T_4454; // @[lsu_bus_buffer.scala 523:74] + wire [2:0] _GEN_367 = {{2'd0}, _T_4471}; // @[lsu_bus_buffer.scala 523:154] + wire [3:0] buf_numvld_pend_any = _T_4488 + _GEN_367; // @[lsu_bus_buffer.scala 523:154] wire _T_1087 = buf_numvld_pend_any == 4'h0; // @[lsu_bus_buffer.scala 268:53] wire _T_1088 = ibuf_byp & _T_1087; // @[lsu_bus_buffer.scala 268:31] wire _T_1089 = ~io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 268:64] wire _T_1090 = _T_1089 | io_no_dword_merge_r; // @[lsu_bus_buffer.scala 268:89] wire ibuf_buf_byp = _T_1088 & _T_1090; // @[lsu_bus_buffer.scala 268:61] wire _T_1091 = ibuf_buf_byp & io_lsu_commit_r; // @[lsu_bus_buffer.scala 283:32] - wire _T_4778 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 552:62] - wire _T_4780 = _T_4778 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 552:73] - wire _T_4781 = _T_4780 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 552:93] - wire _T_4782 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 552:62] - wire _T_4784 = _T_4782 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 552:73] - wire _T_4785 = _T_4784 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 552:93] - wire _T_4794 = _T_4781 | _T_4785; // @[lsu_bus_buffer.scala 552:153] - wire _T_4786 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 552:62] - wire _T_4788 = _T_4786 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 552:73] - wire _T_4789 = _T_4788 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 552:93] - wire _T_4795 = _T_4794 | _T_4789; // @[lsu_bus_buffer.scala 552:153] - wire _T_4790 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 552:62] - wire _T_4792 = _T_4790 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 552:73] - wire _T_4793 = _T_4792 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 552:93] - wire bus_sideeffect_pend = _T_4795 | _T_4793; // @[lsu_bus_buffer.scala 552:153] + wire _T_4778 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 551:62] + wire _T_4780 = _T_4778 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 551:73] + wire _T_4781 = _T_4780 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 551:93] + wire _T_4782 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 551:62] + wire _T_4784 = _T_4782 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 551:73] + wire _T_4785 = _T_4784 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 551:93] + wire _T_4794 = _T_4781 | _T_4785; // @[lsu_bus_buffer.scala 551:153] + wire _T_4786 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 551:62] + wire _T_4788 = _T_4786 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 551:73] + wire _T_4789 = _T_4788 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 551:93] + wire _T_4795 = _T_4794 | _T_4789; // @[lsu_bus_buffer.scala 551:153] + wire _T_4790 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 551:62] + wire _T_4792 = _T_4790 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 551:73] + wire _T_4793 = _T_4792 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 551:93] + wire _T_4796 = _T_4795 | _T_4793; // @[lsu_bus_buffer.scala 551:153] + reg obuf_sideeffect; // @[Reg.scala 27:20] + wire _T_4797 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 551:171] + wire _T_4798 = _T_4797 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 551:189] + wire bus_sideeffect_pend = _T_4796 | _T_4798; // @[lsu_bus_buffer.scala 551:157] wire _T_1092 = io_is_sideeffects_r & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 283:74] wire _T_1093 = ~_T_1092; // @[lsu_bus_buffer.scala 283:52] wire _T_1094 = _T_1091 & _T_1093; // @[lsu_bus_buffer.scala 283:50] @@ -69066,7 +69202,7 @@ module lsu_bus_buffer( wire [2:0] _T_1102 = _T_1026 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1105 = _T_1104 | _T_1102; // @[Mux.scala 27:72] wire _T_1107 = _T_1105 == 3'h2; // @[lsu_bus_buffer.scala 284:36] - wire found_cmdptr0 = |CmdPtr0Dec; // @[lsu_bus_buffer.scala 383:31] + wire found_cmdptr0 = |CmdPtr0Dec; // @[lsu_bus_buffer.scala 382:31] wire _T_1108 = _T_1107 & found_cmdptr0; // @[lsu_bus_buffer.scala 284:47] wire [3:0] _T_1111 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] wire _T_1120 = _T_1023 & _T_1111[0]; // @[Mux.scala 27:72] @@ -69116,37 +69252,37 @@ module lsu_bus_buffer( wire _T_1204 = ~_T_1202; // @[lsu_bus_buffer.scala 286:150] wire _T_1205 = _T_1187 & _T_1204; // @[lsu_bus_buffer.scala 286:148] wire _T_1206 = ~_T_1205; // @[lsu_bus_buffer.scala 286:8] - wire [3:0] _T_2020 = ~CmdPtr0Dec; // @[lsu_bus_buffer.scala 379:62] - wire [3:0] _T_2021 = buf_age_3 & _T_2020; // @[lsu_bus_buffer.scala 379:59] - wire _T_2022 = |_T_2021; // @[lsu_bus_buffer.scala 379:76] - wire _T_2023 = ~_T_2022; // @[lsu_bus_buffer.scala 379:45] - wire _T_2025 = ~CmdPtr0Dec[3]; // @[lsu_bus_buffer.scala 379:83] - wire _T_2026 = _T_2023 & _T_2025; // @[lsu_bus_buffer.scala 379:81] - wire _T_2028 = _T_2026 & _T_2621; // @[lsu_bus_buffer.scala 379:98] - wire _T_2030 = _T_2028 & _T_4447; // @[lsu_bus_buffer.scala 379:123] - wire [3:0] _T_2010 = buf_age_2 & _T_2020; // @[lsu_bus_buffer.scala 379:59] - wire _T_2011 = |_T_2010; // @[lsu_bus_buffer.scala 379:76] - wire _T_2012 = ~_T_2011; // @[lsu_bus_buffer.scala 379:45] - wire _T_2014 = ~CmdPtr0Dec[2]; // @[lsu_bus_buffer.scala 379:83] - wire _T_2015 = _T_2012 & _T_2014; // @[lsu_bus_buffer.scala 379:81] - wire _T_2017 = _T_2015 & _T_2616; // @[lsu_bus_buffer.scala 379:98] - wire _T_2019 = _T_2017 & _T_4442; // @[lsu_bus_buffer.scala 379:123] - wire [3:0] _T_1999 = buf_age_1 & _T_2020; // @[lsu_bus_buffer.scala 379:59] - wire _T_2000 = |_T_1999; // @[lsu_bus_buffer.scala 379:76] - wire _T_2001 = ~_T_2000; // @[lsu_bus_buffer.scala 379:45] - wire _T_2003 = ~CmdPtr0Dec[1]; // @[lsu_bus_buffer.scala 379:83] - wire _T_2004 = _T_2001 & _T_2003; // @[lsu_bus_buffer.scala 379:81] - wire _T_2006 = _T_2004 & _T_2611; // @[lsu_bus_buffer.scala 379:98] - wire _T_2008 = _T_2006 & _T_4437; // @[lsu_bus_buffer.scala 379:123] - wire [3:0] _T_1988 = buf_age_0 & _T_2020; // @[lsu_bus_buffer.scala 379:59] - wire _T_1989 = |_T_1988; // @[lsu_bus_buffer.scala 379:76] - wire _T_1990 = ~_T_1989; // @[lsu_bus_buffer.scala 379:45] - wire _T_1992 = ~CmdPtr0Dec[0]; // @[lsu_bus_buffer.scala 379:83] - wire _T_1993 = _T_1990 & _T_1992; // @[lsu_bus_buffer.scala 379:81] - wire _T_1995 = _T_1993 & _T_2606; // @[lsu_bus_buffer.scala 379:98] - wire _T_1997 = _T_1995 & _T_4432; // @[lsu_bus_buffer.scala 379:123] + wire [3:0] _T_2020 = ~CmdPtr0Dec; // @[lsu_bus_buffer.scala 378:62] + wire [3:0] _T_2021 = buf_age_3 & _T_2020; // @[lsu_bus_buffer.scala 378:59] + wire _T_2022 = |_T_2021; // @[lsu_bus_buffer.scala 378:76] + wire _T_2023 = ~_T_2022; // @[lsu_bus_buffer.scala 378:45] + wire _T_2025 = ~CmdPtr0Dec[3]; // @[lsu_bus_buffer.scala 378:83] + wire _T_2026 = _T_2023 & _T_2025; // @[lsu_bus_buffer.scala 378:81] + wire _T_2028 = _T_2026 & _T_2621; // @[lsu_bus_buffer.scala 378:98] + wire _T_2030 = _T_2028 & _T_4447; // @[lsu_bus_buffer.scala 378:123] + wire [3:0] _T_2010 = buf_age_2 & _T_2020; // @[lsu_bus_buffer.scala 378:59] + wire _T_2011 = |_T_2010; // @[lsu_bus_buffer.scala 378:76] + wire _T_2012 = ~_T_2011; // @[lsu_bus_buffer.scala 378:45] + wire _T_2014 = ~CmdPtr0Dec[2]; // @[lsu_bus_buffer.scala 378:83] + wire _T_2015 = _T_2012 & _T_2014; // @[lsu_bus_buffer.scala 378:81] + wire _T_2017 = _T_2015 & _T_2616; // @[lsu_bus_buffer.scala 378:98] + wire _T_2019 = _T_2017 & _T_4442; // @[lsu_bus_buffer.scala 378:123] + wire [3:0] _T_1999 = buf_age_1 & _T_2020; // @[lsu_bus_buffer.scala 378:59] + wire _T_2000 = |_T_1999; // @[lsu_bus_buffer.scala 378:76] + wire _T_2001 = ~_T_2000; // @[lsu_bus_buffer.scala 378:45] + wire _T_2003 = ~CmdPtr0Dec[1]; // @[lsu_bus_buffer.scala 378:83] + wire _T_2004 = _T_2001 & _T_2003; // @[lsu_bus_buffer.scala 378:81] + wire _T_2006 = _T_2004 & _T_2611; // @[lsu_bus_buffer.scala 378:98] + wire _T_2008 = _T_2006 & _T_4437; // @[lsu_bus_buffer.scala 378:123] + wire [3:0] _T_1988 = buf_age_0 & _T_2020; // @[lsu_bus_buffer.scala 378:59] + wire _T_1989 = |_T_1988; // @[lsu_bus_buffer.scala 378:76] + wire _T_1990 = ~_T_1989; // @[lsu_bus_buffer.scala 378:45] + wire _T_1992 = ~CmdPtr0Dec[0]; // @[lsu_bus_buffer.scala 378:83] + wire _T_1993 = _T_1990 & _T_1992; // @[lsu_bus_buffer.scala 378:81] + wire _T_1995 = _T_1993 & _T_2606; // @[lsu_bus_buffer.scala 378:98] + wire _T_1997 = _T_1995 & _T_4432; // @[lsu_bus_buffer.scala 378:123] wire [3:0] CmdPtr1Dec = {_T_2030,_T_2019,_T_2008,_T_1997}; // @[Cat.scala 29:58] - wire found_cmdptr1 = |CmdPtr1Dec; // @[lsu_bus_buffer.scala 384:31] + wire found_cmdptr1 = |CmdPtr1Dec; // @[lsu_bus_buffer.scala 383:31] wire _T_1207 = _T_1206 | found_cmdptr1; // @[lsu_bus_buffer.scala 286:181] wire [3:0] _T_1210 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] wire _T_1219 = _T_1023 & _T_1210[0]; // @[Mux.scala 27:72] @@ -69161,12 +69297,13 @@ module lsu_bus_buffer( wire _T_1229 = _T_1148 & _T_1228; // @[lsu_bus_buffer.scala 285:164] wire _T_1230 = _T_1094 | _T_1229; // @[lsu_bus_buffer.scala 283:98] reg obuf_write; // @[Reg.scala 27:20] - reg obuf_cmd_done; // @[lsu_bus_buffer.scala 348:54] - reg obuf_data_done; // @[lsu_bus_buffer.scala 349:55] - wire _T_4853 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 556:54] - wire _T_4854 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 556:75] - wire _T_4856 = _T_4853 ? _T_4854 : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 556:39] - wire bus_cmd_ready = obuf_write ? _T_4856 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 556:23] + reg obuf_cmd_done; // @[lsu_bus_buffer.scala 347:54] + reg obuf_data_done; // @[lsu_bus_buffer.scala 348:55] + wire _T_4856 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 555:54] + wire _T_4857 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 555:75] + wire _T_4858 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 555:153] + wire _T_4859 = _T_4856 ? _T_4857 : _T_4858; // @[lsu_bus_buffer.scala 555:39] + wire bus_cmd_ready = obuf_write ? _T_4859 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 555:23] wire _T_1231 = ~obuf_valid; // @[lsu_bus_buffer.scala 287:48] wire _T_1232 = bus_cmd_ready | _T_1231; // @[lsu_bus_buffer.scala 287:46] reg obuf_nosend; // @[Reg.scala 27:20] @@ -69175,52 +69312,52 @@ module lsu_bus_buffer( wire _T_1235 = ~obuf_wr_wait; // @[lsu_bus_buffer.scala 287:77] wire _T_1236 = _T_1234 & _T_1235; // @[lsu_bus_buffer.scala 287:75] reg [31:0] obuf_addr; // @[el2_lib.scala 514:16] - wire _T_4801 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 554:56] - wire _T_4802 = obuf_valid & _T_4801; // @[lsu_bus_buffer.scala 554:38] - wire _T_4804 = obuf_tag1 == 2'h0; // @[lsu_bus_buffer.scala 554:126] - wire _T_4805 = obuf_merge & _T_4804; // @[lsu_bus_buffer.scala 554:114] - wire _T_4806 = _T_3562 | _T_4805; // @[lsu_bus_buffer.scala 554:100] - wire _T_4807 = ~_T_4806; // @[lsu_bus_buffer.scala 554:80] - wire _T_4808 = _T_4802 & _T_4807; // @[lsu_bus_buffer.scala 554:78] - wire _T_4845 = _T_4778 & _T_4808; // @[Mux.scala 27:72] - wire _T_4813 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 554:56] - wire _T_4814 = obuf_valid & _T_4813; // @[lsu_bus_buffer.scala 554:38] - wire _T_4816 = obuf_tag1 == 2'h1; // @[lsu_bus_buffer.scala 554:126] - wire _T_4817 = obuf_merge & _T_4816; // @[lsu_bus_buffer.scala 554:114] - wire _T_4818 = _T_3755 | _T_4817; // @[lsu_bus_buffer.scala 554:100] - wire _T_4819 = ~_T_4818; // @[lsu_bus_buffer.scala 554:80] - wire _T_4820 = _T_4814 & _T_4819; // @[lsu_bus_buffer.scala 554:78] - wire _T_4846 = _T_4782 & _T_4820; // @[Mux.scala 27:72] - wire _T_4849 = _T_4845 | _T_4846; // @[Mux.scala 27:72] - wire _T_4825 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 554:56] - wire _T_4826 = obuf_valid & _T_4825; // @[lsu_bus_buffer.scala 554:38] - wire _T_4828 = obuf_tag1 == 2'h2; // @[lsu_bus_buffer.scala 554:126] - wire _T_4829 = obuf_merge & _T_4828; // @[lsu_bus_buffer.scala 554:114] - wire _T_4830 = _T_3948 | _T_4829; // @[lsu_bus_buffer.scala 554:100] - wire _T_4831 = ~_T_4830; // @[lsu_bus_buffer.scala 554:80] - wire _T_4832 = _T_4826 & _T_4831; // @[lsu_bus_buffer.scala 554:78] - wire _T_4847 = _T_4786 & _T_4832; // @[Mux.scala 27:72] - wire _T_4850 = _T_4849 | _T_4847; // @[Mux.scala 27:72] - wire _T_4837 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 554:56] - wire _T_4838 = obuf_valid & _T_4837; // @[lsu_bus_buffer.scala 554:38] - wire _T_4840 = obuf_tag1 == 2'h3; // @[lsu_bus_buffer.scala 554:126] - wire _T_4841 = obuf_merge & _T_4840; // @[lsu_bus_buffer.scala 554:114] - wire _T_4842 = _T_4141 | _T_4841; // @[lsu_bus_buffer.scala 554:100] - wire _T_4843 = ~_T_4842; // @[lsu_bus_buffer.scala 554:80] - wire _T_4844 = _T_4838 & _T_4843; // @[lsu_bus_buffer.scala 554:78] - wire _T_4848 = _T_4790 & _T_4844; // @[Mux.scala 27:72] - wire bus_addr_match_pending = _T_4850 | _T_4848; // @[Mux.scala 27:72] + wire _T_4804 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 553:56] + wire _T_4805 = obuf_valid & _T_4804; // @[lsu_bus_buffer.scala 553:38] + wire _T_4807 = obuf_tag1 == 2'h0; // @[lsu_bus_buffer.scala 553:126] + wire _T_4808 = obuf_merge & _T_4807; // @[lsu_bus_buffer.scala 553:114] + wire _T_4809 = _T_3562 | _T_4808; // @[lsu_bus_buffer.scala 553:100] + wire _T_4810 = ~_T_4809; // @[lsu_bus_buffer.scala 553:80] + wire _T_4811 = _T_4805 & _T_4810; // @[lsu_bus_buffer.scala 553:78] + wire _T_4848 = _T_4778 & _T_4811; // @[Mux.scala 27:72] + wire _T_4816 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 553:56] + wire _T_4817 = obuf_valid & _T_4816; // @[lsu_bus_buffer.scala 553:38] + wire _T_4819 = obuf_tag1 == 2'h1; // @[lsu_bus_buffer.scala 553:126] + wire _T_4820 = obuf_merge & _T_4819; // @[lsu_bus_buffer.scala 553:114] + wire _T_4821 = _T_3755 | _T_4820; // @[lsu_bus_buffer.scala 553:100] + wire _T_4822 = ~_T_4821; // @[lsu_bus_buffer.scala 553:80] + wire _T_4823 = _T_4817 & _T_4822; // @[lsu_bus_buffer.scala 553:78] + wire _T_4849 = _T_4782 & _T_4823; // @[Mux.scala 27:72] + wire _T_4852 = _T_4848 | _T_4849; // @[Mux.scala 27:72] + wire _T_4828 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 553:56] + wire _T_4829 = obuf_valid & _T_4828; // @[lsu_bus_buffer.scala 553:38] + wire _T_4831 = obuf_tag1 == 2'h2; // @[lsu_bus_buffer.scala 553:126] + wire _T_4832 = obuf_merge & _T_4831; // @[lsu_bus_buffer.scala 553:114] + wire _T_4833 = _T_3948 | _T_4832; // @[lsu_bus_buffer.scala 553:100] + wire _T_4834 = ~_T_4833; // @[lsu_bus_buffer.scala 553:80] + wire _T_4835 = _T_4829 & _T_4834; // @[lsu_bus_buffer.scala 553:78] + wire _T_4850 = _T_4786 & _T_4835; // @[Mux.scala 27:72] + wire _T_4853 = _T_4852 | _T_4850; // @[Mux.scala 27:72] + wire _T_4840 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 553:56] + wire _T_4841 = obuf_valid & _T_4840; // @[lsu_bus_buffer.scala 553:38] + wire _T_4843 = obuf_tag1 == 2'h3; // @[lsu_bus_buffer.scala 553:126] + wire _T_4844 = obuf_merge & _T_4843; // @[lsu_bus_buffer.scala 553:114] + wire _T_4845 = _T_4141 | _T_4844; // @[lsu_bus_buffer.scala 553:100] + wire _T_4846 = ~_T_4845; // @[lsu_bus_buffer.scala 553:80] + wire _T_4847 = _T_4841 & _T_4846; // @[lsu_bus_buffer.scala 553:78] + wire _T_4851 = _T_4790 & _T_4847; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4853 | _T_4851; // @[Mux.scala 27:72] wire _T_1239 = ~bus_addr_match_pending; // @[lsu_bus_buffer.scala 287:118] wire _T_1240 = _T_1236 & _T_1239; // @[lsu_bus_buffer.scala 287:116] wire obuf_wr_en = _T_1240 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 287:142] wire _T_1242 = obuf_valid & obuf_nosend; // @[lsu_bus_buffer.scala 289:47] - wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 557:40] - wire _T_4860 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 559:35] - wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 558:40] - wire _T_4861 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 559:70] - wire _T_4862 = _T_4860 & _T_4861; // @[lsu_bus_buffer.scala 559:52] - wire _T_4863 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 559:112] - wire bus_cmd_sent = _T_4862 | _T_4863; // @[lsu_bus_buffer.scala 559:89] + wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 556:40] + wire _T_4863 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 558:35] + wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 557:40] + wire _T_4864 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 558:70] + wire _T_4865 = _T_4863 & _T_4864; // @[lsu_bus_buffer.scala 558:52] + wire _T_4866 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 558:112] + wire bus_cmd_sent = _T_4865 | _T_4866; // @[lsu_bus_buffer.scala 558:89] wire _T_1243 = bus_cmd_sent | _T_1242; // @[lsu_bus_buffer.scala 289:33] wire _T_1244 = ~obuf_wr_en; // @[lsu_bus_buffer.scala 289:65] wire _T_1245 = _T_1243 & _T_1244; // @[lsu_bus_buffer.scala 289:63] @@ -69248,61 +69385,60 @@ module lsu_bus_buffer( wire [1:0] _T_1302 = _T_1301 | _T_1299; // @[Mux.scala 27:72] wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1302; // @[lsu_bus_buffer.scala 295:23] wire [7:0] _T_2079 = {4'h0,_T_2030,_T_2019,_T_2008,_T_1997}; // @[Cat.scala 29:58] - wire _T_2082 = _T_2079[4] | _T_2079[5]; // @[lsu_bus_buffer.scala 386:42] - wire _T_2084 = _T_2082 | _T_2079[6]; // @[lsu_bus_buffer.scala 386:48] - wire _T_2086 = _T_2084 | _T_2079[7]; // @[lsu_bus_buffer.scala 386:54] - wire _T_2089 = _T_2079[2] | _T_2079[3]; // @[lsu_bus_buffer.scala 386:67] - wire _T_2091 = _T_2089 | _T_2079[6]; // @[lsu_bus_buffer.scala 386:73] - wire _T_2093 = _T_2091 | _T_2079[7]; // @[lsu_bus_buffer.scala 386:79] - wire _T_2096 = _T_2079[1] | _T_2079[3]; // @[lsu_bus_buffer.scala 386:92] - wire _T_2098 = _T_2096 | _T_2079[5]; // @[lsu_bus_buffer.scala 386:98] - wire _T_2100 = _T_2098 | _T_2079[7]; // @[lsu_bus_buffer.scala 386:104] + wire _T_2082 = _T_2079[4] | _T_2079[5]; // @[lsu_bus_buffer.scala 385:42] + wire _T_2084 = _T_2082 | _T_2079[6]; // @[lsu_bus_buffer.scala 385:48] + wire _T_2086 = _T_2084 | _T_2079[7]; // @[lsu_bus_buffer.scala 385:54] + wire _T_2089 = _T_2079[2] | _T_2079[3]; // @[lsu_bus_buffer.scala 385:67] + wire _T_2091 = _T_2089 | _T_2079[6]; // @[lsu_bus_buffer.scala 385:73] + wire _T_2093 = _T_2091 | _T_2079[7]; // @[lsu_bus_buffer.scala 385:79] + wire _T_2096 = _T_2079[1] | _T_2079[3]; // @[lsu_bus_buffer.scala 385:92] + wire _T_2098 = _T_2096 | _T_2079[5]; // @[lsu_bus_buffer.scala 385:98] + wire _T_2100 = _T_2098 | _T_2079[7]; // @[lsu_bus_buffer.scala 385:104] wire [2:0] _T_2102 = {_T_2086,_T_2093,_T_2100}; // @[Cat.scala 29:58] - wire [1:0] CmdPtr1 = _T_2102[1:0]; // @[lsu_bus_buffer.scala 393:11] - wire _T_1304 = obuf_wr_en | obuf_rst; // @[lsu_bus_buffer.scala 304:39] - wire _T_1305 = ~_T_1304; // @[lsu_bus_buffer.scala 304:26] - wire _T_1311 = obuf_sz_in == 2'h0; // @[lsu_bus_buffer.scala 308:72] - wire _T_1314 = ~obuf_addr_in[0]; // @[lsu_bus_buffer.scala 308:98] - wire _T_1315 = obuf_sz_in[0] & _T_1314; // @[lsu_bus_buffer.scala 308:96] - wire _T_1316 = _T_1311 | _T_1315; // @[lsu_bus_buffer.scala 308:79] - wire _T_1319 = |obuf_addr_in[1:0]; // @[lsu_bus_buffer.scala 308:153] - wire _T_1320 = ~_T_1319; // @[lsu_bus_buffer.scala 308:134] - wire _T_1321 = obuf_sz_in[1] & _T_1320; // @[lsu_bus_buffer.scala 308:132] - wire _T_1322 = _T_1316 | _T_1321; // @[lsu_bus_buffer.scala 308:116] - wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1322; // @[lsu_bus_buffer.scala 308:28] - wire _T_1339 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[lsu_bus_buffer.scala 322:40] - wire _T_1340 = _T_1339 & obuf_aligned_in; // @[lsu_bus_buffer.scala 322:60] - reg obuf_sideeffect; // @[Reg.scala 27:20] - wire _T_1341 = ~obuf_sideeffect; // @[lsu_bus_buffer.scala 322:80] - wire _T_1342 = _T_1340 & _T_1341; // @[lsu_bus_buffer.scala 322:78] - wire _T_1343 = ~obuf_write; // @[lsu_bus_buffer.scala 322:99] - wire _T_1344 = _T_1342 & _T_1343; // @[lsu_bus_buffer.scala 322:97] - wire _T_1345 = ~obuf_write_in; // @[lsu_bus_buffer.scala 322:113] - wire _T_1346 = _T_1344 & _T_1345; // @[lsu_bus_buffer.scala 322:111] - wire _T_1347 = ~io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_buffer.scala 322:130] - wire _T_1348 = _T_1346 & _T_1347; // @[lsu_bus_buffer.scala 322:128] - wire _T_1349 = ~obuf_nosend; // @[lsu_bus_buffer.scala 323:20] - wire _T_1350 = obuf_valid & _T_1349; // @[lsu_bus_buffer.scala 323:18] - reg obuf_rdrsp_pend; // @[lsu_bus_buffer.scala 350:56] - wire bus_rsp_read = io_lsu_axi_r_valid & io_lsu_axi_r_ready; // @[lsu_bus_buffer.scala 560:38] - reg [2:0] obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 351:55] - wire _T_1351 = io_lsu_axi_r_bits_id == obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 323:90] - wire _T_1352 = bus_rsp_read & _T_1351; // @[lsu_bus_buffer.scala 323:70] - wire _T_1353 = ~_T_1352; // @[lsu_bus_buffer.scala 323:55] - wire _T_1354 = obuf_rdrsp_pend & _T_1353; // @[lsu_bus_buffer.scala 323:53] - wire _T_1355 = _T_1350 | _T_1354; // @[lsu_bus_buffer.scala 323:34] - wire obuf_nosend_in = _T_1348 & _T_1355; // @[lsu_bus_buffer.scala 322:177] - wire _T_1323 = ~obuf_nosend_in; // @[lsu_bus_buffer.scala 316:44] - wire _T_1324 = obuf_wr_en & _T_1323; // @[lsu_bus_buffer.scala 316:42] - wire _T_1325 = ~_T_1324; // @[lsu_bus_buffer.scala 316:29] - wire _T_1326 = _T_1325 & obuf_rdrsp_pend; // @[lsu_bus_buffer.scala 316:61] - wire _T_1330 = _T_1326 & _T_1353; // @[lsu_bus_buffer.scala 316:79] - wire _T_1332 = bus_cmd_sent & _T_1343; // @[lsu_bus_buffer.scala 317:20] - wire _T_1333 = ~io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 317:37] - wire _T_1334 = _T_1332 & _T_1333; // @[lsu_bus_buffer.scala 317:35] + wire [1:0] CmdPtr1 = _T_2102[1:0]; // @[lsu_bus_buffer.scala 392:11] + wire _T_1304 = obuf_wr_en | obuf_rst; // @[lsu_bus_buffer.scala 303:39] + wire _T_1305 = ~_T_1304; // @[lsu_bus_buffer.scala 303:26] + wire _T_1311 = obuf_sz_in == 2'h0; // @[lsu_bus_buffer.scala 307:72] + wire _T_1314 = ~obuf_addr_in[0]; // @[lsu_bus_buffer.scala 307:98] + wire _T_1315 = obuf_sz_in[0] & _T_1314; // @[lsu_bus_buffer.scala 307:96] + wire _T_1316 = _T_1311 | _T_1315; // @[lsu_bus_buffer.scala 307:79] + wire _T_1319 = |obuf_addr_in[1:0]; // @[lsu_bus_buffer.scala 307:153] + wire _T_1320 = ~_T_1319; // @[lsu_bus_buffer.scala 307:134] + wire _T_1321 = obuf_sz_in[1] & _T_1320; // @[lsu_bus_buffer.scala 307:132] + wire _T_1322 = _T_1316 | _T_1321; // @[lsu_bus_buffer.scala 307:116] + wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1322; // @[lsu_bus_buffer.scala 307:28] + wire _T_1339 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[lsu_bus_buffer.scala 321:40] + wire _T_1340 = _T_1339 & obuf_aligned_in; // @[lsu_bus_buffer.scala 321:60] + wire _T_1341 = ~obuf_sideeffect; // @[lsu_bus_buffer.scala 321:80] + wire _T_1342 = _T_1340 & _T_1341; // @[lsu_bus_buffer.scala 321:78] + wire _T_1343 = ~obuf_write; // @[lsu_bus_buffer.scala 321:99] + wire _T_1344 = _T_1342 & _T_1343; // @[lsu_bus_buffer.scala 321:97] + wire _T_1345 = ~obuf_write_in; // @[lsu_bus_buffer.scala 321:113] + wire _T_1346 = _T_1344 & _T_1345; // @[lsu_bus_buffer.scala 321:111] + wire _T_1347 = ~io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_buffer.scala 321:130] + wire _T_1348 = _T_1346 & _T_1347; // @[lsu_bus_buffer.scala 321:128] + wire _T_1349 = ~obuf_nosend; // @[lsu_bus_buffer.scala 322:20] + wire _T_1350 = obuf_valid & _T_1349; // @[lsu_bus_buffer.scala 322:18] + reg obuf_rdrsp_pend; // @[lsu_bus_buffer.scala 349:56] + wire bus_rsp_read = io_lsu_axi_r_valid & io_lsu_axi_r_ready; // @[lsu_bus_buffer.scala 559:38] + reg [2:0] obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 350:55] + wire _T_1351 = io_lsu_axi_r_bits_id == obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 322:90] + wire _T_1352 = bus_rsp_read & _T_1351; // @[lsu_bus_buffer.scala 322:70] + wire _T_1353 = ~_T_1352; // @[lsu_bus_buffer.scala 322:55] + wire _T_1354 = obuf_rdrsp_pend & _T_1353; // @[lsu_bus_buffer.scala 322:53] + wire _T_1355 = _T_1350 | _T_1354; // @[lsu_bus_buffer.scala 322:34] + wire obuf_nosend_in = _T_1348 & _T_1355; // @[lsu_bus_buffer.scala 321:177] + wire _T_1323 = ~obuf_nosend_in; // @[lsu_bus_buffer.scala 315:44] + wire _T_1324 = obuf_wr_en & _T_1323; // @[lsu_bus_buffer.scala 315:42] + wire _T_1325 = ~_T_1324; // @[lsu_bus_buffer.scala 315:29] + wire _T_1326 = _T_1325 & obuf_rdrsp_pend; // @[lsu_bus_buffer.scala 315:61] + wire _T_1330 = _T_1326 & _T_1353; // @[lsu_bus_buffer.scala 315:79] + wire _T_1332 = bus_cmd_sent & _T_1343; // @[lsu_bus_buffer.scala 316:20] + wire _T_1333 = ~io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 316:37] + wire _T_1334 = _T_1332 & _T_1333; // @[lsu_bus_buffer.scala 316:35] wire [7:0] _T_1358 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1359 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1360 = io_lsu_addr_r[2] ? _T_1358 : _T_1359; // @[lsu_bus_buffer.scala 324:46] + wire [7:0] _T_1360 = io_lsu_addr_r[2] ? _T_1358 : _T_1359; // @[lsu_bus_buffer.scala 323:46] wire [3:0] _T_1379 = _T_1023 ? buf_byteen_0 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_1380 = _T_1024 ? buf_byteen_1 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_1381 = _T_1025 ? buf_byteen_2 : 4'h0; // @[Mux.scala 27:72] @@ -69312,11 +69448,11 @@ module lsu_bus_buffer( wire [3:0] _T_1385 = _T_1384 | _T_1382; // @[Mux.scala 27:72] wire [7:0] _T_1387 = {_T_1385,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1400 = {4'h0,_T_1385}; // @[Cat.scala 29:58] - wire [7:0] _T_1401 = _T_1289[2] ? _T_1387 : _T_1400; // @[lsu_bus_buffer.scala 325:8] - wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1360 : _T_1401; // @[lsu_bus_buffer.scala 324:28] + wire [7:0] _T_1401 = _T_1289[2] ? _T_1387 : _T_1400; // @[lsu_bus_buffer.scala 324:8] + wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1360 : _T_1401; // @[lsu_bus_buffer.scala 323:28] wire [7:0] _T_1403 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1404 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1405 = io_end_addr_r[2] ? _T_1403 : _T_1404; // @[lsu_bus_buffer.scala 326:46] + wire [7:0] _T_1405 = io_end_addr_r[2] ? _T_1403 : _T_1404; // @[lsu_bus_buffer.scala 325:46] wire _T_1406 = CmdPtr1 == 2'h0; // @[lsu_bus_buffer.scala 58:123] wire _T_1407 = CmdPtr1 == 2'h1; // @[lsu_bus_buffer.scala 58:123] wire _T_1408 = CmdPtr1 == 2'h2; // @[lsu_bus_buffer.scala 58:123] @@ -69337,11 +69473,11 @@ module lsu_bus_buffer( wire [3:0] _T_1430 = _T_1429 | _T_1427; // @[Mux.scala 27:72] wire [7:0] _T_1432 = {_T_1430,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1445 = {4'h0,_T_1430}; // @[Cat.scala 29:58] - wire [7:0] _T_1446 = _T_1416[2] ? _T_1432 : _T_1445; // @[lsu_bus_buffer.scala 327:8] - wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1405 : _T_1446; // @[lsu_bus_buffer.scala 326:28] + wire [7:0] _T_1446 = _T_1416[2] ? _T_1432 : _T_1445; // @[lsu_bus_buffer.scala 326:8] + wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1405 : _T_1446; // @[lsu_bus_buffer.scala 325:28] wire [63:0] _T_1448 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1449 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1450 = io_lsu_addr_r[2] ? _T_1448 : _T_1449; // @[lsu_bus_buffer.scala 329:44] + wire [63:0] _T_1450 = io_lsu_addr_r[2] ? _T_1448 : _T_1449; // @[lsu_bus_buffer.scala 328:44] wire [31:0] _T_1469 = _T_1023 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1470 = _T_1024 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1471 = _T_1025 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -69351,11 +69487,11 @@ module lsu_bus_buffer( wire [31:0] _T_1475 = _T_1474 | _T_1472; // @[Mux.scala 27:72] wire [63:0] _T_1477 = {_T_1475,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1490 = {32'h0,_T_1475}; // @[Cat.scala 29:58] - wire [63:0] _T_1491 = _T_1289[2] ? _T_1477 : _T_1490; // @[lsu_bus_buffer.scala 330:8] - wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1450 : _T_1491; // @[lsu_bus_buffer.scala 329:26] + wire [63:0] _T_1491 = _T_1289[2] ? _T_1477 : _T_1490; // @[lsu_bus_buffer.scala 329:8] + wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1450 : _T_1491; // @[lsu_bus_buffer.scala 328:26] wire [63:0] _T_1493 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1494 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1495 = io_lsu_addr_r[2] ? _T_1493 : _T_1494; // @[lsu_bus_buffer.scala 331:44] + wire [63:0] _T_1495 = io_lsu_addr_r[2] ? _T_1493 : _T_1494; // @[lsu_bus_buffer.scala 330:44] wire [31:0] _T_1514 = _T_1406 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1515 = _T_1407 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1516 = _T_1408 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -69365,12 +69501,12 @@ module lsu_bus_buffer( wire [31:0] _T_1520 = _T_1519 | _T_1517; // @[Mux.scala 27:72] wire [63:0] _T_1522 = {_T_1520,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1535 = {32'h0,_T_1520}; // @[Cat.scala 29:58] - wire [63:0] _T_1536 = _T_1416[2] ? _T_1522 : _T_1535; // @[lsu_bus_buffer.scala 332:8] - wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1495 : _T_1536; // @[lsu_bus_buffer.scala 331:26] - wire _T_1621 = CmdPtr0 != CmdPtr1; // @[lsu_bus_buffer.scala 338:30] - wire _T_1622 = _T_1621 & found_cmdptr0; // @[lsu_bus_buffer.scala 338:43] - wire _T_1623 = _T_1622 & found_cmdptr1; // @[lsu_bus_buffer.scala 338:59] - wire _T_1637 = _T_1623 & _T_1107; // @[lsu_bus_buffer.scala 338:75] + wire [63:0] _T_1536 = _T_1416[2] ? _T_1522 : _T_1535; // @[lsu_bus_buffer.scala 331:8] + wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1495 : _T_1536; // @[lsu_bus_buffer.scala 330:26] + wire _T_1621 = CmdPtr0 != CmdPtr1; // @[lsu_bus_buffer.scala 337:30] + wire _T_1622 = _T_1621 & found_cmdptr0; // @[lsu_bus_buffer.scala 337:43] + wire _T_1623 = _T_1622 & found_cmdptr1; // @[lsu_bus_buffer.scala 337:59] + wire _T_1637 = _T_1623 & _T_1107; // @[lsu_bus_buffer.scala 337:75] wire [2:0] _T_1642 = _T_1406 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1643 = _T_1407 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1646 = _T_1642 | _T_1643; // @[Mux.scala 27:72] @@ -69378,11 +69514,11 @@ module lsu_bus_buffer( wire [2:0] _T_1647 = _T_1646 | _T_1644; // @[Mux.scala 27:72] wire [2:0] _T_1645 = _T_1409 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1648 = _T_1647 | _T_1645; // @[Mux.scala 27:72] - wire _T_1650 = _T_1648 == 3'h2; // @[lsu_bus_buffer.scala 338:150] - wire _T_1651 = _T_1637 & _T_1650; // @[lsu_bus_buffer.scala 338:118] - wire _T_1672 = _T_1651 & _T_1128; // @[lsu_bus_buffer.scala 338:161] - wire _T_1690 = _T_1672 & _T_1053; // @[lsu_bus_buffer.scala 339:85] - wire _T_1792 = _T_1204 & _T_1166; // @[lsu_bus_buffer.scala 342:38] + wire _T_1650 = _T_1648 == 3'h2; // @[lsu_bus_buffer.scala 337:150] + wire _T_1651 = _T_1637 & _T_1650; // @[lsu_bus_buffer.scala 337:118] + wire _T_1672 = _T_1651 & _T_1128; // @[lsu_bus_buffer.scala 337:161] + wire _T_1690 = _T_1672 & _T_1053; // @[lsu_bus_buffer.scala 338:85] + wire _T_1792 = _T_1204 & _T_1166; // @[lsu_bus_buffer.scala 341:38] reg buf_dualhi_3; // @[Reg.scala 27:20] reg buf_dualhi_2; // @[Reg.scala 27:20] reg buf_dualhi_1; // @[Reg.scala 27:20] @@ -69395,224 +69531,224 @@ module lsu_bus_buffer( wire _T_1809 = _T_1808 | _T_1806; // @[Mux.scala 27:72] wire _T_1807 = _T_1026 & _T_1795[3]; // @[Mux.scala 27:72] wire _T_1810 = _T_1809 | _T_1807; // @[Mux.scala 27:72] - wire _T_1812 = ~_T_1810; // @[lsu_bus_buffer.scala 342:109] - wire _T_1813 = _T_1792 & _T_1812; // @[lsu_bus_buffer.scala 342:107] - wire _T_1833 = _T_1813 & _T_1185; // @[lsu_bus_buffer.scala 342:179] - wire _T_1835 = _T_1690 & _T_1833; // @[lsu_bus_buffer.scala 339:122] - wire _T_1836 = ibuf_buf_byp & ldst_samedw_r; // @[lsu_bus_buffer.scala 343:19] - wire _T_1837 = _T_1836 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 343:35] - wire obuf_merge_en = _T_1835 | _T_1837; // @[lsu_bus_buffer.scala 342:253] - wire _T_1539 = obuf_merge_en & obuf_byteen1_in[0]; // @[lsu_bus_buffer.scala 333:80] - wire _T_1540 = obuf_byteen0_in[0] | _T_1539; // @[lsu_bus_buffer.scala 333:63] - wire _T_1543 = obuf_merge_en & obuf_byteen1_in[1]; // @[lsu_bus_buffer.scala 333:80] - wire _T_1544 = obuf_byteen0_in[1] | _T_1543; // @[lsu_bus_buffer.scala 333:63] - wire _T_1547 = obuf_merge_en & obuf_byteen1_in[2]; // @[lsu_bus_buffer.scala 333:80] - wire _T_1548 = obuf_byteen0_in[2] | _T_1547; // @[lsu_bus_buffer.scala 333:63] - wire _T_1551 = obuf_merge_en & obuf_byteen1_in[3]; // @[lsu_bus_buffer.scala 333:80] - wire _T_1552 = obuf_byteen0_in[3] | _T_1551; // @[lsu_bus_buffer.scala 333:63] - wire _T_1555 = obuf_merge_en & obuf_byteen1_in[4]; // @[lsu_bus_buffer.scala 333:80] - wire _T_1556 = obuf_byteen0_in[4] | _T_1555; // @[lsu_bus_buffer.scala 333:63] - wire _T_1559 = obuf_merge_en & obuf_byteen1_in[5]; // @[lsu_bus_buffer.scala 333:80] - wire _T_1560 = obuf_byteen0_in[5] | _T_1559; // @[lsu_bus_buffer.scala 333:63] - wire _T_1563 = obuf_merge_en & obuf_byteen1_in[6]; // @[lsu_bus_buffer.scala 333:80] - wire _T_1564 = obuf_byteen0_in[6] | _T_1563; // @[lsu_bus_buffer.scala 333:63] - wire _T_1567 = obuf_merge_en & obuf_byteen1_in[7]; // @[lsu_bus_buffer.scala 333:80] - wire _T_1568 = obuf_byteen0_in[7] | _T_1567; // @[lsu_bus_buffer.scala 333:63] + wire _T_1812 = ~_T_1810; // @[lsu_bus_buffer.scala 341:109] + wire _T_1813 = _T_1792 & _T_1812; // @[lsu_bus_buffer.scala 341:107] + wire _T_1833 = _T_1813 & _T_1185; // @[lsu_bus_buffer.scala 341:179] + wire _T_1835 = _T_1690 & _T_1833; // @[lsu_bus_buffer.scala 338:122] + wire _T_1836 = ibuf_buf_byp & ldst_samedw_r; // @[lsu_bus_buffer.scala 342:19] + wire _T_1837 = _T_1836 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 342:35] + wire obuf_merge_en = _T_1835 | _T_1837; // @[lsu_bus_buffer.scala 341:253] + wire _T_1539 = obuf_merge_en & obuf_byteen1_in[0]; // @[lsu_bus_buffer.scala 332:80] + wire _T_1540 = obuf_byteen0_in[0] | _T_1539; // @[lsu_bus_buffer.scala 332:63] + wire _T_1543 = obuf_merge_en & obuf_byteen1_in[1]; // @[lsu_bus_buffer.scala 332:80] + wire _T_1544 = obuf_byteen0_in[1] | _T_1543; // @[lsu_bus_buffer.scala 332:63] + wire _T_1547 = obuf_merge_en & obuf_byteen1_in[2]; // @[lsu_bus_buffer.scala 332:80] + wire _T_1548 = obuf_byteen0_in[2] | _T_1547; // @[lsu_bus_buffer.scala 332:63] + wire _T_1551 = obuf_merge_en & obuf_byteen1_in[3]; // @[lsu_bus_buffer.scala 332:80] + wire _T_1552 = obuf_byteen0_in[3] | _T_1551; // @[lsu_bus_buffer.scala 332:63] + wire _T_1555 = obuf_merge_en & obuf_byteen1_in[4]; // @[lsu_bus_buffer.scala 332:80] + wire _T_1556 = obuf_byteen0_in[4] | _T_1555; // @[lsu_bus_buffer.scala 332:63] + wire _T_1559 = obuf_merge_en & obuf_byteen1_in[5]; // @[lsu_bus_buffer.scala 332:80] + wire _T_1560 = obuf_byteen0_in[5] | _T_1559; // @[lsu_bus_buffer.scala 332:63] + wire _T_1563 = obuf_merge_en & obuf_byteen1_in[6]; // @[lsu_bus_buffer.scala 332:80] + wire _T_1564 = obuf_byteen0_in[6] | _T_1563; // @[lsu_bus_buffer.scala 332:63] + wire _T_1567 = obuf_merge_en & obuf_byteen1_in[7]; // @[lsu_bus_buffer.scala 332:80] + wire _T_1568 = obuf_byteen0_in[7] | _T_1567; // @[lsu_bus_buffer.scala 332:63] wire [7:0] obuf_byteen_in = {_T_1568,_T_1564,_T_1560,_T_1556,_T_1552,_T_1548,_T_1544,_T_1540}; // @[Cat.scala 29:58] - wire [7:0] _T_1579 = _T_1539 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[lsu_bus_buffer.scala 334:44] - wire [7:0] _T_1584 = _T_1543 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[lsu_bus_buffer.scala 334:44] - wire [7:0] _T_1589 = _T_1547 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[lsu_bus_buffer.scala 334:44] - wire [7:0] _T_1594 = _T_1551 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[lsu_bus_buffer.scala 334:44] - wire [7:0] _T_1599 = _T_1555 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[lsu_bus_buffer.scala 334:44] - wire [7:0] _T_1604 = _T_1559 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[lsu_bus_buffer.scala 334:44] - wire [7:0] _T_1609 = _T_1563 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[lsu_bus_buffer.scala 334:44] - wire [7:0] _T_1614 = _T_1567 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[lsu_bus_buffer.scala 334:44] + wire [7:0] _T_1579 = _T_1539 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[lsu_bus_buffer.scala 333:44] + wire [7:0] _T_1584 = _T_1543 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[lsu_bus_buffer.scala 333:44] + wire [7:0] _T_1589 = _T_1547 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[lsu_bus_buffer.scala 333:44] + wire [7:0] _T_1594 = _T_1551 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[lsu_bus_buffer.scala 333:44] + wire [7:0] _T_1599 = _T_1555 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[lsu_bus_buffer.scala 333:44] + wire [7:0] _T_1604 = _T_1559 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[lsu_bus_buffer.scala 333:44] + wire [7:0] _T_1609 = _T_1563 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[lsu_bus_buffer.scala 333:44] + wire [7:0] _T_1614 = _T_1567 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[lsu_bus_buffer.scala 333:44] wire [55:0] _T_1620 = {_T_1614,_T_1609,_T_1604,_T_1599,_T_1594,_T_1589,_T_1584}; // @[Cat.scala 29:58] - wire _T_1839 = obuf_wr_en | obuf_valid; // @[lsu_bus_buffer.scala 346:58] - wire _T_1840 = ~obuf_rst; // @[lsu_bus_buffer.scala 346:93] + wire _T_1839 = obuf_wr_en | obuf_valid; // @[lsu_bus_buffer.scala 345:58] + wire _T_1840 = ~obuf_rst; // @[lsu_bus_buffer.scala 345:93] reg [1:0] obuf_sz; // @[Reg.scala 27:20] reg [7:0] obuf_byteen; // @[Reg.scala 27:20] reg [63:0] obuf_data; // @[el2_lib.scala 514:16] - wire _T_1853 = buf_state_0 == 3'h0; // @[lsu_bus_buffer.scala 364:65] - wire _T_1854 = ibuf_tag == 2'h0; // @[lsu_bus_buffer.scala 365:30] - wire _T_1855 = ibuf_valid & _T_1854; // @[lsu_bus_buffer.scala 365:19] - wire _T_1856 = WrPtr0_r == 2'h0; // @[lsu_bus_buffer.scala 366:18] - wire _T_1857 = WrPtr1_r == 2'h0; // @[lsu_bus_buffer.scala 366:57] - wire _T_1858 = io_ldst_dual_r & _T_1857; // @[lsu_bus_buffer.scala 366:45] - wire _T_1859 = _T_1856 | _T_1858; // @[lsu_bus_buffer.scala 366:27] - wire _T_1860 = io_lsu_busreq_r & _T_1859; // @[lsu_bus_buffer.scala 365:58] - wire _T_1861 = _T_1855 | _T_1860; // @[lsu_bus_buffer.scala 365:39] - wire _T_1862 = ~_T_1861; // @[lsu_bus_buffer.scala 365:5] - wire _T_1863 = _T_1853 & _T_1862; // @[lsu_bus_buffer.scala 364:76] - wire _T_1864 = buf_state_1 == 3'h0; // @[lsu_bus_buffer.scala 364:65] - wire _T_1865 = ibuf_tag == 2'h1; // @[lsu_bus_buffer.scala 365:30] - wire _T_1866 = ibuf_valid & _T_1865; // @[lsu_bus_buffer.scala 365:19] - wire _T_1867 = WrPtr0_r == 2'h1; // @[lsu_bus_buffer.scala 366:18] - wire _T_1868 = WrPtr1_r == 2'h1; // @[lsu_bus_buffer.scala 366:57] - wire _T_1869 = io_ldst_dual_r & _T_1868; // @[lsu_bus_buffer.scala 366:45] - wire _T_1870 = _T_1867 | _T_1869; // @[lsu_bus_buffer.scala 366:27] - wire _T_1871 = io_lsu_busreq_r & _T_1870; // @[lsu_bus_buffer.scala 365:58] - wire _T_1872 = _T_1866 | _T_1871; // @[lsu_bus_buffer.scala 365:39] - wire _T_1873 = ~_T_1872; // @[lsu_bus_buffer.scala 365:5] - wire _T_1874 = _T_1864 & _T_1873; // @[lsu_bus_buffer.scala 364:76] - wire _T_1875 = buf_state_2 == 3'h0; // @[lsu_bus_buffer.scala 364:65] - wire _T_1876 = ibuf_tag == 2'h2; // @[lsu_bus_buffer.scala 365:30] - wire _T_1877 = ibuf_valid & _T_1876; // @[lsu_bus_buffer.scala 365:19] - wire _T_1878 = WrPtr0_r == 2'h2; // @[lsu_bus_buffer.scala 366:18] - wire _T_1879 = WrPtr1_r == 2'h2; // @[lsu_bus_buffer.scala 366:57] - wire _T_1880 = io_ldst_dual_r & _T_1879; // @[lsu_bus_buffer.scala 366:45] - wire _T_1881 = _T_1878 | _T_1880; // @[lsu_bus_buffer.scala 366:27] - wire _T_1882 = io_lsu_busreq_r & _T_1881; // @[lsu_bus_buffer.scala 365:58] - wire _T_1883 = _T_1877 | _T_1882; // @[lsu_bus_buffer.scala 365:39] - wire _T_1884 = ~_T_1883; // @[lsu_bus_buffer.scala 365:5] - wire _T_1885 = _T_1875 & _T_1884; // @[lsu_bus_buffer.scala 364:76] - wire _T_1886 = buf_state_3 == 3'h0; // @[lsu_bus_buffer.scala 364:65] - wire _T_1887 = ibuf_tag == 2'h3; // @[lsu_bus_buffer.scala 365:30] - wire _T_1889 = WrPtr0_r == 2'h3; // @[lsu_bus_buffer.scala 366:18] - wire _T_1890 = WrPtr1_r == 2'h3; // @[lsu_bus_buffer.scala 366:57] + wire _T_1853 = buf_state_0 == 3'h0; // @[lsu_bus_buffer.scala 363:65] + wire _T_1854 = ibuf_tag == 2'h0; // @[lsu_bus_buffer.scala 364:30] + wire _T_1855 = ibuf_valid & _T_1854; // @[lsu_bus_buffer.scala 364:19] + wire _T_1856 = WrPtr0_r == 2'h0; // @[lsu_bus_buffer.scala 365:18] + wire _T_1857 = WrPtr1_r == 2'h0; // @[lsu_bus_buffer.scala 365:57] + wire _T_1858 = io_ldst_dual_r & _T_1857; // @[lsu_bus_buffer.scala 365:45] + wire _T_1859 = _T_1856 | _T_1858; // @[lsu_bus_buffer.scala 365:27] + wire _T_1860 = io_lsu_busreq_r & _T_1859; // @[lsu_bus_buffer.scala 364:58] + wire _T_1861 = _T_1855 | _T_1860; // @[lsu_bus_buffer.scala 364:39] + wire _T_1862 = ~_T_1861; // @[lsu_bus_buffer.scala 364:5] + wire _T_1863 = _T_1853 & _T_1862; // @[lsu_bus_buffer.scala 363:76] + wire _T_1864 = buf_state_1 == 3'h0; // @[lsu_bus_buffer.scala 363:65] + wire _T_1865 = ibuf_tag == 2'h1; // @[lsu_bus_buffer.scala 364:30] + wire _T_1866 = ibuf_valid & _T_1865; // @[lsu_bus_buffer.scala 364:19] + wire _T_1867 = WrPtr0_r == 2'h1; // @[lsu_bus_buffer.scala 365:18] + wire _T_1868 = WrPtr1_r == 2'h1; // @[lsu_bus_buffer.scala 365:57] + wire _T_1869 = io_ldst_dual_r & _T_1868; // @[lsu_bus_buffer.scala 365:45] + wire _T_1870 = _T_1867 | _T_1869; // @[lsu_bus_buffer.scala 365:27] + wire _T_1871 = io_lsu_busreq_r & _T_1870; // @[lsu_bus_buffer.scala 364:58] + wire _T_1872 = _T_1866 | _T_1871; // @[lsu_bus_buffer.scala 364:39] + wire _T_1873 = ~_T_1872; // @[lsu_bus_buffer.scala 364:5] + wire _T_1874 = _T_1864 & _T_1873; // @[lsu_bus_buffer.scala 363:76] + wire _T_1875 = buf_state_2 == 3'h0; // @[lsu_bus_buffer.scala 363:65] + wire _T_1876 = ibuf_tag == 2'h2; // @[lsu_bus_buffer.scala 364:30] + wire _T_1877 = ibuf_valid & _T_1876; // @[lsu_bus_buffer.scala 364:19] + wire _T_1878 = WrPtr0_r == 2'h2; // @[lsu_bus_buffer.scala 365:18] + wire _T_1879 = WrPtr1_r == 2'h2; // @[lsu_bus_buffer.scala 365:57] + wire _T_1880 = io_ldst_dual_r & _T_1879; // @[lsu_bus_buffer.scala 365:45] + wire _T_1881 = _T_1878 | _T_1880; // @[lsu_bus_buffer.scala 365:27] + wire _T_1882 = io_lsu_busreq_r & _T_1881; // @[lsu_bus_buffer.scala 364:58] + wire _T_1883 = _T_1877 | _T_1882; // @[lsu_bus_buffer.scala 364:39] + wire _T_1884 = ~_T_1883; // @[lsu_bus_buffer.scala 364:5] + wire _T_1885 = _T_1875 & _T_1884; // @[lsu_bus_buffer.scala 363:76] + wire _T_1886 = buf_state_3 == 3'h0; // @[lsu_bus_buffer.scala 363:65] + wire _T_1887 = ibuf_tag == 2'h3; // @[lsu_bus_buffer.scala 364:30] + wire _T_1889 = WrPtr0_r == 2'h3; // @[lsu_bus_buffer.scala 365:18] + wire _T_1890 = WrPtr1_r == 2'h3; // @[lsu_bus_buffer.scala 365:57] wire [1:0] _T_1898 = _T_1885 ? 2'h2 : 2'h3; // @[Mux.scala 98:16] wire [1:0] _T_1899 = _T_1874 ? 2'h1 : _T_1898; // @[Mux.scala 98:16] wire [1:0] WrPtr0_m = _T_1863 ? 2'h0 : _T_1899; // @[Mux.scala 98:16] - wire _T_1904 = WrPtr0_m == 2'h0; // @[lsu_bus_buffer.scala 371:33] - wire _T_1905 = io_lsu_busreq_m & _T_1904; // @[lsu_bus_buffer.scala 371:22] - wire _T_1906 = _T_1855 | _T_1905; // @[lsu_bus_buffer.scala 370:112] - wire _T_1912 = _T_1906 | _T_1860; // @[lsu_bus_buffer.scala 371:42] - wire _T_1913 = ~_T_1912; // @[lsu_bus_buffer.scala 370:78] - wire _T_1914 = _T_1853 & _T_1913; // @[lsu_bus_buffer.scala 370:76] - wire _T_1918 = WrPtr0_m == 2'h1; // @[lsu_bus_buffer.scala 371:33] - wire _T_1919 = io_lsu_busreq_m & _T_1918; // @[lsu_bus_buffer.scala 371:22] - wire _T_1920 = _T_1866 | _T_1919; // @[lsu_bus_buffer.scala 370:112] - wire _T_1926 = _T_1920 | _T_1871; // @[lsu_bus_buffer.scala 371:42] - wire _T_1927 = ~_T_1926; // @[lsu_bus_buffer.scala 370:78] - wire _T_1928 = _T_1864 & _T_1927; // @[lsu_bus_buffer.scala 370:76] - wire _T_1932 = WrPtr0_m == 2'h2; // @[lsu_bus_buffer.scala 371:33] - wire _T_1933 = io_lsu_busreq_m & _T_1932; // @[lsu_bus_buffer.scala 371:22] - wire _T_1934 = _T_1877 | _T_1933; // @[lsu_bus_buffer.scala 370:112] - wire _T_1940 = _T_1934 | _T_1882; // @[lsu_bus_buffer.scala 371:42] - wire _T_1941 = ~_T_1940; // @[lsu_bus_buffer.scala 370:78] - wire _T_1942 = _T_1875 & _T_1941; // @[lsu_bus_buffer.scala 370:76] - reg [3:0] buf_rspageQ_0; // @[lsu_bus_buffer.scala 501:63] - wire _T_2746 = buf_state_3 == 3'h5; // @[lsu_bus_buffer.scala 414:102] - wire _T_2747 = buf_rspageQ_0[3] & _T_2746; // @[lsu_bus_buffer.scala 414:87] - wire _T_2743 = buf_state_2 == 3'h5; // @[lsu_bus_buffer.scala 414:102] - wire _T_2744 = buf_rspageQ_0[2] & _T_2743; // @[lsu_bus_buffer.scala 414:87] - wire _T_2740 = buf_state_1 == 3'h5; // @[lsu_bus_buffer.scala 414:102] - wire _T_2741 = buf_rspageQ_0[1] & _T_2740; // @[lsu_bus_buffer.scala 414:87] - wire _T_2737 = buf_state_0 == 3'h5; // @[lsu_bus_buffer.scala 414:102] - wire _T_2738 = buf_rspageQ_0[0] & _T_2737; // @[lsu_bus_buffer.scala 414:87] + wire _T_1904 = WrPtr0_m == 2'h0; // @[lsu_bus_buffer.scala 370:33] + wire _T_1905 = io_lsu_busreq_m & _T_1904; // @[lsu_bus_buffer.scala 370:22] + wire _T_1906 = _T_1855 | _T_1905; // @[lsu_bus_buffer.scala 369:112] + wire _T_1912 = _T_1906 | _T_1860; // @[lsu_bus_buffer.scala 370:42] + wire _T_1913 = ~_T_1912; // @[lsu_bus_buffer.scala 369:78] + wire _T_1914 = _T_1853 & _T_1913; // @[lsu_bus_buffer.scala 369:76] + wire _T_1918 = WrPtr0_m == 2'h1; // @[lsu_bus_buffer.scala 370:33] + wire _T_1919 = io_lsu_busreq_m & _T_1918; // @[lsu_bus_buffer.scala 370:22] + wire _T_1920 = _T_1866 | _T_1919; // @[lsu_bus_buffer.scala 369:112] + wire _T_1926 = _T_1920 | _T_1871; // @[lsu_bus_buffer.scala 370:42] + wire _T_1927 = ~_T_1926; // @[lsu_bus_buffer.scala 369:78] + wire _T_1928 = _T_1864 & _T_1927; // @[lsu_bus_buffer.scala 369:76] + wire _T_1932 = WrPtr0_m == 2'h2; // @[lsu_bus_buffer.scala 370:33] + wire _T_1933 = io_lsu_busreq_m & _T_1932; // @[lsu_bus_buffer.scala 370:22] + wire _T_1934 = _T_1877 | _T_1933; // @[lsu_bus_buffer.scala 369:112] + wire _T_1940 = _T_1934 | _T_1882; // @[lsu_bus_buffer.scala 370:42] + wire _T_1941 = ~_T_1940; // @[lsu_bus_buffer.scala 369:78] + wire _T_1942 = _T_1875 & _T_1941; // @[lsu_bus_buffer.scala 369:76] + reg [3:0] buf_rspageQ_0; // @[lsu_bus_buffer.scala 500:63] + wire _T_2746 = buf_state_3 == 3'h5; // @[lsu_bus_buffer.scala 413:102] + wire _T_2747 = buf_rspageQ_0[3] & _T_2746; // @[lsu_bus_buffer.scala 413:87] + wire _T_2743 = buf_state_2 == 3'h5; // @[lsu_bus_buffer.scala 413:102] + wire _T_2744 = buf_rspageQ_0[2] & _T_2743; // @[lsu_bus_buffer.scala 413:87] + wire _T_2740 = buf_state_1 == 3'h5; // @[lsu_bus_buffer.scala 413:102] + wire _T_2741 = buf_rspageQ_0[1] & _T_2740; // @[lsu_bus_buffer.scala 413:87] + wire _T_2737 = buf_state_0 == 3'h5; // @[lsu_bus_buffer.scala 413:102] + wire _T_2738 = buf_rspageQ_0[0] & _T_2737; // @[lsu_bus_buffer.scala 413:87] wire [3:0] buf_rsp_pickage_0 = {_T_2747,_T_2744,_T_2741,_T_2738}; // @[Cat.scala 29:58] - wire _T_2033 = |buf_rsp_pickage_0; // @[lsu_bus_buffer.scala 382:65] - wire _T_2034 = ~_T_2033; // @[lsu_bus_buffer.scala 382:44] - wire _T_2036 = _T_2034 & _T_2737; // @[lsu_bus_buffer.scala 382:70] - reg [3:0] buf_rspageQ_1; // @[lsu_bus_buffer.scala 501:63] - wire _T_2762 = buf_rspageQ_1[3] & _T_2746; // @[lsu_bus_buffer.scala 414:87] - wire _T_2759 = buf_rspageQ_1[2] & _T_2743; // @[lsu_bus_buffer.scala 414:87] - wire _T_2756 = buf_rspageQ_1[1] & _T_2740; // @[lsu_bus_buffer.scala 414:87] - wire _T_2753 = buf_rspageQ_1[0] & _T_2737; // @[lsu_bus_buffer.scala 414:87] + wire _T_2033 = |buf_rsp_pickage_0; // @[lsu_bus_buffer.scala 381:65] + wire _T_2034 = ~_T_2033; // @[lsu_bus_buffer.scala 381:44] + wire _T_2036 = _T_2034 & _T_2737; // @[lsu_bus_buffer.scala 381:70] + reg [3:0] buf_rspageQ_1; // @[lsu_bus_buffer.scala 500:63] + wire _T_2762 = buf_rspageQ_1[3] & _T_2746; // @[lsu_bus_buffer.scala 413:87] + wire _T_2759 = buf_rspageQ_1[2] & _T_2743; // @[lsu_bus_buffer.scala 413:87] + wire _T_2756 = buf_rspageQ_1[1] & _T_2740; // @[lsu_bus_buffer.scala 413:87] + wire _T_2753 = buf_rspageQ_1[0] & _T_2737; // @[lsu_bus_buffer.scala 413:87] wire [3:0] buf_rsp_pickage_1 = {_T_2762,_T_2759,_T_2756,_T_2753}; // @[Cat.scala 29:58] - wire _T_2037 = |buf_rsp_pickage_1; // @[lsu_bus_buffer.scala 382:65] - wire _T_2038 = ~_T_2037; // @[lsu_bus_buffer.scala 382:44] - wire _T_2040 = _T_2038 & _T_2740; // @[lsu_bus_buffer.scala 382:70] - reg [3:0] buf_rspageQ_2; // @[lsu_bus_buffer.scala 501:63] - wire _T_2777 = buf_rspageQ_2[3] & _T_2746; // @[lsu_bus_buffer.scala 414:87] - wire _T_2774 = buf_rspageQ_2[2] & _T_2743; // @[lsu_bus_buffer.scala 414:87] - wire _T_2771 = buf_rspageQ_2[1] & _T_2740; // @[lsu_bus_buffer.scala 414:87] - wire _T_2768 = buf_rspageQ_2[0] & _T_2737; // @[lsu_bus_buffer.scala 414:87] + wire _T_2037 = |buf_rsp_pickage_1; // @[lsu_bus_buffer.scala 381:65] + wire _T_2038 = ~_T_2037; // @[lsu_bus_buffer.scala 381:44] + wire _T_2040 = _T_2038 & _T_2740; // @[lsu_bus_buffer.scala 381:70] + reg [3:0] buf_rspageQ_2; // @[lsu_bus_buffer.scala 500:63] + wire _T_2777 = buf_rspageQ_2[3] & _T_2746; // @[lsu_bus_buffer.scala 413:87] + wire _T_2774 = buf_rspageQ_2[2] & _T_2743; // @[lsu_bus_buffer.scala 413:87] + wire _T_2771 = buf_rspageQ_2[1] & _T_2740; // @[lsu_bus_buffer.scala 413:87] + wire _T_2768 = buf_rspageQ_2[0] & _T_2737; // @[lsu_bus_buffer.scala 413:87] wire [3:0] buf_rsp_pickage_2 = {_T_2777,_T_2774,_T_2771,_T_2768}; // @[Cat.scala 29:58] - wire _T_2041 = |buf_rsp_pickage_2; // @[lsu_bus_buffer.scala 382:65] - wire _T_2042 = ~_T_2041; // @[lsu_bus_buffer.scala 382:44] - wire _T_2044 = _T_2042 & _T_2743; // @[lsu_bus_buffer.scala 382:70] - reg [3:0] buf_rspageQ_3; // @[lsu_bus_buffer.scala 501:63] - wire _T_2792 = buf_rspageQ_3[3] & _T_2746; // @[lsu_bus_buffer.scala 414:87] - wire _T_2789 = buf_rspageQ_3[2] & _T_2743; // @[lsu_bus_buffer.scala 414:87] - wire _T_2786 = buf_rspageQ_3[1] & _T_2740; // @[lsu_bus_buffer.scala 414:87] - wire _T_2783 = buf_rspageQ_3[0] & _T_2737; // @[lsu_bus_buffer.scala 414:87] + wire _T_2041 = |buf_rsp_pickage_2; // @[lsu_bus_buffer.scala 381:65] + wire _T_2042 = ~_T_2041; // @[lsu_bus_buffer.scala 381:44] + wire _T_2044 = _T_2042 & _T_2743; // @[lsu_bus_buffer.scala 381:70] + reg [3:0] buf_rspageQ_3; // @[lsu_bus_buffer.scala 500:63] + wire _T_2792 = buf_rspageQ_3[3] & _T_2746; // @[lsu_bus_buffer.scala 413:87] + wire _T_2789 = buf_rspageQ_3[2] & _T_2743; // @[lsu_bus_buffer.scala 413:87] + wire _T_2786 = buf_rspageQ_3[1] & _T_2740; // @[lsu_bus_buffer.scala 413:87] + wire _T_2783 = buf_rspageQ_3[0] & _T_2737; // @[lsu_bus_buffer.scala 413:87] wire [3:0] buf_rsp_pickage_3 = {_T_2792,_T_2789,_T_2786,_T_2783}; // @[Cat.scala 29:58] - wire _T_2045 = |buf_rsp_pickage_3; // @[lsu_bus_buffer.scala 382:65] - wire _T_2046 = ~_T_2045; // @[lsu_bus_buffer.scala 382:44] - wire _T_2048 = _T_2046 & _T_2746; // @[lsu_bus_buffer.scala 382:70] + wire _T_2045 = |buf_rsp_pickage_3; // @[lsu_bus_buffer.scala 381:65] + wire _T_2046 = ~_T_2045; // @[lsu_bus_buffer.scala 381:44] + wire _T_2048 = _T_2046 & _T_2746; // @[lsu_bus_buffer.scala 381:70] wire [7:0] _T_2104 = {4'h0,_T_2048,_T_2044,_T_2040,_T_2036}; // @[Cat.scala 29:58] - wire _T_2107 = _T_2104[4] | _T_2104[5]; // @[lsu_bus_buffer.scala 386:42] - wire _T_2109 = _T_2107 | _T_2104[6]; // @[lsu_bus_buffer.scala 386:48] - wire _T_2111 = _T_2109 | _T_2104[7]; // @[lsu_bus_buffer.scala 386:54] - wire _T_2114 = _T_2104[2] | _T_2104[3]; // @[lsu_bus_buffer.scala 386:67] - wire _T_2116 = _T_2114 | _T_2104[6]; // @[lsu_bus_buffer.scala 386:73] - wire _T_2118 = _T_2116 | _T_2104[7]; // @[lsu_bus_buffer.scala 386:79] - wire _T_2121 = _T_2104[1] | _T_2104[3]; // @[lsu_bus_buffer.scala 386:92] - wire _T_2123 = _T_2121 | _T_2104[5]; // @[lsu_bus_buffer.scala 386:98] - wire _T_2125 = _T_2123 | _T_2104[7]; // @[lsu_bus_buffer.scala 386:104] + wire _T_2107 = _T_2104[4] | _T_2104[5]; // @[lsu_bus_buffer.scala 385:42] + wire _T_2109 = _T_2107 | _T_2104[6]; // @[lsu_bus_buffer.scala 385:48] + wire _T_2111 = _T_2109 | _T_2104[7]; // @[lsu_bus_buffer.scala 385:54] + wire _T_2114 = _T_2104[2] | _T_2104[3]; // @[lsu_bus_buffer.scala 385:67] + wire _T_2116 = _T_2114 | _T_2104[6]; // @[lsu_bus_buffer.scala 385:73] + wire _T_2118 = _T_2116 | _T_2104[7]; // @[lsu_bus_buffer.scala 385:79] + wire _T_2121 = _T_2104[1] | _T_2104[3]; // @[lsu_bus_buffer.scala 385:92] + wire _T_2123 = _T_2121 | _T_2104[5]; // @[lsu_bus_buffer.scala 385:98] + wire _T_2125 = _T_2123 | _T_2104[7]; // @[lsu_bus_buffer.scala 385:104] wire [2:0] _T_2127 = {_T_2111,_T_2118,_T_2125}; // @[Cat.scala 29:58] - wire _T_3532 = ibuf_byp | io_ldst_dual_r; // @[lsu_bus_buffer.scala 444:77] - wire _T_3533 = ~ibuf_merge_en; // @[lsu_bus_buffer.scala 444:97] - wire _T_3534 = _T_3532 & _T_3533; // @[lsu_bus_buffer.scala 444:95] - wire _T_3535 = 2'h0 == WrPtr0_r; // @[lsu_bus_buffer.scala 444:117] - wire _T_3536 = _T_3534 & _T_3535; // @[lsu_bus_buffer.scala 444:112] - wire _T_3537 = ibuf_byp & io_ldst_dual_r; // @[lsu_bus_buffer.scala 444:144] - wire _T_3538 = 2'h0 == WrPtr1_r; // @[lsu_bus_buffer.scala 444:166] - wire _T_3539 = _T_3537 & _T_3538; // @[lsu_bus_buffer.scala 444:161] - wire _T_3540 = _T_3536 | _T_3539; // @[lsu_bus_buffer.scala 444:132] - wire _T_3541 = _T_853 & _T_3540; // @[lsu_bus_buffer.scala 444:63] - wire _T_3542 = 2'h0 == ibuf_tag; // @[lsu_bus_buffer.scala 444:206] - wire _T_3543 = ibuf_drain_vld & _T_3542; // @[lsu_bus_buffer.scala 444:201] - wire _T_3544 = _T_3541 | _T_3543; // @[lsu_bus_buffer.scala 444:183] - wire _T_3554 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 451:46] + wire _T_3532 = ibuf_byp | io_ldst_dual_r; // @[lsu_bus_buffer.scala 443:77] + wire _T_3533 = ~ibuf_merge_en; // @[lsu_bus_buffer.scala 443:97] + wire _T_3534 = _T_3532 & _T_3533; // @[lsu_bus_buffer.scala 443:95] + wire _T_3535 = 2'h0 == WrPtr0_r; // @[lsu_bus_buffer.scala 443:117] + wire _T_3536 = _T_3534 & _T_3535; // @[lsu_bus_buffer.scala 443:112] + wire _T_3537 = ibuf_byp & io_ldst_dual_r; // @[lsu_bus_buffer.scala 443:144] + wire _T_3538 = 2'h0 == WrPtr1_r; // @[lsu_bus_buffer.scala 443:166] + wire _T_3539 = _T_3537 & _T_3538; // @[lsu_bus_buffer.scala 443:161] + wire _T_3540 = _T_3536 | _T_3539; // @[lsu_bus_buffer.scala 443:132] + wire _T_3541 = _T_853 & _T_3540; // @[lsu_bus_buffer.scala 443:63] + wire _T_3542 = 2'h0 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] + wire _T_3543 = ibuf_drain_vld & _T_3542; // @[lsu_bus_buffer.scala 443:201] + wire _T_3544 = _T_3541 | _T_3543; // @[lsu_bus_buffer.scala 443:183] + wire _T_3554 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 450:46] wire _T_3589 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_b_valid & io_lsu_axi_b_ready; // @[lsu_bus_buffer.scala 561:39] - wire _T_3634 = io_lsu_axi_b_bits_id == 3'h0; // @[lsu_bus_buffer.scala 469:73] - wire _T_3635 = bus_rsp_write & _T_3634; // @[lsu_bus_buffer.scala 469:52] - wire _T_3636 = io_lsu_axi_r_bits_id == 3'h0; // @[lsu_bus_buffer.scala 470:46] + wire bus_rsp_write = io_lsu_axi_b_valid & io_lsu_axi_b_ready; // @[lsu_bus_buffer.scala 560:39] + wire _T_3634 = io_lsu_axi_b_bits_id == 3'h0; // @[lsu_bus_buffer.scala 468:73] + wire _T_3635 = bus_rsp_write & _T_3634; // @[lsu_bus_buffer.scala 468:52] + wire _T_3636 = io_lsu_axi_r_bits_id == 3'h0; // @[lsu_bus_buffer.scala 469:46] reg _T_4307; // @[Reg.scala 27:20] reg _T_4305; // @[Reg.scala 27:20] reg _T_4303; // @[Reg.scala 27:20] reg _T_4301; // @[Reg.scala 27:20] wire [3:0] buf_ldfwd = {_T_4307,_T_4305,_T_4303,_T_4301}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_0}; // @[lsu_bus_buffer.scala 471:47] - wire _T_3638 = io_lsu_axi_r_bits_id == _GEN_368; // @[lsu_bus_buffer.scala 471:47] - wire _T_3639 = buf_ldfwd[0] & _T_3638; // @[lsu_bus_buffer.scala 471:27] - wire _T_3640 = _T_3636 | _T_3639; // @[lsu_bus_buffer.scala 470:77] - wire _T_3641 = buf_dual_0 & buf_dualhi_0; // @[lsu_bus_buffer.scala 472:26] - wire _T_3643 = ~buf_write[0]; // @[lsu_bus_buffer.scala 472:44] - wire _T_3644 = _T_3641 & _T_3643; // @[lsu_bus_buffer.scala 472:42] - wire _T_3645 = _T_3644 & buf_samedw_0; // @[lsu_bus_buffer.scala 472:58] + wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_0}; // @[lsu_bus_buffer.scala 470:47] + wire _T_3638 = io_lsu_axi_r_bits_id == _GEN_368; // @[lsu_bus_buffer.scala 470:47] + wire _T_3639 = buf_ldfwd[0] & _T_3638; // @[lsu_bus_buffer.scala 470:27] + wire _T_3640 = _T_3636 | _T_3639; // @[lsu_bus_buffer.scala 469:77] + wire _T_3641 = buf_dual_0 & buf_dualhi_0; // @[lsu_bus_buffer.scala 471:26] + wire _T_3643 = ~buf_write[0]; // @[lsu_bus_buffer.scala 471:44] + wire _T_3644 = _T_3641 & _T_3643; // @[lsu_bus_buffer.scala 471:42] + wire _T_3645 = _T_3644 & buf_samedw_0; // @[lsu_bus_buffer.scala 471:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_0}; // @[lsu_bus_buffer.scala 472:94] - wire _T_3646 = io_lsu_axi_r_bits_id == _GEN_369; // @[lsu_bus_buffer.scala 472:94] - wire _T_3647 = _T_3645 & _T_3646; // @[lsu_bus_buffer.scala 472:74] - wire _T_3648 = _T_3640 | _T_3647; // @[lsu_bus_buffer.scala 471:71] - wire _T_3649 = bus_rsp_read & _T_3648; // @[lsu_bus_buffer.scala 470:25] - wire _T_3650 = _T_3635 | _T_3649; // @[lsu_bus_buffer.scala 469:105] + wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_0}; // @[lsu_bus_buffer.scala 471:94] + wire _T_3646 = io_lsu_axi_r_bits_id == _GEN_369; // @[lsu_bus_buffer.scala 471:94] + wire _T_3647 = _T_3645 & _T_3646; // @[lsu_bus_buffer.scala 471:74] + wire _T_3648 = _T_3640 | _T_3647; // @[lsu_bus_buffer.scala 470:71] + wire _T_3649 = bus_rsp_read & _T_3648; // @[lsu_bus_buffer.scala 469:25] + wire _T_3650 = _T_3635 | _T_3649; // @[lsu_bus_buffer.scala 468:105] wire _GEN_42 = _T_3589 & _T_3650; // @[Conditional.scala 39:67] wire _GEN_61 = _T_3555 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] wire _GEN_73 = _T_3551 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_0 = _T_3528 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] wire _T_3676 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3686 = buf_ldfwd >> buf_dualtag_0; // @[lsu_bus_buffer.scala 484:21] + wire [3:0] _T_3686 = buf_ldfwd >> buf_dualtag_0; // @[lsu_bus_buffer.scala 483:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 484:58] - wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[lsu_bus_buffer.scala 484:58] - wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[lsu_bus_buffer.scala 484:58] - wire [2:0] _GEN_371 = {{1'd0}, _GEN_25}; // @[lsu_bus_buffer.scala 484:58] - wire _T_3688 = io_lsu_axi_r_bits_id == _GEN_371; // @[lsu_bus_buffer.scala 484:58] - wire _T_3689 = _T_3686[0] & _T_3688; // @[lsu_bus_buffer.scala 484:38] - wire _T_3690 = _T_3646 | _T_3689; // @[lsu_bus_buffer.scala 483:95] - wire _T_3691 = bus_rsp_read & _T_3690; // @[lsu_bus_buffer.scala 483:45] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 483:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[lsu_bus_buffer.scala 483:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[lsu_bus_buffer.scala 483:58] + wire [2:0] _GEN_371 = {{1'd0}, _GEN_25}; // @[lsu_bus_buffer.scala 483:58] + wire _T_3688 = io_lsu_axi_r_bits_id == _GEN_371; // @[lsu_bus_buffer.scala 483:58] + wire _T_3689 = _T_3686[0] & _T_3688; // @[lsu_bus_buffer.scala 483:38] + wire _T_3690 = _T_3646 | _T_3689; // @[lsu_bus_buffer.scala 482:95] + wire _T_3691 = bus_rsp_read & _T_3690; // @[lsu_bus_buffer.scala 482:45] wire _GEN_36 = _T_3676 & _T_3691; // @[Conditional.scala 39:67] wire _GEN_43 = _T_3589 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] wire _GEN_53 = _T_3555 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] wire _GEN_66 = _T_3551 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] wire buf_state_bus_en_0 = _T_3528 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3568 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 457:49] - wire _T_3569 = _T_3568 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 457:70] + wire _T_3568 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 456:49] + wire _T_3569 = _T_3568 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 456:70] wire _T_3694 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire [1:0] RspPtr = _T_2127[1:0]; // @[lsu_bus_buffer.scala 394:10] - wire _T_3697 = RspPtr == 2'h0; // @[lsu_bus_buffer.scala 489:37] - wire _T_3698 = buf_dualtag_0 == RspPtr; // @[lsu_bus_buffer.scala 489:98] - wire _T_3699 = buf_dual_0 & _T_3698; // @[lsu_bus_buffer.scala 489:80] - wire _T_3700 = _T_3697 | _T_3699; // @[lsu_bus_buffer.scala 489:65] - wire _T_3701 = _T_3700 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 489:112] + wire [1:0] RspPtr = _T_2127[1:0]; // @[lsu_bus_buffer.scala 393:10] + wire _T_3697 = RspPtr == 2'h0; // @[lsu_bus_buffer.scala 488:37] + wire _T_3698 = buf_dualtag_0 == RspPtr; // @[lsu_bus_buffer.scala 488:98] + wire _T_3699 = buf_dual_0 & _T_3698; // @[lsu_bus_buffer.scala 488:80] + wire _T_3700 = _T_3697 | _T_3699; // @[lsu_bus_buffer.scala 488:65] + wire _T_3701 = _T_3700 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 488:112] wire _T_3702 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] wire _GEN_31 = _T_3694 ? _T_3701 : _T_3702; // @[Conditional.scala 39:67] wire _GEN_37 = _T_3676 ? _T_3569 : _GEN_31; // @[Conditional.scala 39:67] @@ -69620,93 +69756,93 @@ module lsu_bus_buffer( wire _GEN_54 = _T_3555 ? _T_3569 : _GEN_44; // @[Conditional.scala 39:67] wire _GEN_64 = _T_3551 ? _T_3554 : _GEN_54; // @[Conditional.scala 39:67] wire buf_state_en_0 = _T_3528 ? _T_3544 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_2129 = _T_1853 & buf_state_en_0; // @[lsu_bus_buffer.scala 406:94] - wire _T_2135 = ibuf_drain_vld & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 408:23] - wire _T_2137 = _T_2135 & _T_3532; // @[lsu_bus_buffer.scala 408:41] - wire _T_2139 = _T_2137 & _T_1856; // @[lsu_bus_buffer.scala 408:71] - wire _T_2141 = _T_2139 & _T_1854; // @[lsu_bus_buffer.scala 408:92] - wire _T_2142 = _T_4471 | _T_2141; // @[lsu_bus_buffer.scala 407:86] - wire _T_2143 = ibuf_byp & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 409:17] - wire _T_2144 = _T_2143 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 409:35] - wire _T_2146 = _T_2144 & _T_1857; // @[lsu_bus_buffer.scala 409:52] - wire _T_2148 = _T_2146 & _T_1856; // @[lsu_bus_buffer.scala 409:73] - wire _T_2149 = _T_2142 | _T_2148; // @[lsu_bus_buffer.scala 408:114] - wire _T_2150 = _T_2129 & _T_2149; // @[lsu_bus_buffer.scala 406:113] - wire _T_2152 = _T_2150 | buf_age_0[0]; // @[lsu_bus_buffer.scala 409:97] - wire _T_2166 = _T_2139 & _T_1865; // @[lsu_bus_buffer.scala 408:92] - wire _T_2167 = _T_4476 | _T_2166; // @[lsu_bus_buffer.scala 407:86] - wire _T_2173 = _T_2146 & _T_1867; // @[lsu_bus_buffer.scala 409:73] - wire _T_2174 = _T_2167 | _T_2173; // @[lsu_bus_buffer.scala 408:114] - wire _T_2175 = _T_2129 & _T_2174; // @[lsu_bus_buffer.scala 406:113] - wire _T_2177 = _T_2175 | buf_age_0[1]; // @[lsu_bus_buffer.scala 409:97] - wire _T_2191 = _T_2139 & _T_1876; // @[lsu_bus_buffer.scala 408:92] - wire _T_2192 = _T_4481 | _T_2191; // @[lsu_bus_buffer.scala 407:86] - wire _T_2198 = _T_2146 & _T_1878; // @[lsu_bus_buffer.scala 409:73] - wire _T_2199 = _T_2192 | _T_2198; // @[lsu_bus_buffer.scala 408:114] - wire _T_2200 = _T_2129 & _T_2199; // @[lsu_bus_buffer.scala 406:113] - wire _T_2202 = _T_2200 | buf_age_0[2]; // @[lsu_bus_buffer.scala 409:97] - wire _T_2216 = _T_2139 & _T_1887; // @[lsu_bus_buffer.scala 408:92] - wire _T_2217 = _T_4486 | _T_2216; // @[lsu_bus_buffer.scala 407:86] - wire _T_2223 = _T_2146 & _T_1889; // @[lsu_bus_buffer.scala 409:73] - wire _T_2224 = _T_2217 | _T_2223; // @[lsu_bus_buffer.scala 408:114] - wire _T_2225 = _T_2129 & _T_2224; // @[lsu_bus_buffer.scala 406:113] - wire _T_2227 = _T_2225 | buf_age_0[3]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2129 = _T_1853 & buf_state_en_0; // @[lsu_bus_buffer.scala 405:94] + wire _T_2135 = ibuf_drain_vld & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 407:23] + wire _T_2137 = _T_2135 & _T_3532; // @[lsu_bus_buffer.scala 407:41] + wire _T_2139 = _T_2137 & _T_1856; // @[lsu_bus_buffer.scala 407:71] + wire _T_2141 = _T_2139 & _T_1854; // @[lsu_bus_buffer.scala 407:92] + wire _T_2142 = _T_4471 | _T_2141; // @[lsu_bus_buffer.scala 406:86] + wire _T_2143 = ibuf_byp & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 408:17] + wire _T_2144 = _T_2143 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 408:35] + wire _T_2146 = _T_2144 & _T_1857; // @[lsu_bus_buffer.scala 408:52] + wire _T_2148 = _T_2146 & _T_1856; // @[lsu_bus_buffer.scala 408:73] + wire _T_2149 = _T_2142 | _T_2148; // @[lsu_bus_buffer.scala 407:114] + wire _T_2150 = _T_2129 & _T_2149; // @[lsu_bus_buffer.scala 405:113] + wire _T_2152 = _T_2150 | buf_age_0[0]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2166 = _T_2139 & _T_1865; // @[lsu_bus_buffer.scala 407:92] + wire _T_2167 = _T_4476 | _T_2166; // @[lsu_bus_buffer.scala 406:86] + wire _T_2173 = _T_2146 & _T_1867; // @[lsu_bus_buffer.scala 408:73] + wire _T_2174 = _T_2167 | _T_2173; // @[lsu_bus_buffer.scala 407:114] + wire _T_2175 = _T_2129 & _T_2174; // @[lsu_bus_buffer.scala 405:113] + wire _T_2177 = _T_2175 | buf_age_0[1]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2191 = _T_2139 & _T_1876; // @[lsu_bus_buffer.scala 407:92] + wire _T_2192 = _T_4481 | _T_2191; // @[lsu_bus_buffer.scala 406:86] + wire _T_2198 = _T_2146 & _T_1878; // @[lsu_bus_buffer.scala 408:73] + wire _T_2199 = _T_2192 | _T_2198; // @[lsu_bus_buffer.scala 407:114] + wire _T_2200 = _T_2129 & _T_2199; // @[lsu_bus_buffer.scala 405:113] + wire _T_2202 = _T_2200 | buf_age_0[2]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2216 = _T_2139 & _T_1887; // @[lsu_bus_buffer.scala 407:92] + wire _T_2217 = _T_4486 | _T_2216; // @[lsu_bus_buffer.scala 406:86] + wire _T_2223 = _T_2146 & _T_1889; // @[lsu_bus_buffer.scala 408:73] + wire _T_2224 = _T_2217 | _T_2223; // @[lsu_bus_buffer.scala 407:114] + wire _T_2225 = _T_2129 & _T_2224; // @[lsu_bus_buffer.scala 405:113] + wire _T_2227 = _T_2225 | buf_age_0[3]; // @[lsu_bus_buffer.scala 408:97] wire [2:0] _T_2229 = {_T_2227,_T_2202,_T_2177}; // @[Cat.scala 29:58] - wire _T_3728 = 2'h1 == WrPtr0_r; // @[lsu_bus_buffer.scala 444:117] - wire _T_3729 = _T_3534 & _T_3728; // @[lsu_bus_buffer.scala 444:112] - wire _T_3731 = 2'h1 == WrPtr1_r; // @[lsu_bus_buffer.scala 444:166] - wire _T_3732 = _T_3537 & _T_3731; // @[lsu_bus_buffer.scala 444:161] - wire _T_3733 = _T_3729 | _T_3732; // @[lsu_bus_buffer.scala 444:132] - wire _T_3734 = _T_853 & _T_3733; // @[lsu_bus_buffer.scala 444:63] - wire _T_3735 = 2'h1 == ibuf_tag; // @[lsu_bus_buffer.scala 444:206] - wire _T_3736 = ibuf_drain_vld & _T_3735; // @[lsu_bus_buffer.scala 444:201] - wire _T_3737 = _T_3734 | _T_3736; // @[lsu_bus_buffer.scala 444:183] + wire _T_3728 = 2'h1 == WrPtr0_r; // @[lsu_bus_buffer.scala 443:117] + wire _T_3729 = _T_3534 & _T_3728; // @[lsu_bus_buffer.scala 443:112] + wire _T_3731 = 2'h1 == WrPtr1_r; // @[lsu_bus_buffer.scala 443:166] + wire _T_3732 = _T_3537 & _T_3731; // @[lsu_bus_buffer.scala 443:161] + wire _T_3733 = _T_3729 | _T_3732; // @[lsu_bus_buffer.scala 443:132] + wire _T_3734 = _T_853 & _T_3733; // @[lsu_bus_buffer.scala 443:63] + wire _T_3735 = 2'h1 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] + wire _T_3736 = ibuf_drain_vld & _T_3735; // @[lsu_bus_buffer.scala 443:201] + wire _T_3737 = _T_3734 | _T_3736; // @[lsu_bus_buffer.scala 443:183] wire _T_3782 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3827 = io_lsu_axi_b_bits_id == 3'h1; // @[lsu_bus_buffer.scala 469:73] - wire _T_3828 = bus_rsp_write & _T_3827; // @[lsu_bus_buffer.scala 469:52] - wire _T_3829 = io_lsu_axi_r_bits_id == 3'h1; // @[lsu_bus_buffer.scala 470:46] - wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_1}; // @[lsu_bus_buffer.scala 471:47] - wire _T_3831 = io_lsu_axi_r_bits_id == _GEN_372; // @[lsu_bus_buffer.scala 471:47] - wire _T_3832 = buf_ldfwd[1] & _T_3831; // @[lsu_bus_buffer.scala 471:27] - wire _T_3833 = _T_3829 | _T_3832; // @[lsu_bus_buffer.scala 470:77] - wire _T_3834 = buf_dual_1 & buf_dualhi_1; // @[lsu_bus_buffer.scala 472:26] - wire _T_3836 = ~buf_write[1]; // @[lsu_bus_buffer.scala 472:44] - wire _T_3837 = _T_3834 & _T_3836; // @[lsu_bus_buffer.scala 472:42] - wire _T_3838 = _T_3837 & buf_samedw_1; // @[lsu_bus_buffer.scala 472:58] + wire _T_3827 = io_lsu_axi_b_bits_id == 3'h1; // @[lsu_bus_buffer.scala 468:73] + wire _T_3828 = bus_rsp_write & _T_3827; // @[lsu_bus_buffer.scala 468:52] + wire _T_3829 = io_lsu_axi_r_bits_id == 3'h1; // @[lsu_bus_buffer.scala 469:46] + wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_1}; // @[lsu_bus_buffer.scala 470:47] + wire _T_3831 = io_lsu_axi_r_bits_id == _GEN_372; // @[lsu_bus_buffer.scala 470:47] + wire _T_3832 = buf_ldfwd[1] & _T_3831; // @[lsu_bus_buffer.scala 470:27] + wire _T_3833 = _T_3829 | _T_3832; // @[lsu_bus_buffer.scala 469:77] + wire _T_3834 = buf_dual_1 & buf_dualhi_1; // @[lsu_bus_buffer.scala 471:26] + wire _T_3836 = ~buf_write[1]; // @[lsu_bus_buffer.scala 471:44] + wire _T_3837 = _T_3834 & _T_3836; // @[lsu_bus_buffer.scala 471:42] + wire _T_3838 = _T_3837 & buf_samedw_1; // @[lsu_bus_buffer.scala 471:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_1}; // @[lsu_bus_buffer.scala 472:94] - wire _T_3839 = io_lsu_axi_r_bits_id == _GEN_373; // @[lsu_bus_buffer.scala 472:94] - wire _T_3840 = _T_3838 & _T_3839; // @[lsu_bus_buffer.scala 472:74] - wire _T_3841 = _T_3833 | _T_3840; // @[lsu_bus_buffer.scala 471:71] - wire _T_3842 = bus_rsp_read & _T_3841; // @[lsu_bus_buffer.scala 470:25] - wire _T_3843 = _T_3828 | _T_3842; // @[lsu_bus_buffer.scala 469:105] + wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_1}; // @[lsu_bus_buffer.scala 471:94] + wire _T_3839 = io_lsu_axi_r_bits_id == _GEN_373; // @[lsu_bus_buffer.scala 471:94] + wire _T_3840 = _T_3838 & _T_3839; // @[lsu_bus_buffer.scala 471:74] + wire _T_3841 = _T_3833 | _T_3840; // @[lsu_bus_buffer.scala 470:71] + wire _T_3842 = bus_rsp_read & _T_3841; // @[lsu_bus_buffer.scala 469:25] + wire _T_3843 = _T_3828 | _T_3842; // @[lsu_bus_buffer.scala 468:105] wire _GEN_118 = _T_3782 & _T_3843; // @[Conditional.scala 39:67] wire _GEN_137 = _T_3748 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] wire _GEN_149 = _T_3744 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_1 = _T_3721 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] wire _T_3869 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3879 = buf_ldfwd >> buf_dualtag_1; // @[lsu_bus_buffer.scala 484:21] - wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 484:58] - wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[lsu_bus_buffer.scala 484:58] - wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[lsu_bus_buffer.scala 484:58] - wire [2:0] _GEN_375 = {{1'd0}, _GEN_101}; // @[lsu_bus_buffer.scala 484:58] - wire _T_3881 = io_lsu_axi_r_bits_id == _GEN_375; // @[lsu_bus_buffer.scala 484:58] - wire _T_3882 = _T_3879[0] & _T_3881; // @[lsu_bus_buffer.scala 484:38] - wire _T_3883 = _T_3839 | _T_3882; // @[lsu_bus_buffer.scala 483:95] - wire _T_3884 = bus_rsp_read & _T_3883; // @[lsu_bus_buffer.scala 483:45] + wire [3:0] _T_3879 = buf_ldfwd >> buf_dualtag_1; // @[lsu_bus_buffer.scala 483:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 483:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[lsu_bus_buffer.scala 483:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[lsu_bus_buffer.scala 483:58] + wire [2:0] _GEN_375 = {{1'd0}, _GEN_101}; // @[lsu_bus_buffer.scala 483:58] + wire _T_3881 = io_lsu_axi_r_bits_id == _GEN_375; // @[lsu_bus_buffer.scala 483:58] + wire _T_3882 = _T_3879[0] & _T_3881; // @[lsu_bus_buffer.scala 483:38] + wire _T_3883 = _T_3839 | _T_3882; // @[lsu_bus_buffer.scala 482:95] + wire _T_3884 = bus_rsp_read & _T_3883; // @[lsu_bus_buffer.scala 482:45] wire _GEN_112 = _T_3869 & _T_3884; // @[Conditional.scala 39:67] wire _GEN_119 = _T_3782 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] wire _GEN_129 = _T_3748 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] wire _GEN_142 = _T_3744 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] wire buf_state_bus_en_1 = _T_3721 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3761 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 457:49] - wire _T_3762 = _T_3761 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 457:70] + wire _T_3761 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 456:49] + wire _T_3762 = _T_3761 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 456:70] wire _T_3887 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3890 = RspPtr == 2'h1; // @[lsu_bus_buffer.scala 489:37] - wire _T_3891 = buf_dualtag_1 == RspPtr; // @[lsu_bus_buffer.scala 489:98] - wire _T_3892 = buf_dual_1 & _T_3891; // @[lsu_bus_buffer.scala 489:80] - wire _T_3893 = _T_3890 | _T_3892; // @[lsu_bus_buffer.scala 489:65] - wire _T_3894 = _T_3893 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 489:112] + wire _T_3890 = RspPtr == 2'h1; // @[lsu_bus_buffer.scala 488:37] + wire _T_3891 = buf_dualtag_1 == RspPtr; // @[lsu_bus_buffer.scala 488:98] + wire _T_3892 = buf_dual_1 & _T_3891; // @[lsu_bus_buffer.scala 488:80] + wire _T_3893 = _T_3890 | _T_3892; // @[lsu_bus_buffer.scala 488:65] + wire _T_3894 = _T_3893 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 488:112] wire _T_3895 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] wire _GEN_107 = _T_3887 ? _T_3894 : _T_3895; // @[Conditional.scala 39:67] wire _GEN_113 = _T_3869 ? _T_3762 : _GEN_107; // @[Conditional.scala 39:67] @@ -69714,89 +69850,89 @@ module lsu_bus_buffer( wire _GEN_130 = _T_3748 ? _T_3762 : _GEN_120; // @[Conditional.scala 39:67] wire _GEN_140 = _T_3744 ? _T_3554 : _GEN_130; // @[Conditional.scala 39:67] wire buf_state_en_1 = _T_3721 ? _T_3737 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2231 = _T_1864 & buf_state_en_1; // @[lsu_bus_buffer.scala 406:94] - wire _T_2241 = _T_2137 & _T_1867; // @[lsu_bus_buffer.scala 408:71] - wire _T_2243 = _T_2241 & _T_1854; // @[lsu_bus_buffer.scala 408:92] - wire _T_2244 = _T_4471 | _T_2243; // @[lsu_bus_buffer.scala 407:86] - wire _T_2248 = _T_2144 & _T_1868; // @[lsu_bus_buffer.scala 409:52] - wire _T_2250 = _T_2248 & _T_1856; // @[lsu_bus_buffer.scala 409:73] - wire _T_2251 = _T_2244 | _T_2250; // @[lsu_bus_buffer.scala 408:114] - wire _T_2252 = _T_2231 & _T_2251; // @[lsu_bus_buffer.scala 406:113] - wire _T_2254 = _T_2252 | buf_age_1[0]; // @[lsu_bus_buffer.scala 409:97] - wire _T_2268 = _T_2241 & _T_1865; // @[lsu_bus_buffer.scala 408:92] - wire _T_2269 = _T_4476 | _T_2268; // @[lsu_bus_buffer.scala 407:86] - wire _T_2275 = _T_2248 & _T_1867; // @[lsu_bus_buffer.scala 409:73] - wire _T_2276 = _T_2269 | _T_2275; // @[lsu_bus_buffer.scala 408:114] - wire _T_2277 = _T_2231 & _T_2276; // @[lsu_bus_buffer.scala 406:113] - wire _T_2279 = _T_2277 | buf_age_1[1]; // @[lsu_bus_buffer.scala 409:97] - wire _T_2293 = _T_2241 & _T_1876; // @[lsu_bus_buffer.scala 408:92] - wire _T_2294 = _T_4481 | _T_2293; // @[lsu_bus_buffer.scala 407:86] - wire _T_2300 = _T_2248 & _T_1878; // @[lsu_bus_buffer.scala 409:73] - wire _T_2301 = _T_2294 | _T_2300; // @[lsu_bus_buffer.scala 408:114] - wire _T_2302 = _T_2231 & _T_2301; // @[lsu_bus_buffer.scala 406:113] - wire _T_2304 = _T_2302 | buf_age_1[2]; // @[lsu_bus_buffer.scala 409:97] - wire _T_2318 = _T_2241 & _T_1887; // @[lsu_bus_buffer.scala 408:92] - wire _T_2319 = _T_4486 | _T_2318; // @[lsu_bus_buffer.scala 407:86] - wire _T_2325 = _T_2248 & _T_1889; // @[lsu_bus_buffer.scala 409:73] - wire _T_2326 = _T_2319 | _T_2325; // @[lsu_bus_buffer.scala 408:114] - wire _T_2327 = _T_2231 & _T_2326; // @[lsu_bus_buffer.scala 406:113] - wire _T_2329 = _T_2327 | buf_age_1[3]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2231 = _T_1864 & buf_state_en_1; // @[lsu_bus_buffer.scala 405:94] + wire _T_2241 = _T_2137 & _T_1867; // @[lsu_bus_buffer.scala 407:71] + wire _T_2243 = _T_2241 & _T_1854; // @[lsu_bus_buffer.scala 407:92] + wire _T_2244 = _T_4471 | _T_2243; // @[lsu_bus_buffer.scala 406:86] + wire _T_2248 = _T_2144 & _T_1868; // @[lsu_bus_buffer.scala 408:52] + wire _T_2250 = _T_2248 & _T_1856; // @[lsu_bus_buffer.scala 408:73] + wire _T_2251 = _T_2244 | _T_2250; // @[lsu_bus_buffer.scala 407:114] + wire _T_2252 = _T_2231 & _T_2251; // @[lsu_bus_buffer.scala 405:113] + wire _T_2254 = _T_2252 | buf_age_1[0]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2268 = _T_2241 & _T_1865; // @[lsu_bus_buffer.scala 407:92] + wire _T_2269 = _T_4476 | _T_2268; // @[lsu_bus_buffer.scala 406:86] + wire _T_2275 = _T_2248 & _T_1867; // @[lsu_bus_buffer.scala 408:73] + wire _T_2276 = _T_2269 | _T_2275; // @[lsu_bus_buffer.scala 407:114] + wire _T_2277 = _T_2231 & _T_2276; // @[lsu_bus_buffer.scala 405:113] + wire _T_2279 = _T_2277 | buf_age_1[1]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2293 = _T_2241 & _T_1876; // @[lsu_bus_buffer.scala 407:92] + wire _T_2294 = _T_4481 | _T_2293; // @[lsu_bus_buffer.scala 406:86] + wire _T_2300 = _T_2248 & _T_1878; // @[lsu_bus_buffer.scala 408:73] + wire _T_2301 = _T_2294 | _T_2300; // @[lsu_bus_buffer.scala 407:114] + wire _T_2302 = _T_2231 & _T_2301; // @[lsu_bus_buffer.scala 405:113] + wire _T_2304 = _T_2302 | buf_age_1[2]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2318 = _T_2241 & _T_1887; // @[lsu_bus_buffer.scala 407:92] + wire _T_2319 = _T_4486 | _T_2318; // @[lsu_bus_buffer.scala 406:86] + wire _T_2325 = _T_2248 & _T_1889; // @[lsu_bus_buffer.scala 408:73] + wire _T_2326 = _T_2319 | _T_2325; // @[lsu_bus_buffer.scala 407:114] + wire _T_2327 = _T_2231 & _T_2326; // @[lsu_bus_buffer.scala 405:113] + wire _T_2329 = _T_2327 | buf_age_1[3]; // @[lsu_bus_buffer.scala 408:97] wire [2:0] _T_2331 = {_T_2329,_T_2304,_T_2279}; // @[Cat.scala 29:58] - wire _T_3921 = 2'h2 == WrPtr0_r; // @[lsu_bus_buffer.scala 444:117] - wire _T_3922 = _T_3534 & _T_3921; // @[lsu_bus_buffer.scala 444:112] - wire _T_3924 = 2'h2 == WrPtr1_r; // @[lsu_bus_buffer.scala 444:166] - wire _T_3925 = _T_3537 & _T_3924; // @[lsu_bus_buffer.scala 444:161] - wire _T_3926 = _T_3922 | _T_3925; // @[lsu_bus_buffer.scala 444:132] - wire _T_3927 = _T_853 & _T_3926; // @[lsu_bus_buffer.scala 444:63] - wire _T_3928 = 2'h2 == ibuf_tag; // @[lsu_bus_buffer.scala 444:206] - wire _T_3929 = ibuf_drain_vld & _T_3928; // @[lsu_bus_buffer.scala 444:201] - wire _T_3930 = _T_3927 | _T_3929; // @[lsu_bus_buffer.scala 444:183] + wire _T_3921 = 2'h2 == WrPtr0_r; // @[lsu_bus_buffer.scala 443:117] + wire _T_3922 = _T_3534 & _T_3921; // @[lsu_bus_buffer.scala 443:112] + wire _T_3924 = 2'h2 == WrPtr1_r; // @[lsu_bus_buffer.scala 443:166] + wire _T_3925 = _T_3537 & _T_3924; // @[lsu_bus_buffer.scala 443:161] + wire _T_3926 = _T_3922 | _T_3925; // @[lsu_bus_buffer.scala 443:132] + wire _T_3927 = _T_853 & _T_3926; // @[lsu_bus_buffer.scala 443:63] + wire _T_3928 = 2'h2 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] + wire _T_3929 = ibuf_drain_vld & _T_3928; // @[lsu_bus_buffer.scala 443:201] + wire _T_3930 = _T_3927 | _T_3929; // @[lsu_bus_buffer.scala 443:183] wire _T_3975 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4020 = io_lsu_axi_b_bits_id == 3'h2; // @[lsu_bus_buffer.scala 469:73] - wire _T_4021 = bus_rsp_write & _T_4020; // @[lsu_bus_buffer.scala 469:52] - wire _T_4022 = io_lsu_axi_r_bits_id == 3'h2; // @[lsu_bus_buffer.scala 470:46] - wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_2}; // @[lsu_bus_buffer.scala 471:47] - wire _T_4024 = io_lsu_axi_r_bits_id == _GEN_376; // @[lsu_bus_buffer.scala 471:47] - wire _T_4025 = buf_ldfwd[2] & _T_4024; // @[lsu_bus_buffer.scala 471:27] - wire _T_4026 = _T_4022 | _T_4025; // @[lsu_bus_buffer.scala 470:77] - wire _T_4027 = buf_dual_2 & buf_dualhi_2; // @[lsu_bus_buffer.scala 472:26] - wire _T_4029 = ~buf_write[2]; // @[lsu_bus_buffer.scala 472:44] - wire _T_4030 = _T_4027 & _T_4029; // @[lsu_bus_buffer.scala 472:42] - wire _T_4031 = _T_4030 & buf_samedw_2; // @[lsu_bus_buffer.scala 472:58] + wire _T_4020 = io_lsu_axi_b_bits_id == 3'h2; // @[lsu_bus_buffer.scala 468:73] + wire _T_4021 = bus_rsp_write & _T_4020; // @[lsu_bus_buffer.scala 468:52] + wire _T_4022 = io_lsu_axi_r_bits_id == 3'h2; // @[lsu_bus_buffer.scala 469:46] + wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_2}; // @[lsu_bus_buffer.scala 470:47] + wire _T_4024 = io_lsu_axi_r_bits_id == _GEN_376; // @[lsu_bus_buffer.scala 470:47] + wire _T_4025 = buf_ldfwd[2] & _T_4024; // @[lsu_bus_buffer.scala 470:27] + wire _T_4026 = _T_4022 | _T_4025; // @[lsu_bus_buffer.scala 469:77] + wire _T_4027 = buf_dual_2 & buf_dualhi_2; // @[lsu_bus_buffer.scala 471:26] + wire _T_4029 = ~buf_write[2]; // @[lsu_bus_buffer.scala 471:44] + wire _T_4030 = _T_4027 & _T_4029; // @[lsu_bus_buffer.scala 471:42] + wire _T_4031 = _T_4030 & buf_samedw_2; // @[lsu_bus_buffer.scala 471:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_2}; // @[lsu_bus_buffer.scala 472:94] - wire _T_4032 = io_lsu_axi_r_bits_id == _GEN_377; // @[lsu_bus_buffer.scala 472:94] - wire _T_4033 = _T_4031 & _T_4032; // @[lsu_bus_buffer.scala 472:74] - wire _T_4034 = _T_4026 | _T_4033; // @[lsu_bus_buffer.scala 471:71] - wire _T_4035 = bus_rsp_read & _T_4034; // @[lsu_bus_buffer.scala 470:25] - wire _T_4036 = _T_4021 | _T_4035; // @[lsu_bus_buffer.scala 469:105] + wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_2}; // @[lsu_bus_buffer.scala 471:94] + wire _T_4032 = io_lsu_axi_r_bits_id == _GEN_377; // @[lsu_bus_buffer.scala 471:94] + wire _T_4033 = _T_4031 & _T_4032; // @[lsu_bus_buffer.scala 471:74] + wire _T_4034 = _T_4026 | _T_4033; // @[lsu_bus_buffer.scala 470:71] + wire _T_4035 = bus_rsp_read & _T_4034; // @[lsu_bus_buffer.scala 469:25] + wire _T_4036 = _T_4021 | _T_4035; // @[lsu_bus_buffer.scala 468:105] wire _GEN_194 = _T_3975 & _T_4036; // @[Conditional.scala 39:67] wire _GEN_213 = _T_3941 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] wire _GEN_225 = _T_3937 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_2 = _T_3914 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] wire _T_4062 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_4072 = buf_ldfwd >> buf_dualtag_2; // @[lsu_bus_buffer.scala 484:21] - wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 484:58] - wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[lsu_bus_buffer.scala 484:58] - wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[lsu_bus_buffer.scala 484:58] - wire [2:0] _GEN_379 = {{1'd0}, _GEN_177}; // @[lsu_bus_buffer.scala 484:58] - wire _T_4074 = io_lsu_axi_r_bits_id == _GEN_379; // @[lsu_bus_buffer.scala 484:58] - wire _T_4075 = _T_4072[0] & _T_4074; // @[lsu_bus_buffer.scala 484:38] - wire _T_4076 = _T_4032 | _T_4075; // @[lsu_bus_buffer.scala 483:95] - wire _T_4077 = bus_rsp_read & _T_4076; // @[lsu_bus_buffer.scala 483:45] + wire [3:0] _T_4072 = buf_ldfwd >> buf_dualtag_2; // @[lsu_bus_buffer.scala 483:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 483:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[lsu_bus_buffer.scala 483:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[lsu_bus_buffer.scala 483:58] + wire [2:0] _GEN_379 = {{1'd0}, _GEN_177}; // @[lsu_bus_buffer.scala 483:58] + wire _T_4074 = io_lsu_axi_r_bits_id == _GEN_379; // @[lsu_bus_buffer.scala 483:58] + wire _T_4075 = _T_4072[0] & _T_4074; // @[lsu_bus_buffer.scala 483:38] + wire _T_4076 = _T_4032 | _T_4075; // @[lsu_bus_buffer.scala 482:95] + wire _T_4077 = bus_rsp_read & _T_4076; // @[lsu_bus_buffer.scala 482:45] wire _GEN_188 = _T_4062 & _T_4077; // @[Conditional.scala 39:67] wire _GEN_195 = _T_3975 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] wire _GEN_205 = _T_3941 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] wire _GEN_218 = _T_3937 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] wire buf_state_bus_en_2 = _T_3914 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3954 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 457:49] - wire _T_3955 = _T_3954 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 457:70] + wire _T_3954 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 456:49] + wire _T_3955 = _T_3954 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 456:70] wire _T_4080 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4083 = RspPtr == 2'h2; // @[lsu_bus_buffer.scala 489:37] - wire _T_4084 = buf_dualtag_2 == RspPtr; // @[lsu_bus_buffer.scala 489:98] - wire _T_4085 = buf_dual_2 & _T_4084; // @[lsu_bus_buffer.scala 489:80] - wire _T_4086 = _T_4083 | _T_4085; // @[lsu_bus_buffer.scala 489:65] - wire _T_4087 = _T_4086 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 489:112] + wire _T_4083 = RspPtr == 2'h2; // @[lsu_bus_buffer.scala 488:37] + wire _T_4084 = buf_dualtag_2 == RspPtr; // @[lsu_bus_buffer.scala 488:98] + wire _T_4085 = buf_dual_2 & _T_4084; // @[lsu_bus_buffer.scala 488:80] + wire _T_4086 = _T_4083 | _T_4085; // @[lsu_bus_buffer.scala 488:65] + wire _T_4087 = _T_4086 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 488:112] wire _T_4088 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] wire _GEN_183 = _T_4080 ? _T_4087 : _T_4088; // @[Conditional.scala 39:67] wire _GEN_189 = _T_4062 ? _T_3955 : _GEN_183; // @[Conditional.scala 39:67] @@ -69804,89 +69940,89 @@ module lsu_bus_buffer( wire _GEN_206 = _T_3941 ? _T_3955 : _GEN_196; // @[Conditional.scala 39:67] wire _GEN_216 = _T_3937 ? _T_3554 : _GEN_206; // @[Conditional.scala 39:67] wire buf_state_en_2 = _T_3914 ? _T_3930 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2333 = _T_1875 & buf_state_en_2; // @[lsu_bus_buffer.scala 406:94] - wire _T_2343 = _T_2137 & _T_1878; // @[lsu_bus_buffer.scala 408:71] - wire _T_2345 = _T_2343 & _T_1854; // @[lsu_bus_buffer.scala 408:92] - wire _T_2346 = _T_4471 | _T_2345; // @[lsu_bus_buffer.scala 407:86] - wire _T_2350 = _T_2144 & _T_1879; // @[lsu_bus_buffer.scala 409:52] - wire _T_2352 = _T_2350 & _T_1856; // @[lsu_bus_buffer.scala 409:73] - wire _T_2353 = _T_2346 | _T_2352; // @[lsu_bus_buffer.scala 408:114] - wire _T_2354 = _T_2333 & _T_2353; // @[lsu_bus_buffer.scala 406:113] - wire _T_2356 = _T_2354 | buf_age_2[0]; // @[lsu_bus_buffer.scala 409:97] - wire _T_2370 = _T_2343 & _T_1865; // @[lsu_bus_buffer.scala 408:92] - wire _T_2371 = _T_4476 | _T_2370; // @[lsu_bus_buffer.scala 407:86] - wire _T_2377 = _T_2350 & _T_1867; // @[lsu_bus_buffer.scala 409:73] - wire _T_2378 = _T_2371 | _T_2377; // @[lsu_bus_buffer.scala 408:114] - wire _T_2379 = _T_2333 & _T_2378; // @[lsu_bus_buffer.scala 406:113] - wire _T_2381 = _T_2379 | buf_age_2[1]; // @[lsu_bus_buffer.scala 409:97] - wire _T_2395 = _T_2343 & _T_1876; // @[lsu_bus_buffer.scala 408:92] - wire _T_2396 = _T_4481 | _T_2395; // @[lsu_bus_buffer.scala 407:86] - wire _T_2402 = _T_2350 & _T_1878; // @[lsu_bus_buffer.scala 409:73] - wire _T_2403 = _T_2396 | _T_2402; // @[lsu_bus_buffer.scala 408:114] - wire _T_2404 = _T_2333 & _T_2403; // @[lsu_bus_buffer.scala 406:113] - wire _T_2406 = _T_2404 | buf_age_2[2]; // @[lsu_bus_buffer.scala 409:97] - wire _T_2420 = _T_2343 & _T_1887; // @[lsu_bus_buffer.scala 408:92] - wire _T_2421 = _T_4486 | _T_2420; // @[lsu_bus_buffer.scala 407:86] - wire _T_2427 = _T_2350 & _T_1889; // @[lsu_bus_buffer.scala 409:73] - wire _T_2428 = _T_2421 | _T_2427; // @[lsu_bus_buffer.scala 408:114] - wire _T_2429 = _T_2333 & _T_2428; // @[lsu_bus_buffer.scala 406:113] - wire _T_2431 = _T_2429 | buf_age_2[3]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2333 = _T_1875 & buf_state_en_2; // @[lsu_bus_buffer.scala 405:94] + wire _T_2343 = _T_2137 & _T_1878; // @[lsu_bus_buffer.scala 407:71] + wire _T_2345 = _T_2343 & _T_1854; // @[lsu_bus_buffer.scala 407:92] + wire _T_2346 = _T_4471 | _T_2345; // @[lsu_bus_buffer.scala 406:86] + wire _T_2350 = _T_2144 & _T_1879; // @[lsu_bus_buffer.scala 408:52] + wire _T_2352 = _T_2350 & _T_1856; // @[lsu_bus_buffer.scala 408:73] + wire _T_2353 = _T_2346 | _T_2352; // @[lsu_bus_buffer.scala 407:114] + wire _T_2354 = _T_2333 & _T_2353; // @[lsu_bus_buffer.scala 405:113] + wire _T_2356 = _T_2354 | buf_age_2[0]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2370 = _T_2343 & _T_1865; // @[lsu_bus_buffer.scala 407:92] + wire _T_2371 = _T_4476 | _T_2370; // @[lsu_bus_buffer.scala 406:86] + wire _T_2377 = _T_2350 & _T_1867; // @[lsu_bus_buffer.scala 408:73] + wire _T_2378 = _T_2371 | _T_2377; // @[lsu_bus_buffer.scala 407:114] + wire _T_2379 = _T_2333 & _T_2378; // @[lsu_bus_buffer.scala 405:113] + wire _T_2381 = _T_2379 | buf_age_2[1]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2395 = _T_2343 & _T_1876; // @[lsu_bus_buffer.scala 407:92] + wire _T_2396 = _T_4481 | _T_2395; // @[lsu_bus_buffer.scala 406:86] + wire _T_2402 = _T_2350 & _T_1878; // @[lsu_bus_buffer.scala 408:73] + wire _T_2403 = _T_2396 | _T_2402; // @[lsu_bus_buffer.scala 407:114] + wire _T_2404 = _T_2333 & _T_2403; // @[lsu_bus_buffer.scala 405:113] + wire _T_2406 = _T_2404 | buf_age_2[2]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2420 = _T_2343 & _T_1887; // @[lsu_bus_buffer.scala 407:92] + wire _T_2421 = _T_4486 | _T_2420; // @[lsu_bus_buffer.scala 406:86] + wire _T_2427 = _T_2350 & _T_1889; // @[lsu_bus_buffer.scala 408:73] + wire _T_2428 = _T_2421 | _T_2427; // @[lsu_bus_buffer.scala 407:114] + wire _T_2429 = _T_2333 & _T_2428; // @[lsu_bus_buffer.scala 405:113] + wire _T_2431 = _T_2429 | buf_age_2[3]; // @[lsu_bus_buffer.scala 408:97] wire [2:0] _T_2433 = {_T_2431,_T_2406,_T_2381}; // @[Cat.scala 29:58] - wire _T_4114 = 2'h3 == WrPtr0_r; // @[lsu_bus_buffer.scala 444:117] - wire _T_4115 = _T_3534 & _T_4114; // @[lsu_bus_buffer.scala 444:112] - wire _T_4117 = 2'h3 == WrPtr1_r; // @[lsu_bus_buffer.scala 444:166] - wire _T_4118 = _T_3537 & _T_4117; // @[lsu_bus_buffer.scala 444:161] - wire _T_4119 = _T_4115 | _T_4118; // @[lsu_bus_buffer.scala 444:132] - wire _T_4120 = _T_853 & _T_4119; // @[lsu_bus_buffer.scala 444:63] - wire _T_4121 = 2'h3 == ibuf_tag; // @[lsu_bus_buffer.scala 444:206] - wire _T_4122 = ibuf_drain_vld & _T_4121; // @[lsu_bus_buffer.scala 444:201] - wire _T_4123 = _T_4120 | _T_4122; // @[lsu_bus_buffer.scala 444:183] + wire _T_4114 = 2'h3 == WrPtr0_r; // @[lsu_bus_buffer.scala 443:117] + wire _T_4115 = _T_3534 & _T_4114; // @[lsu_bus_buffer.scala 443:112] + wire _T_4117 = 2'h3 == WrPtr1_r; // @[lsu_bus_buffer.scala 443:166] + wire _T_4118 = _T_3537 & _T_4117; // @[lsu_bus_buffer.scala 443:161] + wire _T_4119 = _T_4115 | _T_4118; // @[lsu_bus_buffer.scala 443:132] + wire _T_4120 = _T_853 & _T_4119; // @[lsu_bus_buffer.scala 443:63] + wire _T_4121 = 2'h3 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] + wire _T_4122 = ibuf_drain_vld & _T_4121; // @[lsu_bus_buffer.scala 443:201] + wire _T_4123 = _T_4120 | _T_4122; // @[lsu_bus_buffer.scala 443:183] wire _T_4168 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4213 = io_lsu_axi_b_bits_id == 3'h3; // @[lsu_bus_buffer.scala 469:73] - wire _T_4214 = bus_rsp_write & _T_4213; // @[lsu_bus_buffer.scala 469:52] - wire _T_4215 = io_lsu_axi_r_bits_id == 3'h3; // @[lsu_bus_buffer.scala 470:46] - wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_3}; // @[lsu_bus_buffer.scala 471:47] - wire _T_4217 = io_lsu_axi_r_bits_id == _GEN_380; // @[lsu_bus_buffer.scala 471:47] - wire _T_4218 = buf_ldfwd[3] & _T_4217; // @[lsu_bus_buffer.scala 471:27] - wire _T_4219 = _T_4215 | _T_4218; // @[lsu_bus_buffer.scala 470:77] - wire _T_4220 = buf_dual_3 & buf_dualhi_3; // @[lsu_bus_buffer.scala 472:26] - wire _T_4222 = ~buf_write[3]; // @[lsu_bus_buffer.scala 472:44] - wire _T_4223 = _T_4220 & _T_4222; // @[lsu_bus_buffer.scala 472:42] - wire _T_4224 = _T_4223 & buf_samedw_3; // @[lsu_bus_buffer.scala 472:58] + wire _T_4213 = io_lsu_axi_b_bits_id == 3'h3; // @[lsu_bus_buffer.scala 468:73] + wire _T_4214 = bus_rsp_write & _T_4213; // @[lsu_bus_buffer.scala 468:52] + wire _T_4215 = io_lsu_axi_r_bits_id == 3'h3; // @[lsu_bus_buffer.scala 469:46] + wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_3}; // @[lsu_bus_buffer.scala 470:47] + wire _T_4217 = io_lsu_axi_r_bits_id == _GEN_380; // @[lsu_bus_buffer.scala 470:47] + wire _T_4218 = buf_ldfwd[3] & _T_4217; // @[lsu_bus_buffer.scala 470:27] + wire _T_4219 = _T_4215 | _T_4218; // @[lsu_bus_buffer.scala 469:77] + wire _T_4220 = buf_dual_3 & buf_dualhi_3; // @[lsu_bus_buffer.scala 471:26] + wire _T_4222 = ~buf_write[3]; // @[lsu_bus_buffer.scala 471:44] + wire _T_4223 = _T_4220 & _T_4222; // @[lsu_bus_buffer.scala 471:42] + wire _T_4224 = _T_4223 & buf_samedw_3; // @[lsu_bus_buffer.scala 471:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_3}; // @[lsu_bus_buffer.scala 472:94] - wire _T_4225 = io_lsu_axi_r_bits_id == _GEN_381; // @[lsu_bus_buffer.scala 472:94] - wire _T_4226 = _T_4224 & _T_4225; // @[lsu_bus_buffer.scala 472:74] - wire _T_4227 = _T_4219 | _T_4226; // @[lsu_bus_buffer.scala 471:71] - wire _T_4228 = bus_rsp_read & _T_4227; // @[lsu_bus_buffer.scala 470:25] - wire _T_4229 = _T_4214 | _T_4228; // @[lsu_bus_buffer.scala 469:105] + wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_3}; // @[lsu_bus_buffer.scala 471:94] + wire _T_4225 = io_lsu_axi_r_bits_id == _GEN_381; // @[lsu_bus_buffer.scala 471:94] + wire _T_4226 = _T_4224 & _T_4225; // @[lsu_bus_buffer.scala 471:74] + wire _T_4227 = _T_4219 | _T_4226; // @[lsu_bus_buffer.scala 470:71] + wire _T_4228 = bus_rsp_read & _T_4227; // @[lsu_bus_buffer.scala 469:25] + wire _T_4229 = _T_4214 | _T_4228; // @[lsu_bus_buffer.scala 468:105] wire _GEN_270 = _T_4168 & _T_4229; // @[Conditional.scala 39:67] wire _GEN_289 = _T_4134 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] wire _GEN_301 = _T_4130 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_3 = _T_4107 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] wire _T_4255 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4265 = buf_ldfwd >> buf_dualtag_3; // @[lsu_bus_buffer.scala 484:21] - wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 484:58] - wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[lsu_bus_buffer.scala 484:58] - wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[lsu_bus_buffer.scala 484:58] - wire [2:0] _GEN_383 = {{1'd0}, _GEN_253}; // @[lsu_bus_buffer.scala 484:58] - wire _T_4267 = io_lsu_axi_r_bits_id == _GEN_383; // @[lsu_bus_buffer.scala 484:58] - wire _T_4268 = _T_4265[0] & _T_4267; // @[lsu_bus_buffer.scala 484:38] - wire _T_4269 = _T_4225 | _T_4268; // @[lsu_bus_buffer.scala 483:95] - wire _T_4270 = bus_rsp_read & _T_4269; // @[lsu_bus_buffer.scala 483:45] + wire [3:0] _T_4265 = buf_ldfwd >> buf_dualtag_3; // @[lsu_bus_buffer.scala 483:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 483:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[lsu_bus_buffer.scala 483:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[lsu_bus_buffer.scala 483:58] + wire [2:0] _GEN_383 = {{1'd0}, _GEN_253}; // @[lsu_bus_buffer.scala 483:58] + wire _T_4267 = io_lsu_axi_r_bits_id == _GEN_383; // @[lsu_bus_buffer.scala 483:58] + wire _T_4268 = _T_4265[0] & _T_4267; // @[lsu_bus_buffer.scala 483:38] + wire _T_4269 = _T_4225 | _T_4268; // @[lsu_bus_buffer.scala 482:95] + wire _T_4270 = bus_rsp_read & _T_4269; // @[lsu_bus_buffer.scala 482:45] wire _GEN_264 = _T_4255 & _T_4270; // @[Conditional.scala 39:67] wire _GEN_271 = _T_4168 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] wire _GEN_281 = _T_4134 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] wire _GEN_294 = _T_4130 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] wire buf_state_bus_en_3 = _T_4107 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_4147 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 457:49] - wire _T_4148 = _T_4147 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 457:70] + wire _T_4147 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 456:49] + wire _T_4148 = _T_4147 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 456:70] wire _T_4273 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4276 = RspPtr == 2'h3; // @[lsu_bus_buffer.scala 489:37] - wire _T_4277 = buf_dualtag_3 == RspPtr; // @[lsu_bus_buffer.scala 489:98] - wire _T_4278 = buf_dual_3 & _T_4277; // @[lsu_bus_buffer.scala 489:80] - wire _T_4279 = _T_4276 | _T_4278; // @[lsu_bus_buffer.scala 489:65] - wire _T_4280 = _T_4279 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 489:112] + wire _T_4276 = RspPtr == 2'h3; // @[lsu_bus_buffer.scala 488:37] + wire _T_4277 = buf_dualtag_3 == RspPtr; // @[lsu_bus_buffer.scala 488:98] + wire _T_4278 = buf_dual_3 & _T_4277; // @[lsu_bus_buffer.scala 488:80] + wire _T_4279 = _T_4276 | _T_4278; // @[lsu_bus_buffer.scala 488:65] + wire _T_4280 = _T_4279 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 488:112] wire _T_4281 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] wire _GEN_259 = _T_4273 ? _T_4280 : _T_4281; // @[Conditional.scala 39:67] wire _GEN_265 = _T_4255 ? _T_4148 : _GEN_259; // @[Conditional.scala 39:67] @@ -69894,228 +70030,229 @@ module lsu_bus_buffer( wire _GEN_282 = _T_4134 ? _T_4148 : _GEN_272; // @[Conditional.scala 39:67] wire _GEN_292 = _T_4130 ? _T_3554 : _GEN_282; // @[Conditional.scala 39:67] wire buf_state_en_3 = _T_4107 ? _T_4123 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2435 = _T_1886 & buf_state_en_3; // @[lsu_bus_buffer.scala 406:94] - wire _T_2445 = _T_2137 & _T_1889; // @[lsu_bus_buffer.scala 408:71] - wire _T_2447 = _T_2445 & _T_1854; // @[lsu_bus_buffer.scala 408:92] - wire _T_2448 = _T_4471 | _T_2447; // @[lsu_bus_buffer.scala 407:86] - wire _T_2452 = _T_2144 & _T_1890; // @[lsu_bus_buffer.scala 409:52] - wire _T_2454 = _T_2452 & _T_1856; // @[lsu_bus_buffer.scala 409:73] - wire _T_2455 = _T_2448 | _T_2454; // @[lsu_bus_buffer.scala 408:114] - wire _T_2456 = _T_2435 & _T_2455; // @[lsu_bus_buffer.scala 406:113] - wire _T_2458 = _T_2456 | buf_age_3[0]; // @[lsu_bus_buffer.scala 409:97] - wire _T_2472 = _T_2445 & _T_1865; // @[lsu_bus_buffer.scala 408:92] - wire _T_2473 = _T_4476 | _T_2472; // @[lsu_bus_buffer.scala 407:86] - wire _T_2479 = _T_2452 & _T_1867; // @[lsu_bus_buffer.scala 409:73] - wire _T_2480 = _T_2473 | _T_2479; // @[lsu_bus_buffer.scala 408:114] - wire _T_2481 = _T_2435 & _T_2480; // @[lsu_bus_buffer.scala 406:113] - wire _T_2483 = _T_2481 | buf_age_3[1]; // @[lsu_bus_buffer.scala 409:97] - wire _T_2497 = _T_2445 & _T_1876; // @[lsu_bus_buffer.scala 408:92] - wire _T_2498 = _T_4481 | _T_2497; // @[lsu_bus_buffer.scala 407:86] - wire _T_2504 = _T_2452 & _T_1878; // @[lsu_bus_buffer.scala 409:73] - wire _T_2505 = _T_2498 | _T_2504; // @[lsu_bus_buffer.scala 408:114] - wire _T_2506 = _T_2435 & _T_2505; // @[lsu_bus_buffer.scala 406:113] - wire _T_2508 = _T_2506 | buf_age_3[2]; // @[lsu_bus_buffer.scala 409:97] - wire _T_2522 = _T_2445 & _T_1887; // @[lsu_bus_buffer.scala 408:92] - wire _T_2523 = _T_4486 | _T_2522; // @[lsu_bus_buffer.scala 407:86] - wire _T_2529 = _T_2452 & _T_1889; // @[lsu_bus_buffer.scala 409:73] - wire _T_2530 = _T_2523 | _T_2529; // @[lsu_bus_buffer.scala 408:114] - wire _T_2531 = _T_2435 & _T_2530; // @[lsu_bus_buffer.scala 406:113] - wire _T_2533 = _T_2531 | buf_age_3[3]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2435 = _T_1886 & buf_state_en_3; // @[lsu_bus_buffer.scala 405:94] + wire _T_2445 = _T_2137 & _T_1889; // @[lsu_bus_buffer.scala 407:71] + wire _T_2447 = _T_2445 & _T_1854; // @[lsu_bus_buffer.scala 407:92] + wire _T_2448 = _T_4471 | _T_2447; // @[lsu_bus_buffer.scala 406:86] + wire _T_2452 = _T_2144 & _T_1890; // @[lsu_bus_buffer.scala 408:52] + wire _T_2454 = _T_2452 & _T_1856; // @[lsu_bus_buffer.scala 408:73] + wire _T_2455 = _T_2448 | _T_2454; // @[lsu_bus_buffer.scala 407:114] + wire _T_2456 = _T_2435 & _T_2455; // @[lsu_bus_buffer.scala 405:113] + wire _T_2458 = _T_2456 | buf_age_3[0]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2472 = _T_2445 & _T_1865; // @[lsu_bus_buffer.scala 407:92] + wire _T_2473 = _T_4476 | _T_2472; // @[lsu_bus_buffer.scala 406:86] + wire _T_2479 = _T_2452 & _T_1867; // @[lsu_bus_buffer.scala 408:73] + wire _T_2480 = _T_2473 | _T_2479; // @[lsu_bus_buffer.scala 407:114] + wire _T_2481 = _T_2435 & _T_2480; // @[lsu_bus_buffer.scala 405:113] + wire _T_2483 = _T_2481 | buf_age_3[1]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2497 = _T_2445 & _T_1876; // @[lsu_bus_buffer.scala 407:92] + wire _T_2498 = _T_4481 | _T_2497; // @[lsu_bus_buffer.scala 406:86] + wire _T_2504 = _T_2452 & _T_1878; // @[lsu_bus_buffer.scala 408:73] + wire _T_2505 = _T_2498 | _T_2504; // @[lsu_bus_buffer.scala 407:114] + wire _T_2506 = _T_2435 & _T_2505; // @[lsu_bus_buffer.scala 405:113] + wire _T_2508 = _T_2506 | buf_age_3[2]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2522 = _T_2445 & _T_1887; // @[lsu_bus_buffer.scala 407:92] + wire _T_2523 = _T_4486 | _T_2522; // @[lsu_bus_buffer.scala 406:86] + wire _T_2529 = _T_2452 & _T_1889; // @[lsu_bus_buffer.scala 408:73] + wire _T_2530 = _T_2523 | _T_2529; // @[lsu_bus_buffer.scala 407:114] + wire _T_2531 = _T_2435 & _T_2530; // @[lsu_bus_buffer.scala 405:113] + wire _T_2533 = _T_2531 | buf_age_3[3]; // @[lsu_bus_buffer.scala 408:97] wire [2:0] _T_2535 = {_T_2533,_T_2508,_T_2483}; // @[Cat.scala 29:58] - wire _T_2799 = buf_state_0 == 3'h6; // @[lsu_bus_buffer.scala 417:47] - wire _T_2800 = _T_1853 | _T_2799; // @[lsu_bus_buffer.scala 417:32] - wire _T_2801 = ~_T_2800; // @[lsu_bus_buffer.scala 417:6] - wire _T_2809 = _T_2801 | _T_2141; // @[lsu_bus_buffer.scala 417:59] - wire _T_2816 = _T_2809 | _T_2148; // @[lsu_bus_buffer.scala 418:110] - wire _T_2817 = _T_2129 & _T_2816; // @[lsu_bus_buffer.scala 416:112] - wire _T_2821 = buf_state_1 == 3'h6; // @[lsu_bus_buffer.scala 417:47] - wire _T_2822 = _T_1864 | _T_2821; // @[lsu_bus_buffer.scala 417:32] - wire _T_2823 = ~_T_2822; // @[lsu_bus_buffer.scala 417:6] - wire _T_2831 = _T_2823 | _T_2166; // @[lsu_bus_buffer.scala 417:59] - wire _T_2838 = _T_2831 | _T_2173; // @[lsu_bus_buffer.scala 418:110] - wire _T_2839 = _T_2129 & _T_2838; // @[lsu_bus_buffer.scala 416:112] - wire _T_2843 = buf_state_2 == 3'h6; // @[lsu_bus_buffer.scala 417:47] - wire _T_2844 = _T_1875 | _T_2843; // @[lsu_bus_buffer.scala 417:32] - wire _T_2845 = ~_T_2844; // @[lsu_bus_buffer.scala 417:6] - wire _T_2853 = _T_2845 | _T_2191; // @[lsu_bus_buffer.scala 417:59] - wire _T_2860 = _T_2853 | _T_2198; // @[lsu_bus_buffer.scala 418:110] - wire _T_2861 = _T_2129 & _T_2860; // @[lsu_bus_buffer.scala 416:112] - wire _T_2865 = buf_state_3 == 3'h6; // @[lsu_bus_buffer.scala 417:47] - wire _T_2866 = _T_1886 | _T_2865; // @[lsu_bus_buffer.scala 417:32] - wire _T_2867 = ~_T_2866; // @[lsu_bus_buffer.scala 417:6] - wire _T_2875 = _T_2867 | _T_2216; // @[lsu_bus_buffer.scala 417:59] - wire _T_2882 = _T_2875 | _T_2223; // @[lsu_bus_buffer.scala 418:110] - wire _T_2883 = _T_2129 & _T_2882; // @[lsu_bus_buffer.scala 416:112] + wire _T_2799 = buf_state_0 == 3'h6; // @[lsu_bus_buffer.scala 416:47] + wire _T_2800 = _T_1853 | _T_2799; // @[lsu_bus_buffer.scala 416:32] + wire _T_2801 = ~_T_2800; // @[lsu_bus_buffer.scala 416:6] + wire _T_2809 = _T_2801 | _T_2141; // @[lsu_bus_buffer.scala 416:59] + wire _T_2816 = _T_2809 | _T_2148; // @[lsu_bus_buffer.scala 417:110] + wire _T_2817 = _T_2129 & _T_2816; // @[lsu_bus_buffer.scala 415:112] + wire _T_2821 = buf_state_1 == 3'h6; // @[lsu_bus_buffer.scala 416:47] + wire _T_2822 = _T_1864 | _T_2821; // @[lsu_bus_buffer.scala 416:32] + wire _T_2823 = ~_T_2822; // @[lsu_bus_buffer.scala 416:6] + wire _T_2831 = _T_2823 | _T_2166; // @[lsu_bus_buffer.scala 416:59] + wire _T_2838 = _T_2831 | _T_2173; // @[lsu_bus_buffer.scala 417:110] + wire _T_2839 = _T_2129 & _T_2838; // @[lsu_bus_buffer.scala 415:112] + wire _T_2843 = buf_state_2 == 3'h6; // @[lsu_bus_buffer.scala 416:47] + wire _T_2844 = _T_1875 | _T_2843; // @[lsu_bus_buffer.scala 416:32] + wire _T_2845 = ~_T_2844; // @[lsu_bus_buffer.scala 416:6] + wire _T_2853 = _T_2845 | _T_2191; // @[lsu_bus_buffer.scala 416:59] + wire _T_2860 = _T_2853 | _T_2198; // @[lsu_bus_buffer.scala 417:110] + wire _T_2861 = _T_2129 & _T_2860; // @[lsu_bus_buffer.scala 415:112] + wire _T_2865 = buf_state_3 == 3'h6; // @[lsu_bus_buffer.scala 416:47] + wire _T_2866 = _T_1886 | _T_2865; // @[lsu_bus_buffer.scala 416:32] + wire _T_2867 = ~_T_2866; // @[lsu_bus_buffer.scala 416:6] + wire _T_2875 = _T_2867 | _T_2216; // @[lsu_bus_buffer.scala 416:59] + wire _T_2882 = _T_2875 | _T_2223; // @[lsu_bus_buffer.scala 417:110] + wire _T_2883 = _T_2129 & _T_2882; // @[lsu_bus_buffer.scala 415:112] wire [3:0] buf_rspage_set_0 = {_T_2883,_T_2861,_T_2839,_T_2817}; // @[Cat.scala 29:58] - wire _T_2900 = _T_2801 | _T_2243; // @[lsu_bus_buffer.scala 417:59] - wire _T_2907 = _T_2900 | _T_2250; // @[lsu_bus_buffer.scala 418:110] - wire _T_2908 = _T_2231 & _T_2907; // @[lsu_bus_buffer.scala 416:112] - wire _T_2922 = _T_2823 | _T_2268; // @[lsu_bus_buffer.scala 417:59] - wire _T_2929 = _T_2922 | _T_2275; // @[lsu_bus_buffer.scala 418:110] - wire _T_2930 = _T_2231 & _T_2929; // @[lsu_bus_buffer.scala 416:112] - wire _T_2944 = _T_2845 | _T_2293; // @[lsu_bus_buffer.scala 417:59] - wire _T_2951 = _T_2944 | _T_2300; // @[lsu_bus_buffer.scala 418:110] - wire _T_2952 = _T_2231 & _T_2951; // @[lsu_bus_buffer.scala 416:112] - wire _T_2966 = _T_2867 | _T_2318; // @[lsu_bus_buffer.scala 417:59] - wire _T_2973 = _T_2966 | _T_2325; // @[lsu_bus_buffer.scala 418:110] - wire _T_2974 = _T_2231 & _T_2973; // @[lsu_bus_buffer.scala 416:112] + wire _T_2900 = _T_2801 | _T_2243; // @[lsu_bus_buffer.scala 416:59] + wire _T_2907 = _T_2900 | _T_2250; // @[lsu_bus_buffer.scala 417:110] + wire _T_2908 = _T_2231 & _T_2907; // @[lsu_bus_buffer.scala 415:112] + wire _T_2922 = _T_2823 | _T_2268; // @[lsu_bus_buffer.scala 416:59] + wire _T_2929 = _T_2922 | _T_2275; // @[lsu_bus_buffer.scala 417:110] + wire _T_2930 = _T_2231 & _T_2929; // @[lsu_bus_buffer.scala 415:112] + wire _T_2944 = _T_2845 | _T_2293; // @[lsu_bus_buffer.scala 416:59] + wire _T_2951 = _T_2944 | _T_2300; // @[lsu_bus_buffer.scala 417:110] + wire _T_2952 = _T_2231 & _T_2951; // @[lsu_bus_buffer.scala 415:112] + wire _T_2966 = _T_2867 | _T_2318; // @[lsu_bus_buffer.scala 416:59] + wire _T_2973 = _T_2966 | _T_2325; // @[lsu_bus_buffer.scala 417:110] + wire _T_2974 = _T_2231 & _T_2973; // @[lsu_bus_buffer.scala 415:112] wire [3:0] buf_rspage_set_1 = {_T_2974,_T_2952,_T_2930,_T_2908}; // @[Cat.scala 29:58] - wire _T_2991 = _T_2801 | _T_2345; // @[lsu_bus_buffer.scala 417:59] - wire _T_2998 = _T_2991 | _T_2352; // @[lsu_bus_buffer.scala 418:110] - wire _T_2999 = _T_2333 & _T_2998; // @[lsu_bus_buffer.scala 416:112] - wire _T_3013 = _T_2823 | _T_2370; // @[lsu_bus_buffer.scala 417:59] - wire _T_3020 = _T_3013 | _T_2377; // @[lsu_bus_buffer.scala 418:110] - wire _T_3021 = _T_2333 & _T_3020; // @[lsu_bus_buffer.scala 416:112] - wire _T_3035 = _T_2845 | _T_2395; // @[lsu_bus_buffer.scala 417:59] - wire _T_3042 = _T_3035 | _T_2402; // @[lsu_bus_buffer.scala 418:110] - wire _T_3043 = _T_2333 & _T_3042; // @[lsu_bus_buffer.scala 416:112] - wire _T_3057 = _T_2867 | _T_2420; // @[lsu_bus_buffer.scala 417:59] - wire _T_3064 = _T_3057 | _T_2427; // @[lsu_bus_buffer.scala 418:110] - wire _T_3065 = _T_2333 & _T_3064; // @[lsu_bus_buffer.scala 416:112] + wire _T_2991 = _T_2801 | _T_2345; // @[lsu_bus_buffer.scala 416:59] + wire _T_2998 = _T_2991 | _T_2352; // @[lsu_bus_buffer.scala 417:110] + wire _T_2999 = _T_2333 & _T_2998; // @[lsu_bus_buffer.scala 415:112] + wire _T_3013 = _T_2823 | _T_2370; // @[lsu_bus_buffer.scala 416:59] + wire _T_3020 = _T_3013 | _T_2377; // @[lsu_bus_buffer.scala 417:110] + wire _T_3021 = _T_2333 & _T_3020; // @[lsu_bus_buffer.scala 415:112] + wire _T_3035 = _T_2845 | _T_2395; // @[lsu_bus_buffer.scala 416:59] + wire _T_3042 = _T_3035 | _T_2402; // @[lsu_bus_buffer.scala 417:110] + wire _T_3043 = _T_2333 & _T_3042; // @[lsu_bus_buffer.scala 415:112] + wire _T_3057 = _T_2867 | _T_2420; // @[lsu_bus_buffer.scala 416:59] + wire _T_3064 = _T_3057 | _T_2427; // @[lsu_bus_buffer.scala 417:110] + wire _T_3065 = _T_2333 & _T_3064; // @[lsu_bus_buffer.scala 415:112] wire [3:0] buf_rspage_set_2 = {_T_3065,_T_3043,_T_3021,_T_2999}; // @[Cat.scala 29:58] - wire _T_3082 = _T_2801 | _T_2447; // @[lsu_bus_buffer.scala 417:59] - wire _T_3089 = _T_3082 | _T_2454; // @[lsu_bus_buffer.scala 418:110] - wire _T_3090 = _T_2435 & _T_3089; // @[lsu_bus_buffer.scala 416:112] - wire _T_3104 = _T_2823 | _T_2472; // @[lsu_bus_buffer.scala 417:59] - wire _T_3111 = _T_3104 | _T_2479; // @[lsu_bus_buffer.scala 418:110] - wire _T_3112 = _T_2435 & _T_3111; // @[lsu_bus_buffer.scala 416:112] - wire _T_3126 = _T_2845 | _T_2497; // @[lsu_bus_buffer.scala 417:59] - wire _T_3133 = _T_3126 | _T_2504; // @[lsu_bus_buffer.scala 418:110] - wire _T_3134 = _T_2435 & _T_3133; // @[lsu_bus_buffer.scala 416:112] - wire _T_3148 = _T_2867 | _T_2522; // @[lsu_bus_buffer.scala 417:59] - wire _T_3155 = _T_3148 | _T_2529; // @[lsu_bus_buffer.scala 418:110] - wire _T_3156 = _T_2435 & _T_3155; // @[lsu_bus_buffer.scala 416:112] + wire _T_3082 = _T_2801 | _T_2447; // @[lsu_bus_buffer.scala 416:59] + wire _T_3089 = _T_3082 | _T_2454; // @[lsu_bus_buffer.scala 417:110] + wire _T_3090 = _T_2435 & _T_3089; // @[lsu_bus_buffer.scala 415:112] + wire _T_3104 = _T_2823 | _T_2472; // @[lsu_bus_buffer.scala 416:59] + wire _T_3111 = _T_3104 | _T_2479; // @[lsu_bus_buffer.scala 417:110] + wire _T_3112 = _T_2435 & _T_3111; // @[lsu_bus_buffer.scala 415:112] + wire _T_3126 = _T_2845 | _T_2497; // @[lsu_bus_buffer.scala 416:59] + wire _T_3133 = _T_3126 | _T_2504; // @[lsu_bus_buffer.scala 417:110] + wire _T_3134 = _T_2435 & _T_3133; // @[lsu_bus_buffer.scala 415:112] + wire _T_3148 = _T_2867 | _T_2522; // @[lsu_bus_buffer.scala 416:59] + wire _T_3155 = _T_3148 | _T_2529; // @[lsu_bus_buffer.scala 417:110] + wire _T_3156 = _T_2435 & _T_3155; // @[lsu_bus_buffer.scala 415:112] wire [3:0] buf_rspage_set_3 = {_T_3156,_T_3134,_T_3112,_T_3090}; // @[Cat.scala 29:58] - wire _T_3241 = _T_2865 | _T_1886; // @[lsu_bus_buffer.scala 421:110] - wire _T_3242 = ~_T_3241; // @[lsu_bus_buffer.scala 421:84] - wire _T_3243 = buf_rspageQ_0[3] & _T_3242; // @[lsu_bus_buffer.scala 421:82] - wire _T_3235 = _T_2843 | _T_1875; // @[lsu_bus_buffer.scala 421:110] - wire _T_3236 = ~_T_3235; // @[lsu_bus_buffer.scala 421:84] - wire _T_3237 = buf_rspageQ_0[2] & _T_3236; // @[lsu_bus_buffer.scala 421:82] - wire _T_3229 = _T_2821 | _T_1864; // @[lsu_bus_buffer.scala 421:110] - wire _T_3230 = ~_T_3229; // @[lsu_bus_buffer.scala 421:84] - wire _T_3231 = buf_rspageQ_0[1] & _T_3230; // @[lsu_bus_buffer.scala 421:82] - wire _T_3223 = _T_2799 | _T_1853; // @[lsu_bus_buffer.scala 421:110] - wire _T_3224 = ~_T_3223; // @[lsu_bus_buffer.scala 421:84] - wire _T_3225 = buf_rspageQ_0[0] & _T_3224; // @[lsu_bus_buffer.scala 421:82] + wire _T_3241 = _T_2865 | _T_1886; // @[lsu_bus_buffer.scala 420:110] + wire _T_3242 = ~_T_3241; // @[lsu_bus_buffer.scala 420:84] + wire _T_3243 = buf_rspageQ_0[3] & _T_3242; // @[lsu_bus_buffer.scala 420:82] + wire _T_3235 = _T_2843 | _T_1875; // @[lsu_bus_buffer.scala 420:110] + wire _T_3236 = ~_T_3235; // @[lsu_bus_buffer.scala 420:84] + wire _T_3237 = buf_rspageQ_0[2] & _T_3236; // @[lsu_bus_buffer.scala 420:82] + wire _T_3229 = _T_2821 | _T_1864; // @[lsu_bus_buffer.scala 420:110] + wire _T_3230 = ~_T_3229; // @[lsu_bus_buffer.scala 420:84] + wire _T_3231 = buf_rspageQ_0[1] & _T_3230; // @[lsu_bus_buffer.scala 420:82] + wire _T_3223 = _T_2799 | _T_1853; // @[lsu_bus_buffer.scala 420:110] + wire _T_3224 = ~_T_3223; // @[lsu_bus_buffer.scala 420:84] + wire _T_3225 = buf_rspageQ_0[0] & _T_3224; // @[lsu_bus_buffer.scala 420:82] wire [3:0] buf_rspage_0 = {_T_3243,_T_3237,_T_3231,_T_3225}; // @[Cat.scala 29:58] - wire _T_3162 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[lsu_bus_buffer.scala 420:88] - wire _T_3165 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[lsu_bus_buffer.scala 420:88] - wire _T_3168 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[lsu_bus_buffer.scala 420:88] - wire _T_3171 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3162 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3165 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3168 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3171 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[lsu_bus_buffer.scala 419:88] wire [2:0] _T_3173 = {_T_3171,_T_3168,_T_3165}; // @[Cat.scala 29:58] - wire _T_3270 = buf_rspageQ_1[3] & _T_3242; // @[lsu_bus_buffer.scala 421:82] - wire _T_3264 = buf_rspageQ_1[2] & _T_3236; // @[lsu_bus_buffer.scala 421:82] - wire _T_3258 = buf_rspageQ_1[1] & _T_3230; // @[lsu_bus_buffer.scala 421:82] - wire _T_3252 = buf_rspageQ_1[0] & _T_3224; // @[lsu_bus_buffer.scala 421:82] + wire _T_3270 = buf_rspageQ_1[3] & _T_3242; // @[lsu_bus_buffer.scala 420:82] + wire _T_3264 = buf_rspageQ_1[2] & _T_3236; // @[lsu_bus_buffer.scala 420:82] + wire _T_3258 = buf_rspageQ_1[1] & _T_3230; // @[lsu_bus_buffer.scala 420:82] + wire _T_3252 = buf_rspageQ_1[0] & _T_3224; // @[lsu_bus_buffer.scala 420:82] wire [3:0] buf_rspage_1 = {_T_3270,_T_3264,_T_3258,_T_3252}; // @[Cat.scala 29:58] - wire _T_3177 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[lsu_bus_buffer.scala 420:88] - wire _T_3180 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[lsu_bus_buffer.scala 420:88] - wire _T_3183 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[lsu_bus_buffer.scala 420:88] - wire _T_3186 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3177 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3180 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3183 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3186 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[lsu_bus_buffer.scala 419:88] wire [2:0] _T_3188 = {_T_3186,_T_3183,_T_3180}; // @[Cat.scala 29:58] - wire _T_3297 = buf_rspageQ_2[3] & _T_3242; // @[lsu_bus_buffer.scala 421:82] - wire _T_3291 = buf_rspageQ_2[2] & _T_3236; // @[lsu_bus_buffer.scala 421:82] - wire _T_3285 = buf_rspageQ_2[1] & _T_3230; // @[lsu_bus_buffer.scala 421:82] - wire _T_3279 = buf_rspageQ_2[0] & _T_3224; // @[lsu_bus_buffer.scala 421:82] + wire _T_3297 = buf_rspageQ_2[3] & _T_3242; // @[lsu_bus_buffer.scala 420:82] + wire _T_3291 = buf_rspageQ_2[2] & _T_3236; // @[lsu_bus_buffer.scala 420:82] + wire _T_3285 = buf_rspageQ_2[1] & _T_3230; // @[lsu_bus_buffer.scala 420:82] + wire _T_3279 = buf_rspageQ_2[0] & _T_3224; // @[lsu_bus_buffer.scala 420:82] wire [3:0] buf_rspage_2 = {_T_3297,_T_3291,_T_3285,_T_3279}; // @[Cat.scala 29:58] - wire _T_3192 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[lsu_bus_buffer.scala 420:88] - wire _T_3195 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[lsu_bus_buffer.scala 420:88] - wire _T_3198 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[lsu_bus_buffer.scala 420:88] - wire _T_3201 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3192 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3195 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3198 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3201 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[lsu_bus_buffer.scala 419:88] wire [2:0] _T_3203 = {_T_3201,_T_3198,_T_3195}; // @[Cat.scala 29:58] - wire _T_3324 = buf_rspageQ_3[3] & _T_3242; // @[lsu_bus_buffer.scala 421:82] - wire _T_3318 = buf_rspageQ_3[2] & _T_3236; // @[lsu_bus_buffer.scala 421:82] - wire _T_3312 = buf_rspageQ_3[1] & _T_3230; // @[lsu_bus_buffer.scala 421:82] - wire _T_3306 = buf_rspageQ_3[0] & _T_3224; // @[lsu_bus_buffer.scala 421:82] + wire _T_3324 = buf_rspageQ_3[3] & _T_3242; // @[lsu_bus_buffer.scala 420:82] + wire _T_3318 = buf_rspageQ_3[2] & _T_3236; // @[lsu_bus_buffer.scala 420:82] + wire _T_3312 = buf_rspageQ_3[1] & _T_3230; // @[lsu_bus_buffer.scala 420:82] + wire _T_3306 = buf_rspageQ_3[0] & _T_3224; // @[lsu_bus_buffer.scala 420:82] wire [3:0] buf_rspage_3 = {_T_3324,_T_3318,_T_3312,_T_3306}; // @[Cat.scala 29:58] - wire _T_3207 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[lsu_bus_buffer.scala 420:88] - wire _T_3210 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[lsu_bus_buffer.scala 420:88] - wire _T_3213 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[lsu_bus_buffer.scala 420:88] - wire _T_3216 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3207 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3210 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3213 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3216 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[lsu_bus_buffer.scala 419:88] wire [2:0] _T_3218 = {_T_3216,_T_3213,_T_3210}; // @[Cat.scala 29:58] - wire _T_3329 = ibuf_drain_vld & _T_1854; // @[lsu_bus_buffer.scala 426:63] - wire _T_3331 = ibuf_drain_vld & _T_1865; // @[lsu_bus_buffer.scala 426:63] - wire _T_3333 = ibuf_drain_vld & _T_1876; // @[lsu_bus_buffer.scala 426:63] - wire _T_3335 = ibuf_drain_vld & _T_1887; // @[lsu_bus_buffer.scala 426:63] + wire _T_3329 = ibuf_drain_vld & _T_1854; // @[lsu_bus_buffer.scala 425:63] + wire _T_3331 = ibuf_drain_vld & _T_1865; // @[lsu_bus_buffer.scala 425:63] + wire _T_3333 = ibuf_drain_vld & _T_1876; // @[lsu_bus_buffer.scala 425:63] + wire _T_3335 = ibuf_drain_vld & _T_1887; // @[lsu_bus_buffer.scala 425:63] wire [3:0] ibuf_drainvec_vld = {_T_3335,_T_3333,_T_3331,_T_3329}; // @[Cat.scala 29:58] - wire _T_3343 = _T_3537 & _T_1857; // @[lsu_bus_buffer.scala 428:35] - wire _T_3352 = _T_3537 & _T_1868; // @[lsu_bus_buffer.scala 428:35] - wire _T_3361 = _T_3537 & _T_1879; // @[lsu_bus_buffer.scala 428:35] - wire _T_3370 = _T_3537 & _T_1890; // @[lsu_bus_buffer.scala 428:35] - wire _T_3400 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 430:45] - wire _T_3402 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 430:45] - wire _T_3404 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 430:45] - wire _T_3406 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 430:45] + wire _T_3343 = _T_3537 & _T_1857; // @[lsu_bus_buffer.scala 427:35] + wire _T_3352 = _T_3537 & _T_1868; // @[lsu_bus_buffer.scala 427:35] + wire _T_3361 = _T_3537 & _T_1879; // @[lsu_bus_buffer.scala 427:35] + wire _T_3370 = _T_3537 & _T_1890; // @[lsu_bus_buffer.scala 427:35] + wire _T_3400 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 429:45] + wire _T_3402 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 429:45] + wire _T_3404 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 429:45] + wire _T_3406 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 429:45] wire [3:0] buf_dual_in = {_T_3406,_T_3404,_T_3402,_T_3400}; // @[Cat.scala 29:58] - wire _T_3411 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 431:47] - wire _T_3413 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 431:47] - wire _T_3415 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 431:47] - wire _T_3417 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 431:47] + wire _T_3411 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 430:47] + wire _T_3413 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 430:47] + wire _T_3415 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 430:47] + wire _T_3417 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 430:47] wire [3:0] buf_samedw_in = {_T_3417,_T_3415,_T_3413,_T_3411}; // @[Cat.scala 29:58] - wire _T_3422 = ibuf_nomerge | ibuf_force_drain; // @[lsu_bus_buffer.scala 432:84] - wire _T_3423 = ibuf_drainvec_vld[0] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 432:48] - wire _T_3426 = ibuf_drainvec_vld[1] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 432:48] - wire _T_3429 = ibuf_drainvec_vld[2] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 432:48] - wire _T_3432 = ibuf_drainvec_vld[3] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 432:48] + wire _T_3422 = ibuf_nomerge | ibuf_force_drain; // @[lsu_bus_buffer.scala 431:84] + wire _T_3423 = ibuf_drainvec_vld[0] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 431:48] + wire _T_3426 = ibuf_drainvec_vld[1] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 431:48] + wire _T_3429 = ibuf_drainvec_vld[2] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 431:48] + wire _T_3432 = ibuf_drainvec_vld[3] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 431:48] wire [3:0] buf_nomerge_in = {_T_3432,_T_3429,_T_3426,_T_3423}; // @[Cat.scala 29:58] - wire _T_3440 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3343; // @[lsu_bus_buffer.scala 433:47] - wire _T_3445 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3352; // @[lsu_bus_buffer.scala 433:47] - wire _T_3450 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3361; // @[lsu_bus_buffer.scala 433:47] - wire _T_3455 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3370; // @[lsu_bus_buffer.scala 433:47] + wire _T_3440 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3343; // @[lsu_bus_buffer.scala 432:47] + wire _T_3445 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3352; // @[lsu_bus_buffer.scala 432:47] + wire _T_3450 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3361; // @[lsu_bus_buffer.scala 432:47] + wire _T_3455 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3370; // @[lsu_bus_buffer.scala 432:47] wire [3:0] buf_dualhi_in = {_T_3455,_T_3450,_T_3445,_T_3440}; // @[Cat.scala 29:58] - wire _T_3484 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 435:51] - wire _T_3486 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 435:51] - wire _T_3488 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 435:51] - wire _T_3490 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 435:51] + wire _T_3484 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 434:51] + wire _T_3486 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 434:51] + wire _T_3488 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 434:51] + wire _T_3490 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 434:51] wire [3:0] buf_sideeffect_in = {_T_3490,_T_3488,_T_3486,_T_3484}; // @[Cat.scala 29:58] - wire _T_3495 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 436:47] - wire _T_3497 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 436:47] - wire _T_3499 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 436:47] - wire _T_3501 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 436:47] + wire _T_3495 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 435:47] + wire _T_3497 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 435:47] + wire _T_3499 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 435:47] + wire _T_3501 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 435:47] wire [3:0] buf_unsign_in = {_T_3501,_T_3499,_T_3497,_T_3495}; // @[Cat.scala 29:58] - wire _T_3518 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 438:46] - wire _T_3520 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 438:46] - wire _T_3522 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 438:46] - wire _T_3524 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 438:46] + wire _T_3518 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 437:46] + wire _T_3520 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 437:46] + wire _T_3522 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 437:46] + wire _T_3524 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 437:46] wire [3:0] buf_write_in = {_T_3524,_T_3522,_T_3520,_T_3518}; // @[Cat.scala 29:58] - wire _T_3557 = obuf_nosend & bus_rsp_read; // @[lsu_bus_buffer.scala 454:89] - wire _T_3559 = _T_3557 & _T_1351; // @[lsu_bus_buffer.scala 454:104] - wire _T_3572 = buf_state_en_0 & _T_3643; // @[lsu_bus_buffer.scala 459:44] - wire _T_3573 = _T_3572 & obuf_nosend; // @[lsu_bus_buffer.scala 459:60] - wire _T_3575 = _T_3573 & _T_1333; // @[lsu_bus_buffer.scala 459:74] - wire _T_3578 = _T_3568 & obuf_nosend; // @[lsu_bus_buffer.scala 461:67] - wire _T_3579 = _T_3578 & bus_rsp_read; // @[lsu_bus_buffer.scala 461:81] - wire _T_4869 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 565:64] - wire bus_rsp_read_error = bus_rsp_read & _T_4869; // @[lsu_bus_buffer.scala 565:38] - wire _T_3582 = _T_3578 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 462:82] - wire _T_3657 = bus_rsp_read_error & _T_3636; // @[lsu_bus_buffer.scala 476:91] - wire _T_3659 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 477:31] - wire _T_3661 = _T_3659 & _T_3638; // @[lsu_bus_buffer.scala 477:46] - wire _T_3662 = _T_3657 | _T_3661; // @[lsu_bus_buffer.scala 476:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4869; // @[lsu_bus_buffer.scala 564:40] - wire _T_3665 = bus_rsp_write_error & _T_3634; // @[lsu_bus_buffer.scala 478:53] - wire _T_3666 = _T_3662 | _T_3665; // @[lsu_bus_buffer.scala 477:88] - wire _T_3667 = _T_3568 & _T_3666; // @[lsu_bus_buffer.scala 476:68] + wire _T_3557 = obuf_nosend & bus_rsp_read; // @[lsu_bus_buffer.scala 453:89] + wire _T_3559 = _T_3557 & _T_1351; // @[lsu_bus_buffer.scala 453:104] + wire _T_3572 = buf_state_en_0 & _T_3643; // @[lsu_bus_buffer.scala 458:44] + wire _T_3573 = _T_3572 & obuf_nosend; // @[lsu_bus_buffer.scala 458:60] + wire _T_3575 = _T_3573 & _T_1333; // @[lsu_bus_buffer.scala 458:74] + wire _T_3578 = _T_3568 & obuf_nosend; // @[lsu_bus_buffer.scala 460:67] + wire _T_3579 = _T_3578 & bus_rsp_read; // @[lsu_bus_buffer.scala 460:81] + wire _T_4872 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 564:64] + wire bus_rsp_read_error = bus_rsp_read & _T_4872; // @[lsu_bus_buffer.scala 564:38] + wire _T_3582 = _T_3578 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 461:82] + wire _T_3657 = bus_rsp_read_error & _T_3636; // @[lsu_bus_buffer.scala 475:91] + wire _T_3659 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 476:31] + wire _T_3661 = _T_3659 & _T_3638; // @[lsu_bus_buffer.scala 476:46] + wire _T_3662 = _T_3657 | _T_3661; // @[lsu_bus_buffer.scala 475:143] + wire _T_4870 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 563:66] + wire bus_rsp_write_error = bus_rsp_write & _T_4870; // @[lsu_bus_buffer.scala 563:40] + wire _T_3665 = bus_rsp_write_error & _T_3634; // @[lsu_bus_buffer.scala 477:53] + wire _T_3666 = _T_3662 | _T_3665; // @[lsu_bus_buffer.scala 476:88] + wire _T_3667 = _T_3568 & _T_3666; // @[lsu_bus_buffer.scala 475:68] wire _GEN_46 = _T_3589 & _T_3667; // @[Conditional.scala 39:67] wire _GEN_59 = _T_3555 ? _T_3582 : _GEN_46; // @[Conditional.scala 39:67] wire _GEN_71 = _T_3551 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] wire buf_error_en_0 = _T_3528 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire _T_3592 = ~bus_rsp_write_error; // @[lsu_bus_buffer.scala 466:73] - wire _T_3593 = buf_write[0] & _T_3592; // @[lsu_bus_buffer.scala 466:71] - wire _T_3594 = io_dec_tlu_force_halt | _T_3593; // @[lsu_bus_buffer.scala 466:55] - wire _T_3596 = ~buf_samedw_0; // @[lsu_bus_buffer.scala 467:30] - wire _T_3597 = buf_dual_0 & _T_3596; // @[lsu_bus_buffer.scala 467:28] - wire _T_3600 = _T_3597 & _T_3643; // @[lsu_bus_buffer.scala 467:45] - wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 467:90] - wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[lsu_bus_buffer.scala 467:90] - wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[lsu_bus_buffer.scala 467:90] - wire _T_3601 = _GEN_21 != 3'h4; // @[lsu_bus_buffer.scala 467:90] - wire _T_3602 = _T_3600 & _T_3601; // @[lsu_bus_buffer.scala 467:61] - wire _T_4494 = _T_2746 | _T_2743; // @[lsu_bus_buffer.scala 525:93] - wire _T_4495 = _T_4494 | _T_2740; // @[lsu_bus_buffer.scala 525:93] - wire any_done_wait_state = _T_4495 | _T_2737; // @[lsu_bus_buffer.scala 525:93] - wire _T_3604 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 468:31] + wire _T_3592 = ~bus_rsp_write_error; // @[lsu_bus_buffer.scala 465:73] + wire _T_3593 = buf_write[0] & _T_3592; // @[lsu_bus_buffer.scala 465:71] + wire _T_3594 = io_dec_tlu_force_halt | _T_3593; // @[lsu_bus_buffer.scala 465:55] + wire _T_3596 = ~buf_samedw_0; // @[lsu_bus_buffer.scala 466:30] + wire _T_3597 = buf_dual_0 & _T_3596; // @[lsu_bus_buffer.scala 466:28] + wire _T_3600 = _T_3597 & _T_3643; // @[lsu_bus_buffer.scala 466:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 466:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[lsu_bus_buffer.scala 466:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[lsu_bus_buffer.scala 466:90] + wire _T_3601 = _GEN_21 != 3'h4; // @[lsu_bus_buffer.scala 466:90] + wire _T_3602 = _T_3600 & _T_3601; // @[lsu_bus_buffer.scala 466:61] + wire _T_4494 = _T_2746 | _T_2743; // @[lsu_bus_buffer.scala 524:93] + wire _T_4495 = _T_4494 | _T_2740; // @[lsu_bus_buffer.scala 524:93] + wire any_done_wait_state = _T_4495 | _T_2737; // @[lsu_bus_buffer.scala 524:93] + wire _T_3604 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 467:31] wire _T_3610 = buf_dualtag_0 == 2'h0; // @[lsu_bus_buffer.scala 57:118] wire _T_3612 = buf_dualtag_0 == 2'h1; // @[lsu_bus_buffer.scala 57:118] wire _T_3614 = buf_dualtag_0 == 2'h2; // @[lsu_bus_buffer.scala 57:118] @@ -70127,17 +70264,17 @@ module lsu_bus_buffer( wire _T_3622 = _T_3618 | _T_3619; // @[Mux.scala 27:72] wire _T_3623 = _T_3622 | _T_3620; // @[Mux.scala 27:72] wire _T_3624 = _T_3623 | _T_3621; // @[Mux.scala 27:72] - wire _T_3626 = _T_3600 & _T_3624; // @[lsu_bus_buffer.scala 468:101] - wire _T_3627 = _GEN_21 == 3'h4; // @[lsu_bus_buffer.scala 468:167] - wire _T_3628 = _T_3626 & _T_3627; // @[lsu_bus_buffer.scala 468:138] - wire _T_3629 = _T_3628 & any_done_wait_state; // @[lsu_bus_buffer.scala 468:187] - wire _T_3630 = _T_3604 | _T_3629; // @[lsu_bus_buffer.scala 468:53] - wire _T_3653 = buf_state_bus_en_0 & bus_rsp_read; // @[lsu_bus_buffer.scala 475:47] - wire _T_3654 = _T_3653 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 475:62] - wire _T_3668 = ~buf_error_en_0; // @[lsu_bus_buffer.scala 479:50] - wire _T_3669 = buf_state_en_0 & _T_3668; // @[lsu_bus_buffer.scala 479:48] - wire _T_3681 = buf_ldfwd[0] | _T_3686[0]; // @[lsu_bus_buffer.scala 482:90] - wire _T_3682 = _T_3681 | any_done_wait_state; // @[lsu_bus_buffer.scala 482:118] + wire _T_3626 = _T_3600 & _T_3624; // @[lsu_bus_buffer.scala 467:101] + wire _T_3627 = _GEN_21 == 3'h4; // @[lsu_bus_buffer.scala 467:167] + wire _T_3628 = _T_3626 & _T_3627; // @[lsu_bus_buffer.scala 467:138] + wire _T_3629 = _T_3628 & any_done_wait_state; // @[lsu_bus_buffer.scala 467:187] + wire _T_3630 = _T_3604 | _T_3629; // @[lsu_bus_buffer.scala 467:53] + wire _T_3653 = buf_state_bus_en_0 & bus_rsp_read; // @[lsu_bus_buffer.scala 474:47] + wire _T_3654 = _T_3653 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 474:62] + wire _T_3668 = ~buf_error_en_0; // @[lsu_bus_buffer.scala 478:50] + wire _T_3669 = buf_state_en_0 & _T_3668; // @[lsu_bus_buffer.scala 478:48] + wire _T_3681 = buf_ldfwd[0] | _T_3686[0]; // @[lsu_bus_buffer.scala 481:90] + wire _T_3682 = _T_3681 | any_done_wait_state; // @[lsu_bus_buffer.scala 481:118] wire _GEN_29 = _T_3702 & buf_state_en_0; // @[Conditional.scala 39:67] wire _GEN_32 = _T_3694 ? 1'h0 : _T_3702; // @[Conditional.scala 39:67] wire _GEN_34 = _T_3694 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] @@ -70155,34 +70292,34 @@ module lsu_bus_buffer( wire buf_wr_en_0 = _T_3528 & buf_state_en_0; // @[Conditional.scala 40:58] wire buf_ldfwd_en_0 = _T_3528 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] wire buf_rst_0 = _T_3528 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire _T_3765 = buf_state_en_1 & _T_3836; // @[lsu_bus_buffer.scala 459:44] - wire _T_3766 = _T_3765 & obuf_nosend; // @[lsu_bus_buffer.scala 459:60] - wire _T_3768 = _T_3766 & _T_1333; // @[lsu_bus_buffer.scala 459:74] - wire _T_3771 = _T_3761 & obuf_nosend; // @[lsu_bus_buffer.scala 461:67] - wire _T_3772 = _T_3771 & bus_rsp_read; // @[lsu_bus_buffer.scala 461:81] - wire _T_3775 = _T_3771 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 462:82] - wire _T_3850 = bus_rsp_read_error & _T_3829; // @[lsu_bus_buffer.scala 476:91] - wire _T_3852 = bus_rsp_read_error & buf_ldfwd[1]; // @[lsu_bus_buffer.scala 477:31] - wire _T_3854 = _T_3852 & _T_3831; // @[lsu_bus_buffer.scala 477:46] - wire _T_3855 = _T_3850 | _T_3854; // @[lsu_bus_buffer.scala 476:143] - wire _T_3858 = bus_rsp_write_error & _T_3827; // @[lsu_bus_buffer.scala 478:53] - wire _T_3859 = _T_3855 | _T_3858; // @[lsu_bus_buffer.scala 477:88] - wire _T_3860 = _T_3761 & _T_3859; // @[lsu_bus_buffer.scala 476:68] + wire _T_3765 = buf_state_en_1 & _T_3836; // @[lsu_bus_buffer.scala 458:44] + wire _T_3766 = _T_3765 & obuf_nosend; // @[lsu_bus_buffer.scala 458:60] + wire _T_3768 = _T_3766 & _T_1333; // @[lsu_bus_buffer.scala 458:74] + wire _T_3771 = _T_3761 & obuf_nosend; // @[lsu_bus_buffer.scala 460:67] + wire _T_3772 = _T_3771 & bus_rsp_read; // @[lsu_bus_buffer.scala 460:81] + wire _T_3775 = _T_3771 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 461:82] + wire _T_3850 = bus_rsp_read_error & _T_3829; // @[lsu_bus_buffer.scala 475:91] + wire _T_3852 = bus_rsp_read_error & buf_ldfwd[1]; // @[lsu_bus_buffer.scala 476:31] + wire _T_3854 = _T_3852 & _T_3831; // @[lsu_bus_buffer.scala 476:46] + wire _T_3855 = _T_3850 | _T_3854; // @[lsu_bus_buffer.scala 475:143] + wire _T_3858 = bus_rsp_write_error & _T_3827; // @[lsu_bus_buffer.scala 477:53] + wire _T_3859 = _T_3855 | _T_3858; // @[lsu_bus_buffer.scala 476:88] + wire _T_3860 = _T_3761 & _T_3859; // @[lsu_bus_buffer.scala 475:68] wire _GEN_122 = _T_3782 & _T_3860; // @[Conditional.scala 39:67] wire _GEN_135 = _T_3748 ? _T_3775 : _GEN_122; // @[Conditional.scala 39:67] wire _GEN_147 = _T_3744 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] wire buf_error_en_1 = _T_3721 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire _T_3786 = buf_write[1] & _T_3592; // @[lsu_bus_buffer.scala 466:71] - wire _T_3787 = io_dec_tlu_force_halt | _T_3786; // @[lsu_bus_buffer.scala 466:55] - wire _T_3789 = ~buf_samedw_1; // @[lsu_bus_buffer.scala 467:30] - wire _T_3790 = buf_dual_1 & _T_3789; // @[lsu_bus_buffer.scala 467:28] - wire _T_3793 = _T_3790 & _T_3836; // @[lsu_bus_buffer.scala 467:45] - wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 467:90] - wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[lsu_bus_buffer.scala 467:90] - wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[lsu_bus_buffer.scala 467:90] - wire _T_3794 = _GEN_97 != 3'h4; // @[lsu_bus_buffer.scala 467:90] - wire _T_3795 = _T_3793 & _T_3794; // @[lsu_bus_buffer.scala 467:61] - wire _T_3797 = buf_ldfwd[1] | any_done_wait_state; // @[lsu_bus_buffer.scala 468:31] + wire _T_3786 = buf_write[1] & _T_3592; // @[lsu_bus_buffer.scala 465:71] + wire _T_3787 = io_dec_tlu_force_halt | _T_3786; // @[lsu_bus_buffer.scala 465:55] + wire _T_3789 = ~buf_samedw_1; // @[lsu_bus_buffer.scala 466:30] + wire _T_3790 = buf_dual_1 & _T_3789; // @[lsu_bus_buffer.scala 466:28] + wire _T_3793 = _T_3790 & _T_3836; // @[lsu_bus_buffer.scala 466:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 466:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[lsu_bus_buffer.scala 466:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[lsu_bus_buffer.scala 466:90] + wire _T_3794 = _GEN_97 != 3'h4; // @[lsu_bus_buffer.scala 466:90] + wire _T_3795 = _T_3793 & _T_3794; // @[lsu_bus_buffer.scala 466:61] + wire _T_3797 = buf_ldfwd[1] | any_done_wait_state; // @[lsu_bus_buffer.scala 467:31] wire _T_3803 = buf_dualtag_1 == 2'h0; // @[lsu_bus_buffer.scala 57:118] wire _T_3805 = buf_dualtag_1 == 2'h1; // @[lsu_bus_buffer.scala 57:118] wire _T_3807 = buf_dualtag_1 == 2'h2; // @[lsu_bus_buffer.scala 57:118] @@ -70194,17 +70331,17 @@ module lsu_bus_buffer( wire _T_3815 = _T_3811 | _T_3812; // @[Mux.scala 27:72] wire _T_3816 = _T_3815 | _T_3813; // @[Mux.scala 27:72] wire _T_3817 = _T_3816 | _T_3814; // @[Mux.scala 27:72] - wire _T_3819 = _T_3793 & _T_3817; // @[lsu_bus_buffer.scala 468:101] - wire _T_3820 = _GEN_97 == 3'h4; // @[lsu_bus_buffer.scala 468:167] - wire _T_3821 = _T_3819 & _T_3820; // @[lsu_bus_buffer.scala 468:138] - wire _T_3822 = _T_3821 & any_done_wait_state; // @[lsu_bus_buffer.scala 468:187] - wire _T_3823 = _T_3797 | _T_3822; // @[lsu_bus_buffer.scala 468:53] - wire _T_3846 = buf_state_bus_en_1 & bus_rsp_read; // @[lsu_bus_buffer.scala 475:47] - wire _T_3847 = _T_3846 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 475:62] - wire _T_3861 = ~buf_error_en_1; // @[lsu_bus_buffer.scala 479:50] - wire _T_3862 = buf_state_en_1 & _T_3861; // @[lsu_bus_buffer.scala 479:48] - wire _T_3874 = buf_ldfwd[1] | _T_3879[0]; // @[lsu_bus_buffer.scala 482:90] - wire _T_3875 = _T_3874 | any_done_wait_state; // @[lsu_bus_buffer.scala 482:118] + wire _T_3819 = _T_3793 & _T_3817; // @[lsu_bus_buffer.scala 467:101] + wire _T_3820 = _GEN_97 == 3'h4; // @[lsu_bus_buffer.scala 467:167] + wire _T_3821 = _T_3819 & _T_3820; // @[lsu_bus_buffer.scala 467:138] + wire _T_3822 = _T_3821 & any_done_wait_state; // @[lsu_bus_buffer.scala 467:187] + wire _T_3823 = _T_3797 | _T_3822; // @[lsu_bus_buffer.scala 467:53] + wire _T_3846 = buf_state_bus_en_1 & bus_rsp_read; // @[lsu_bus_buffer.scala 474:47] + wire _T_3847 = _T_3846 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 474:62] + wire _T_3861 = ~buf_error_en_1; // @[lsu_bus_buffer.scala 478:50] + wire _T_3862 = buf_state_en_1 & _T_3861; // @[lsu_bus_buffer.scala 478:48] + wire _T_3874 = buf_ldfwd[1] | _T_3879[0]; // @[lsu_bus_buffer.scala 481:90] + wire _T_3875 = _T_3874 | any_done_wait_state; // @[lsu_bus_buffer.scala 481:118] wire _GEN_105 = _T_3895 & buf_state_en_1; // @[Conditional.scala 39:67] wire _GEN_108 = _T_3887 ? 1'h0 : _T_3895; // @[Conditional.scala 39:67] wire _GEN_110 = _T_3887 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] @@ -70222,34 +70359,34 @@ module lsu_bus_buffer( wire buf_wr_en_1 = _T_3721 & buf_state_en_1; // @[Conditional.scala 40:58] wire buf_ldfwd_en_1 = _T_3721 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] wire buf_rst_1 = _T_3721 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire _T_3958 = buf_state_en_2 & _T_4029; // @[lsu_bus_buffer.scala 459:44] - wire _T_3959 = _T_3958 & obuf_nosend; // @[lsu_bus_buffer.scala 459:60] - wire _T_3961 = _T_3959 & _T_1333; // @[lsu_bus_buffer.scala 459:74] - wire _T_3964 = _T_3954 & obuf_nosend; // @[lsu_bus_buffer.scala 461:67] - wire _T_3965 = _T_3964 & bus_rsp_read; // @[lsu_bus_buffer.scala 461:81] - wire _T_3968 = _T_3964 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 462:82] - wire _T_4043 = bus_rsp_read_error & _T_4022; // @[lsu_bus_buffer.scala 476:91] - wire _T_4045 = bus_rsp_read_error & buf_ldfwd[2]; // @[lsu_bus_buffer.scala 477:31] - wire _T_4047 = _T_4045 & _T_4024; // @[lsu_bus_buffer.scala 477:46] - wire _T_4048 = _T_4043 | _T_4047; // @[lsu_bus_buffer.scala 476:143] - wire _T_4051 = bus_rsp_write_error & _T_4020; // @[lsu_bus_buffer.scala 478:53] - wire _T_4052 = _T_4048 | _T_4051; // @[lsu_bus_buffer.scala 477:88] - wire _T_4053 = _T_3954 & _T_4052; // @[lsu_bus_buffer.scala 476:68] + wire _T_3958 = buf_state_en_2 & _T_4029; // @[lsu_bus_buffer.scala 458:44] + wire _T_3959 = _T_3958 & obuf_nosend; // @[lsu_bus_buffer.scala 458:60] + wire _T_3961 = _T_3959 & _T_1333; // @[lsu_bus_buffer.scala 458:74] + wire _T_3964 = _T_3954 & obuf_nosend; // @[lsu_bus_buffer.scala 460:67] + wire _T_3965 = _T_3964 & bus_rsp_read; // @[lsu_bus_buffer.scala 460:81] + wire _T_3968 = _T_3964 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 461:82] + wire _T_4043 = bus_rsp_read_error & _T_4022; // @[lsu_bus_buffer.scala 475:91] + wire _T_4045 = bus_rsp_read_error & buf_ldfwd[2]; // @[lsu_bus_buffer.scala 476:31] + wire _T_4047 = _T_4045 & _T_4024; // @[lsu_bus_buffer.scala 476:46] + wire _T_4048 = _T_4043 | _T_4047; // @[lsu_bus_buffer.scala 475:143] + wire _T_4051 = bus_rsp_write_error & _T_4020; // @[lsu_bus_buffer.scala 477:53] + wire _T_4052 = _T_4048 | _T_4051; // @[lsu_bus_buffer.scala 476:88] + wire _T_4053 = _T_3954 & _T_4052; // @[lsu_bus_buffer.scala 475:68] wire _GEN_198 = _T_3975 & _T_4053; // @[Conditional.scala 39:67] wire _GEN_211 = _T_3941 ? _T_3968 : _GEN_198; // @[Conditional.scala 39:67] wire _GEN_223 = _T_3937 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] wire buf_error_en_2 = _T_3914 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire _T_3979 = buf_write[2] & _T_3592; // @[lsu_bus_buffer.scala 466:71] - wire _T_3980 = io_dec_tlu_force_halt | _T_3979; // @[lsu_bus_buffer.scala 466:55] - wire _T_3982 = ~buf_samedw_2; // @[lsu_bus_buffer.scala 467:30] - wire _T_3983 = buf_dual_2 & _T_3982; // @[lsu_bus_buffer.scala 467:28] - wire _T_3986 = _T_3983 & _T_4029; // @[lsu_bus_buffer.scala 467:45] - wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 467:90] - wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[lsu_bus_buffer.scala 467:90] - wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[lsu_bus_buffer.scala 467:90] - wire _T_3987 = _GEN_173 != 3'h4; // @[lsu_bus_buffer.scala 467:90] - wire _T_3988 = _T_3986 & _T_3987; // @[lsu_bus_buffer.scala 467:61] - wire _T_3990 = buf_ldfwd[2] | any_done_wait_state; // @[lsu_bus_buffer.scala 468:31] + wire _T_3979 = buf_write[2] & _T_3592; // @[lsu_bus_buffer.scala 465:71] + wire _T_3980 = io_dec_tlu_force_halt | _T_3979; // @[lsu_bus_buffer.scala 465:55] + wire _T_3982 = ~buf_samedw_2; // @[lsu_bus_buffer.scala 466:30] + wire _T_3983 = buf_dual_2 & _T_3982; // @[lsu_bus_buffer.scala 466:28] + wire _T_3986 = _T_3983 & _T_4029; // @[lsu_bus_buffer.scala 466:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 466:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[lsu_bus_buffer.scala 466:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[lsu_bus_buffer.scala 466:90] + wire _T_3987 = _GEN_173 != 3'h4; // @[lsu_bus_buffer.scala 466:90] + wire _T_3988 = _T_3986 & _T_3987; // @[lsu_bus_buffer.scala 466:61] + wire _T_3990 = buf_ldfwd[2] | any_done_wait_state; // @[lsu_bus_buffer.scala 467:31] wire _T_3996 = buf_dualtag_2 == 2'h0; // @[lsu_bus_buffer.scala 57:118] wire _T_3998 = buf_dualtag_2 == 2'h1; // @[lsu_bus_buffer.scala 57:118] wire _T_4000 = buf_dualtag_2 == 2'h2; // @[lsu_bus_buffer.scala 57:118] @@ -70261,17 +70398,17 @@ module lsu_bus_buffer( wire _T_4008 = _T_4004 | _T_4005; // @[Mux.scala 27:72] wire _T_4009 = _T_4008 | _T_4006; // @[Mux.scala 27:72] wire _T_4010 = _T_4009 | _T_4007; // @[Mux.scala 27:72] - wire _T_4012 = _T_3986 & _T_4010; // @[lsu_bus_buffer.scala 468:101] - wire _T_4013 = _GEN_173 == 3'h4; // @[lsu_bus_buffer.scala 468:167] - wire _T_4014 = _T_4012 & _T_4013; // @[lsu_bus_buffer.scala 468:138] - wire _T_4015 = _T_4014 & any_done_wait_state; // @[lsu_bus_buffer.scala 468:187] - wire _T_4016 = _T_3990 | _T_4015; // @[lsu_bus_buffer.scala 468:53] - wire _T_4039 = buf_state_bus_en_2 & bus_rsp_read; // @[lsu_bus_buffer.scala 475:47] - wire _T_4040 = _T_4039 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 475:62] - wire _T_4054 = ~buf_error_en_2; // @[lsu_bus_buffer.scala 479:50] - wire _T_4055 = buf_state_en_2 & _T_4054; // @[lsu_bus_buffer.scala 479:48] - wire _T_4067 = buf_ldfwd[2] | _T_4072[0]; // @[lsu_bus_buffer.scala 482:90] - wire _T_4068 = _T_4067 | any_done_wait_state; // @[lsu_bus_buffer.scala 482:118] + wire _T_4012 = _T_3986 & _T_4010; // @[lsu_bus_buffer.scala 467:101] + wire _T_4013 = _GEN_173 == 3'h4; // @[lsu_bus_buffer.scala 467:167] + wire _T_4014 = _T_4012 & _T_4013; // @[lsu_bus_buffer.scala 467:138] + wire _T_4015 = _T_4014 & any_done_wait_state; // @[lsu_bus_buffer.scala 467:187] + wire _T_4016 = _T_3990 | _T_4015; // @[lsu_bus_buffer.scala 467:53] + wire _T_4039 = buf_state_bus_en_2 & bus_rsp_read; // @[lsu_bus_buffer.scala 474:47] + wire _T_4040 = _T_4039 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 474:62] + wire _T_4054 = ~buf_error_en_2; // @[lsu_bus_buffer.scala 478:50] + wire _T_4055 = buf_state_en_2 & _T_4054; // @[lsu_bus_buffer.scala 478:48] + wire _T_4067 = buf_ldfwd[2] | _T_4072[0]; // @[lsu_bus_buffer.scala 481:90] + wire _T_4068 = _T_4067 | any_done_wait_state; // @[lsu_bus_buffer.scala 481:118] wire _GEN_181 = _T_4088 & buf_state_en_2; // @[Conditional.scala 39:67] wire _GEN_184 = _T_4080 ? 1'h0 : _T_4088; // @[Conditional.scala 39:67] wire _GEN_186 = _T_4080 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] @@ -70289,34 +70426,34 @@ module lsu_bus_buffer( wire buf_wr_en_2 = _T_3914 & buf_state_en_2; // @[Conditional.scala 40:58] wire buf_ldfwd_en_2 = _T_3914 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] wire buf_rst_2 = _T_3914 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire _T_4151 = buf_state_en_3 & _T_4222; // @[lsu_bus_buffer.scala 459:44] - wire _T_4152 = _T_4151 & obuf_nosend; // @[lsu_bus_buffer.scala 459:60] - wire _T_4154 = _T_4152 & _T_1333; // @[lsu_bus_buffer.scala 459:74] - wire _T_4157 = _T_4147 & obuf_nosend; // @[lsu_bus_buffer.scala 461:67] - wire _T_4158 = _T_4157 & bus_rsp_read; // @[lsu_bus_buffer.scala 461:81] - wire _T_4161 = _T_4157 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 462:82] - wire _T_4236 = bus_rsp_read_error & _T_4215; // @[lsu_bus_buffer.scala 476:91] - wire _T_4238 = bus_rsp_read_error & buf_ldfwd[3]; // @[lsu_bus_buffer.scala 477:31] - wire _T_4240 = _T_4238 & _T_4217; // @[lsu_bus_buffer.scala 477:46] - wire _T_4241 = _T_4236 | _T_4240; // @[lsu_bus_buffer.scala 476:143] - wire _T_4244 = bus_rsp_write_error & _T_4213; // @[lsu_bus_buffer.scala 478:53] - wire _T_4245 = _T_4241 | _T_4244; // @[lsu_bus_buffer.scala 477:88] - wire _T_4246 = _T_4147 & _T_4245; // @[lsu_bus_buffer.scala 476:68] + wire _T_4151 = buf_state_en_3 & _T_4222; // @[lsu_bus_buffer.scala 458:44] + wire _T_4152 = _T_4151 & obuf_nosend; // @[lsu_bus_buffer.scala 458:60] + wire _T_4154 = _T_4152 & _T_1333; // @[lsu_bus_buffer.scala 458:74] + wire _T_4157 = _T_4147 & obuf_nosend; // @[lsu_bus_buffer.scala 460:67] + wire _T_4158 = _T_4157 & bus_rsp_read; // @[lsu_bus_buffer.scala 460:81] + wire _T_4161 = _T_4157 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 461:82] + wire _T_4236 = bus_rsp_read_error & _T_4215; // @[lsu_bus_buffer.scala 475:91] + wire _T_4238 = bus_rsp_read_error & buf_ldfwd[3]; // @[lsu_bus_buffer.scala 476:31] + wire _T_4240 = _T_4238 & _T_4217; // @[lsu_bus_buffer.scala 476:46] + wire _T_4241 = _T_4236 | _T_4240; // @[lsu_bus_buffer.scala 475:143] + wire _T_4244 = bus_rsp_write_error & _T_4213; // @[lsu_bus_buffer.scala 477:53] + wire _T_4245 = _T_4241 | _T_4244; // @[lsu_bus_buffer.scala 476:88] + wire _T_4246 = _T_4147 & _T_4245; // @[lsu_bus_buffer.scala 475:68] wire _GEN_274 = _T_4168 & _T_4246; // @[Conditional.scala 39:67] wire _GEN_287 = _T_4134 ? _T_4161 : _GEN_274; // @[Conditional.scala 39:67] wire _GEN_299 = _T_4130 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] wire buf_error_en_3 = _T_4107 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire _T_4172 = buf_write[3] & _T_3592; // @[lsu_bus_buffer.scala 466:71] - wire _T_4173 = io_dec_tlu_force_halt | _T_4172; // @[lsu_bus_buffer.scala 466:55] - wire _T_4175 = ~buf_samedw_3; // @[lsu_bus_buffer.scala 467:30] - wire _T_4176 = buf_dual_3 & _T_4175; // @[lsu_bus_buffer.scala 467:28] - wire _T_4179 = _T_4176 & _T_4222; // @[lsu_bus_buffer.scala 467:45] - wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 467:90] - wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[lsu_bus_buffer.scala 467:90] - wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[lsu_bus_buffer.scala 467:90] - wire _T_4180 = _GEN_249 != 3'h4; // @[lsu_bus_buffer.scala 467:90] - wire _T_4181 = _T_4179 & _T_4180; // @[lsu_bus_buffer.scala 467:61] - wire _T_4183 = buf_ldfwd[3] | any_done_wait_state; // @[lsu_bus_buffer.scala 468:31] + wire _T_4172 = buf_write[3] & _T_3592; // @[lsu_bus_buffer.scala 465:71] + wire _T_4173 = io_dec_tlu_force_halt | _T_4172; // @[lsu_bus_buffer.scala 465:55] + wire _T_4175 = ~buf_samedw_3; // @[lsu_bus_buffer.scala 466:30] + wire _T_4176 = buf_dual_3 & _T_4175; // @[lsu_bus_buffer.scala 466:28] + wire _T_4179 = _T_4176 & _T_4222; // @[lsu_bus_buffer.scala 466:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 466:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[lsu_bus_buffer.scala 466:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[lsu_bus_buffer.scala 466:90] + wire _T_4180 = _GEN_249 != 3'h4; // @[lsu_bus_buffer.scala 466:90] + wire _T_4181 = _T_4179 & _T_4180; // @[lsu_bus_buffer.scala 466:61] + wire _T_4183 = buf_ldfwd[3] | any_done_wait_state; // @[lsu_bus_buffer.scala 467:31] wire _T_4189 = buf_dualtag_3 == 2'h0; // @[lsu_bus_buffer.scala 57:118] wire _T_4191 = buf_dualtag_3 == 2'h1; // @[lsu_bus_buffer.scala 57:118] wire _T_4193 = buf_dualtag_3 == 2'h2; // @[lsu_bus_buffer.scala 57:118] @@ -70328,17 +70465,17 @@ module lsu_bus_buffer( wire _T_4201 = _T_4197 | _T_4198; // @[Mux.scala 27:72] wire _T_4202 = _T_4201 | _T_4199; // @[Mux.scala 27:72] wire _T_4203 = _T_4202 | _T_4200; // @[Mux.scala 27:72] - wire _T_4205 = _T_4179 & _T_4203; // @[lsu_bus_buffer.scala 468:101] - wire _T_4206 = _GEN_249 == 3'h4; // @[lsu_bus_buffer.scala 468:167] - wire _T_4207 = _T_4205 & _T_4206; // @[lsu_bus_buffer.scala 468:138] - wire _T_4208 = _T_4207 & any_done_wait_state; // @[lsu_bus_buffer.scala 468:187] - wire _T_4209 = _T_4183 | _T_4208; // @[lsu_bus_buffer.scala 468:53] - wire _T_4232 = buf_state_bus_en_3 & bus_rsp_read; // @[lsu_bus_buffer.scala 475:47] - wire _T_4233 = _T_4232 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 475:62] - wire _T_4247 = ~buf_error_en_3; // @[lsu_bus_buffer.scala 479:50] - wire _T_4248 = buf_state_en_3 & _T_4247; // @[lsu_bus_buffer.scala 479:48] - wire _T_4260 = buf_ldfwd[3] | _T_4265[0]; // @[lsu_bus_buffer.scala 482:90] - wire _T_4261 = _T_4260 | any_done_wait_state; // @[lsu_bus_buffer.scala 482:118] + wire _T_4205 = _T_4179 & _T_4203; // @[lsu_bus_buffer.scala 467:101] + wire _T_4206 = _GEN_249 == 3'h4; // @[lsu_bus_buffer.scala 467:167] + wire _T_4207 = _T_4205 & _T_4206; // @[lsu_bus_buffer.scala 467:138] + wire _T_4208 = _T_4207 & any_done_wait_state; // @[lsu_bus_buffer.scala 467:187] + wire _T_4209 = _T_4183 | _T_4208; // @[lsu_bus_buffer.scala 467:53] + wire _T_4232 = buf_state_bus_en_3 & bus_rsp_read; // @[lsu_bus_buffer.scala 474:47] + wire _T_4233 = _T_4232 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 474:62] + wire _T_4247 = ~buf_error_en_3; // @[lsu_bus_buffer.scala 478:50] + wire _T_4248 = buf_state_en_3 & _T_4247; // @[lsu_bus_buffer.scala 478:48] + wire _T_4260 = buf_ldfwd[3] | _T_4265[0]; // @[lsu_bus_buffer.scala 481:90] + wire _T_4261 = _T_4260 | any_done_wait_state; // @[lsu_bus_buffer.scala 481:118] wire _GEN_257 = _T_4281 & buf_state_en_3; // @[Conditional.scala 39:67] wire _GEN_260 = _T_4273 ? 1'h0 : _T_4281; // @[Conditional.scala 39:67] wire _GEN_262 = _T_4273 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] @@ -70361,51 +70498,51 @@ module lsu_bus_buffer( reg _T_4342; // @[Reg.scala 27:20] reg _T_4345; // @[Reg.scala 27:20] wire [3:0] buf_unsign = {_T_4345,_T_4342,_T_4339,_T_4336}; // @[Cat.scala 29:58] - reg _T_4411; // @[lsu_bus_buffer.scala 518:80] - reg _T_4406; // @[lsu_bus_buffer.scala 518:80] - reg _T_4401; // @[lsu_bus_buffer.scala 518:80] - reg _T_4396; // @[lsu_bus_buffer.scala 518:80] + reg _T_4411; // @[lsu_bus_buffer.scala 517:80] + reg _T_4406; // @[lsu_bus_buffer.scala 517:80] + reg _T_4401; // @[lsu_bus_buffer.scala 517:80] + reg _T_4396; // @[lsu_bus_buffer.scala 517:80] wire [3:0] buf_error = {_T_4411,_T_4406,_T_4401,_T_4396}; // @[Cat.scala 29:58] - wire _T_4393 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 518:84] - wire _T_4394 = ~buf_rst_0; // @[lsu_bus_buffer.scala 518:126] - wire _T_4398 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 518:84] - wire _T_4399 = ~buf_rst_1; // @[lsu_bus_buffer.scala 518:126] - wire _T_4403 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 518:84] - wire _T_4404 = ~buf_rst_2; // @[lsu_bus_buffer.scala 518:126] - wire _T_4408 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 518:84] - wire _T_4409 = ~buf_rst_3; // @[lsu_bus_buffer.scala 518:126] + wire _T_4393 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 517:84] + wire _T_4394 = ~buf_rst_0; // @[lsu_bus_buffer.scala 517:126] + wire _T_4398 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 517:84] + wire _T_4399 = ~buf_rst_1; // @[lsu_bus_buffer.scala 517:126] + wire _T_4403 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 517:84] + wire _T_4404 = ~buf_rst_2; // @[lsu_bus_buffer.scala 517:126] + wire _T_4408 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 517:84] + wire _T_4409 = ~buf_rst_3; // @[lsu_bus_buffer.scala 517:126] wire [1:0] _T_4415 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4416 = io_ldst_dual_m ? _T_4415 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 521:28] + wire [1:0] _T_4416 = io_ldst_dual_m ? _T_4415 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 520:28] wire [1:0] _T_4417 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4418 = io_ldst_dual_r ? _T_4417 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 521:94] - wire [2:0] _T_4419 = _T_4416 + _T_4418; // @[lsu_bus_buffer.scala 521:88] - wire [2:0] _GEN_388 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 521:154] - wire [3:0] _T_4420 = _T_4419 + _GEN_388; // @[lsu_bus_buffer.scala 521:154] - wire [1:0] _T_4425 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 521:217] - wire [1:0] _GEN_389 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 521:217] - wire [2:0] _T_4426 = _T_4425 + _GEN_389; // @[lsu_bus_buffer.scala 521:217] - wire [2:0] _GEN_390 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 521:217] - wire [3:0] _T_4427 = _T_4426 + _GEN_390; // @[lsu_bus_buffer.scala 521:217] - wire [3:0] buf_numvld_any = _T_4420 + _T_4427; // @[lsu_bus_buffer.scala 521:169] - wire _T_4498 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 527:52] - wire _T_4499 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 527:92] - wire _T_4500 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 527:121] - wire _T_4502 = |buf_state_0; // @[lsu_bus_buffer.scala 528:52] - wire _T_4503 = |buf_state_1; // @[lsu_bus_buffer.scala 528:52] - wire _T_4504 = |buf_state_2; // @[lsu_bus_buffer.scala 528:52] - wire _T_4505 = |buf_state_3; // @[lsu_bus_buffer.scala 528:52] - wire _T_4506 = _T_4502 | _T_4503; // @[lsu_bus_buffer.scala 528:65] - wire _T_4507 = _T_4506 | _T_4504; // @[lsu_bus_buffer.scala 528:65] - wire _T_4508 = _T_4507 | _T_4505; // @[lsu_bus_buffer.scala 528:65] - wire _T_4509 = ~_T_4508; // @[lsu_bus_buffer.scala 528:34] - wire _T_4511 = _T_4509 & _T_852; // @[lsu_bus_buffer.scala 528:70] - wire _T_4514 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 530:64] - wire _T_4515 = _T_4514 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 530:85] - wire _T_4516 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 530:112] - wire _T_4517 = _T_4515 & _T_4516; // @[lsu_bus_buffer.scala 530:110] - wire _T_4518 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 530:129] - wire _T_4520 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 533:74] - reg lsu_nonblock_load_valid_r; // @[lsu_bus_buffer.scala 618:66] + wire [1:0] _T_4418 = io_ldst_dual_r ? _T_4417 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 520:94] + wire [2:0] _T_4419 = _T_4416 + _T_4418; // @[lsu_bus_buffer.scala 520:88] + wire [2:0] _GEN_388 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 520:154] + wire [3:0] _T_4420 = _T_4419 + _GEN_388; // @[lsu_bus_buffer.scala 520:154] + wire [1:0] _T_4425 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 520:217] + wire [1:0] _GEN_389 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 520:217] + wire [2:0] _T_4426 = _T_4425 + _GEN_389; // @[lsu_bus_buffer.scala 520:217] + wire [2:0] _GEN_390 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 520:217] + wire [3:0] _T_4427 = _T_4426 + _GEN_390; // @[lsu_bus_buffer.scala 520:217] + wire [3:0] buf_numvld_any = _T_4420 + _T_4427; // @[lsu_bus_buffer.scala 520:169] + wire _T_4498 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 526:52] + wire _T_4499 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 526:92] + wire _T_4500 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 526:121] + wire _T_4502 = |buf_state_0; // @[lsu_bus_buffer.scala 527:52] + wire _T_4503 = |buf_state_1; // @[lsu_bus_buffer.scala 527:52] + wire _T_4504 = |buf_state_2; // @[lsu_bus_buffer.scala 527:52] + wire _T_4505 = |buf_state_3; // @[lsu_bus_buffer.scala 527:52] + wire _T_4506 = _T_4502 | _T_4503; // @[lsu_bus_buffer.scala 527:65] + wire _T_4507 = _T_4506 | _T_4504; // @[lsu_bus_buffer.scala 527:65] + wire _T_4508 = _T_4507 | _T_4505; // @[lsu_bus_buffer.scala 527:65] + wire _T_4509 = ~_T_4508; // @[lsu_bus_buffer.scala 527:34] + wire _T_4511 = _T_4509 & _T_852; // @[lsu_bus_buffer.scala 527:70] + wire _T_4514 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 529:64] + wire _T_4515 = _T_4514 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 529:85] + wire _T_4516 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 529:112] + wire _T_4517 = _T_4515 & _T_4516; // @[lsu_bus_buffer.scala 529:110] + wire _T_4518 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 529:129] + wire _T_4520 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 532:74] + reg lsu_nonblock_load_valid_r; // @[lsu_bus_buffer.scala 617:66] wire _T_4538 = _T_2799 & _T_3643; // @[Mux.scala 27:72] wire _T_4539 = _T_2821 & _T_3836; // @[Mux.scala 27:72] wire _T_4540 = _T_2843 & _T_4029; // @[Mux.scala 27:72] @@ -70413,32 +70550,32 @@ module lsu_bus_buffer( wire _T_4542 = _T_4538 | _T_4539; // @[Mux.scala 27:72] wire _T_4543 = _T_4542 | _T_4540; // @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready = _T_4543 | _T_4541; // @[Mux.scala 27:72] - wire _T_4549 = buf_error[0] & _T_3643; // @[lsu_bus_buffer.scala 536:121] - wire _T_4554 = buf_error[1] & _T_3836; // @[lsu_bus_buffer.scala 536:121] - wire _T_4559 = buf_error[2] & _T_4029; // @[lsu_bus_buffer.scala 536:121] - wire _T_4564 = buf_error[3] & _T_4222; // @[lsu_bus_buffer.scala 536:121] + wire _T_4549 = buf_error[0] & _T_3643; // @[lsu_bus_buffer.scala 535:121] + wire _T_4554 = buf_error[1] & _T_3836; // @[lsu_bus_buffer.scala 535:121] + wire _T_4559 = buf_error[2] & _T_4029; // @[lsu_bus_buffer.scala 535:121] + wire _T_4564 = buf_error[3] & _T_4222; // @[lsu_bus_buffer.scala 535:121] wire _T_4565 = _T_2799 & _T_4549; // @[Mux.scala 27:72] wire _T_4566 = _T_2821 & _T_4554; // @[Mux.scala 27:72] wire _T_4567 = _T_2843 & _T_4559; // @[Mux.scala 27:72] wire _T_4568 = _T_2865 & _T_4564; // @[Mux.scala 27:72] wire _T_4569 = _T_4565 | _T_4566; // @[Mux.scala 27:72] wire _T_4570 = _T_4569 | _T_4567; // @[Mux.scala 27:72] - wire _T_4577 = ~buf_dual_0; // @[lsu_bus_buffer.scala 537:122] - wire _T_4578 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 537:137] - wire _T_4579 = _T_4577 | _T_4578; // @[lsu_bus_buffer.scala 537:135] - wire _T_4580 = _T_4538 & _T_4579; // @[lsu_bus_buffer.scala 537:119] - wire _T_4585 = ~buf_dual_1; // @[lsu_bus_buffer.scala 537:122] - wire _T_4586 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 537:137] - wire _T_4587 = _T_4585 | _T_4586; // @[lsu_bus_buffer.scala 537:135] - wire _T_4588 = _T_4539 & _T_4587; // @[lsu_bus_buffer.scala 537:119] - wire _T_4593 = ~buf_dual_2; // @[lsu_bus_buffer.scala 537:122] - wire _T_4594 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 537:137] - wire _T_4595 = _T_4593 | _T_4594; // @[lsu_bus_buffer.scala 537:135] - wire _T_4596 = _T_4540 & _T_4595; // @[lsu_bus_buffer.scala 537:119] - wire _T_4601 = ~buf_dual_3; // @[lsu_bus_buffer.scala 537:122] - wire _T_4602 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 537:137] - wire _T_4603 = _T_4601 | _T_4602; // @[lsu_bus_buffer.scala 537:135] - wire _T_4604 = _T_4541 & _T_4603; // @[lsu_bus_buffer.scala 537:119] + wire _T_4577 = ~buf_dual_0; // @[lsu_bus_buffer.scala 536:122] + wire _T_4578 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 536:137] + wire _T_4579 = _T_4577 | _T_4578; // @[lsu_bus_buffer.scala 536:135] + wire _T_4580 = _T_4538 & _T_4579; // @[lsu_bus_buffer.scala 536:119] + wire _T_4585 = ~buf_dual_1; // @[lsu_bus_buffer.scala 536:122] + wire _T_4586 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 536:137] + wire _T_4587 = _T_4585 | _T_4586; // @[lsu_bus_buffer.scala 536:135] + wire _T_4588 = _T_4539 & _T_4587; // @[lsu_bus_buffer.scala 536:119] + wire _T_4593 = ~buf_dual_2; // @[lsu_bus_buffer.scala 536:122] + wire _T_4594 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 536:137] + wire _T_4595 = _T_4593 | _T_4594; // @[lsu_bus_buffer.scala 536:135] + wire _T_4596 = _T_4540 & _T_4595; // @[lsu_bus_buffer.scala 536:119] + wire _T_4601 = ~buf_dual_3; // @[lsu_bus_buffer.scala 536:122] + wire _T_4602 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 536:137] + wire _T_4603 = _T_4601 | _T_4602; // @[lsu_bus_buffer.scala 536:135] + wire _T_4604 = _T_4541 & _T_4603; // @[lsu_bus_buffer.scala 536:119] wire [1:0] _T_4607 = _T_4596 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4608 = _T_4604 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _GEN_391 = {{1'd0}, _T_4588}; // @[Mux.scala 27:72] @@ -70450,10 +70587,10 @@ module lsu_bus_buffer( wire [31:0] _T_4649 = _T_4645 | _T_4646; // @[Mux.scala 27:72] wire [31:0] _T_4650 = _T_4649 | _T_4647; // @[Mux.scala 27:72] wire [31:0] lsu_nonblock_load_data_lo = _T_4650 | _T_4648; // @[Mux.scala 27:72] - wire _T_4657 = _T_4538 & _T_3641; // @[lsu_bus_buffer.scala 539:105] - wire _T_4663 = _T_4539 & _T_3834; // @[lsu_bus_buffer.scala 539:105] - wire _T_4669 = _T_4540 & _T_4027; // @[lsu_bus_buffer.scala 539:105] - wire _T_4675 = _T_4541 & _T_4220; // @[lsu_bus_buffer.scala 539:105] + wire _T_4657 = _T_4538 & _T_3641; // @[lsu_bus_buffer.scala 538:105] + wire _T_4663 = _T_4539 & _T_3834; // @[lsu_bus_buffer.scala 538:105] + wire _T_4669 = _T_4540 & _T_4027; // @[lsu_bus_buffer.scala 538:105] + wire _T_4675 = _T_4541 & _T_4220; // @[lsu_bus_buffer.scala 538:105] wire [31:0] _T_4676 = _T_4657 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4677 = _T_4663 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4678 = _T_4669 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -70472,7 +70609,7 @@ module lsu_bus_buffer( wire [31:0] _T_4691 = _T_4687 | _T_4688; // @[Mux.scala 27:72] wire [31:0] _T_4692 = _T_4691 | _T_4689; // @[Mux.scala 27:72] wire [31:0] _T_4693 = _T_4692 | _T_4690; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4693[1:0]; // @[lsu_bus_buffer.scala 540:96] + wire [1:0] lsu_nonblock_addr_offset = _T_4693[1:0]; // @[lsu_bus_buffer.scala 539:96] wire [1:0] _T_4699 = _T_4683 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4700 = _T_4684 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4701 = _T_4685 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] @@ -70488,24 +70625,24 @@ module lsu_bus_buffer( wire _T_4719 = _T_4718 | _T_4716; // @[Mux.scala 27:72] wire lsu_nonblock_unsign = _T_4719 | _T_4717; // @[Mux.scala 27:72] wire [63:0] _T_4739 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [3:0] _GEN_392 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 544:121] - wire [5:0] _T_4740 = _GEN_392 * 4'h8; // @[lsu_bus_buffer.scala 544:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4739 >> _T_4740; // @[lsu_bus_buffer.scala 544:92] - wire _T_4741 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 546:82] - wire _T_4743 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 547:94] - wire _T_4744 = lsu_nonblock_unsign & _T_4743; // @[lsu_bus_buffer.scala 547:76] + wire [3:0] _GEN_392 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 543:121] + wire [5:0] _T_4740 = _GEN_392 * 4'h8; // @[lsu_bus_buffer.scala 543:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4739 >> _T_4740; // @[lsu_bus_buffer.scala 543:92] + wire _T_4741 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 545:82] + wire _T_4743 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 546:94] + wire _T_4744 = lsu_nonblock_unsign & _T_4743; // @[lsu_bus_buffer.scala 546:76] wire [31:0] _T_4746 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4747 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 548:45] - wire _T_4748 = lsu_nonblock_unsign & _T_4747; // @[lsu_bus_buffer.scala 548:26] + wire _T_4747 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 547:45] + wire _T_4748 = lsu_nonblock_unsign & _T_4747; // @[lsu_bus_buffer.scala 547:26] wire [31:0] _T_4750 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4751 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 549:6] - wire _T_4753 = _T_4751 & _T_4743; // @[lsu_bus_buffer.scala 549:27] + wire _T_4751 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 548:6] + wire _T_4753 = _T_4751 & _T_4743; // @[lsu_bus_buffer.scala 548:27] wire [23:0] _T_4756 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4758 = {_T_4756,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4761 = _T_4751 & _T_4747; // @[lsu_bus_buffer.scala 550:27] + wire _T_4761 = _T_4751 & _T_4747; // @[lsu_bus_buffer.scala 549:27] wire [15:0] _T_4764 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4766 = {_T_4764,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4767 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 551:21] + wire _T_4767 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 550:21] wire [31:0] _T_4768 = _T_4744 ? _T_4746 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4769 = _T_4748 ? _T_4750 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4770 = _T_4753 ? _T_4758 : 32'h0; // @[Mux.scala 27:72] @@ -70516,60 +70653,60 @@ module lsu_bus_buffer( wire [31:0] _T_4775 = _T_4774 | _T_4771; // @[Mux.scala 27:72] wire [63:0] _GEN_393 = {{32'd0}, _T_4775}; // @[Mux.scala 27:72] wire [63:0] _T_4776 = _GEN_393 | _T_4772; // @[Mux.scala 27:72] - wire _T_4871 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 569:37] - wire _T_4872 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 569:52] - wire _T_4873 = _T_4871 & _T_4872; // @[lsu_bus_buffer.scala 569:50] - wire [31:0] _T_4877 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] - wire [2:0] _T_4879 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4884 = ~obuf_data_done; // @[lsu_bus_buffer.scala 581:51] - wire _T_4885 = _T_4871 & _T_4884; // @[lsu_bus_buffer.scala 581:49] - wire [7:0] _T_4889 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4892 = obuf_valid & _T_1343; // @[lsu_bus_buffer.scala 586:37] - wire _T_4894 = _T_4892 & _T_1349; // @[lsu_bus_buffer.scala 586:51] - wire _T_4906 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 599:126] - wire _T_4908 = _T_4906 & buf_write[0]; // @[lsu_bus_buffer.scala 599:141] - wire _T_4911 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 599:126] - wire _T_4913 = _T_4911 & buf_write[1]; // @[lsu_bus_buffer.scala 599:141] - wire _T_4916 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 599:126] - wire _T_4918 = _T_4916 & buf_write[2]; // @[lsu_bus_buffer.scala 599:141] - wire _T_4921 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 599:126] - wire _T_4923 = _T_4921 & buf_write[3]; // @[lsu_bus_buffer.scala 599:141] - wire _T_4924 = _T_2799 & _T_4908; // @[Mux.scala 27:72] - wire _T_4925 = _T_2821 & _T_4913; // @[Mux.scala 27:72] - wire _T_4926 = _T_2843 & _T_4918; // @[Mux.scala 27:72] - wire _T_4927 = _T_2865 & _T_4923; // @[Mux.scala 27:72] - wire _T_4928 = _T_4924 | _T_4925; // @[Mux.scala 27:72] - wire _T_4929 = _T_4928 | _T_4926; // @[Mux.scala 27:72] - wire _T_4939 = _T_2821 & buf_error[1]; // @[lsu_bus_buffer.scala 600:93] - wire _T_4941 = _T_4939 & buf_write[1]; // @[lsu_bus_buffer.scala 600:108] - wire _T_4944 = _T_2843 & buf_error[2]; // @[lsu_bus_buffer.scala 600:93] - wire _T_4946 = _T_4944 & buf_write[2]; // @[lsu_bus_buffer.scala 600:108] - wire _T_4949 = _T_2865 & buf_error[3]; // @[lsu_bus_buffer.scala 600:93] - wire _T_4951 = _T_4949 & buf_write[3]; // @[lsu_bus_buffer.scala 600:108] - wire [1:0] _T_4954 = _T_4946 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4955 = _T_4951 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_394 = {{1'd0}, _T_4941}; // @[Mux.scala 27:72] - wire [1:0] _T_4957 = _GEN_394 | _T_4954; // @[Mux.scala 27:72] - wire [1:0] lsu_imprecise_error_store_tag = _T_4957 | _T_4955; // @[Mux.scala 27:72] - wire _T_4959 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 602:97] - wire [31:0] _GEN_351 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 603:53] - wire [31:0] _GEN_352 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_351; // @[lsu_bus_buffer.scala 603:53] - wire [31:0] _GEN_353 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_352; // @[lsu_bus_buffer.scala 603:53] - wire [31:0] _GEN_355 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 603:53] - wire [31:0] _GEN_356 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_355; // @[lsu_bus_buffer.scala 603:53] - wire [31:0] _GEN_357 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_356; // @[lsu_bus_buffer.scala 603:53] - wire _T_4964 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 609:82] - wire _T_4967 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 610:60] - wire _T_4970 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 613:61] - wire _T_4971 = io_lsu_axi_aw_valid & _T_4970; // @[lsu_bus_buffer.scala 613:59] - wire _T_4972 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 613:107] - wire _T_4973 = io_lsu_axi_w_valid & _T_4972; // @[lsu_bus_buffer.scala 613:105] - wire _T_4974 = _T_4971 | _T_4973; // @[lsu_bus_buffer.scala 613:83] - wire _T_4975 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 613:153] - wire _T_4976 = io_lsu_axi_ar_valid & _T_4975; // @[lsu_bus_buffer.scala 613:151] - wire _T_4980 = ~io_flush_r; // @[lsu_bus_buffer.scala 617:75] - wire _T_4981 = io_lsu_busreq_m & _T_4980; // @[lsu_bus_buffer.scala 617:73] - reg _T_4984; // @[lsu_bus_buffer.scala 617:56] + wire _T_4874 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 568:37] + wire _T_4875 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 568:52] + wire _T_4876 = _T_4874 & _T_4875; // @[lsu_bus_buffer.scala 568:50] + wire [31:0] _T_4880 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4882 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4887 = ~obuf_data_done; // @[lsu_bus_buffer.scala 580:51] + wire _T_4888 = _T_4874 & _T_4887; // @[lsu_bus_buffer.scala 580:49] + wire [7:0] _T_4892 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4895 = obuf_valid & _T_1343; // @[lsu_bus_buffer.scala 585:37] + wire _T_4897 = _T_4895 & _T_1349; // @[lsu_bus_buffer.scala 585:51] + wire _T_4909 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 598:126] + wire _T_4911 = _T_4909 & buf_write[0]; // @[lsu_bus_buffer.scala 598:141] + wire _T_4914 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 598:126] + wire _T_4916 = _T_4914 & buf_write[1]; // @[lsu_bus_buffer.scala 598:141] + wire _T_4919 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 598:126] + wire _T_4921 = _T_4919 & buf_write[2]; // @[lsu_bus_buffer.scala 598:141] + wire _T_4924 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 598:126] + wire _T_4926 = _T_4924 & buf_write[3]; // @[lsu_bus_buffer.scala 598:141] + wire _T_4927 = _T_2799 & _T_4911; // @[Mux.scala 27:72] + wire _T_4928 = _T_2821 & _T_4916; // @[Mux.scala 27:72] + wire _T_4929 = _T_2843 & _T_4921; // @[Mux.scala 27:72] + wire _T_4930 = _T_2865 & _T_4926; // @[Mux.scala 27:72] + wire _T_4931 = _T_4927 | _T_4928; // @[Mux.scala 27:72] + wire _T_4932 = _T_4931 | _T_4929; // @[Mux.scala 27:72] + wire _T_4942 = _T_2821 & buf_error[1]; // @[lsu_bus_buffer.scala 599:93] + wire _T_4944 = _T_4942 & buf_write[1]; // @[lsu_bus_buffer.scala 599:108] + wire _T_4947 = _T_2843 & buf_error[2]; // @[lsu_bus_buffer.scala 599:93] + wire _T_4949 = _T_4947 & buf_write[2]; // @[lsu_bus_buffer.scala 599:108] + wire _T_4952 = _T_2865 & buf_error[3]; // @[lsu_bus_buffer.scala 599:93] + wire _T_4954 = _T_4952 & buf_write[3]; // @[lsu_bus_buffer.scala 599:108] + wire [1:0] _T_4957 = _T_4949 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4958 = _T_4954 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_394 = {{1'd0}, _T_4944}; // @[Mux.scala 27:72] + wire [1:0] _T_4960 = _GEN_394 | _T_4957; // @[Mux.scala 27:72] + wire [1:0] lsu_imprecise_error_store_tag = _T_4960 | _T_4958; // @[Mux.scala 27:72] + wire _T_4962 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 601:97] + wire [31:0] _GEN_351 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 602:53] + wire [31:0] _GEN_352 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_351; // @[lsu_bus_buffer.scala 602:53] + wire [31:0] _GEN_353 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_352; // @[lsu_bus_buffer.scala 602:53] + wire [31:0] _GEN_355 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 602:53] + wire [31:0] _GEN_356 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_355; // @[lsu_bus_buffer.scala 602:53] + wire [31:0] _GEN_357 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_356; // @[lsu_bus_buffer.scala 602:53] + wire _T_4967 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 608:82] + wire _T_4970 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 609:60] + wire _T_4973 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 612:61] + wire _T_4974 = io_lsu_axi_aw_valid & _T_4973; // @[lsu_bus_buffer.scala 612:59] + wire _T_4975 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 612:107] + wire _T_4976 = io_lsu_axi_w_valid & _T_4975; // @[lsu_bus_buffer.scala 612:105] + wire _T_4977 = _T_4974 | _T_4976; // @[lsu_bus_buffer.scala 612:83] + wire _T_4978 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 612:153] + wire _T_4979 = io_lsu_axi_ar_valid & _T_4978; // @[lsu_bus_buffer.scala 612:151] + wire _T_4983 = ~io_flush_r; // @[lsu_bus_buffer.scala 616:75] + wire _T_4984 = io_lsu_busreq_m & _T_4983; // @[lsu_bus_buffer.scala 616:73] + reg _T_4987; // @[lsu_bus_buffer.scala 616:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 508:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -70642,42 +70779,42 @@ module lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4964 | _T_4863; // @[lsu_bus_buffer.scala 609:35] - assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4967 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 610:41] - assign io_tlu_busbuff_lsu_pmu_bus_error = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 611:36] - assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4974 | _T_4976; // @[lsu_bus_buffer.scala 613:35] - assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4959; // @[lsu_bus_buffer.scala 602:47] - assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4929 | _T_4927; // @[lsu_bus_buffer.scala 599:48] - assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_353 : _GEN_357; // @[lsu_bus_buffer.scala 603:47] - assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4517 & _T_4518; // @[lsu_bus_buffer.scala 530:45] - assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1863 ? 2'h0 : _T_1899; // @[lsu_bus_buffer.scala 531:43] - assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4520; // @[lsu_bus_buffer.scala 533:43] - assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[lsu_bus_buffer.scala 534:47] - assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4741; // @[lsu_bus_buffer.scala 546:48] - assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4570 | _T_4568; // @[lsu_bus_buffer.scala 536:48] - assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4610 | _T_4608; // @[lsu_bus_buffer.scala 537:46] - assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4776[31:0]; // @[lsu_bus_buffer.scala 547:42] - assign io_lsu_axi_aw_valid = _T_4873 & _T_1239; // @[lsu_bus_buffer.scala 569:23] - assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 570:25] - assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4877; // @[lsu_bus_buffer.scala 571:27] - assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 575:29] - assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4879 : 3'h3; // @[lsu_bus_buffer.scala 572:27] - assign io_lsu_axi_aw_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 574:28] - assign io_lsu_axi_w_valid = _T_4885 & _T_1239; // @[lsu_bus_buffer.scala 581:22] - assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 583:26] - assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4889; // @[lsu_bus_buffer.scala 582:26] - assign io_lsu_axi_b_ready = 1'h1; // @[lsu_bus_buffer.scala 597:22] - assign io_lsu_axi_ar_valid = _T_4894 & _T_1239; // @[lsu_bus_buffer.scala 586:23] - assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 587:25] - assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4877; // @[lsu_bus_buffer.scala 588:27] - assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 592:29] - assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4879 : 3'h3; // @[lsu_bus_buffer.scala 589:27] - assign io_lsu_axi_ar_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 591:28] - assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 598:22] - assign io_lsu_busreq_r = _T_4984; // @[lsu_bus_buffer.scala 617:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 526:30] - assign io_lsu_bus_buffer_full_any = _T_4498 ? _T_4499 : _T_4500; // @[lsu_bus_buffer.scala 527:30] - assign io_lsu_bus_buffer_empty_any = _T_4511 & _T_1231; // @[lsu_bus_buffer.scala 528:31] + assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4967 | _T_4866; // @[lsu_bus_buffer.scala 608:35] + assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4970 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 609:41] + assign io_tlu_busbuff_lsu_pmu_bus_error = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 610:36] + assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4977 | _T_4979; // @[lsu_bus_buffer.scala 612:35] + assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4962; // @[lsu_bus_buffer.scala 601:47] + assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4932 | _T_4930; // @[lsu_bus_buffer.scala 598:48] + assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_353 : _GEN_357; // @[lsu_bus_buffer.scala 602:47] + assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4517 & _T_4518; // @[lsu_bus_buffer.scala 529:45] + assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1863 ? 2'h0 : _T_1899; // @[lsu_bus_buffer.scala 530:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4520; // @[lsu_bus_buffer.scala 532:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[lsu_bus_buffer.scala 533:47] + assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4741; // @[lsu_bus_buffer.scala 545:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4570 | _T_4568; // @[lsu_bus_buffer.scala 535:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4610 | _T_4608; // @[lsu_bus_buffer.scala 536:46] + assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4776[31:0]; // @[lsu_bus_buffer.scala 546:42] + assign io_lsu_axi_aw_valid = _T_4876 & _T_1239; // @[lsu_bus_buffer.scala 568:23] + assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 569:25] + assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4880; // @[lsu_bus_buffer.scala 570:27] + assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 574:29] + assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4882 : 3'h3; // @[lsu_bus_buffer.scala 571:27] + assign io_lsu_axi_aw_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 573:28] + assign io_lsu_axi_w_valid = _T_4888 & _T_1239; // @[lsu_bus_buffer.scala 580:22] + assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 582:26] + assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4892; // @[lsu_bus_buffer.scala 581:26] + assign io_lsu_axi_b_ready = 1'h1; // @[lsu_bus_buffer.scala 596:22] + assign io_lsu_axi_ar_valid = _T_4897 & _T_1239; // @[lsu_bus_buffer.scala 585:23] + assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 586:25] + assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4880; // @[lsu_bus_buffer.scala 587:27] + assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 591:29] + assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4882 : 3'h3; // @[lsu_bus_buffer.scala 588:27] + assign io_lsu_axi_ar_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 590:28] + assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 597:22] + assign io_lsu_busreq_r = _T_4987; // @[lsu_bus_buffer.scala 616:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 525:30] + assign io_lsu_bus_buffer_full_any = _T_4498 ? _T_4499 : _T_4500; // @[lsu_bus_buffer.scala 526:30] + assign io_lsu_bus_buffer_empty_any = _T_4511 & _T_1231; // @[lsu_bus_buffer.scala 527:31] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[lsu_bus_buffer.scala 138:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[lsu_bus_buffer.scala 139:25] assign io_ld_fwddata_buf_lo = _T_650 | _T_651; // @[lsu_bus_buffer.scala 165:24] @@ -70862,41 +70999,41 @@ initial begin _RAND_53 = {1{`RANDOM}}; _T_4321 = _RAND_53[0:0]; _RAND_54 = {1{`RANDOM}}; - buf_dual_3 = _RAND_54[0:0]; + obuf_sideeffect = _RAND_54[0:0]; _RAND_55 = {1{`RANDOM}}; - buf_dual_2 = _RAND_55[0:0]; + buf_dual_3 = _RAND_55[0:0]; _RAND_56 = {1{`RANDOM}}; - buf_dual_1 = _RAND_56[0:0]; + buf_dual_2 = _RAND_56[0:0]; _RAND_57 = {1{`RANDOM}}; - buf_dual_0 = _RAND_57[0:0]; + buf_dual_1 = _RAND_57[0:0]; _RAND_58 = {1{`RANDOM}}; - buf_samedw_3 = _RAND_58[0:0]; + buf_dual_0 = _RAND_58[0:0]; _RAND_59 = {1{`RANDOM}}; - buf_samedw_2 = _RAND_59[0:0]; + buf_samedw_3 = _RAND_59[0:0]; _RAND_60 = {1{`RANDOM}}; - buf_samedw_1 = _RAND_60[0:0]; + buf_samedw_2 = _RAND_60[0:0]; _RAND_61 = {1{`RANDOM}}; - buf_samedw_0 = _RAND_61[0:0]; + buf_samedw_1 = _RAND_61[0:0]; _RAND_62 = {1{`RANDOM}}; - obuf_write = _RAND_62[0:0]; + buf_samedw_0 = _RAND_62[0:0]; _RAND_63 = {1{`RANDOM}}; - obuf_cmd_done = _RAND_63[0:0]; + obuf_write = _RAND_63[0:0]; _RAND_64 = {1{`RANDOM}}; - obuf_data_done = _RAND_64[0:0]; + obuf_cmd_done = _RAND_64[0:0]; _RAND_65 = {1{`RANDOM}}; - obuf_nosend = _RAND_65[0:0]; + obuf_data_done = _RAND_65[0:0]; _RAND_66 = {1{`RANDOM}}; - obuf_addr = _RAND_66[31:0]; + obuf_nosend = _RAND_66[0:0]; _RAND_67 = {1{`RANDOM}}; - buf_sz_0 = _RAND_67[1:0]; + obuf_addr = _RAND_67[31:0]; _RAND_68 = {1{`RANDOM}}; - buf_sz_1 = _RAND_68[1:0]; + buf_sz_0 = _RAND_68[1:0]; _RAND_69 = {1{`RANDOM}}; - buf_sz_2 = _RAND_69[1:0]; + buf_sz_1 = _RAND_69[1:0]; _RAND_70 = {1{`RANDOM}}; - buf_sz_3 = _RAND_70[1:0]; + buf_sz_2 = _RAND_70[1:0]; _RAND_71 = {1{`RANDOM}}; - obuf_sideeffect = _RAND_71[0:0]; + buf_sz_3 = _RAND_71[1:0]; _RAND_72 = {1{`RANDOM}}; obuf_rdrsp_pend = _RAND_72[0:0]; _RAND_73 = {1{`RANDOM}}; @@ -70966,7 +71103,7 @@ initial begin _RAND_105 = {1{`RANDOM}}; lsu_nonblock_load_valid_r = _RAND_105[0:0]; _RAND_106 = {1{`RANDOM}}; - _T_4984 = _RAND_106[0:0]; + _T_4987 = _RAND_106[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin buf_addr_0 = 32'h0; @@ -71130,6 +71267,9 @@ initial begin if (reset) begin _T_4321 = 1'h0; end + if (reset) begin + obuf_sideeffect = 1'h0; + end if (reset) begin buf_dual_3 = 1'h0; end @@ -71181,9 +71321,6 @@ initial begin if (reset) begin buf_sz_3 = 2'h0; end - if (reset) begin - obuf_sideeffect = 1'h0; - end if (reset) begin obuf_rdrsp_pend = 1'h0; end @@ -71287,7 +71424,7 @@ initial begin lsu_nonblock_load_valid_r = 1'h0; end if (reset) begin - _T_4984 = 1'h0; + _T_4987 = 1'h0; end `endif // RANDOMIZE end // initial @@ -72039,6 +72176,17 @@ end // initial _T_4321 <= buf_sideeffect_in[0]; end end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_sideeffect <= 1'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_sideeffect <= io_is_sideeffects_r; + end else begin + obuf_sideeffect <= _T_1051; + end + end + end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_dual_3 <= 1'h0; @@ -72110,14 +72258,14 @@ end // initial if (reset) begin obuf_cmd_done <= 1'h0; end else begin - obuf_cmd_done <= _T_1305 & _T_4860; + obuf_cmd_done <= _T_1305 & _T_4863; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_data_done <= 1'h0; end else begin - obuf_data_done <= _T_1305 & _T_4861; + obuf_data_done <= _T_1305 & _T_4864; end end always @(posedge io_lsu_free_c2_clk or posedge reset) begin @@ -72180,17 +72328,6 @@ end // initial end end end - always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin - if (reset) begin - obuf_sideeffect <= 1'h0; - end else if (obuf_wr_en) begin - if (ibuf_buf_byp) begin - obuf_sideeffect <= io_is_sideeffects_r; - end else begin - obuf_sideeffect <= _T_1051; - end - end - end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_rdrsp_pend <= 1'h0; @@ -72515,9 +72652,9 @@ end // initial end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin - _T_4984 <= 1'h0; + _T_4987 <= 1'h0; end else begin - _T_4984 <= _T_4981 & _T_4518; + _T_4987 <= _T_4984 & _T_4518; end end endmodule @@ -72568,6 +72705,7 @@ module lsu_bus_intf( input io_axi_r_valid, input [2:0] io_axi_r_bits_id, input [63:0] io_axi_r_bits_data, + input [1:0] io_axi_r_bits_resp, input io_dec_lsu_valid_raw_d, input io_lsu_busreq_m, input io_lsu_pkt_m_valid, @@ -72696,6 +72834,7 @@ module lsu_bus_intf( wire bus_buffer_io_lsu_axi_r_valid; // @[lsu_bus_intf.scala 102:39] wire [2:0] bus_buffer_io_lsu_axi_r_bits_id; // @[lsu_bus_intf.scala 102:39] wire [63:0] bus_buffer_io_lsu_axi_r_bits_data; // @[lsu_bus_intf.scala 102:39] + wire [1:0] bus_buffer_io_lsu_axi_r_bits_resp; // @[lsu_bus_intf.scala 102:39] wire bus_buffer_io_lsu_bus_clk_en; // @[lsu_bus_intf.scala 102:39] wire bus_buffer_io_lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 102:39] wire bus_buffer_io_lsu_busreq_r; // @[lsu_bus_intf.scala 102:39] @@ -72968,6 +73107,7 @@ module lsu_bus_intf( .io_lsu_axi_r_valid(bus_buffer_io_lsu_axi_r_valid), .io_lsu_axi_r_bits_id(bus_buffer_io_lsu_axi_r_bits_id), .io_lsu_axi_r_bits_data(bus_buffer_io_lsu_axi_r_bits_data), + .io_lsu_axi_r_bits_resp(bus_buffer_io_lsu_axi_r_bits_resp), .io_lsu_bus_clk_en(bus_buffer_io_lsu_bus_clk_en), .io_lsu_bus_clk_en_q(bus_buffer_io_lsu_bus_clk_en_q), .io_lsu_busreq_r(bus_buffer_io_lsu_busreq_r), @@ -73062,6 +73202,7 @@ module lsu_bus_intf( assign bus_buffer_io_lsu_axi_r_valid = io_axi_r_valid; // @[lsu_bus_intf.scala 131:43] assign bus_buffer_io_lsu_axi_r_bits_id = io_axi_r_bits_id; // @[lsu_bus_intf.scala 131:43] assign bus_buffer_io_lsu_axi_r_bits_data = io_axi_r_bits_data; // @[lsu_bus_intf.scala 131:43] + assign bus_buffer_io_lsu_axi_r_bits_resp = io_axi_r_bits_resp; // @[lsu_bus_intf.scala 131:43] assign bus_buffer_io_lsu_bus_clk_en = io_lsu_bus_clk_en; // @[lsu_bus_intf.scala 132:51] assign bus_buffer_io_lsu_bus_clk_en_q = lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 152:51] `ifdef RANDOMIZE_GARBAGE_ASSIGN @@ -73247,6 +73388,7 @@ module lsu( input io_axi_r_valid, input [2:0] io_axi_r_bits_id, input [63:0] io_axi_r_bits_data, + input [1:0] io_axi_r_bits_resp, input io_dec_tlu_flush_lower_r, input io_dec_tlu_i0_kill_writeb_r, input io_dec_tlu_force_halt, @@ -73705,6 +73847,7 @@ module lsu( wire bus_intf_io_axi_r_valid; // @[lsu.scala 68:30] wire [2:0] bus_intf_io_axi_r_bits_id; // @[lsu.scala 68:30] wire [63:0] bus_intf_io_axi_r_bits_data; // @[lsu.scala 68:30] + wire [1:0] bus_intf_io_axi_r_bits_resp; // @[lsu.scala 68:30] wire bus_intf_io_dec_lsu_valid_raw_d; // @[lsu.scala 68:30] wire bus_intf_io_lsu_busreq_m; // @[lsu.scala 68:30] wire bus_intf_io_lsu_pkt_m_valid; // @[lsu.scala 68:30] @@ -74189,6 +74332,7 @@ module lsu( .io_axi_r_valid(bus_intf_io_axi_r_valid), .io_axi_r_bits_id(bus_intf_io_axi_r_bits_id), .io_axi_r_bits_data(bus_intf_io_axi_r_bits_data), + .io_axi_r_bits_resp(bus_intf_io_axi_r_bits_resp), .io_dec_lsu_valid_raw_d(bus_intf_io_dec_lsu_valid_raw_d), .io_lsu_busreq_m(bus_intf_io_lsu_busreq_m), .io_lsu_pkt_m_valid(bus_intf_io_lsu_pkt_m_valid), @@ -74410,7 +74554,7 @@ module lsu( assign stbuf_clock = clock; assign stbuf_reset = reset; assign stbuf_io_lsu_c1_m_clk = clkdomain_io_lsu_c1_m_clk; // @[lsu.scala 199:49] - assign stbuf_io_lsu_c1_r_clk = clkdomain_io_lsu_c1_m_clk; // @[lsu.scala 200:48] + assign stbuf_io_lsu_c1_r_clk = clkdomain_io_lsu_c1_r_clk; // @[lsu.scala 200:48] assign stbuf_io_lsu_stbuf_c1_clk = clkdomain_io_lsu_stbuf_c1_clk; // @[lsu.scala 201:54] assign stbuf_io_lsu_free_c2_clk = clkdomain_io_lsu_free_c2_clk; // @[lsu.scala 202:54] assign stbuf_io_lsu_pkt_m_valid = lsu_lsc_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 203:48] @@ -74535,6 +74679,7 @@ module lsu( assign bus_intf_io_axi_r_valid = io_axi_r_valid; // @[lsu.scala 314:49] assign bus_intf_io_axi_r_bits_id = io_axi_r_bits_id; // @[lsu.scala 314:49] assign bus_intf_io_axi_r_bits_data = io_axi_r_bits_data; // @[lsu.scala 314:49] + assign bus_intf_io_axi_r_bits_resp = io_axi_r_bits_resp; // @[lsu.scala 314:49] assign bus_intf_io_dec_lsu_valid_raw_d = io_dec_lsu_valid_raw_d; // @[lsu.scala 296:49] assign bus_intf_io_lsu_busreq_m = _T_39 & _T_40; // @[lsu.scala 297:49] assign bus_intf_io_lsu_pkt_m_valid = lsu_lsc_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 305:49] @@ -80334,6 +80479,7 @@ module quasar( input io_lsu_axi_r_valid, input [2:0] io_lsu_axi_r_bits_id, input [63:0] io_lsu_axi_r_bits_data, + input [1:0] io_lsu_axi_r_bits_resp, input io_ifu_axi_ar_ready, output io_ifu_axi_ar_valid, output [2:0] io_ifu_axi_ar_bits_id, @@ -81106,6 +81252,7 @@ module quasar( wire lsu_io_axi_r_valid; // @[quasar.scala 162:19] wire [2:0] lsu_io_axi_r_bits_id; // @[quasar.scala 162:19] wire [63:0] lsu_io_axi_r_bits_data; // @[quasar.scala 162:19] + wire [1:0] lsu_io_axi_r_bits_resp; // @[quasar.scala 162:19] wire lsu_io_dec_tlu_flush_lower_r; // @[quasar.scala 162:19] wire lsu_io_dec_tlu_i0_kill_writeb_r; // @[quasar.scala 162:19] wire lsu_io_dec_tlu_force_halt; // @[quasar.scala 162:19] @@ -81914,6 +82061,7 @@ module quasar( .io_axi_r_valid(lsu_io_axi_r_valid), .io_axi_r_bits_id(lsu_io_axi_r_bits_id), .io_axi_r_bits_data(lsu_io_axi_r_bits_data), + .io_axi_r_bits_resp(lsu_io_axi_r_bits_resp), .io_dec_tlu_flush_lower_r(lsu_io_dec_tlu_flush_lower_r), .io_dec_tlu_i0_kill_writeb_r(lsu_io_dec_tlu_i0_kill_writeb_r), .io_dec_tlu_force_halt(lsu_io_dec_tlu_force_halt), @@ -82477,6 +82625,7 @@ module quasar( assign lsu_io_axi_r_valid = io_lsu_axi_r_valid; // @[quasar.scala 277:22 quasar.scala 380:14] assign lsu_io_axi_r_bits_id = io_lsu_axi_r_bits_id; // @[quasar.scala 278:24 quasar.scala 380:14] assign lsu_io_axi_r_bits_data = io_lsu_axi_r_bits_data; // @[quasar.scala 279:26 quasar.scala 380:14] + assign lsu_io_axi_r_bits_resp = io_lsu_axi_r_bits_resp; // @[quasar.scala 280:26 quasar.scala 380:14] assign lsu_io_dec_tlu_flush_lower_r = dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 261:32] assign lsu_io_dec_tlu_i0_kill_writeb_r = dec_io_dec_tlu_i0_kill_writeb_r; // @[quasar.scala 262:35] assign lsu_io_dec_tlu_force_halt = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt; // @[quasar.scala 263:29] @@ -82879,6 +83028,7 @@ module quasar_wrapper( wire swerv_io_lsu_axi_r_valid; // @[quasar_wrapper.scala 88:21] wire [2:0] swerv_io_lsu_axi_r_bits_id; // @[quasar_wrapper.scala 88:21] wire [63:0] swerv_io_lsu_axi_r_bits_data; // @[quasar_wrapper.scala 88:21] + wire [1:0] swerv_io_lsu_axi_r_bits_resp; // @[quasar_wrapper.scala 88:21] wire swerv_io_ifu_axi_ar_ready; // @[quasar_wrapper.scala 88:21] wire swerv_io_ifu_axi_ar_valid; // @[quasar_wrapper.scala 88:21] wire [2:0] swerv_io_ifu_axi_ar_bits_id; // @[quasar_wrapper.scala 88:21] @@ -83105,6 +83255,7 @@ module quasar_wrapper( .io_lsu_axi_r_valid(swerv_io_lsu_axi_r_valid), .io_lsu_axi_r_bits_id(swerv_io_lsu_axi_r_bits_id), .io_lsu_axi_r_bits_data(swerv_io_lsu_axi_r_bits_data), + .io_lsu_axi_r_bits_resp(swerv_io_lsu_axi_r_bits_resp), .io_ifu_axi_ar_ready(swerv_io_ifu_axi_ar_ready), .io_ifu_axi_ar_valid(swerv_io_ifu_axi_ar_valid), .io_ifu_axi_ar_bits_id(swerv_io_ifu_axi_ar_bits_id), @@ -83411,6 +83562,7 @@ module quasar_wrapper( assign swerv_io_lsu_axi_r_valid = io_lsu_axi_r_valid; // @[quasar_wrapper.scala 179:20] assign swerv_io_lsu_axi_r_bits_id = io_lsu_axi_r_bits_id; // @[quasar_wrapper.scala 179:20] assign swerv_io_lsu_axi_r_bits_data = io_lsu_axi_r_bits_data; // @[quasar_wrapper.scala 179:20] + assign swerv_io_lsu_axi_r_bits_resp = io_lsu_axi_r_bits_resp; // @[quasar_wrapper.scala 179:20] assign swerv_io_ifu_axi_ar_ready = io_ifu_axi_ar_ready; // @[quasar_wrapper.scala 182:20] assign swerv_io_ifu_axi_r_valid = io_ifu_axi_r_valid; // @[quasar_wrapper.scala 182:20] assign swerv_io_ifu_axi_r_bits_id = io_ifu_axi_r_bits_id; // @[quasar_wrapper.scala 182:20] diff --git a/src/main/scala/dbg/dbg.scala b/src/main/scala/dbg/dbg.scala index 2eded292..f57c8aff 100644 --- a/src/main/scala/dbg/dbg.scala +++ b/src/main/scala/dbg/dbg.scala @@ -96,41 +96,46 @@ class dbg extends Module with lib with RequireAsyncReset { val sb_free_clken = io.dmi_reg_en | sb_state_en | (sb_state =/= sb_state_t.sbidle) | io.clk_override; val dbg_free_clk = rvclkhdr(clock, dbg_free_clken, io.scan_mode) // dbg_free_cgc val sb_free_clk = rvclkhdr(clock, sb_free_clken, io.scan_mode) // sb_free_cgc - val dbg_dm_rst_l = io.dbg_rst_l.asBool() & (dmcontrol_reg(0) | io.scan_mode) + + val dbg_dm_rst_l = (io.dbg_rst_l.asBool() & (dmcontrol_reg(0) | io.scan_mode)).asAsyncReset() + dontTouch(dbg_dm_rst_l) + val rst_temp = (dbg_dm_rst_l.asBool() & reset.asBool()).asAsyncReset() + dontTouch(rst_temp) + io.dbg_core_rst_l := (!dmcontrol_reg(1)).asBool() val sbcs_wren = (io.dmi_reg_addr === "h38".U) & io.dmi_reg_en & io.dmi_reg_wr_en & (sb_state === sb_state_t.sbidle) val sbcs_sbbusyerror_wren = (sbcs_wren & io.dmi_reg_wdata(22)) | ((sb_state =/= sb_state_t.sbidle) & io.dmi_reg_en & ((io.dmi_reg_addr === "h39".U) | (io.dmi_reg_addr === "h3c".U) | (io.dmi_reg_addr === "h3d".U))) val sbcs_sbbusyerror_din = (~(sbcs_wren & io.dmi_reg_wdata(22))).asUInt() - val temp_sbcs_22 = withClockAndReset(sb_free_clk, !dbg_dm_rst_l) { + val temp_sbcs_22 = withClockAndReset(sb_free_clk, dbg_dm_rst_l) { RegEnable(sbcs_sbbusyerror_din, 0.U, sbcs_sbbusyerror_wren) } // sbcs_sbbusyerror_reg - val temp_sbcs_21 = withClockAndReset(sb_free_clk, !dbg_dm_rst_l) { + val temp_sbcs_21 = withClockAndReset(sb_free_clk, dbg_dm_rst_l) { RegEnable(sbcs_sbbusy_din, 0.U, sbcs_sbbusy_wren) } // sbcs_sbbusy_reg - val temp_sbcs_20 = withClockAndReset(sb_free_clk, !dbg_dm_rst_l) { + val temp_sbcs_20 = withClockAndReset(sb_free_clk, dbg_dm_rst_l) { RegEnable(io.dmi_reg_wdata(20), 0.U, sbcs_wren) } // sbcs_sbreadonaddr_reg - val temp_sbcs_19_15 = withClockAndReset(sb_free_clk, !dbg_dm_rst_l) { + val temp_sbcs_19_15 = withClockAndReset(sb_free_clk, dbg_dm_rst_l) { RegEnable(io.dmi_reg_wdata(19, 15), 0.U, sbcs_wren) } // sbcs_misc_reg - val temp_sbcs_14_12 = withClockAndReset(sb_free_clk, !dbg_dm_rst_l) { + val temp_sbcs_14_12 = withClockAndReset(sb_free_clk, dbg_dm_rst_l) { RegEnable(sbcs_sberror_din(2, 0), 0.U, sbcs_sberror_wren) } // sbcs_error_reg sbcs_reg := Cat(1.U(3.W), 0.U(6.W), temp_sbcs_22, temp_sbcs_21, temp_sbcs_20, temp_sbcs_19_15, temp_sbcs_14_12, "h20".U(7.W), "b01111".U(5.W)) - val sbcs_unaligned = (sbcs_reg(19, 17) === "b001".U) & sbaddress0_reg(0) | - (sbcs_reg(19, 17) === "b010".U) & sbaddress0_reg(1, 0).orR | - (sbcs_reg(19, 17) === "b011".U) & sbaddress0_reg(2, 0).orR + val sbcs_unaligned = (sbcs_reg(19, 17) === "b001".U(3.W)) & sbaddress0_reg(0) | + (sbcs_reg(19, 17) === "b010".U(3.W)) & sbaddress0_reg(1, 0).orR | + (sbcs_reg(19, 17) === "b011".U(3.W)) & sbaddress0_reg(2, 0).orR val sbcs_illegal_size = sbcs_reg(19) - val sbaddress0_incr = Fill(4, (sbcs_reg(19, 17) === "h0".U)) & "b0001".U | Fill(4, (sbcs_reg(19, 17) === "h1".U)) & "b0010".U | - Fill(4, (sbcs_reg(19, 17) === "h2".U)) & "b0100".U | Fill(4, (sbcs_reg(19, 17) === "h3".U)) & "b1000".U + val sbaddress0_incr = Fill(4, (sbcs_reg(19, 17) === "h0".U)) & "b0001".U(4.W) | Fill(4, (sbcs_reg(19, 17) === "h1".U)) & "b0010".U(4.W) | + Fill(4, (sbcs_reg(19, 17) === "h2".U)) & "b0100".U(4.W) | Fill(4, (sbcs_reg(19, 17) === "h3".U)) & "b1000".U(4.W) val sbdata0_reg_wren0 = io.dmi_reg_en & io.dmi_reg_wr_en & (io.dmi_reg_addr === "h3c".U) val sbdata0_reg_wren1 = (sb_state === sb_state_t.rsp_rd) & sb_state_en & !sbcs_sberror_wren @@ -144,11 +149,11 @@ class dbg extends Module with lib with RequireAsyncReset { val sbdata1_din = Fill(32, sbdata1_reg_wren0) & io.dmi_reg_wdata | Fill(32, sbdata1_reg_wren1) & sb_bus_rdata(63, 32) - val sbdata0_reg = withReset(!dbg_dm_rst_l) { + val sbdata0_reg = withReset(dbg_dm_rst_l) { rvdffe(sbdata0_din, sbdata0_reg_wren, clock, io.scan_mode) } // dbg_sbdata0_reg - val sbdata1_reg = withReset(!dbg_dm_rst_l) { + val sbdata1_reg = withReset(dbg_dm_rst_l) { rvdffe(sbdata1_din, sbdata1_reg_wren, clock, io.scan_mode) } // dbg_sbdata1_reg @@ -156,7 +161,7 @@ class dbg extends Module with lib with RequireAsyncReset { val sbaddress0_reg_wren = sbaddress0_reg_wren0 | sbaddress0_reg_wren1 val sbaddress0_reg_din = Fill(32, sbaddress0_reg_wren0) & io.dmi_reg_wdata | Fill(32, sbaddress0_reg_wren1) & (sbaddress0_reg + Cat(0.U(28.W), sbaddress0_incr)) - sbaddress0_reg := withReset(!dbg_dm_rst_l) { + sbaddress0_reg := withReset(dbg_dm_rst_l) { rvdffe(sbaddress0_reg_din, sbaddress0_reg_wren, clock, io.scan_mode) } // dbg_sbaddress0_reg @@ -164,20 +169,20 @@ class dbg extends Module with lib with RequireAsyncReset { val sbreadondata_access = io.dmi_reg_en & !io.dmi_reg_wr_en & (io.dmi_reg_addr === "h3c".U) & sbcs_reg(15) val sbdata0wr_access = io.dmi_reg_en & io.dmi_reg_wr_en & (io.dmi_reg_addr === "h3c".U) val dmcontrol_wren = (io.dmi_reg_addr === "h10".U) & io.dmi_reg_en & io.dmi_reg_wr_en - val dm_temp = withClockAndReset(dbg_free_clk, !dbg_dm_rst_l) { + val dm_temp = withClockAndReset(dbg_free_clk, dbg_dm_rst_l) { RegEnable( Cat(io.dmi_reg_wdata(31, 30), io.dmi_reg_wdata(28), io.dmi_reg_wdata(1)), 0.U, dmcontrol_wren) } // dmcontrolff - val dm_temp_0 = withClockAndReset(dbg_free_clk, io.dbg_rst_l) { + val dm_temp_0 = withClockAndReset(dbg_free_clk, io.dbg_rst_l.asAsyncReset()) { RegEnable(io.dmi_reg_wdata(0), 0.U, dmcontrol_wren) } // dmcontrol_dmactive_ff val temp = Cat(dm_temp(3, 2), 0.U, dm_temp(1), 0.U(26.W), dm_temp(0), dm_temp_0) dmcontrol_reg := temp - val dmcontrol_wren_Q = withClockAndReset(dbg_free_clk, !dbg_dm_rst_l) { + val dmcontrol_wren_Q = withClockAndReset(dbg_free_clk, dbg_dm_rst_l) { RegNext(dmcontrol_wren, 0.U) } // dmcontrol_wrenff @@ -190,16 +195,16 @@ class dbg extends Module with lib with RequireAsyncReset { val temp_rst = reset.asBool() dmstatus_unavail := (dmcontrol_reg(1) | !(temp_rst)).asBool() dmstatus_running := ~(dmstatus_unavail | dmstatus_halted) - dmstatus_resumeack := withClockAndReset(dbg_free_clk, !dbg_dm_rst_l) { + dmstatus_resumeack := withClockAndReset(dbg_free_clk, dbg_dm_rst_l) { RegEnable(dmstatus_resumeack_din, 0.U, dmstatus_resumeack_wren) } // dmstatus_resumeack_reg - dmstatus_halted := withClockAndReset(dbg_free_clk, !dbg_dm_rst_l) { + dmstatus_halted := withClockAndReset(dbg_free_clk, dbg_dm_rst_l) { RegNext(io.dec_tlu_dbg_halted & !io.dec_tlu_mpc_halted_only, 0.U) } // dmstatus_halted_reg - dmstatus_havereset := withClockAndReset(dbg_free_clk, !dbg_dm_rst_l) { - RegEnable(~dmstatus_havereset_rst, 0.U, dmstatus_havereset_wren) + dmstatus_havereset := withClockAndReset(dbg_free_clk, dbg_dm_rst_l) { + RegNext(Mux(dmstatus_havereset_wren, true.B, dmstatus_havereset) & !dmstatus_havereset_rst, false.B) } // dmstatus_havereset_reg val haltsum0_reg = Cat(0.U(31.W), dmstatus_halted) @@ -210,23 +215,23 @@ class dbg extends Module with lib with RequireAsyncReset { val abstractcs_error_sel2 = io.core_dbg_cmd_done & io.core_dbg_cmd_fail val abstractcs_error_sel3 = io.dmi_reg_en & io.dmi_reg_wr_en & (io.dmi_reg_addr === "h17".U) & !dmstatus_reg(9); val abstractcs_error_sel4 = (io.dmi_reg_addr === "h17".U) & io.dmi_reg_en & io.dmi_reg_wr_en & - ((io.dmi_reg_wdata(22, 20) =/= "b010".U) | ((io.dmi_reg_wdata(31, 24) === "h2".U) && data1_reg(1, 0).orR)) + ((io.dmi_reg_wdata(22, 20) =/= "b010".U(3.W)) | ((io.dmi_reg_wdata(31, 24) === "h2".U) && data1_reg(1, 0).orR)) val abstractcs_error_sel5 = (io.dmi_reg_addr === "h16".U) & io.dmi_reg_en & io.dmi_reg_wr_en val abstractcs_error_selor = abstractcs_error_sel0 | abstractcs_error_sel1 | abstractcs_error_sel2 | abstractcs_error_sel3 | abstractcs_error_sel4 | abstractcs_error_sel5 - val abstractcs_error_din = (Fill(3, abstractcs_error_sel0) & "b001".U) | - (Fill(3, abstractcs_error_sel1) & "b010".U) | - (Fill(3, abstractcs_error_sel2) & "b011".U) | - (Fill(3, abstractcs_error_sel3) & "b100".U) | - (Fill(3, abstractcs_error_sel4) & "b111".U) | + val abstractcs_error_din = (Fill(3, abstractcs_error_sel0) & "b001".U(3.W)) | + (Fill(3, abstractcs_error_sel1) & "b010".U(3.W)) | + (Fill(3, abstractcs_error_sel2) & "b011".U(3.W)) | + (Fill(3, abstractcs_error_sel3) & "b100".U(3.W)) | + (Fill(3, abstractcs_error_sel4) & "b111".U(3.W)) | (Fill(3, abstractcs_error_sel5) & (~io.dmi_reg_wdata(10, 8)).asUInt() & abstractcs_reg(10, 8)) | (Fill(3, (~abstractcs_error_selor).asUInt()) & abstractcs_reg(10, 8)) - val abs_temp_12 = withClockAndReset(dbg_free_clk, !dbg_dm_rst_l) { + val abs_temp_12 = withClockAndReset(dbg_free_clk, dbg_dm_rst_l) { RegEnable(abstractcs_busy_din, 0.U, abstractcs_busy_wren) } // dmabstractcs_busy_reg - val abs_temp_10_8 = withClockAndReset(dbg_free_clk, !dbg_dm_rst_l) { + val abs_temp_10_8 = withClockAndReset(dbg_free_clk, dbg_dm_rst_l) { RegNext(abstractcs_error_din(2, 0), 0.U) } // dmabstractcs_error_reg @@ -234,8 +239,8 @@ class dbg extends Module with lib with RequireAsyncReset { val command_wren = (io.dmi_reg_addr === "h17".U) & io.dmi_reg_en & io.dmi_reg_wr_en & (dbg_state === state_t.halted) val command_din = Cat(io.dmi_reg_wdata(31, 24), 0.U(1.W), io.dmi_reg_wdata(22, 20), 0.U(3.W), io.dmi_reg_wdata(16, 0)) - val command_reg = withReset(!dbg_dm_rst_l) { - RegEnable(command_din, 0.U, command_wren) + val command_reg = withReset(dbg_dm_rst_l) { + rvdffe(command_din, command_wren,clock,io.scan_mode) } // dmcommand_reg val data0_reg_wren0 = io.dmi_reg_en & io.dmi_reg_wr_en & (io.dmi_reg_addr === "h4".U) & (dbg_state === state_t.halted) @@ -243,13 +248,13 @@ class dbg extends Module with lib with RequireAsyncReset { val data0_reg_wren = data0_reg_wren0 | data0_reg_wren1 val data0_din = Fill(32, data0_reg_wren0) & io.dmi_reg_wdata | Fill(32, data0_reg_wren1) & io.core_dbg_rddata - val data0_reg = withReset(!dbg_dm_rst_l) { - RegEnable(data0_din, 0.U, data0_reg_wren) + val data0_reg = withReset(dbg_dm_rst_l) { + rvdffe(data0_din,data0_reg_wren,clock,io.scan_mode) } // dbg_data0_reg val data1_reg_wren = (io.dmi_reg_en & io.dmi_reg_wr_en & (io.dmi_reg_addr === "h5".U) & (dbg_state === state_t.halted)) val data1_din = Fill(32, data1_reg_wren) & io.dmi_reg_wdata - data1_reg := withReset(!dbg_dm_rst_l) { + data1_reg := withReset(dbg_dm_rst_l) { rvdffe(data1_din, data1_reg_wren, clock, io.scan_mode) } // dbg_data1_reg @@ -273,7 +278,7 @@ class dbg extends Module with lib with RequireAsyncReset { } is(state_t.halted) { dbg_nxtstate := Mux(dmstatus_reg(9) & !dmcontrol_reg(1), - Mux(dmcontrol_reg(30) & !dmcontrol_reg(3), state_t.resuming, state_t.cmd_start), + Mux(dmcontrol_reg(30) & !dmcontrol_reg(31), state_t.resuming, state_t.cmd_start), Mux(dmcontrol_reg(31), state_t.halting, state_t.idle)) dbg_state_en := dmstatus_reg(9) & dmcontrol_reg(30) & !dmcontrol_reg(31) & dmcontrol_wren_Q | command_wren | dmcontrol_reg(1) | !(dmstatus_reg(9) | io.dec_tlu_mpc_halted_only) @@ -312,20 +317,20 @@ class dbg extends Module with lib with RequireAsyncReset { Fill(32, io.dmi_reg_addr === "h39".U) & sbaddress0_reg | Fill(32, io.dmi_reg_addr === "h3c".U) & sbdata0_reg | Fill(32, io.dmi_reg_addr === "h3d".U) & sbdata1_reg - dbg_state := withClockAndReset(dbg_free_clk, !dbg_dm_rst_l & temp_rst) { + dbg_state := withClockAndReset(dbg_free_clk, rst_temp) { RegEnable(dbg_nxtstate, 0.U, dbg_state_en) } // dbg_state_reg - io.dmi_reg_rdata := withClockAndReset(dbg_free_clk, !dbg_dm_rst_l) { + io.dmi_reg_rdata := withClockAndReset(dbg_free_clk, dbg_dm_rst_l) { RegEnable(dmi_reg_rdata_din, 0.U, io.dmi_reg_en) } // dmi_rddata_reg - io.dbg_dec.dbg_ib.dbg_cmd_addr := Mux((command_reg(31, 24) === "h2".U), Cat(data1_reg(31, 2), "b00".U), Cat(0.U(20.W), command_reg(11, 0))) + io.dbg_dec.dbg_ib.dbg_cmd_addr := Mux((command_reg(31, 24) === "h2".U), Cat(data1_reg(31, 2), "b00".U(2.W)), Cat(0.U(20.W), command_reg(11, 0))) io.dbg_dec.dbg_dctl.dbg_cmd_wrdata := data0_reg(31, 0) io.dbg_dec.dbg_ib.dbg_cmd_valid := ((dbg_state === state_t.cmd_start) & !(abstractcs_reg(10, 8).orR) & io.dbg_dma_io.dma_dbg_ready).asBool() io.dbg_dec.dbg_ib.dbg_cmd_write := command_reg(16).asBool() - io.dbg_dec.dbg_ib.dbg_cmd_type := Mux((command_reg(31, 24) === "h2".U), "b10".U, Cat("b0".U, (command_reg(15, 12) === "b0".U))) + io.dbg_dec.dbg_ib.dbg_cmd_type := Mux((command_reg(31, 24) === "h2".U), "b10".U(2.W), Cat("b0".U, (command_reg(15, 12) === "b0".U))) io.dbg_cmd_size := command_reg(21, 20) io.dbg_dma_io.dbg_dma_bubble := ((dbg_state === state_t.cmd_start) & !(abstractcs_reg(10, 8).orR) | (dbg_state === state_t.cmd_wait)).asBool() @@ -344,19 +349,19 @@ class dbg extends Module with lib with RequireAsyncReset { sbcs_sbbusy_wren := sb_state_en sbcs_sbbusy_din := true.B sbcs_sberror_wren := sbcs_wren & io.dmi_reg_wdata(14, 12).orR - sbcs_sberror_din := !io.dmi_reg_wdata(14, 12) & sbcs_reg(14, 12) + sbcs_sberror_din := ~io.dmi_reg_wdata(14, 12) & sbcs_reg(14, 12) } is(sb_state_t.wait_rd) { sb_nxtstate := Mux(sbcs_unaligned | sbcs_illegal_size, sb_state_t.done, sb_state_t.cmd_rd) sb_state_en := io.dbg_bus_clk_en | sbcs_unaligned | sbcs_illegal_size sbcs_sberror_wren := sbcs_unaligned | sbcs_illegal_size - sbcs_sberror_din := Mux(sbcs_unaligned, "b011".U, "b100".U) + sbcs_sberror_din := Mux(sbcs_unaligned, "b011".U(3.W), "b100".U(3.W)) } is(sb_state_t.wait_wr) { sb_nxtstate := Mux(sbcs_unaligned | sbcs_illegal_size, sb_state_t.done, sb_state_t.cmd_wr) sb_state_en := io.dbg_bus_clk_en | sbcs_unaligned | sbcs_illegal_size sbcs_sberror_wren := sbcs_unaligned | sbcs_illegal_size; - sbcs_sberror_din := Mux(sbcs_unaligned, "b011".U, "b100".U) + sbcs_sberror_din := Mux(sbcs_unaligned, "b011".U(3.W), "b100".U) } is(sb_state_t.cmd_rd) { sb_nxtstate := sb_state_t.rsp_rd @@ -378,13 +383,13 @@ class dbg extends Module with lib with RequireAsyncReset { sb_nxtstate := sb_state_t.done sb_state_en := sb_bus_rsp_read & io.dbg_bus_clk_en sbcs_sberror_wren := sb_state_en & sb_bus_rsp_error - sbcs_sberror_din := "b010".U + sbcs_sberror_din := "b010".U(3.W) } is(sb_state_t.rsp_wr) { sb_nxtstate := sb_state_t.done; sb_state_en := sb_bus_rsp_write & io.dbg_bus_clk_en sbcs_sberror_wren := sb_state_en & sb_bus_rsp_error - sbcs_sberror_din := "b010".U + sbcs_sberror_din := "b010".U(3.W) } is(sb_state_t.done) { sb_nxtstate := sb_state_t.sbidle; @@ -394,7 +399,7 @@ class dbg extends Module with lib with RequireAsyncReset { sbaddress0_reg_wren1 := sbcs_reg(16) }} - sb_state := withClockAndReset(sb_free_clk, !dbg_dm_rst_l) { + sb_state := withClockAndReset(sb_free_clk, dbg_dm_rst_l) { RegEnable(sb_nxtstate, 0.U, sb_state_en) } // sb_state_reg @@ -412,7 +417,7 @@ class dbg extends Module with lib with RequireAsyncReset { io.sb_axi.aw.bits.cache := "b1111".U io.sb_axi.aw.bits.region := sbaddress0_reg(31, 28) io.sb_axi.aw.bits.len := 0.U - io.sb_axi.aw.bits.burst := "b01".U + io.sb_axi.aw.bits.burst := "b01".U(2.W) io.sb_axi.aw.bits.qos := 0.U io.sb_axi.aw.bits.lock := false.B io.sb_axi.w.valid := ((sb_state === sb_state_t.cmd_wr) | (sb_state === sb_state_t.cmd_wr_data)).asBool() @@ -421,7 +426,7 @@ class dbg extends Module with lib with RequireAsyncReset { io.sb_axi.w.bits.strb := Fill(8, (sbcs_reg(19, 17) === "h0".U)) & ("h1".U(8.W) << sbaddress0_reg(2, 0)) | Fill(8, (sbcs_reg(19, 17) === "h1".U)) & ("h3".U(8.W) << Cat(sbaddress0_reg(2, 1), "b0".U)) | - Fill(8, (sbcs_reg(19, 17) === "h2".U)) & ("hf".U(8.W) << Cat(sbaddress0_reg(2), "b00".U)) | + Fill(8, (sbcs_reg(19, 17) === "h2".U)) & ("hf".U(8.W) << Cat(sbaddress0_reg(2), "b00".U(2.W))) | Fill(8, (sbcs_reg(19, 17) === "h3".U)) & "hff".U io.sb_axi.w.bits.last := true.B @@ -433,7 +438,7 @@ class dbg extends Module with lib with RequireAsyncReset { io.sb_axi.ar.bits.cache := 0.U io.sb_axi.ar.bits.region := sbaddress0_reg(31, 28) io.sb_axi.ar.bits.len := 0.U - io.sb_axi.ar.bits.burst := "b01".U + io.sb_axi.ar.bits.burst := "b01".U(2.W) io.sb_axi.ar.bits.qos := 0.U io.sb_axi.ar.bits.lock := false.B io.sb_axi.b.ready := true.B @@ -450,6 +455,7 @@ class dbg extends Module with lib with RequireAsyncReset { io.dbg_dma.dbg_ib.dbg_cmd_write := io.dbg_dec.dbg_ib.dbg_cmd_write io.dbg_dma.dbg_ib.dbg_cmd_type := io.dbg_dec.dbg_ib.dbg_cmd_type } -object dbg_top extends App { + +object dbg_main extends App { println((new chisel3.stage.ChiselStage).emitVerilog(new dbg())) -} \ No newline at end of file +} diff --git a/target/scala-2.12/classes/dbg/dbg.class b/target/scala-2.12/classes/dbg/dbg.class index dc7dd13ff6758b6fbb20b60f449df34b4b3b4a3d..c8a0d0b5f1667878bc1f55fc099b2ad4ddbf7466 100644 GIT binary patch literal 274626 zcmce934C2e_5WR7@|H}}CJkxR1xTCjOSU#?QlPw~X-S);v`Lqgwy!VA%hG0PUtYRd zQ4x0m6$L>+K?M|46j>A%ciea6=k`Z`{QS9#h=_{I|9j@#J-4^-_cVMUopa7TGxMF9 zGiT<_ojdcDe}4Q^ilVG7x>Hrc{k?-#_zNqlsx0Um8lFgv)Kv|SWm4&}7tor+JK8tdDcnn-2BN?28*NO#RdUvea= zD(AOLqAHyl7)kYIs=7$rH9R<$%uJ?J8&oB)_f#e|p)SbFR|`jnlFji}eYRd)JS#7v zhePq=c)YK8akQsU?NwuXbhuE@({^iWL2+|@sCaR4PnhM=8G4>Rrb>Al@>7Oo*#5sejtm?{Vs1Y3rYI$~DGA`IbiwoRn`q%PHSRr#xoMdkne6Uu36q z%Bf#s>zBtI_{(hlMnf*~&$ab?obvN+`6;J-p)D^jaNu8J%Nw2Y6}G&`DPLpDPdVka zw!B<8(yzDWjZS%!E$?y4FR|sPobqN{UT)e6)&FK&-sqHXwdIywk$$HwKV|64cG<~t z*}g@LU&;+TBzdD#zR%Y0G32sc4m#3z%6n~j`3#wU@p8g5Mslq=gY-{1j z3H>WseunfN@{mS$t2IMjUam5J!V}83?7Y0uDUaFm9z#y$4Ha4TUw+CdFR|t28mBMy z%WQe0Q$E+0_c-O}+wxOR`9fP>t~>BAVLAF&d81Rl!j|_Ka*1b+oz5wzeyy!v9&)5# zZ_68<@+Mo}-07b~ zwtp^n`sa}CpUa*8Ib{3ia;JX|+5WlQ^v|+g4F4?g8~$0!n;rG<@T(!iKa;-GKZk7p zTyFYjnU3L~W%|x^Z2w$d?(nN2!#~UVcghX_Ome4x4%z;>{FI?D^X+xuXFnX3@&Q}k zXvl}De;u~ltH-G?{dsd-;&;lWKWDk&&pG|$j`Z1|v;4Fn&nv80IyyIB*XI?~sB>$F z!fJV1jmNj@iGm)Hr)y>TiwCskc)Px3B3@CkRJ*XgxVWY?KTqFKaB8_4x;S;onJwEd z&(rmmf@8_@b%zJb`Wu$&y0#>LL0M93?A@|PoLEiiw`_}7Bv)!{Vl_Js&#yb)Q`U|2 zR%pwbD~gNv#P`pOM$6lEO{>o@kFS|m8;jq9VBh^1Ru{ z&eKX3mx;Yq^O^?q&i0|P@)ZMvWtDT{+69LXEU6rd_#bJe1}yw&H$`+nOqemc_Nh2~BIRsHoQVEvYE3*&pxD zD=*%)eSY2OwuL*2kL`~S=YMN=Xp3ERVx(vN#I`GEt)FqQdX#X@0Io~&Tj!vj zPwU%f#p65HAMK(15_WzU6rNdHwXZtWdj6RuGv?Oy4(D&GSeV?X?Tm%nFuy&ax0avi zJWzLt({D&^EIBi{W^P`A{xT8mtcmAodF$p>wALO?m7Uq#(X;l@mio#Q?fYsDBcFaso;O#i5t)D0zNX_>l$p<0JD$f<+ltO?p0R(! zp|-NpJ>&bT#}Xy0!p(YfL|;@|SiDfx#`24sSMRGmG+evtq=3C`Tqw2_9jr?gFE1`% zXxG!6wzByr#Q6=!H`a&Nk4ikNwv6swKi)I2siHZVZX(!Xt5&jrP<7 z_oxF`e(H)P^nC51ZA}zEV#YtF*Hr9Tb4B&Uw)4-F70#`jARLQq97S#Q?I+p~)D3Ms zSbB2R-1Sr+B}RQjtKwlR-SHB!rK&uyAa769sPDWv6`{JZt}AEl9o<(w(UxC1r%=z= ztMgiymRGc>d417jazX8(mfrR~Eo$>z^n&G^k~50(q6Zc>tIZ4a1#N?4ISy#F0R-SMK3v0+_+fR^{q%R zsX>0_BJ^|Mn-^nz(ZX4f_iev&(VjJgdyy&cm=)Izc~AA>1f^FH&C_RB6Q=jo%o7GWzVr0RF-r=&)tfVccc=2Ae%ivD5=f3KJ16pi{wy&W-(Nwu? ze15~BRM|zdQO>a~mt?kVKd^pup_M%^0zHD`QT`E;J zfBlROvM=P{+SIqD^GyBr^4jBr180tJKTtQ0e!Fb6r|HmO4E^Q6#fQeXt{N3dE~pf zsjsD^68@tplYFVPN15KzctNH$zj8~*fx66K^J&>XDE~Eu#qa|o4OFj3I%U7n=DGO_ z9sO>M>JRyfD{4+`+q$ZuYVHPYsjZj4rX1~AHh*v7`Ni5|TW<7I(J*?2TH01W|FWv` z4aWzmpB&gQwk>~t1Ik})%U2E|o!+imQLOK4knIlpI({I3?VQ!~8Y=X-jidd*hVcVS zGACBYqp2&T9c;*;eTF*suN&X4#g3sJ&G^GDwUv7d_c`-vnO!)4!$2GAb5VJ1W_YV; zC_Gr3*%({3zAC}>U$MESZ*XVnoYC?P{lM#NuhN#-{L8id(lMK&Q&K?kzM82 z)Nb#o?nC?3uby8AyJ;tT?QJV5jVz{iHTvNdC1hvoCbt1k;lUbhwNH9tU(I9->c_I1 z15L+d{-~e9*qJ4(4>lc2b%svDu6t2G7S49kD=S66Xwnv$>294L8Q&}IGqPBXnm8r~ zn++WKQ4{x)@db^H8|6fOG>jgo&a`rUr@ESCeW0G_E$cAr6ZKYM>T$k@+LmNyS9tn` z*tc#xp4S#%UB02WPuja$K>l~N*$>g*(GSVq$q!JzvVXGwszX1iI|P5Ur(?f5lg86_ z^y_U`&f79}MIF)|u0g#Irpi{G7%6WUfxk#ny>)C|wFmQo(LH6E@M1MgerW*xYG7kg zf5V!5O&`KMXUCl8$yvq4JyppSdO=~|iLst~^egh)YbUmqovAO}UtgiGhCi@*t(@xB z%zqyBOX=swHg27N+4!7>GK?2;-jO$faRT#!Ww9N_!v`)N-?DY3?2nv&ovB};R}|B{ zV{%)4XikORlo`Hq(cabb8!Pn1?1yCkSMxKiuw%o&?0{X`?O@b9>j~CdPzyV3-`laE z>1gW84a=(LuCLH{xcnOY`kAHUbLtKs$X|~3N5ASUT?)H8ZTL5|H~ds+!@w2I@Q?I- zYe{K^n-8I03HQkniDy>n(zu%sx2c80Wg}too7BGH4z?ViW7VD_vNM!#RmXxu zeY;|+ez0yV2|FV{+?P6CwzSBjS2*ubUzyNN)k#5ByR8?6JA)2io#a!9IY0 zcV>3s{&hnK$|}Q)pTr}_LDW`Gq zgtV9D7?q3S&vwMe4AjIc6Wz9I4hj>vRVyiTID=WmIv*Ieqfhxwz`Sy%km@s<7)V9 z<7yG?Wobt#{CeHQw$AwxNBwBa{pA>Ez4M4OvYb=X;qpQb|5cMpG|BnMp_Zcgdqxj5 zQTl%J3caIz8T?dl8|E`)HybhjuEsop`mdino~K%NxBWnM@0KFi=>glm#uiDpeOh2!J{T7Y?J?qDZx1K@2BD+3QwvxvGqBDa<=QkbgVf#I_2;-Y${($;l zwRg?Fn*Ke8eb@NTPozDX{u4CL=m(thkGjl}`c<>>`-8?M*pDL~ z=IvE9KP;_v2!1wT;J~M;)x5z`WF1j^UPl ztI)1Z1H<%t67#HL_HU$DtjYRgd3go>&cg2_p66-v+X3`ZMCJPao0S|POlUFYh_+(!;JHrdbjMP`Li)kK7ij# zc{OKAZ+-~#rQX)g%4JnOwUZb(cJnwkZ*SrJdD`l;q&KX_cDUw=#<+;}k3CCO;h*0A zc@<}^r%OubB+pW=vH49HPkri_$5X#@$?-JVg83?s?=*hJsXb-?I#6Ak0)8i-d}&K* ze3L^zemXyb@pQ{2Blvx|KE?i8EpqYXUklIdS+l=pyp89(w!YzCEq&Mz{A+)req?qB z=BpSl&_5#Hai#|IdCxc%Li z9diCC$5G5r7m%KFoXbDum|q%xA}-@&+@pC8$Cu*{>WSxV>rV~y?^9#mXYmz!tF;cX zWCr2m`kZC0KWvrbq2X6BE?qeho_SFE4>>MvY}$0$IRBo-i`H86-D>N}qa(w8!qti&SOt;pFjT)ktz|5U#It!@wUA(eY|hv$WVV8&9MS6)-4uzY?c0jffN*0<1KyqxMW-@(98LW z2`E&{6bx2XwBd2oZo646&69)~Z{+FqePSY$%%o6@*5h4h0z4Gf!$fM#`J5Ua&m$AP zX50dkl$wS8qkZFJne_NbBApsU%!%G)e}6hPF;UHenG?Nz6N!o5-pPqmi4$o`z1R?? z(&_QEArnXyDv5M|GK2jErS4>;QVqczr4o=HWmaObL&@VQWSYu2g%C`RreOW34@+}$ z3~f6+;uNJP$HrhTWDI8VL&=d$svkI#y%U*qvM+-&I7%?fEAAggxn>w;=o{?^z9gcb z=a8I8qw6H7a{T06Y%_)Q#8D#!R3Fz1B(lB$+#!;Q%AD;=mRQVc=Q@_6DCJSZHHY>@ z1a2{E`hj#Rm4HoB^AsX|CogTo6d*6lc3MWp`;Ndk$Rh0LQoVmPk)FsTP|c;5y1ku* z42m9SQlm#s$al%TX-o86C64BbBK`l?;4* zjOrng?CV2+mkF?xa9C2a%qMKcBHL+jvxi|X)H>v)m`VUph(+kTri&r%#12)NZFN+u zj~j5ye4a?ib`;B3Oo?JYfpx-0rNKy2kVAksG3v9((f?(Yp63v--D2Yvir_0pYx>Gz zf4=g%v&h$;MGng@^TRU^OLaOlhi@o=zH9;63kq$nIujY#j`y&2PaYjft%Xn8>k?elZV&C-dk_|<{9H3Lsd|7uQ zzG>6W#AQHWbM4!ak9@XsXS^q|srB;i%TPHa*>V|_<6V~}&}iyD*nuhRYTJhjj1bFY zL34MrGjWsS#EEkzUP#)_-SI7n&D+~I0lvj^YNf=}quNAU=cd-Z5`>{91vRn^GMd3t zMmAsTd0v}vKdz9|vEtQp4Ajk3lJ!QM9Xx|tS}=!|!_?Z$J6 zx)PV~?4}Cb9N*R64bLv^E#A`7+SMiN2AyGw3^hAN20t)G1|Kj*1|(Bt==)P-^**u& zn4A+|YiGQGS1xg<&aQaAr)JF=eK2o6dkpi!)TpjVQZQlAMnYTVk=B-bX zdFzv8-ufh&w?0Yctxu8xU(u$PmX1VoymMQkxvjekZjA+!p22iacWYu#+a~H^ERk(s zNH~GkmKIZ`Kt|%Gfr&|Ovoi*#!F`1D@G~fX{o4xc&`cy<-SKXCDlWPii#)MWa4U1=5T70Z+^Dfx8Vb3OG*t5w>doFJ4ggtxwxK~Dg$15Yhf&BPXDfw}ylzb=o zaj(oB9N#?Cjk`cc4?eR^C?(KEs)!jJ*F<+)N9)c+d+QdY--=?H`#}; zQ<5Vs$&ryREXIUYxa?@}_&9z;q?1QgZ$vc# zZV+m#x;dt9Qn$>=S21VEOCCKsatdp-DD=5XhsayiZ6%7Lw&SPt!0=eVP&?I)lo~wv zJWq7`erM<8ST|~_GOX@^#dmDqw5z?f5}y%yvvTSpmoBwCs_s;G!4S>gU?=DYT}0i3 zf#GN}og7VJ(U@vtLblfxj#lM%7HW^WkC0sfZ*TwX;$?9409t7cc$-&8klOI+8;wjAPF7oBC4{* znGhG$QS$#w%7f|<)y^=c3aHDW@&1-%-%twHztGz@Q;ZxPCCqW4KKJbTli%~yJfhCA zMQ*%d75!yuH-?LLA_Qw>4r;14oOcvK42?v9M7Mr2S^Bg4x(vjS^qgPN)h z|L@cWYiNU-s*RDssSVc91~pY1V}?^3tf38Rsy3~sI2sYGp$%%PcB5Mxtf38R7Bva? z3e$^XC@twv^(8VRlL=ba<#p_cdJQ_KBhwn_MGUI|>5~wQWwx2n=+QnSp~U#u2tLAi zizhWGo2h~HNe!*<${xHK0i;g^w74q*cryY>p9pAlR|N281du)v(C)4X;LQjieIiiP zn>Es(>YW@ktoGfWgrH|81kxuVScNNR@MZ*%J`u=b%*MnL*RhCtk0%Lem`Q;2Ndng5 z$`ia9!Pk&#VHM5@#2XQaoSH)|8or1?@`5bGe9)7KZ6~DelZeMoXieYD)z?mHVGQ)i zYXS`uQ9tF$&Ndp-^U2O*G_|(T5a|;EmQma{o=(ZWMX!0V1P;gbMNh`IE!FzkQY{R_ zRlBy$&?BFWJvLKo+YFID5nu+c9Bd1z^|g>%m_f`OzEfin^?RNKY>Oe)sX5kpEQWZa z?TGY=026RRth23yNS_EW0cm3No)BxFc>fqtpY$YRTTGp=#nizPT)EmtLXUiM^%zN= zZ6rkcL_m|fa`%Y)==lM2I9>KzSdBOCU%v1Cc%vJgvXhZhazsBA_`1wfZ>T^rnYtzVI(M$hCIc6X}zLr|s9;ZBL|6 z1W((qwcDOZp9r3|Uu(BLkvI^eEE7cqRsTwfo%$FeNADl#}tS+ z8_L%d)}p;-uakby$aRhZLud)+hW%FTFg3+#Sm|z^tG6E9*ZH~jNofA>pT`iycxmQV%B*q zhIliAuf?o`9k^=RHW7N{Q*j;>S!bIFkvf^SRLds>=!GlFkR*Lzx;cr$`; zOV@i^ns_sUZ%fyETAFw>f^SRLds>=!GlFkRH+Wi_cq0OlQ(L;h)6&G75qw*^!PC;j zn-P3ly1~=Z#G4U(Te`v1(!`q)d|SG~)6&G75qw*^!PC;jn-P3ly1~=Z#G4U(Te`v1 z(!`q)d|SG~)6&G75qw*Ey{Dy#HzE)@wWZg4TAFw>f^SQ&_p~(eW(41sUhipX;>`%Y zExjJiY5m4Ce#l_^SVVh`+nbP{nUJpqtoK*|@n!^H3s~>50OHLEz80|FV*$jQ5qvFR zy~hHGHzW93z4uLU%EEP!}3 zg0BTMqB))82JvPD-p`BFFGrQw>4XTu=h-7Y9x1jst-e2ee-tw5mG}1Xdi-esR#S?l=%w zaX|aULEF0HKw!lI?H32l>s|~Pz`oLm_9bsp(6f>P?Uxi9-km)JRvgfNanSbeI1pHI zK>Ni(^Sk3fV8sFL7Y7#Ljst-e$KO0?dC?Vz1ZEtfee$XCng%d_L^w6&kymnCIumhs~;&|<##$g9U`^E9v zL5;%>i1v%)wSyXm9T4pkhn5OmbwC2M-iY>#PN0v`FaA$6*}w&@Ue}wL6Z(4rt}jCl0L-y5f+)#74AV9IqYFnxPfP-wtR^(4CLN z4(Op@K3+SZbwVp2e>Es&GG5c5RYVJ}ziG&IMCVvd0xOQcY0yfbtB52p;}GqGjTQrA@|DnN zGBG?J(f4}OaF_}y`=#ME6nQ_ z5B>7-+5s&@TKV|f0WCec^KsY#J@m`RYX`I(Y31W@2ebg`&c|T~^w2LKuN}~$q?M1q z9ndnQJ0FJ~&_kbmX!+3 zc|WPc5xg@}{BDWJG_)oq&95WGZRD1UyDjTWuSKr2XP}jH0&MHVR4m#qk(L zZMAI_lJ<+^F^bx1+bAUM7sq21wbiy!NZK!s$0%y6ZKII1UmTB7)K=R@A!)xj9;2wO zwv9s4esMfTQCn>rg`~ryM|SdI0cF@oZJw0i{yyDM!T#r(WtPg6ixIFx-6y&Tm4s#$ zD3MSpeZ-7Q7+}Zk_)S7LGhkC~D4jZrFXJy*)@DVP$1vDw8K*L+z4-1Do0Rh?0+1|Q zW`5VXAvmfC%?~XoQ1V0P!_?`E*OoXofzPydja#3*?(>NLvmd=0IRkmQjojS1ZeS3h zMWIT9ioF>T?8P6c#cdU+qhXa&VviC;3wiIH(Sz#+7( zZ8?6=hM9NA!4nax3RP1a^jFcF=}lztRqjx7VhHDW*p2Mp7TQt#G%IvQLh?&(NP$f$ zLiM2r${5C5>`WsuFh0_cmyT0pl_Io(qg-TUD?hboutlV9@lf5!s+StY8XQU+#aiVl zRv=1LB&APBS?EZ@$k$8^#a(x{;sz!wLYqTdsPOoJp(K4gbsXRDC}{^Lg>Oh^x}FcpgGIa|MA*SqvlEG@kN2igYblg0e|%s-o)!|b zgwWm1iSI!*rjMs_{7cF}c;T|PDIJbKppaHvi%xyXIaDsP>PQ}`;^yQh;`9L=f2S6a zr2I@RM9qwraNrjL&% zCywBg|IuWo58b0F(2m@Nnc~JmlomQCH8ZN7IBG3?-0sW-rE0NDWhE(l<5@Ualb-BE zXf!lNt);xUv8;m$F@|z%x;mO zruKQ}8Aw#+s{c?@c31Vu)iMB|XVi@~GVOdSJ2|xQL<*b4=^z=SLb%vywjDmr=96Sl zcAg3lq1T39M{V+Y*|+TKPtYO}xl5~+AVHg4!)c9QL;Vi+-RI%&z5~-HZ>s&Nf#l>!rV>8{^kp?yVgmQ=SZc6GCv?Ga+RdXlwDNg87d|Zd(RnlJqQw4m%n5dK= z{+lPrBq~$KFr8cGIyGj)^4+R(geNiayW$H^p zxs$1{$Trv~l)IF>sV=@Ql=tzIZwlr8OnqA@_b~Ncq1?;V<3jlWQ%?xxgB9!{p*+m#{Z1$! zW9n(4e4MFggz^aMJu8$?F!h{JKFQScLirR^e-+B3OuZnKPc!uop?rp^e+lKYlFCzs z@;Rn-p?qHEk(VcwFEAAr$`_f63gt1T3WV|{rV54fWu|5b7-e@rzBT{4S&ki#X|WR zQyYcy-<)z>C{MAhMJWHnRI5;a&eRs6{4Y~&Liq(#+l2BJrLtnc5|k-!ZjED8FZ_M<`D-b%jv=z|;YuJj19GLir<8NufN;Py2-OC#F(Dd5)<; zq5PStVWB+F)DfZlh2xG2<*zIo7s}t5Iwq7Cn3@pE->i^$MZtOubU5A&&7Xq2@958lmR%)7J?#%+wo%8sVqc2sO&G>x3F( z>diteVCs4S+a?E|_coyxGIgU+iH|V8XX-;joy*jRg*uO^`-M86sRx9*fT@RsdOlMh z6Y2#_JtEW#nfjzqE0}szs0*3;j8GRb^*NzdGW7+aE@tX6p)O(S%R*hs)K`VNjH#~+ zbvaYt6zU45zAe<1Onq0VtC)IRsH>TJLa1w)`hifZnEH`WtGPXXBGej|Jt@>$rhX>W zI;Nfy>RP6LF4T2Q{X(eqO#Moz4NUz;sOy>folqN@dRnMWOg$si4NN^N)QgyUPN)|% z^}I29$*=G2@k<`_#YcegSRseFvnjQ2XQd@H;P@%+ve)w9gSUN2q-?kSz*O zr(-Et`GT`(*&4oupfktLSyEFeu%x8qEvcLqc&h}8)}dyMJy6Xqz)HU&A~o+3Xu*lf z19IqOw5-(3BA*SSOpVS_%OW*8UM&kXI(028H8VBerboTlHRo$_uA|#H!wSC|W<8wf z#yU%bbP{~fTac#v{5RHI=98wJL^_WX%3if5d)3HL5C`Vy(+Q)%xsJ8(4M4 zIqJ2QkF)!~C>wQ~UCKu7W~Xe_Z+6N?4QHop)NyvoMlENjY}E6)8k&wws?M(UNHt6Q zCDknLmsGQ~UsBD|en~Y;`z6&Z?Uz)IdiC>Ld_tgkd>~iqg?@HUtHX3y6s!1+&Yr^t z&!K1^XUB?X1!o;i$a;R`uH(c)R`TkF4oub52cj=5Q`ObL!ydxSZ*6yT}!sbAXiRNis-3 z*J&o|IA-$PWj9ZsWX%AqvTMqt8ClhDrf49Ls@zqws044H_cJ&nVe0j=q5>M7$6Hog z?qf_wQjT*lS=X=M7`j{(v)%oS-B6ULW^!@?O(}f?9j1BCj7gKu*knb&{$pfJ$4#Cy zU+YB9b0%lcY6EJ}aen7Hv!>HJ9kvr-y`-<**WW3sb6Ykhczu(P7KZSSnW z&Na$e2cvQmoi!`lE`6PZb&M(}jSQ}vubo~9}X{CJwG9Ps05s#wsVpjF5J^N&0*72K3xDG64HNUk! z^Bm*ggskI@gE2XW!?R^(4|QilZJ&uO+tbf2`5c|h$ov}LeWEh!`HjTZLCdV-*W!-z zm(N}k_OZ-o$K*b(ne|HWF5TQ-VO%LDlsaWCUMuBeI|gknH6P2}7eZO5)YHQo-4ErK zDxowe>*?XmLTO~RTZGcYvfG5Rfo1O!%0*1wA(V^x>3f872~&3pWg|bmTPT;Z?EONC zv+Q1>G_&l3LTO>@KA~)4>LWsFW$L3s+04{~LfOL9!$P@?sgDb#jj2xvWh+yk63RBF zJ}s1Xrami_4yHaYluoAb;(a?)c=3KYqxyAJno2l>6z5=^O zc{`U-b}755)*cti9;TiU%3h_1WIqtfKBj&olq)!up9p0?Q%?%z0O$5Ip&VrDDWN2o z`ngaJG4%_fB$@h^P1QhN%mL@=~TMgmNWQ zi-htrrWOn3_}y3+0Whw^1n9uq-Z=Ynf^h%60s-RVZ&_YKu_b%v76D-okp@ zgmOJo9YT34Kiw{rx3O%8P;OwVODH!owM!^(X9_!IopvfW)o&1?nW18A?Fr*53#Z%I zi5-9Ge!#EGzn&iBSnvFchR238m$-K-?H1ug_)tu#31heDEc*cJ?xFPf3EIgS4)?{B zmEmMeSwkwb<<*S?lVg?k1#h*rw9yE=&dpu-c4w0A347`*d1L-;(``*=hDWM)rUqNb zD38i;_%IT%oSNt91{`}H9*KsJgh$b}@^7H>V8iK5Gcof9%WxQ5gi5SbOtFkU5e=uq z*y_F*`*o&hSl3({7jB~3=7*2R5Cgkd)m>3?71vb4c(-%rSHnRw_3E=|S5t$mv|H0WPP`2l zZ1yGT64dTw8mGLsp6pA>J5j^o*I_TL35Ca;aQF?_E(R@mnmX_BvXeBc9y9?ndJ!?$9SC~qpiJe|TuKbYV< zvC+}kUS`Oo<=h?(zbkx)sw^>U2OEo1X5RSwU(8x^Vb|_yER) zf0jFO!{Pf>rNZhOXVH>1@{wrx!{PhUpj50rbgFvC@OhSqEv_4QP2+hW{9p`eJ%l^- zOn7d^aQI_r>HhJAaTmi5$7eieZwD&0-5=d4IzA%e=~4KR@F!y7+rpp3P|m#yyAf6N zpVf{AJZA^OuGG9^lgSapo9p8uKIX$3>cm5&C%O5$fpQ#f*aEK8`sEM|%R9 z_R&qHBK(8=?~&FIg*wS){;{(Y;!C4&`2VR&+u1e-yFUl;?6LPaRW3Z7>Q8Bu`WXt3 zExWQg5EfdNMYzJ@pHD5By!DXkVwB7COU#DN=Bp`$(R#fBqO>)-7CQ3Q>wInleNPmORwK}9FmtFAh(eMl5 ze+c#EoWs9_`btSfRH43_DIKwWylOa-hjuuDD_C}>2IA>-60YZ>Wj>1L9`3$z+{fBS zV@B2JWQK<8sy&E(G}SL-ZxE4iBm##Nfzw-SwR=IDWD!$k^W;TIwT z(??l%ks{O>K5>*ahEQ!VXoM=h>XLGVGp?|zN2U~xl;I;(Z}lcH8VdDwTr1^Bq0vYI z;XH@3``%M(6l;conMx-Ng4LnW+-YJaSDwDK1{-B+WyZisAGF=;47Yjcbfk|m}GG4y)*dR1I|Bg8j z?utZb_nq2GgbtV1F?ka9f$6n<-#3Y*ZCo0SY>eR7!b`awTIidyeg5-MO4fP#JLaP0 zkygw_Bb#Bm%_4Fcr7Q{Tx}545 z4Qme|_7%5s$dN_RBHdU7;267c`Hj^RZDrhtORn{G(zQl@E}tZIn77MIhAD}+a1tr}YU3mZg?bYwF-%EdDB&bPxGe-f zA>YQ4$MB<$BOev&EgU&bkzqs}dCJfMTf~{qBP|Fya{qtSCJt)MbZ$jjz(S?c@@C$T{R-|8e{>{Ymp|2a5KAz zyq;y4{YNX|y2r=l>KneHGRLU@j2-P3k!vFO@o-h-I;>G~wY?eZQC#Tjg?a~<7aOVX zV(LaREd0`VKeIPGdLKawM{WURnk-IGFA|a4$j3Yxd1owgYvej=28_Pk3?MW*V( z4=}bc2STHB8JPp&Zf!gc4fl_vMC2Z>r+bC^AqIriuhWeFK9@6-gOgQz|8~FQQ8ThO z@)4@M`!NpM{u;ll`vL6%F8PCIeNdGDtQ$8#JRJELRq@9O34TCnbT}nf90+&Cp(Z2p zoM&ldpXSQ@3=ql#xiZOgMnpcx($7UTMn*_4XeZHVy1!SM2Sjx!%Cta{18<@iT&5zAYuMA6*!YRzw%U#ZyD$UU?B+j07QDV#?C}BD#!aSWIo=Ot6@m zVG4_>6HHYJ^}iT4=F~r8s*d~(+`v^)K;6kuQEeKXD=Mp<2#rn;We$X=i1k?Z=JYSY zvNuzg(nsuMtt$-@m(vm%!#x-C__XuET6RH z{TQD`^aRUK3iVeE;4}d+`zjG`0JZ4fzhzA{RozUqW5E{*)yC@wE-0-`;N{=(oM#f)*D3hfhd*))6s`8 zPdBHVPK|K%W3Dk@=JKDLS}|yKYxI#=^y5){wmjQP+kBls(*pUcF8ZmcGAsHh7W1k3 zW<@`PD3MCMCx~_n^)GA>U%;zbG&3sTF&g`L=F&fW9J3b@{j!`{M86``7g+miLj5OG z-w>M46y8%dathxOT0YCZCqnm!K1!*6AMZ2Sc>YUhQGWVETF|jz`>mW=59JicZZhN5 zCyM`Lyz`0v1g}Ur@h62QIPsqeZ3a_MVWBbdPN9`Di@5|%Lz5Hs*&eF0+s0wJ$tkPm zS+3UF>B35W8T}Qeh|ynT4q{pf#)?e$_+;NuWjKn(x>Bo<_5(Q>{yrN0UG!QdRqnEoZS*|Jt+s?g>$rQbc-V?)_A!#4+v3`lV zG91ITV)Ly!nW{k59lIbJJ3n?IUZTt8;MhVD9t;nm8e)|*@?(o<=HH+ziV~)OFmEg2 zS2A6x^f0D}r{(*{*b01JX}{euZf$N)j`sE^J5rhCK(a40o<0?ht%9?|PgsXcRbK6U zsbWNh!q9mCWjJqVBsEdlK0bbA^5_P~TE8))cSu|j!njb+E+`**2Jn}vDL9^ z6dKc?`Ho-w#v1A7$uYUeT8&!U8mlW&)EEW}9MWSpfTttNHZT`h?a0m-VdhISq*ot9 zD>R7kE4l6)0i&q|jj&dPU(2G4A-beQ(Mj}q7R4cIE>S`x`WlN`A=+G`%nQ`--ul^ux2_Qz;aG=vNep$0?cF-Y(mC9k)-n$qv5hX@B)R0BPl{S4Jc zVuz)OCS|b^64gawVF>otQ+ofg_AetJe| zTbR01Xj_?jIksuA-c>?5%+%FF>)@xa#zq^ihSv)1a+bZ`Q6bdJ%FF4J&Bh8yilrU^T>tdw02yHi0 zw+XF>DQqk{%B8$RX!}|A9&BmhQr?Mq0S${sM~8scKlVNm{sZT65AwLTM2S!y z36A?Aq4hHLVWFj%x?gBROg$j9BTPLcv@xbWCbVNrJtDLWQ=b&t38o$u8o%O+VJ$)* zBE$Hc(D>C&>1O zV&e_x_JW8kVW9s2(0`UF0ze}xrKmuikzb&dD1{`tC~`3hTaX7){>*$>ND-vkoie?G zn9$zGln~mzOyO-0>b*WvFjHu}l2%Y6MGcXHQlatEPeB=V&9#w&IYQ%AlY+TIdze$0 zFSJLPI$vm?V(LPneTJ!pLi;>Zl|p-rsU<@D8dJ-J_D!Z%2<yX#c~y7YpqdOl=g} zubINjfvaRa7ho&VE1AMpqN|z0R-#ui1#kOmrr>Q~!xVnO;x{GSX~A}({f^VyL2Fjn zQTJL_=@yYLZmHd9mOUlP3~H&pEZPUr6(!0{h!k4;MdKaBz9*&*3GEM@WUtVkWvX9j ze`abxXn$pDNN9g&>afuM#T1rcuiz}kki~e3Qj9EgjUT3kp2t*1=nzdqavbV{^X(gI!2}d93Bv_g zmoDImOefYaD#Ha>mM%1gc_|?eU2t2p;MM}n4)v}Sei1FgFCvcPcv(6;YQAxW4t{eK z++J`8-n16HTU9PNhjO^!y%@q$qenBRFnu~_;z;|hg1ch{cNV-)RhsQdN9#yxG&Pnn z=Na4OW+9V18&dk28BP@36DxQ}!M!s>_ld}H`q8Krd z{qchDM+?4J@PyEpv7P<^n+>@S{YdC5S@sj5uVLy*q1Q0=GwE#MKAsZ#S{D6W=nYK$ zLg-CQ{fgAqR!0hcgDsex?(c+tF+Y4-=$A6}jL=({dKTMDS?@WaZ)Vx^*l5bKzl!KA z?rblh68>JI%%jfM#%li((Ng&k2j=7pj4bo%VW)g3Xaj?}j7%qX3K2$I9}-x( zwHC%t;IP(|5DTG$A}ta+&wIpTN2%$kN+FhVsh3fyF>Mhmgw8V~u}bKJ3`f4rr}6_q z?_@7_ZwOJ%+1H5ZJZXiZ4t2b?L|H(Fc`=I`AX;CdoKK>CEZP9kMJ37wB=)_94~U&8X23jIo^upX+y z@?XIkR|)+UEWcXlS2Oi$5t_?SG5WoRWf=Wl&(s@f(ttfWuz5#S@cNgyR$NELc@thJ znAJ#yNs#r2#aj?Hotii*#9OH!Trb`x^lLcP8}U=cj3Esf=dVs24GYxH&7}4wR=Y*$ z*E5ARPfrBu(P8l}5u)Fh;&yR|(D_Ziz_O->cShn)esGu2`Te}Wm=&TMeT1M_vWRo9 z&~M@NKZr+1)8iQ-?&C)v7WzB+(fw$mz9bGE7UBVZ_8=rQk*bM^hlPFzt9=|lSFy_g z`=5mP1nYfL=J7hk$i|-2k!~E!Rp?{RAC#F;$H_#76=m;hFLjlMC z5bOSg>L`=$72-*LgqMVJK@y7~6P5Ij5dSTIeu<}0&qD(d@pGUX8UTSYfL~7vi~*sM zBo*p61nY<5w?gN)h2r-@|1@Xy2d~+1lm0O#o~73O9BVyCEZXpk%>IQ~wA+`NeSuiC z6;IN|KZ$)%=sZ&|REb3^@N~T}L@cVF=j?@HVjmMaPuvS*#G+<-_Fh;>ENYXd@P#vl z&Qtip5~1@1y|9#w`bYfkyprZ16QXdotee6)*i}cf`$6;vYy&n!Q8<^M&l5V&?F+Fu zmWSFy{S{sybe`rHR$$Yw6ejoy+&fR$3l|HW=h=lzh5nqZgu>-Q{|i$qh5iCltA+kg zrm93pWeR&TLrm3)P?)K8A{1k)L4*pKYDB}*C2#VL1`!%v<;ENcjV@+m4unS6uQ3Ng zqs!Eo1EJBCY0QDp=mIq6KxlN28FL^sx~+^k5E|V>#vEaxTg4Hg zM_A~tDdq?Z-5kXnVWH!GnIkN8^AmG~h3;`;jeP0SG%x_gN^!a_GJF-KVFz9h*J zq0vo9%n=s4?}$0VLbn$&M_A~NBIXDS-8jS?VWE44m?JE7OAvE}h3*1kjVFbDexvfNr5-tjW4DSGRS{Gzwvm(7TL^Xz~wif$}=Q;D*y=>*6yXkc{c1h4zf}NA2y{WVzDQU+?nIpS#BME63!kJv~ z%aiHkXbQhHCb0a|hDD6D{B|w{HpU+v;_WC>E#JwjrMrhGhLK2oY-~IuKlFs+Ol^K!!LrK!!LpK!!Ln zK!!LlK!!LjK!!LhK!!LfK!!LdK!!LbK!!LZK!!LXK!!LVK!!LTK!!LRK!!LPK!!LN zK!!LLK!&@N-7>`I|1!kK|1!j<|1!jf|1!j9|1!i!|1!iU|1!h}|1!kq{xVD&AwKn& zJU;Z7AwKh$AwKe#AwKb!AwKYzAwKVyAwKSxAwKPwAwKMvAwKJuAwKGtAwKDsAwKAr zAwK7qAwK4pAwK1oAwJ}nAwJ`mAwJ@lAwJ=kAwJ-jAwJ)iAwJ%hAwJ!gAwJxfAwJue zAwJrdAwJocAwJlbAwJia;VX;~pXy5vpXbXEALq*u zpXSRDALh#tpXJLCALYvspXAFBALPprpX19AALGjqpW@39AL7dppW(|8AK}XopWw?7 zAK=RnpWn+6S9B<9(aoSWNFC3~|SU3?DI`f5HeqX@t1jK|aUL4l=~O4l=~84l=}@4l=}z4l=}j4l=}T z4l=}D4l=||4l=|&4l=|o4l=|Y4l=|I4l?|jkHQScQ(lI zyGHmuBYfNlzi)(37$NRykovf#L58@aL54pv!XF#qPmB3nTM}f5I}&6#&j{xm z;Q}MX-3anIZbpzH?nRIxZbgtG?nICwZbXnF?n96vZbOhE?n00uZbFbD?m>_tZb6VC z?m&j}=j_`3lTQ zIazcY{=E<>=PAq7BkBmG1bRm5k)O>|)k~F`0EL$+(+cX03)F-K zDiZ_>FLJU4bt0FVy2%CVqy_3!5GZVKnpRM^xrdFCwVdSfE}T1nPCu4C;PY^S#~z z^@bo&Z_FOlwYj*2uev~8XMuWC5U4k259<1VAJkhdP;UzYh1a~<+Eh-?^I2C--DrV& zdk`qRVxCq|e|Lep*#h;BAW(R@J)NLb%?0XK3)F2vpzvBfTTr>!lv?Bh^)3t4?LnaM z5_O#JQ*KaqTcF+-1nT|SgSt1DnwsgVsSjA7J{SZF z+kvxfzMMdv=K^(~1?s~=pgxj4sGOQ_z6;d-7O0N~fqEc&P!HwOd>6PtJ#2ycSP&@e z8kyGSyU+#d5ew8Of;m;U3)JU>Kz$*5 zP>gYR^_w72znx}K?&ZbbS)hI&1nTK&2IXE}{DTGRnIKSqoMuojcQxO$ z7N|c3fx>3hX>|#&c7gh{1?u@AP}nz{EvQ_E8O80<|7wByTM#I0;msCQPD?>g(1=rpBA$~6$F72(+sND1**^jRTKnjM%JLT;#>^fHFeQSEKsw8K$T_< zD!1lygF4RwRTczlcGjS@@?2_a*wuVL2a}^T^a-`o^?&-*8AL`nk`T*L7+Be z4Jx-`<~mpNwOXJy2Z7p>HK^R0&kgD_3shSWsIA$9%4wG12DQxs)gA<@BWqB(xrDd4 zny=FWwLJ*b<zkyMjRN&Kgv1hVBNn#{#uC z2vkq@pmH*FH>iCUs4Id%;W&$In=hAjbmb0L^Bu529Sj16^E0vqmDAXFw+qxE3sf=) z6b|ah5>#$BPXh0 za%(;}s1XZP(2iI-?k>xk%5Cc61~qPhIvP|{$Fc^MTl2l&)qH6S)I<=dOxB=sYd$xq zNek5RAW$c=2bELrbAz(>4r@Vshv{gPCqVJ&FyFr6xzW%K3sYsL-gEmlok zA5>Fs%^p-vlRP)5w^^WW2m*Cu)}V5832sntw?N$#1nTDOLFHsqpLN;PJ1kJQ1cACW zYf!n_lpEA-7N~ayfqGZ=pmMS)H>le!PV0ld)-G!;XqPn|x}2p)&+UhU8_amYdU#4OHjEP`uAOi{-9-34+YuO!&!sM&CuPTK4yXXco3*Z zvImuup}RqS!UFZlAW)ym8dPq>j2qOW7N}1Lf%?pg3hJ{KsLus~`h51Fa@qQ=JmE6* zFIb?y7zFCEtU+mC&gF-L8`M`UP+tuK^|h=)<>nIp%T-ffw?KU(2-G*T29=vjaD)1m z1?t;DpuUqmsGMAa8`O6#P~Qsz_4qV{`hlzYto`U((0+8fa$s7A88;|vKe`sQADwPL z$P!d;HsuCo?MK&w_M_893|WH8&7=R&)qGD{HucjWoBG*{3hKWtP)`Mc`k$;plrOpne+!3daObtD!&TYQEoF zpq>r_g%gXj1eKdj{lNw584J`OgFxYuk}N^xW>aoZf3iS57X<3hS%b>Wrre;Ow?O?R z2-IJ*29=xhe8$y$f3rZn5CrP)FDj^iSfKtH1nOTeCMaDoLFsA$D7r{zS`Gcr&gRo~ z3sfiwR9@Dgax-){sC)}lI0zK37s|4xa`RJeP*Dq1EC^IV)}Yj!equfEYCd6sDhvWu zls%}Nc5wX71!{%`YGx3q;;cdGvvT>}=LS`3fjTb;R9V)bavNs;<*KRK7N|Kvpvtob zm0Ry~gR*w+>p?sB>AtOL?R{=g3#^(tKd7cI$Qo2mV;?RJa-3f#p_)Fl?EjX|I;on}xMyJ{+Kfocu{)$*c(+GK%h4Fa`!nn7LSs;Mm& zsLO&twPg)T-`<^1?uu3P&=j>)K(X$offFB zAW+@Y3~HMT)GiCu?jTTmvIeF14rdQ) zB$t}H!3Ap60yP!{YWzh7b<_fNEC^J3nnB&@s;LPJR3-@2J=|4sH-ecuM7fp^)!RJ*;P}o zvOv8$2-Is{R8X(AK)o&q)a$1i)H_@?^#%*n8-qYy^P+;f)&g~15U4jzGpJi!HT7l- z)LVi;;m+l3r!F}S$gYb3bnDb_J?PYLy4-nMLEYx6DeKg4J?PYLx>$NzLA}!j>Sn9? z-VxM%w@foA*C)UFtrn=;f|#1c7?*G=qA# z3)Gz!sJntd;kNQ=HT3tmK)ufb_5L7G_e?V=*WDocy%wks1cCbCG=sX+RZ}0bK;0Jv z>ci6v>Mj?kk657Y4+8bkX$Ix`j9h=f0`*`JsE4K*l})R&;ERLJ*NTr zK3DTu=cDUE=cDV{pO2m!s1Lh9eadRSM}wO0)6?91t`AxD&sd;78wBce(+tXW-;Dlw z3)B~aKz(tVL4DNKe2-b6z7z!N%hL?%0T(E1=e{1ab6?MX=YDR6{-6t#wR2w&+PSZ1 zzjHq~P!G94Sv&Xjpq=}A_B;1;1NE>Al(lnT58An}XTNhlH&7pQfwFe)>p?sB_3U@< z=LYKIE>PCaeLZOBzMlQg{oFu3;sRyu+}DG4?(5m_+|LcvCtRSco%{MvgZxzXJNI(~ z^+^{fYv;Zmv~yq2e&>E}pg!dSW$oP8gLdxg+3(!X4b-D9P}a_UJ!t2?p8d}K+(5Yw zanP-u`+CsMeLee~`?-N~odTd+JNNaVo%?$BJNNZJ=JIal^RDK5)|w^!DX8~7m-Q?m zx0jG^P=B^SJs$+>FIj`it){-V>R9J6Z0+k;GDx5W_+-k}VDq?|( z27!uY4Jx;q`jV@r3M^0}2vlL#pmM7zH>e^D)Qq!(QbRLC#kiiHE@`g9^~rRta^8xE zL+8=u%bMe^#ZJcn1zTlH}00{`fARWnqK=tR*a z+LUKFG*78VQgl^wRD*aX1T&Gtg`o-~2PeEG{^`5m72S-qw9rB%vNW{JNTdlDL(|pJ z`77o<99nfRX-p|(8EaT5^8x2>)Dd4JP1um+>d+d$Bx^sAb&@E!JIT7x+9^q{d^ptT zU)HH8*P#ZjB-crlP5wz<{BIWG;+lp4girW0soN7gzsN|^laH!R3 zMVnwnt+F1ro+XFv+2*j#%Awtv!#3p5E_1ltzgoKdGnm?VG6T~dkSt8B`z)aM`lmUy zTBJe9YT4xk4buqik~#E*_Th$g>id`Dg7y%?$clOMS3ex;_0M7j>sUP_654O{-$>{H zDC${}&_QIT8@=xeS)@W8iZh`y6A!6qeP=1!pntwoi`H+ohpTA)R?&t+!-h@SMO(QF zMLX)B#nhr5HnNC>j!^B%qKzo)Q8aP{DAHkMb_9PTvPj25X(Pjo62^bHcYXyjEDx3E zmFGVkI^&=1Wvpq{Xi;cF*)46kD3nopWVS`2Ny?T|3>^nW?Nk&x0V+>YCqd;)Q04iE zJ`)jVBFaoC&xG2{&`AmKrT!NB3V&czE%ZvN57R=glu2F{dZl4M`+{`~c${P#4%Bbd8h%%sRj)h(gipm=cy#`dsu%)YI=EWgInF-~YP@4$_vZputm+d-# z5LuP&O`$jY8_?VQ6P;>6X2~K}$s$}b(|{c1KpAcb-8iK)u3WX?;m|GFW=I{6+#q#J z(_*Pxnw|0OX2$4*W`{(^w}x&*!+K``clZyEt|1nY-|b)Xclu+_rskEKe1`mwhVJ%H z(S^qz@|9bB3iZ*@`?D+5J)wL5KYP~!A4T!~XVdmBmxK*91AznxEuj+v34~4{5L!YD zE%YwEh_nFGP7nkX6%-VeCZI@yqVysPf{G#{0s^8xL`C$EiY5Oyb8TjCcC&g&-H)<+ z`^|jcym|B9w4L2`TBIo$l$cbB-4?L0uDMO$s@W%PQV7^qCG<;he-62uy)@yRJ-V9A^AOHyG2+1ECBX1OvKQTsrRY*Q*jJ!!mK4px&S!nIk#>iWQ zIiE2`eodJ3S!3kag*l%$M&2qUUob{~LrA`4jJ!=qzHE$~BP4%ojGQYZUol4BE+k(y zMt)OR&p#L=za=DJGe+JaBwsg1ep^`PUyPC85t45hBkvTFe=|njB_!W8M&2zX|7nc8 zM@atL7o4HWEk6sx^!+J1!JBAA`c4;GDbcqBwLJ;4++V^#>npq z$sxwbhlS)YW90XQWW^ZyeIdD^G4c^1xv(+vQK6F*HAenGNRBW@J|-j=H%2}#Bu5$} ze<&oEGDiMLNRBo}{#ZyZV~l)4NRBZ^{zOPFZ;bq@kX+Fi`J|8>YmEGvkgTzCt8T+i z3CUHB<@~uYs;V0!pBCm^(-`>+AvxX{`HYa9V2u2wkX+Xo`K*wfWQ=@HNUm>;d|pUy zV2u2gkle@^`GU|%niwNr6z1H_82OTroMMdpwUFG>82Pf0+{zgF8zDK(82MWvxveqs z|AgfB#>iKMiKNeB)?*eTtrA-XN+7_NZw$KTuexQ)fhQKNZxFWjD_UajFF2A$y<$)O9;u^jFBUS zl0FMyQ9|-N#>mk^@-AcK(n9hcW8^YI@;+navO@9!W8@ei`H(Sk zIU)J5F>-k!`F&&L3PSQxW8{iL@-buNN<#97#>lZk^2f%=aYFJZ#>kb0iELmx$F$TfsHpEpLXDI{MoMy@3!Uou9H7m_aVzZoJ2{x06f z^zOgk#}s%|Eb=`cME)TbdBg{ie+K>~+Q4z`w;>erQ~zdQVtjo>=6^ z|6XJe5{vxA2a%Fk}@SmbpdL@Hui{$gCDSM-F7Mc(j1WI?gW-+T~RNG$TE4Q}8Ea-#CXtBt`K8P$WcJ-phMS3;CWyB&Qd=ObyEV8%{ zB4flNBYhBAPAsyN47TLfDkqKgvjeHPUN9^iNjEnSg^}1p$n;94B4V66Vv((U5LsU=GR+5($zqXheGu6|EV8{1A{&ZDru!hWkyvC$A4E15i|p)! z$R=WuU40PQR4lTa4?0QWln)~NibX!{gUEhjkwFM7Oe}JP4Tan+wVHggL*UCZ`C=^@Zd$YH|x9Ia#Ro%W85z}}LUO8*Okc&#zC*jCklad0-mT8LlaSn6NZzX^ zcNUVxdunnwA-TOUB#)@c-G$^1Lh=V{ zat|RnT}VEzCifJQGlXUSNKMWXk~<2?C)DKLLUN~|PXry^Nj15TklaN`KBXr26_UFO zzEHF+=(L*LUr6pIB%e`}2MWpEg$6sTCJz;odkD$r)#PD9a!;X?Tu_ro2+6&Kg-+*e4xswPhtlKTm(>IXG>wvgOkNWP{f z&l8dd2-Uu>CfkMNfx?`BQIi)4$%BOC8*1`GA$hQn{F|EmxR5+VNWQ5iFA|c63dw(} z$%}>LVM6lXYVs38@^DdA$w*CJA|yW~B>Sn!PYTH+gyaA<`Dr0}q>vn>Ca)BdM~Mt3 zTh!#$Lh@)KIap18K}a4WR69gXeo;ssE6h1eO>|JYGmHs3va^k|zks zh1KMZLh?kR!HTNMuL{Wz3&{~`vg2#_>bERU5|WFn$&SyOtI3ZD$&qTZYP6ol4l6Xv1;-OA$g`y?aFHMr$X{9A-SrWd{Rh$R7kF_CVwU*&lZwvs>!E>kJ>7a_s^9d&Xp&_)zn-$dyhO*U0I_TAB&WSkZ(CV zzt|@aQ4|nAOH2H0PW)W4_;LA(pXV0;8M4w3eGYVJ-zzOHS6=+4yd=(lr@SmzetIpk zwyB&euiOo#*dsr$6y7SYd0T!tvyl9X@~{%MU0$CnZ`vbo0eGvtEmwY%Uhc@1cX5jD zaVaVx3piJC+3s|%a>y?a6-R#HUb4IZNwRFugD;f2t^ph-%gdP0J3dUyM!rW|90kdH z<$d7QGK!S<-K7oHH;om9v_XYfOdES?TnmD&dK9?(BfTj!;K{2R;+k4;(;CZNm+gsG$6DXsq zvOH6k&&l#--i@Ad8?^$s(M4+1!r(@ioJOr9D#FC>)*N8c*{4P|iplm!X& zr>$9(Qi=_R%}NRF1`Wb{P2f&>W~M)p+_nm7CoO(e-0h?-DF6pBEhY2^u9h+!ZB}Y) zOWu`{89-zv1>!&|6~0)_hz-I)9X#pYS~f@{)cD< zYFh|DN83-REM1Ljh@gE#7;RTJ!Ev(`jDYv z?mORb2N?97mJ-x1(05uQodG85J1r&MxWy8>id@=nX zEsndfs3NF7(g871UriYGkZ2|wokx^%n$c;g#iuN*owAOn*lsf)5t!M}J+g{JNhsMJ z#t@tOBP>f44a7(;UL9R2AH)7KNeX=_J03ei4Nl^P_*7lDPbE^HN`|;fqOleWu~v`9 z8dzLZ@AWOo&Jp$Z&@d4{+Yc49)zSniyBXLZAlK3&*U}2E(pcLaR}^jAwWIZB>A(|O zY7^4=lquRN9o0fra#zc7b+w#R5B!fa?zvxNg$$Q_B9-@d^0)z*po`f1zhT4+y}zql z{4D3NFw+st-S}bQcS>o^qRY&%&|UQJ)J6B2^L)qXmMJQFceie;aiXOslsF6Gj~ORg z`e=mdEgmQSOtmJ{4Hzd{`cn6XaiXQ4v&j^V6D|EUOEM5jGFVlTp|__be{v-m<}S%_ zy^=hnS(1@ZlF_P?jJ+)-k^H!ljB}S{yk1ErSSC7}m%xV5yjWpz<69`fBuD!)S+O#K zFNG-;G`-(4g>(dtX|iRSMuB-@aoWOWY_-hEwall-1w5;`HVc}ynXbqKWeY<}SC_jO z3bh3OEmNXcaRrp}+Tv)5oCDgYjC5^M0i}wzIJRqplsct{d7wpQ`T|OZw&W>%k(z6# zoIxtdJ)VAAJf0@KIxn${u0+c+cJwV32uHKE)2noysGXASbHHBXwNut{S9$@i(x0KF zm9b1`4=b?D%pNS*;+Q?0+hcjo+c6ZSqIQ1Z7^*TWO0rIJ)|iS?O*AF|DjiV^VAZu4dyzn}V^y{P6sI6?5!laT?G@LI;KT9`cyWfX?U-?~f50$nc z3z?9(7N6A12?1m+!P3w%1j>WCQht*jm?FVwi42(X&lR8`;tQ2#vahX!Nkh)B5fP%L4UP|w2e|U498vqEIT7`Aatddj+r{ z={!t*)&dsjcL}g{fLZ*G0k$46m{|LNE9$j3xY|{J8QN7?-SHz{=4@B_fGMQwYqSmA zx}SFH!=!xNkT6mys-0X_yfNA-iC|UJ5UIc& zOpSYO+?3>fVK2fDbR(6j+QMeA<&RV%wNrAn5UJ;~huzI_3C>@k`?GX`e`Ll2Lk5@% zaq(GFsjr>;F;>r#dVQQ{*j$np$2Bq}xszp;LY5D6EhlmD&wcCpG3r zw43%6V;`$rl#*ny7*Tq)%ngO36rH(>XDA${V9454kQcaF(J8H2k=BqSS})Kz?~c~1 z4(}mHwBaE~wBaE~wBaE~v|@C~5v>>+azv|#9R2}a0tN;Qk?n!Gfd>N*%l4q`peKTs zxrZQGaHl0;2sZ>_Z-T?T1LhEp+nCGpq^@4`4l)%M&JTGr6~f$+Xkf)xuJf@PI9njuKmGd*+6vPvh-l$J}hjrxWj zukfq}+AKPf;Ic3}qbbX^md@VYz4~<3yQ#PQu*dR4uH|~J%3N)x{hejVNIS~A>vlHxVnHQ3xk z*G42PY|%V7cBQh=X2tj=OXX-w1g!~34YJ&%GqBRo68%A1BEOE%>ikJsBEQDa68%M5 zBGR0QTAjaLt&Trg4Te_7&)Ii-w>lLKwK_0#`G$>(ih9E#ZUkSE^IuhrTSZ$J9CssC zaaGB%^H$PxUQb+A;`CzT$|~OU;9Or6#8s?e)nfHj^BymvA+(iqW{5&&E|1!*NxG^IsZQ zp1a8Ik$zP!m3uzo{(Cj?jKOME%^0eC&lnubdRCivFD+}wN)5xYQsbY+N)5xYQp0ep z)G!GKMM;YwT9Yy<1TI>Ra-C8JPm$rPD$F}J6%}$M=qRT*o71RnF}WvcHsoWE}UT4g%b?B zaDp)xPVjc&qv#8CmUCfrR_wx#yFT%#j8q%FDQ{Y0oN_)bltk5wz`n} z-o9$9$s}&8$r9mK6R9!H(y@Q8`r6ZJao=^|vBt9Mzl|Hjcxb8UAOsD@iGCOH~9*VQQ%&V5#B`OQCuqOOVC&|7SUnU=LWpIYZuttaYxaCwucpG)$0>(*0=V00sYCyyXFH)FX|_2PV)r1}W{ z?SX&JtrFIng8HR8kXH^tU_U$UZ^|w&*$UN2_m+vv;j`c#S*r;LaC&w;ZN^?!bh7gU}myM?It- z=#C z04KWw*zY07uvEGR#&rg8L-^U4b*z3$B~2S!X3#)yMvpCc7FlXF67K!DkaLE+J5W*u zf#R6)SsSwX_1Epy)n)3hBx-BtX$|^wsyXA>>Sdt;dUpvu(gt`3l%vgrf{ZU@Z4F~+ z_z{$AZJTRN&$ZIEcxxAL)U!G_P${Kd3~pLIQ0>IcE zgeV9*NRZSI*`@wcIcb12RvPH;MqUQb>`J?lCE%Hvj&4K}Ep=MExxKKT(+e-_c;OJE zUf7fMiXnzOogrLRtGzJGpcfAPM_xG8m=_M^RMYgrVaB|0*uV8cxFf`xcDP~R8-B}u zZwUC_Q1HEB;CsV4-|Ox6y*^IgyDVw}`?`EjvN)gkBQ;)2YX~29w8IrI9cqc064f4c$Rw z2+pC@Kvf|)hdB&{7K;ot+-;y!a32DC5~;T*IN`xgD?HfgX@|xt)wSE9I@GFT9ZlUR zdNF|>VPMUQ$kG;}zGX1ha9EG!tm>*luL6wI+Yv%{Pdev*lMPh(I7M%xyxY6RajMY@ z&x0L#;ar8o<2(5eF_;P;iw;PR=Ut(~fgEI=LM!}lsPL)Ii0Cb<@M-P}_l}6MZk;D` zIy2^U_t)754D_(hh|uC0fIiG+c8elnB1DAep;;0UGu#m|Q!gTB88XmhPG^^aMlnss zYy$?GV$48OxXf;mfhL22JkQt?1I=+8Xs(`t=D7{D*wLm+in~p1=JufJoX#!-*%<>Z zFkql;V{K|Smzk^QB(urK*|ukfUa#%(#~Fg2lMLJztA}Xr!p`*W`Fv^99RsiFP(j&f zku(E+Da~|uex#zFa97k4XQ(TpP+w}OqGofpb5+!nOhqj>P*HRACg~p2&fzlCtf;xh zDr)XOuBdtcMMceqikbrzH5V$%@wPozC;YU#qMp&KsAt_3RRSW4JOlQXJEER*JK1BL z?Px^_mLwK%sfdN=3Q{fP>_AdE-mt(u*d;x#Uf!^-0=?=g3L8|5^lE;JTl+=)9R<%j zw;ij}kAV#rpqA1?G(vhDEtA-n@O>q6?$z#fHhvio26yh~GeKE+iG$#INogsKC#_{X zcppQS^W7SLg_BVssS`poqG6Sbyy;=PbxrJ>*7fjjBmCPO3-8&8f%j}!U(2;_+aoW? z33?I|j?cBe>DUbEG=S2Cy;EY{3A-$Ff}VDzBpS1(SDMm6w{vNXq&}Auw8E8|EWEkg zLTN_t3^6G|?sM*xdvdL?Crd58xl$6wU@p#nDt(oUkI+fl)V3dN?YuVKD!=yBgyh_tbZDcUrdX~s3 z)tYuid^Q`|)Q)Vtjg}_JW)n-~vT38lc$IyNX6m+r)URu%ZYQwo8=9%x3sUE3rtUyD zE%WBtu9-SrV9d8PQ)kdeih0>@Yo_if&}64(>Q1!z;$`pFOx;D_`D5YM&p$s()hpDKi9JVij;e8}fluNh( zlU%`yJNfgB5&P@xkG6hI_8KxT*--lHJwgBvra(c%aX8KX8ywDLUl12`XMc{%UgwSz zvu_@9)_Fdb*~bkDdui5<^}C#)(_5|ApjNKCn&toNLM#rKu5~13pw7h5`WqzvgG;Qr zSMx3F-~Nb8s&f;BEy6&ZJ*A`wXJ`?EK{kCom=%gZEMEv0B9;&K!-W{j7siEMmUld@ z%4~U1hUgBk8Qpak!I`HPKw?cz^IKfI=pj`RNafVu@`A&0i{K(u{{UQs(Z47z>d+tV z3&P7l#uo|>a%>c0v>Qr?D&nTtLhm>x6~o1-a0@QR2#>%KF5_?kK2)ipU5Yx?+8ARh zFc@P-U~ybrL!geIgFr#^HdN`YtpoF@hB8#Ik*|{zbe5Qx-Z)MwflE-OLvRU3=|~*u zHu3WcY$kAr!Z4l3MacW;n1nhH(}>GAOlLo|^$4|bNnDbu8-`0V>XyQ#gfx$EdU@F3>{f0T^D3X4;;~Hrm6K3EBzS7H^o+L_6V(oS^d_ z7cPg((eg#&a!mQkQ5~_f4U0U*M--+ zLE{QJU$Yk6DkC!xijkI)83d=c8JRMi+Gk{1 z;FO+`sRRM3P;f_ZIKq})ljTnECz<}Kv*S+|&tKdxT5~P(pqbq3a2AtJyRK4*+wX@S zrBe}ckkWVT6bkO@$jV&=xI^x0#KM8E(~3bq65Nd$43Xs8kHBz`UP4NpokOH~^eW11 zB}~(I97XY7GiHl2JD>I{xLW{Mmf1Q_)N#9D&}>DgD`THyfs{JtWFm@KQs)VIGWz$j z&z$`2BiIb#sp>iT+J}FA(;UP0nPb>Ka}3*OwxTyH%nACz84j!m%+uZcniF&lT7)c! zRBzkP*U`%vMt#)1M>v|VH_JMf8{ChE`T$4#c^Uy$<@x#>LN(RxpHHX+4-%>SkS5FG zR(FWLx{qj!VBf3&w$btL!&>!V*Ln8YSr5a!3uf2rp4&&XSE3l`K;`FL%jC>z7RDc~h=rcD*M1a8A&5G9>AhQ~_6@!;!JL0y7+`h$}jV zBaYQ0NLLA0qUpxtN=&*~3_{48p!s#1aI`>gtCC|Z8;9wOrGqD8&pk9-Qf2IUx3ps{ z>owdY(sdq1WJY=Ft_-HG(3)_nE`AhFVtJkfu59I`w2%2b#nm|eZ}8Y=Q^SCJbk(QK7GWw^{w87}ja`IOlY%1rKolR4QkGEZ7g z=45gJ1F!JFL5}924z5G3@*J+iSfwtm>j`t}Fo`&krdx#*nRH1w$&-#P|7ty#baVvu za6KyV1zeAjSRdDC>jNfWUW*#xXtk2A-IQ`2!D^jd@|HR|vV{cH8Zg=_R{Iz9Rs(0` z$iZ&!TI2GrWSmS(^D<6mO49&0U`yi(s2BAfjdBH)b(O=rx;bXKu6b;vYrc5^bPjO7 zh&*_0hI{hK7G;gj8+?K{@F~e{R`D#9555f}42KvBg@ayFNlCHpNY; z#BI1KBe5B7#@3zK6W8gDkU39Wr|7&G!ZDXF%fDz7PtjX_a<(^q-%*=y3@e`&Ev`I_3m)6ZvaK>^RJ0ioJ#ZFfm4~h zTj5r!nh*>Q*BfpV*6X$j&=%BXKlKp~dJi#J6YCY7oxD&JilZi4TQM2kqbi~LRL}VclOxF+$)=MQO}>i3%X!<$2Z&``w(|w z^6iSdiu2v7GuX6#2SbBTJQ+p6_5=7lM)1j?K-Q6Rb@rIk0UNip3g0(!tf!u2ZqbFK zT)lQ-3M{?Cx{9kuEAH;m9BZ*Bx$$GJ-na)Ok!9Ocp58A7q_UOSurYa$qCH>R43{&Vr?5OB(dgP<9yql7-~+^ol80pqmNBawfV! z6Uol2OZ3X(yt+)U=(@9WMLU3zKo^~zO1am855QtHyx(X%d@h8nal6;24tVV0Ho{K& zf_%2uwK}$k>|-l`x8BIj5nGu!lX~R0IFs?nZn&G+BX{fFC4txqhW!HYdcab=7Sj#3 zxx1Co+9|nd^=@UPc1mttcem0_J0*<&Ns+k>(Dt1XHn@t;4DUZZ`|80{dq3* z_v#Fa*n3yuXm37UF*JXDa37laHQa~Eyf5x6HrQUhw{|%i@_x7f-;UO7=Q;*slVU>jMRa6pxa1}buB>^?9-cSI;vn09z>eoRG z2}ovtOE}t3x0niNGjxMXj$9sEo(rVq;e7Xi-Z~I$6$<{7wekVIfae;Y1A0Ri=H_!a zI-pk{uB|8}8T+<>*smUZ$x)!-%dCb6b#98lBh1V|{-EBirS0xsG!zCMhw?RcYrpkry#iQIkDU{hgX};{~ zCUfM9p3Ruf?$mo1XE-|Hy>DBN1^0tj4YIEt0-Fs##00?zJVG1< zpX#;Lj;3uS9!VvJ;*pHRQFxR`BF%X;9!=8~z@wRTWAGS9I#+*T3-=y-f2s`CZVnxd z)mS{1N-u=RGSbK4aVqIW1k%HiT}M3Yub(OtwNrAQ{i)u*M~C6YbZf5jpIC@$;sY+*^ttHUwRCe?_6Y)fLvSZp$$OeIF)$&AD)c#6tmf=WKA_dZ9?U?=tNtUC0Vis93$5JaW% zR7Q_!c$!;}=ancWf-jx+r!~lHIq1+IrZqfgns&`O+Db&)Zmo&``ZM9G%je z{c!7vrwseSseJsPrlTev!;evWRKt%k_E>-yzC7c$Zx$B&Ea z?{mEylYz)w(#web^-#3gu%+XArrkNu=_I6AE} z9CNe``a7vk({YM$0G#XGr@9m`rCQa&OBt<};bn|gs;2X_&K_^=_Ug3GWQ1&Vwk7hr zx=t(FYv24G!_#_ReTj|R(>m{Wv(@KQYCj>v51BvD$N%FU{{JL?lG?ceev+~CQ}`*j zo$1t2G}=0ozyB}C%c=B6csV2eY5cU_*pH9dj6jyCx8`f z&EQ`OYvnKXR$O84oDDux?YYbFrQYf@N!^N1t+QQ*q)y{g>vZiTbz3fVIC@IE`+>!w zy5!~{>?pN0gXKIJOysk9^Q_){mMwDZ6Q-S z09k=o&~Qt~E0}OwiC4Othv!vqu}2qmUY|soVNc*()VV2SP2f{%e^bqt!KW;z9RcL! z%<8x9gTCE08GM6&QSZAjoDW|xVq~rYFDV5ywXiTd;KR`+z3-yDO)Jj3ke77Ux%om~ z(woV1g}kU}ZxXe@t@b3(ts=7T!`of zzf5x-hF@lKeFeY5K zEdHc(>j<)Q@2AO;n?Ni%w^rFklQ?p0t!m~N->t{6Z3dPg#^Uu%&KvLsk6Ta;H{y*n z-FUo_N%ty#)sv2Og&TS!kehXiFgwuaWmfRF0&(m1j|-8bZpHpA>7b;hJf4~ z7rF5by@%R3H@>0h?2ZOEPI1_H3*JIYItg!KO8Odp&0{;aCRbQ1{;Ibdfz#wyy+$9( zX`9Tw<^}z#x7&l8QU9tptLIi$e$}~kM)vZwBxC8R@NYU>#@}^1c?%3lTah6t*#K&r z>Kb&sj$fztoPl3w?70fze2W}EJk{sw-7N}q+_V5D!u+q9(Xd=--G z4{z$NdO&}e#sunIPoTDSI8hGHp=!;?IgDDlIG1%IXiB!@?KItEcsrBsP5h=O9c%u) z`~&qZ{1%nC5WmGp+<|wn27v+SBJcI(Ji~#S_b&o9&v2mTZI_@gynv-)_T4n$lFX%ods~(+v{U3$S=29=qMw^n%d*lX zAD8+d3tVw0-bqck9PeaIxC`%cxuON$E#akAFn9ax1uAJb-c2PvgLgBM_TW9bl5G4V z?{C{yWYTulwi+TJ*Y;AbZNqk(<72&>VEma2bz<8=Uple?E_Zn@=fmYb&*ee5Jmk5& z0+;W5F28|GvLVVTY9CyFOfS1^wVmqXax^f{Uc8qU>NMWV6lx#d$9fpVm3IdyCF+g$ z9FOIATr*Lp-LYkWU!Gwa1Q4zLbuHLR>u8HX`~7%7)&4Br&uD)DA5dxU-6}v9Bedr- zM0*-5o{1n33_kS*DRsWQPUh*PCjspb;)7KC^Y|d6{ULma*FM;L$AgrleRm$79H-h_ zma!I1(!0^l_7GBHIGUqv8Akng@w-(03;10|{loY$ufFY)SCb6t>%2(CsXlx62nae~ z59QQP(i^TY+P{b2quO7_?=jlHkKb2m?|lbXs%OCXFgx@(e?7y-Pg3+gX6kXBBlrl_ z{#$&6(f%kts?y$j;s@I6J?`&te9rdu^k!6??d$11)x+5S1N;Hi{tEtp(f$}drqceZ zx98N)-}bg2Slib(Z2Ni!ZGRjer`lh`#~JNE#2@n7d%v$FT&l0PvB%-} zuHwSb%O#d9zJ+*gW0IP4o24A%u+Q=5RBRCboDq8(pQd8n-|Y;s($|K=q?5k|C#C9q z&Vwv7!VL%SEd#*PdHe*X!LSY7mZ(Ir`@n(IRkJ6h3!097R3ys~n`7nNz2&)+XZeI* zXe`VwG`cooK`CwQ(u|aZz)%^wjg4RnY{(`BO zGx!WsFCpQ%Aw|h(kAK8bYNfNFD<605or7fMx%mmn_DlRF%{Bsm$z*#LpJlRje#X_g z&~lKClh!&plUu7^%hXb9qigYyQfJh{-du&0I$v{V7dRkgs-{mlAGA1!&rypM$LAP} zoX6*>MM5I?ghb|sMDa$@zF%x%cXmsy;puAa{N1}NLCvyEO*y7wU*WH)UZwF@j9wS; z1-_<2V!%Q8r6MU!Z-+0tR0Jt?o?Q3nmnP8fBECrVD~~TS`dz}8RQgrYXjt0(tA?db zJ`D@B4RPwW!OxrVwXIv{$?0c%ir4HOnr|B!8<*rZ`$H!RQkIPEw^uDEQ zU5NBn7o<|XX3JgWUAVl-of3GS z+DmFzeih8QmULpPYAuNx35HV%js+lc84H(5p34ew*}!wz0xp|)E_=ddisy0yT(>%0C?Xm1^@2b0BgrMI7k=eBzM7Nt zKm0!$t-bO8m}tF%uQ;N$@1Bspxgi5{LxzE|dnJ8`zoV%i!rw8eui~q!)ZDjdp3=E# z$9!DmDYXk&N6YWNfWiRL*8|HR~Z9baejoZ`~(XZ$lwJq`cNr2Yl}qDswa__Xd#Ah*Lm z?WLieYWRQn|7f1s`2UzZZ{Qnjp0ivU{)&I4sb}L~nbg1G-&CnN4WH5LraU%$#;ca; z2P~3)$G_7&=i=X)Ja6KgY@YL78vcR*ps63je=w>4#DA(%a~eKt%nzUC8VzVa9`=GQ!XGp?r#DJ8|wOezpt6GuIJszvW zx_LN{>ism%bL(B;K$3(GUR0NmuX0`eO1%eNJR|Xys>hC?^#)t`LwTXQgw=yp&{cHi(+gIoUdTz5<-!607-88Vf>f&Aj+ zTL7^LqKvCX3qW24s2+RBFHR2y5JjlRet{*|aJ`;OemKYor}7VyU!44cfD{zU zKTIw8zItltw&_bkn-&6jg=k(!$uBOi!hjSO=0&E7Wbn#*|I5O%6ag7UsQiz}FHU|@ zK#B_Ge?sN+w|rmWyp9Z^NxM)CWE7+FPm*7p{0KlISow~TjHr!VtM`&jPaDa7W^k?E z6pCvj*Xpgsb8X~Wy;q}n+Q_wD(+Oe=4CTet7N^KB&KAW1DXy{ww2`NCL(Y)9NR33z z%{rZ-8(kQ6x&Rwk>y$d0-L2zwnvfg35ZHD@k+}uRbvn!O@^QEg#pj~)?pb<2SffDW zG?k+TDkOMAsI*R*s>yN{30|22B`Uy|C>*WQBzhM~Z-JJ3T@)y!^G0}jb3|DB^?DD$ zXs&aw*Lm#4ReG{_^@eH%cfHcoc;R*5dBojXSr?AhY09>kV1R z{W-@v|4nt-!_hq5+&s&3US9D)6SSM`DOF$b^g0?Sb z$uF*bi3B84*uH#4+82m;@8Qfw-AP}=Bz`e^E zBxx_l^HYP3I`6^?;id)~b==;uQ$=WsSMz_xulKu)rB@BT;+Oa}Zwl(QV2uE_O?_48 znKEh(&&?^<0h$$UTD@$`I}X6Yj2YgvxZ#OG%Kt4 zCLOENcf)(Es(;JRb60MYVf$_}Y~NQE?dDO(zMJ#6ub@@kY{jca2%2Kt>dm|0DUu$&Usk znw9TZ!E}yUTd=P6y6%{jPuWO2dOV}b*M&3h(x6dks_jqY7pH9*K+34Jg;C|txgo!B zGw-e3*xfbHDGThfRPqh-i<2A!NQ_YOuV82O+~W=5%)1=OC`aZ0PJVIn%L7tgDF3FY ztJ-F4=Dkf=&I*uM1**rNWm7hm`aq?pUfvFO_TRgkE(!F73x8rs8ajOn_OJF{IMFys7B?Jb(w0M{OW*I7s|JS{6Znx^X|8VHmw2j zYS6sMx=9T#ubP0=6y^ml#PKT24q;hpfs9&IK3N&5#mSEcBwi@L0F}?ryWi$~&eew1 z1{t-fe6m_mo0FdaNCGP#)@ETn+pBeaM>vbE1ME6fGFh9b!%40SNL^MktXPXA?^H_; zp07E}-K87aq;f^0&|NxnW9Nojx;~Kz8Yj}ykd=@`t~5!2B&kXRvAHxiw5ZaMn@aA| z`Lq|Ey}&q^uEux|a(5|4*S~k^42MH8+n?;xdGtRN*4ZE)Nbe0lJfFm69~_l-DU-E3 zByPg8OJ}g-7*7l5y1VnA>k7uxyYrjtIv1VlLB-Xhl}d&K^|(r{4@iAOmAYGJ{m4sIP+y&d#irS8`01^A_oUGV7% zZBNmj?(fOJ{sja7JqGJP8R|Hh);}2lC3E%P0FVZT>VHqZ^$!CRw@0V?xercB zdkk0qp8TsnMz{L+=uOeM>fd9y`gez;8ULpG_vT;yf_ceagVo;_!~2j@=wM&8a*xx@^wLO93Q>k>}h%O8eRt z@V6F}M@EA!I9^LYTB><&Jq`->NCi$Rl}AQ@shqr4fV5)dId_y&JzB%xT2mew$+hNq zX@I1ud2T%paeBDMT5W*ShRP!&w>F%-wt%$d<&pi8RF8J>w|10AMr7?cUVA{=t9foc z-WBT60XQ9~JTeaJz{yJoBwZ!1l2eZi_*(|$kr7V@$Lk14M>Wr_$6-#7#jm3cfinUZ z2QHQEK~;k42Q`HAl%Rz{i{boH(1oC{WxG66UMfEY=Zo?$@~^VpQp!@zQWMT?EWIp! z;C$5brRBVA4^9d065LI;+k$MxY{lW+&o<6B5zgCe2W^LCd&rQGM?$8`_RxsXN}-kE zJS6myP;z}J^s~^@vOO#f#d>>WP7oN3DI+-?QlLE{dx2m*D{G)U;1R}Z%SX0 z?Pb!-^eZz^wwJA2HnnUToO_oYU3MItx0c;s_K<9kX%N#c2IR)9jM)_P8k~QK$t&k4 z+sh3sH?iC!vc1A@6|EIRWP7FfO3f>^gtNWUib~JJ`P)jrSNc=7#}0^{5IYIZ+hY&M z9+B;Fp>d_+O2c_r+?2TKaQrkyDoR?HvQ|%Qv@2Ga9 z+A-N)J)(N0>R{LEN2;HxeonU6m{nt0jpcCOSmT`^fM7AeZOiWCKbcu5kmnTBH#8Zj?ON4aEEt0z? zcbDyr8aGOB)Ctb38ok!&4LJYQ*spP*Y;U}-@mr1Gk?l=NHL2DF{Hw|1O;$B|QMNa= zz{f8l;at6GiE=$;k-F@cPi9#t68m^Bh=;aGZCkf(3+Lb3S=)uk_IA1L-fj23Y;QlV{p|Mh zWP6929h!DXf%CO=DLp{8r=Lr|mj1JB&q&Bh)SLuxD1GteC6{aBiHHo(1K}%E>yE1@V$~G3%Es zh@;-!dk^moa{3hN6VnIM^)1jhrZ1fPmFQQ!UoAL~?`Q9~5YC_WyV4Kr*S}}~G5yEG zd1wER`+q9i2Sg2sA5aI*YX`hD0Q_p8JTP)#6r9HnoHy_>IG-5!?ZEG3`=DBbS`KP0 z+Xpus+;MOh**<*L@NMvkd;93QqgRez1?O)@|2aBOwvTyo%!Vi)B~Nt_J6J z+5NLY&+Jv%+p@RA`Lpb+*+0s5B z1vrySmLYXZ6vU)v8ETwmr6G%o#WF>IbE~%w1q-MwUF+|x0$ovvh7?wk zUYbC{M{%-zS(Y89sENXKWU_MeOXn@SJW}$J&B`~D)@{%UsGtGStazj89exDHq4tqR zqrwI=a%I+ny4ZG9^dInC+&m=zW1ib49Z|$T%#zLa-*8#yY>A5hi`-ca{w<%?;#Cy+ zFSTGb@kIu!%`2#s58ALA`6`F^YG?qXeN>E9?pDZBDcBK}xdjTcO5Q4Yw3#D~gR6-^ zQ&G$<(v{WsR?76&`7>1h7V6CEeXHd%@no5UD&AtPS!a|OlhQvsOo=M8n#6Lbv}%nRsWBR#1`vz9p{VX{E;U>7JCQfjL?zvUqO--n zQ~5JN4ozA8J7fjc5_eJu)*1&OtL1(u z3mY)wP~ZEYcGw!aU&_PQQYz|ypVSgtQ}D2@#yubv%~tkt@Pt^NnK{OqtU6-{|Sy@2(E2iXR!H%v#<9$;@^ zJ>o&P1M3wtQ1%1v6|85NwpieN6_D$l4Uz@TG#v!7PGZ_)0ksD++I-Y>5o!|FO-!3O z+=SSK?Qz0SAH7wciBz4G@kwU;eK%S3#p$`&bV&?f1_;Q00aG1JO#; z3;&fDvYu$#@ZWhNv7uue2rt&A@7DJF2|aH*AQ!jy>v5r$@J1&;-##onl`;Pp6c<|Kj;Tu9zw5} z-g?WtmGxNDuD8x(iCrz!Pr++p_Ox2I6odcagR}6x*gB6_vg;_T?ZF_6oSl5+D zqYb7j-*Q)G-PyG7t#@Z)U-uG16nfQk;s3;iSvNLq{NK1Su`$2ou-WwH|IC|Nk2dZ6 z-+8p!tG`08nO^;$dNu3Wrmg>5&nC8Z4L_t7XshYk|G8_k?rqxpzjtp>1Mc`Nb0@UT z^zz%{<*cWhHorZdPHfKal|YZ9T+`uio5QnCZ`%F#IX$tvYb1lB(3_^q-&U7r-QKkQ z?R9%%dw$_%hw1&d-TT=HFztW)BS0Mm-=lZTD7Yh0z(#^u2X`hCJkdaBy;2g|Wk$mt ziw0Ljw4j?V8lydCUEI;S;OpZk+Gp0s9j^~po#Y|etPdE`6MNk0e{>}&xQAn}SPnX1 zhRGeT5|>Lro!CjJn00dJ!^Bl5j*ofFlsyr1$c&h~CSph}xuV9bm%ArwNWG{dCl?(y zBj>J)95#B)y1BceM-)Lh=zTMS?z#wKqsXkEyDy5wk#y9Iq`NYb*l05A=m!VfGPAz!{wNbi+9zhD z-4l_<2%RzO@Sdzgt{%|{bk?lLd$u0Ax|FQwyjhp`bX~gYlk8PA>+;?jrINZ+|MQpS zbM$|Bd*mW?!HiBX3#l!3FIFRT_^joAr9%)GJrFXbAe& ztlRslZn^sPe;Hjd>-WB^UsoNIk+E67-Xl$7pL_kEpKGXG4qY`v_@1v$Eq8Q1R%F)k zy&tk#b<9qDhoK+LXufZwnRtLJqRo1~eQze#4CR2PWFth&Su~!HIZP2mhNH z@()zVvte)6{eu^wuZ3avqcrcp*^?+a` z`rB*`9@y4^Z4pdAcyL<;b*r#Ng58vGQ~$xX$b(*f$L<}Q=?i8Yz}HZn53IpsYw*n4 z&ywRSk!Ay7HWISEHT8)+iGJwB43-CaIO&Q9(s?-iqKy<}4kk>WVA=(bZ)8Xo(>F}t zV0?qLA0GdhECrkXVfu&4KX@O>kU~r!F?~efBfOtTeh^c%JBM3}x~`p!S_9o~QP zq~fOknEvw*{fG0RfD9?p^dZxS{)G?me)NS@%Jd`CkN%|}alV8yq-fKZOkeWBmw11A zK`LYVlj%=B`V;R{ZKN2}r%a!^1wO_5RfbgF^efY^ZjoQ{zBO5@X!@4vTer}+c>l_f zVom=t{p%L{m)gfFn?7dx*ni+-yq`^$s+xXg`q_WvXS}avNYzbWGkxtp^flh!vZR`( zznT8_ANw2ca~V>+>2s#f-3Fgi`(1+Rcc$OnCcoo-uZ>jK^gYw}Zlmw<{AM}6los^mM|7Cg7VX3~^3O?{2ai=1}_1Y%W2hCRLPWd3b zF3Qmw!Vwz8pQQ$7gJ?F0cW{{CY7|XBG#kY`)hLpDRSn~Hsgc<*nhoO}Y#51Cx*A8* z7tO};PBxC-4J0}uH8C4Vvw^(B4Wz4)biB|qPHJZQr0J7)fls>pk}Q0iK52H|ce?X- zdMw{-7nJ)paHeC?~L)YfcD&8GA& zZAx9usjJ&>Z~Cg~t9P-ly8M-N|E8~+-M_lqz1yytVL-asw31do&hInS-aim^@UMl^{rjK{ z|3#>y|4!7&|2xz<0HZDeEl}5h@hCH34eA!~5$YajMLhzWpq_z~QC86VsJHwf>SM8? zzLpf!-#Q!(uueq-gR7xI!Hv+6;5;-mq!$_%+7As6JBo%CD2+xG_!W&*{Lv_-0Gtb= zu}Ud4PKkwc6*N&vL=P*?;oK5URyv|7N^dy#L(`R!C|j8f=V@qWcuh1rycT*i{BJb7 z;4kRWLZ#50!iCZ7!i~|q!sC&>@B}o!$lu6bbQ79i^c1oe+lCfI>_7|g!{~9m87(Tl z4J|G)20c+?9a;k4MOYd+0?sSYlaV*kQziSNCrd6uPnTSdo+-Ho&aa@AB{!qzO74L3 zPPDqze)K}A-_eUvUD2AT@6e0U5$L7pvgqaLYH)6W)|Fn1)|ah;HpJ9H8_Ol5SIecM zP31blxhvXQZYz4D+%7opMY-jlM%&B3iQX!I0PQIMA)HU3ofUSX-4%aFdn(OBd*g!8 zzRGsAzw$10pvq(DU{!x~sA@siKWq*qzdTEdPUL2dd1M$dVSHkdYj<< z89HCT3_4do4SiLAIsD#>E+mJbuaXnc#Rj9%g$66orG}l+#fG!c<%WyUHw{<7`FV7u z;Rf_w!(2GOg??z1gswGy9{tqh3cB9pI{LZE-{^W%3EgNm0R7s0Ec(6qdUP{oDf%O2 z1^P2(Eu1%?yp~}SYB@@hT27YyTF!;@Dk-q#`%+NLtCE~rP_m@fm8_|~q~O$bk}dVR z6w=Bng|>>23bv{s6>F6sm1)&ns?e&VRJm0@saC78@b`&Qa;t}>#MZw^Non(>#I!f0 zq&B0adTj%xq_)-I{Jm7a-3+N-yNy!)_CuuP_J2wBJ5-R8JA5rQNS`Dnr@taKO3#rR zr|*UH=Th^G5Gf@i0?yT?)Q*RxR-GD3tvmluO6xLTYLh8TZ8IlH?YdWzI&|+SrFWko zb?m-U>ePLo)Vce&QkNbPQr8|0q|6?jq;5U>OMQEcl?L~iDUImygfyndYH31`Ez%=B zc1hEEd>}pAxUJU)(vYctsg#JS~q;Tv~l>S(#D6*ORtU$l{Sv7BW)V>ko4-P<1v~yw?Y1hQ=(ymF>rQMSQrCpP&OM9jUNV}(2llD%Fm-bA{l6Fm- zC>@xVCmozVS2~nkKzcWOxpa6&6e=I~JVK!zrA~oMQBY_-c^~o%%tn!+^-(;Mf*K-A zXfj{{L6uRd&<23{2Q3DyAz(p4kD$__jQ|S_`WmptfLVf$1J(pES$+zzrho;@*?=_z z%qss1SaZNa0IWS=MQjrR>i}4Utq)-7fEBYH1}p=x;nr0#-7Vq{{>>I+UdA23S<+X~4PzRwncS zU_AgU9o8GLo`A)KwF0acU}Xz50xS!#@&#f6>kU}BV#fjN16ajkZvoa9unNV9t@;5L zTa4JMKVX$2QUMzPSmlUXfDHsJ4!;N3Ai%2PHvk(9SQY#eU_$__j=unGC}7o!HwA1M zU^R@(v8wXfosdT``16IFOeZVFFRxc_Vu!(>*hzbVmVZf53`vNuzutw2ofIR|O z!)QBTlL2cIJr1xbfHjUj1K3o+nnfQ1Y#LxqOB4O31C~;n=$8#x^U_xUn*mtM(w_h} z6R;L#1_Cw}!D80c#gS%Jvvw=`o~i3jpg7^BQ0a0qYp^EMSiVmQl_Rutk7%j=2ihV!%3;djzm2 z0P9+=KVVA$>rycUu%&=?t8fFbWq@T?Y6;krfc2t5-3z?K8ntI|Bco(8OE zr9T0C2C&|hE(7)~U|F$~09yfA-`IYDtpu!3>=D481FU~+4q(p%)-SF!V5u(g1V zsMY|mb$~ro?G?b*12(GKV!$>4HnQ3=z%~LlrrMi;y$aaq>Lj){0XD8WiLK3mjjetT zuq}X1sQw;cuK_l`#&W=32khY*GXUEP*u)yU0eb_mM{2AGY#U&cYK8-r1K5-re*l&X z*yNhe0Ja^lX*Fj7_9kFcYn27;Ex@vCg#xw%u<7yffV~aa%=l7(y#v^c_#S}m1nklH z6u@=?HYz1-wQz`g=(U6TcXT>xxt(@4NB z0=A)P5MY-8Ti>)9U|$3FYSXHKT?TApQ_|0T1K8%Kq@Vc~uuaXf0s9|duQeMA*cHIG zH2)B=?*QA{dBjq4qKLVDUvIVeffaRoo57_J0GmKdlg8d4L^i{TK8w2(W`` zA0tbc1lZxUcL4JP?AvjX;EyKR6419rUqJiu&#ecXNwU?G5g)FB11P{2OvP#v%^z)qwG09F97 zlO28lOabiE^q&C>2kcb(mw*)n?6ZtkfE5DlbOz}u3j_9f#|wZJ0qji2qkt6!?2AsB zfE5GmY^SDxMF93?=V5?hz|ME>1Xyvv&UNtztOQ^eI)4vXBw$~4Sp!%}z%F%J2v{k= zE@nde7ZwHB$^mw@ zXC`3f0sF4!MZhWm_CwDP0ILYt_r0JU3abRzwVu!pg~bB)W3SnO#Q}D`*GRxB1NKud zh@-G7fc?@7;wY>tU_WP70IV8dH?qP3s}9)zWq}`r)d1|bEbxP{nt=V91^s?lEx>MO zLBAgs57_TnFlGp=4cMPqFlGo#0PK(6MCUqy{oR}BTo8fHedxsNZP78UYsA?|Z-+17_*> z5nxRKllzYctSMl@{WAe;2AH+~r+_sFETsQifTaLt8&C(Z7J!8fC;?bYz(NP?1}qgY zWxyK1S^-vIU=(1j0V_DrAFwpQ!UsMESR23!4;%?tTfhnp{0^{ofE68h9I*C)6&chT zunvGl45|iLI$*^HcL6K|u;PR30oD;PJbXJ~odAm*z5=k$fRz}%3a~DKl^Q(@u&#iW z9GwSPCScK{F9OyLu&6Pc0P7A|nK4fQ)&sE8V`ad40v0poJHUDYR(9+lz_I`FVJ%>z0INN*Bw(Wft2A~C%pvN1ij2~b2T{E zfOAdA`UObkhpb^Q!r2CRF#NNP1+CX00}Aiz!turCEzo;POm7htl@;<5q!qIR)$6{PDSz(xtM zIRb2%3JbDrw!IGjwo=>IMC5v>>Uyv0`k?CiJ=OIGs_T!KYp846Un(5{3sKtx^a!cV z@W^$d>N;6<-B@+qTy>qQx^APo?x4Evq`J;zu8Gb)*>Z$Eg(`p-*9&eK+%&jF@Y3LC zgEs|#5PTx|%iuhlzfHDvwe_%#vdytA1AFhZ?X?}Wy=VKt_L1$c5dVh#ay4pxt>X09JfnCWlwZVQs)s zgw~T^8^~cJIlM{^o5*1^`Rf+)>oxN0b@FQ~IlMs*+sGk@9CFEFJ2|{b(!E6vJILW} zg1tiyJIP@eIqW8fJ>;;L9QKjJesVZK7zfGW5IMX{4u{F%J#u)T9FCB~QIhxrayUi~ z$I0PCa`=cGJ|>3~io$8a1!;b;7UUoG_;epmj1Kk*m-#y>_+NdMv_PT@bC#+fuFWGRJ! z0&+wHWhg4kQB7H0s)3rQh1#ftx~PZxXn?cO5RK3nP0$q0a5m0CbDWFw&;l*d3axQI z+Mq4kp*=dFBQ8KEbVe6+MYlw3nHm}{bTZu?>w%u=h2FRbeb5*EP=Wp!fPsnZUhFC! z%(9)hq?qo?Be6rdS=xfFxE0&59k*dqnispou32m!J7lpk_R8YWI4p}jrHVwh$5MN- zJG~+<-@!<~*z!~x~hSU<}yFMgI~lavPy^hO`$YH1Dn<3`8UN{28) zITRbP9zzTalWxX3T#Vt^XtZZ>f1-Rw7T<{JGvBx4(X8wQ{>4e0D*G=hJ1w0_6f*=A zkf+gNl**){RE}z>jvApLSr;RQ#A96vvCfZ<6NAF7HEl9 zCb!13CO)hgYj3(*}t&=bAT8yBIE$$h1Ms6c-V#sCaNB?e&# zhGH0o<6?}k)JR;S9EH&sgG({i=s0OSCSW2i!z4^L<#Oo?OuHfcLQCN@;<1*Kn%t(jKC<2!^A|mPnwJ=n1NZCjRjbYWmth# ziSU552J5f^o3Itzu@if+5Bn405y|_Km(H@Z=h6;J>pf)PQ9OaC@N6PHF1>&wcp0za zb-aal@E&w9*TL|#q>Z^Y<~o?`V6KC?4(2+T>tL>fxef+r8J<(>W&S7r!AYD>#DIu$ z)IjY-coFs&>@U2Dqj(=5;$wV@(mQEa!LEW`g>Ud3e!wyOjNf1{VJ&PZ*if*cLXIM; zqZaC-A(|w@ddbyPXoWWDh|cJS9_Wp}=${B1rAiFJaE!!gjKu^@!WEd72wNmqQ^D0# za5WX?VIh`aDVAd;Rwu$X$q5!p+b#X@(o&^?T?Y1|3I}i~5q3!T;4tpTgLoK^;Yqk6 z3R+vxsMs`pUn6}P3PmBsa*3U*_($rGeG z(!#hXi__wjSv(jIW$|!&E{RXXCqsPN0uOqQ808i{8e=fX&3deKDaK)nCxyw0cxfPJDrZO&q)KTHrsG=2mSUlDJ{DjVt~0O~N+r)ud0+i?$W##q^i!siIUWQ#3DL zU!ZoV2|eCipyz4ZH8ok(nCdQ?S5zNmes7_kryo%ze+c|`gWo&_`~l`41OJ3me%O{T ziy7&Y{4ra;-r&phFR|s@o&3ve{t0I~8snsV%i@mo^KE{;!I$YLm@n}=$Z3ZT<--ztQHGnfgQRyTRsLdPV%rHox7Fm+jKVd}@~yPQIas#4jsk z{8E0mEnjc&r5^5cr0?V(wD~8PUlb=iM~JUA4kP{cDKdTL57_(@%#X|ThwXIAraJ2L zn9Z+u@-MOZ?FL`szl`~^ybgXuv-G^IOts4!$+!9SPJY7Xw>$ZTHvfc^Uu^TsG#h`U zl=?Rmtu=Vk2S zT-Lu~&n12%9mzNBIq^+i@0;E$D7pP?1cD;0YyXEk)|Z7qif$`*I`lvd10 zX=fcdFu&q(EML>sHI@}^D^m;e^5&e8O067P5|6jHqC9Q+tv#v66v_#|8a*#tx?+0U z{@Q^p4HbtMrnDnzO=~PKuhe$WFE2WJQEGc$S<$Yovupde%-vR0y+75H7nv6?FJG;d z6_t$l@2weVzHHWvwsdW2Uf!jQv#AB;tk)_M<=d7_TRm2^C|+M#zM@H+mRD4CP@U48 zICs3Sef7we%ciZKaRN7;o8EeT4EkddSRY4VP=i%8vH!C+Ao0tB;=%FDTkJljp~k zYE0%|xv$~aMWtr=97lK}yQT2thAI2k9BM8t*)zDWY9L*_G}@>)=IgUc3X0~c+L8RC z#%23z4)xY7JuaYc=g$=z3lG+2ixw4~D7WirMsw-xadBqdvGZ3&R`*LhOE>oKT|L;| zy{^15lV_K^xqMH5d(~L`Zo(sRmG-x<0`3D2T>06H=2Q5JLt7dse$-q+ym(jq#>>TuS;G33UeDO<*!SCF9**SZb z6Yg0i|Ga4_-Qc%Z9Z6Gq$#|ZgEGe6h^mgaXndgdEYs7+MdA!RbB1NPwsA= zjda)8;pH-2)_c@*e1Toh1siMjkN3?!w>!JJW#cl!xy2E$siCW>;pFat*|pvJJlLy! zRinN66?>Pd`J|7lrW~vu+oB~>8tGFT>iJ+T+F5LK`y=jP1{%3m2Rk5I5@lRP`32k=_u#G#m*+ zw)H^mAo}gX{`Q7LJqh%e1LqwY+`P1>uvsncNzASr+}qH(Wpl~#wgXkiv!$ccQ)c}3 z+L7$qlH+}6HV{6Ecm3|!$6Mx*T@c#{zwJl98yY&BiYs708b&jhN_~{+El4Ft*XLJk zY&}pr+S7Q6>>rf>@`57RfxbGb*NfX^ztLv7{pC9P-2l}e@)Z|Vk8jz$w61dI8f}3s zm%qFW?O8f|Z^4;G+B})=x3PalLw8fL zP&uZPo-n?{r!OS9UiBoRxxg$HaFCAY>dX-;Yv$egd6YaBV+3Z^AO$+I3M{{vW zY#z0%(GM>wCOum@wgq?!4pwW+e9{y9s>hm8KbGDcXgDhKNB#69PR?I;u;Ea)Epi-s z-GTbCaJGpmDikFR<@?2Q|h~#B>TI} z?1$*@=!c~5WCti;*+1ES)uNx&9)dmE)4E@sO5^h`?!kPZe^2RXbeKG41j{fg}Nijgg)Cs!5hUsbLzgFUeQS{c==ng1;6m(tD;oWFVYrokC?M*LdS zU#`z%dm{U>nm@W8`e@jRZO|{fK8^ZgIl*#sYM@Uodt2u;3}r7{v#@gJ>T-RX%Ra$A zpIk6FqxQ&w{6%O-^pCcZ1<;R63_FE(gT0BY>At8Dc88x!__Y{)8pnOYP=N#(Xl~DDAwF4RG3)$1o z>?NfO3O#ZKvkrAOd*rrVQo0f8&0#%&UFn)WFmrWxbN&gmGw|;oonEki<>3RR714Pq z=riRvtFC{`nPTI>-i9M%rJ|hcb<4)W{k4aiYEG`IoVB()l}GWbv(2!JgLBFb?P!?2 zppyE7ZEs84_ScN0^3Wg3$ZqqvfqBN>*4bs+yw;M9q`wY(9YwuhT&SRaTutLnKkNbY zuY|@0Ilqwl&Era^D*I`+X}gH_z`ktd_N0C~AzfK6n!mJ{(fBql^`$XE<)Zl09q}=f zH1P^K&PlxcZM@vy*j@rJ?1#i#^2ma$@^i<VBve*AL1wQM2mO-D24 zC8Rg!V;o(E@t*pxA3v3+T6(wjKvl=aLg?uMTfYW3pTs=6hUPQVT=N~$PqK@vW{VN6p<@>6;_89tI z?K{tq`Utx$ob!UZ%7b;?O@;f%X}r)6IOh?yqZhAQIvu|wXncYGIO1XcT}ku2(h9b# z`KiWb`y0lZwl7^hh~Fvwr6rih)Q)jKF4C6Sc_I6BukpW*pxsdHYk zbY{aydNb_S%(`m5-4&nh{oaP|_C{@*d;YUz0p`CW=eI50xV%-Jev0&JQhAGtTiYAP zviY+&4#F-QC>QkgQJA!#n z$NIL4g_Z3!V;DDf^EftZZ^7(Y+Okum*Q+MBx#oMuxQKO(JquLfpWgmi<)^HtwIwq$ zrzqFJ>;{adKK0Avsb9I|c$#U#yz{bisGrdIm7?~P{p&zgO&0i_{>-IKC8>1|`P3!( zF^s1h*Y@Fe-s&vdYqikjC;M7(a?kSp)q~AEZ?)wO`)bKUe_&s`(yRKWw_@Ij@dEuL z<{f9MF)#OwQxUY!1i6}tasu;%33A$5MfCgHF+ORDBF!;goMD7>f6cS;n3CBFquoEd6ALAa)b2z>ncTi6}|5|;bmw#s(^DoO^uCKS&6Xs7Ld|aQ? ztaXIVay&Hb3dW_&Mxs*>O8X(lrSlusZ5rg?rT8#fV}1)=e|)H~x3hP&X|R8&w=cVO zXtZ~5V5GwBZR*R6j6{_Jd>9Rm7geUH$~2$Is&a-Ib12h!ai%9*(KtBRR}oc;Rb`4N zD5{j;3#$xQl?qQ_MfdPvKZRv813L#>dhzKu(-&2yt4fJqh^n0Jmq7Ets3Y=BRhdeW zom@v*O~jVSp5Cs}!%=09sua3;s&bBBZaYSYdk1+=qL`ilRE6<@yP_g9FgQ?A z9aYXzm3c=p$1;_DnSmaB>OMAjakjEGJ9>DqYg1;Rt1mlJ(K0xA@z_vQnFq|lqETgm zs^qi0suUSt$18@9b@p9+xN8{Au^1o1EidrcB3<3xSqLn{SM}jz(&I{jKBkY1K%h#d zV0cwU>m5YxwwTq@I7XQ91)V;(r$k7#lf}9v`OEiwstFczAHw z;0dG(k@Rp^W)%AYO5Djvp=yHJPbDBZ%B zeNNW!*uVhPg_OZe{&1#mG}{FnnU0as;Y{Z!%HSx$G%vfW7v-8_l%cb~3-~gKeujfH zK8&uDrpoc-bFs}7hDU~s6i|I!FW|`f0&oXQCMt8bD_LSOtDWmuvf`9SHP;;46A`$@ zsOh_hv)MFslA5Og={x;WH%tcdvUI1ZZ?N-XC-71l2)UO${2~;U!&gc+mx>*xu^;boEL%XGOXiDL^@q7Brv_J4>i} zSrdEv`mm3sFFn$G3Hk=wz|!E}fljm*q^RnoLQ73Vb`y9&ggST2Sz@x*JyC6*9o6Q^ zTIJMaU7(J+`J6tZi&JTOM9UP!~NM|}b(VJxg%q1Ka z*DUk6Em&xq1a9^yw1HZOY!Fjv0E#dRJ<~KGB%R)-D$}iQX!T$NZmCa*gltE#EWv~* zb_i4xCQT}gBn5SM*b1XQ3mv^%R_PfI2J0=hL7@n~e6*%7ANuFZuRR5S#VPpE>{35G zgV0o`xpMUUB;;ia$X-xj`>N8b(UCd_`};An85Nc^y{u`bPgcYfw|=7vJRB6h&@Dra zE<2A*&Y)#tQqZaKhJliT(uxcmA2kN7qOSg4GFLo4q!}8_jxABJF10h2Ze8D+ZfR~? zPeT~*DBC$U)R$cWE4A0fIJdzCQ>w_3nw%FvCfT@YXF9cR-S+e*Ah3NcTak|p z+rB;3o?f^9!kwE?ImFqx3Bsuzo6=}BbszM=WbSC*hYF05m&s(~&PHeArjHXRpEL0S zl5X6Y+L+$3wPhXPTYgThg!~j#lWuNXw|=h#VTegawJd{-X80*1+h5}euSvVZYdzt$ zGCW4vGJ?X!UCk})(y2|2P?nu2Yr$Y38?8=;w#9@FhSl60LH}2YC z8iWMcvG)38Utm3GGPlNdeO|Q*3?8VfHLR;&P3d>B)LE ztY3){S@O7gomo4ZAYq82+3W(EO;&6Kx*N@4a=KJTVQ^a7(mP;gO^~t`0TeYg#gHHt zibw5gaJII!ptNR`9ZhZP(k-ZeTYwFkiDbvl)J|9`F1i_uEU{5?v)YJd7u;+(;!;JJ zjRGharkb`$hFkYhB$GiUME0iFPBqR<**{Pm2$=Q8J}#jwJi<7hq2*HAq2-(u*9Awm zG+v4t8qc)U_(b!DUC?hspG}XU&!$)Eb5U~}^x0#_y*#ozULM&UFR#{zM|Q_6M|Q`{ zBfI0}ksWvPY&$-YOLlxBm+bgNE^zvAfz*dfc6?$AWXC6R$&Nd@WIM@@dwJgaBsp(= z63<(o#PilC@x1j(Ja2sxkMOl@O|47s+?w9CV}1I>Zd8@jxMcI~|s{sBLSS^_^TYpg?h9b5pveslBNM zo&xeTrht~FcbJz+zf4UA!4#+WY~8*NRzt=!yM~lw^C0DRZrzz`A;XQ9qa-Z9Sqp{R z*JHxB6FuITCdCQ1(T9=-%~g>wd|VSdn_Jg!Pq(b!i1gQE7%HYy9K2#y!4B$p*+R$s zTCQ)dS>)iD2R~RI6I%Uv1!9#fbP5?0^{MLlSYzafS*L#lF>6G6bbp7VzQuNOKwu82EN3}u4@o$!sQCcrg9ZBo}I z)JApvlzepqR$wzjLwzT(0*gYQu5^gJNo_7x6m>IxN_Y1TbP2UZJ&#grRh3zu=yaN9 z``Exv)Ko=Og^7>0Ze6#lWqkz>^?0*#$|9F->h`#Lp$hH7QXl^Y8>b(1F?AOPhM~-G zray}%VXBD{*cND%Url4+%npp;{7_0RVf1$NWmRRBGks6gz*IA8M*9$QIjeS4?O*{?pe_#&b~R->4`-qMbG>adLCMikq8ta}Gf$mA**#CqBkByB<;ELT zu?{$~8^c695yER^4r-z_jCUM*IFQEg)@)22bw@_15gF9P$guLxtl%}IK~0o~{dY>k zYe<8dD2BzJiaxp_IK>8#EWtna!)IZc|B$OT;=)+Nlt30Ve z*h~$iPikm=SN8Cm5kUGxK#RK~z;8wX=@Wq(-Nf6K?HKDZbm}HgLXa~P0_l?w^ud)g z{AL7@J`qS)%&Nu$)X|uFhbIY0m`Q;2NdkJ}$`gJw0!W_-P!(4M_{|6)eIh_x3JD}H zmom)vdlG?+nTW4))j(66xsuKq)-O3kNFELfn1S^1DBV_TOfhEK*&3|BdAovHEFnHrDIkl#e=t1~rF16K~V zhER|XB&b2c99**lG4%_c1Z<6|@zt0bkH(PSgy^d=HBbQ;L|Z#3Xd=W~sDM;4`bLM9 zNqqZ@so!=dLNZ3KMEWEGO>pK~YbyznJ`p@hQfn&-kvUvZmBDU(3i2tmr}b;?)+f>@f~WOs?bau9VuTfF zPC>0ch_9@}y)>Ht)eVv)j8YNllZ2=3SJ-V&q)!A-+pn^@fdJu0%& zRuLk7BB0G(IoRs3(pMc;qJ>=%?8c`cpBy}mztV1eA}2;zMUC&6j*{P~B_e$ycv^au z-O@z*MDVoqD!ZkL^oihU=~Z@16X_Gd)6%Q#mL}3Cf~Tcd*)2_^PXtd(ud-X3NS_Fv zmR@DIG?6|LJT1M-ZfPQYB6wPQmEF=rPK;3JX=(BsEls3P1W!xX*)2_^PXtd(*V!#i zq)!A-OV`;gO{7l*PfOR?Els3P1W!xX*)2_^PXtd(*V!#iq)!A-OV`;gO{7l*PfOR? zEls3P1W!xX*)2`v#0aZBElqx-rHS;3;A!dAc1siK69LU>{l+tX$YASMOnbT8nyj`p zfJmQ&JQ}dt)&L@XB6u`lwXFd}`b6+(z-n6qi1dlz(SX&q1`z2J!J`4IZ4DsOCxS-< zR@)jtq)!Bo2CTLOC4jenSI@^oiinfO=a4i1dko=5&r5^>#}W=@Y@z()D&r z6X_Gd)6(^JOB3l6!PC<9c1siK6T#Ec^>#}W=@Y@z()D&r6X_Gd)6(^JOB3l6!PC<9 zc1sgk;4j5J((0*~C0q!_pSaJN-gO(Rvafo5YA=)ROYOi__!;0gt9&*Q~Qw@n>#qn2< zYBaSQn?nyM&<~qe52_t{K(t>RuO85bQwy8F9#nhvfEZRBe?6%7>H#sVIR1K2?bQQf zSaJOIpxUbk#IWM{>j5nby6T!3W*nk@>Wvly-EkaxK!JX7(A4fY4n3fCL%%p)J)p%v z3!A?l(DI)-J)qS=D<6M7phZA;J`O#gK)-y@)Kje0&*3il1x`kqd^-&Pxn4AEN!@c$I@z1+6&#%0cUZE^NfG z;`l2EEd{#cIFy3|{jhnJgH{TyeEgMz)&|}AIFy3|{qpfD2dx)c`S>dbEepEyap(aB z`sL%*16nGy^6}RLS{!ueN^vQ=-16^^5Vdg`$UmUL<&Lk}p> zFCVWS&^n=&kG~$!%Ah+RhaOO%Up{DRYc)(BaEa-S-eerAL92&;$#_+R)(}QLwQKtFK4gv(CVR;v%m7tdSO0xt!Iw+ z#&m2XaOdezBog$?6N=%E-J)k8nc}fqwaT z^?;Tet$h6TfEE~C`4Gd5L$psmw8rR;N^o!%w16p^q^6}RLT4{9WN^vlPq z2ekTV<>RjhwBG2>$Ds!l=$DUI4`>b2%Ew<1Xw}i3k3$bA&@Uga9?(jpm5;w3(AuLr zABP@Lpie%u{OF293^O01{o;7_fR-e!IR1J-i;eDl9C|>3e))LyfEFOFeEju*mK@#r zIP`!5{qphZ0WCvX`S|MrEj+sOap(aB`sL%*16qu<^6}RLT7GorN^vlPq2ec$< z<>Rjhv3e)*uOdAm@5CZ_+!n}9ZJwLS1D>};nE3IGK@Hqo|sQfl}9Ng!;0gp6g5>IrH~9Oj;~VGRC$y_GOReh zN>NkgQ3}bh;`k~>O_fI}B*Tj1s}wa=9;J{BD~_*H)KqztLNcs42#oe$yq%Bemtupn zc|U>uC;&F3#taecORipMiA;Dt06WKhqKil(l1wVGh@iv8c&Y&E(SqM3^nL--jtpmq za31}vh0RuEdHI2zmT?z?+JWN9IzzbMQ+hGtxIm*Cs?3HZQ_1^eFq?aS$RR3nB|C&LaHo>KN@v zkK(lK;mpWk+@4`KvVU7>L-?6g;EaUi7ub*jn^HuUM=B{}Sb-vE8tLxAzAk)joWQFP zky?&|z9h4iN8lN3A&Falh}N+v%#|!w&*5RCSW7*{3Pg#Dr1a?m2^~oo`I?ELxGPUp z+`wc-WNqYpD*Oc~=_YQ@6jFlv%Njz zouk8j>7l_s*(c;Q!DNo&EQ|cOO^iP1!BkI)P-&w+l{V>R6Op5lVX9>eZ6#KnIOz>SmM~iEyXw44HcvTK4G5mMO7~UA0=h?V4qyA zI`9dj-mQ_w=Tq6q&P7ME*fmb~z8Dq4#YSsx^Jz_=B!jZ^RDg)QGIBXJ)vIKev#UQ% zYdT~_tyTgDZSq=flh;||3{HxNv;z|HgzjMEWhIwGkM~PhMBWg2BNgyX60vQ!(`31D z+RvP!`P5^xtFp+BZ~0H;166{W9YuEGL6LFn%eaDL&3}SSM6Qm!jY@e9W>el&yRzMx zvA)p?%+>XUG;f-DN(mqkHUgSQ-4J;@rF)|s4MxWYM{v=~5YBZEA2U5rig&P5+#;0g zl^f!U7P(C*H!3#~bvrDSdCv%LiouL>;uRx8xmkG!3BL;xrf@~yB_}AavC%A@umtkm zBJ!Td-3iFOS0>6gk9ZShZp9j*+@jn{iN0SZYOtM&ipc$(+ym%*e0K>prnnIDwIxEi zO?f9J^$2ZT;XBUU2|dOMJ&v<*C|(8qr7?rRfx~o#Jg0A7_>!*39>pwgq3e>DHH&tu z%0+J8|8TBNsn3}J%e)JQ5z*Xuw@_~9M*FZ(?qKSpLb;QvkIOdLCzQLCcTrt@N+|E< zkk1I^JxqO0D0egU1);o`sV@oTeN25tDEDyOuL9|`3lrhX!nhnf1BP#$6G7eaZI)BB}R9%Jg)LV292-wNdkmixU>o@DB2 zp*+RZGeY?QQ_l+JgG@aqln*iWyih*O)Zc{i5vE=c%14>{r%*n|dAul;k4q{~5y~f+ z(uDF!rXoW56jS*^`7~28p?rp^git=qln~12WXgGkLis#XQ-$&criz8~MW#xG@+GE9 zh4N*lW(eggOwAO^SDBhEl&>*$rcl1l)Y(G$22*o|@=c~Hgz_z><_qQ9jAfxvzQer5 zLisLJONH`1rj`rk`%F~{LkuKbRU4%0HPJ7RtXkl~JL*$h>1h`8QL?v2eiDB|=r1 zIw@3*V_YUwovBv{HNv5n3pJ0aR|_?tLtiV@DD$omYK*BXg&Jq-jY3T@^=6?anYv1- zf~l*8TENsbLM>$KI-yQs>IR`sW$H$u7BO|RP>Y$mMX1x5x=pAhOx-TjGnl$lsHIH3 zOQ_SCdXG?NF!f%cmN9jYP-imrexc4{>VBclX6iwq&SC0dp`OXqqe4B4smFzSHd9Xu zwVbIB2z4$~9}?<0OnpSC6-<3hsPmZmgiz-*^(mn)VCpkMUC7kugt~~SF9>xpQ(qG5 z5~jW))TK;)O{mM5`i4-Kb9;PCsFlq7j!>(Z`kqj$nfifHYnb|xP-~g`iBMNC^)sQa zWa<|}UB%Qdg<8kduZ6mrsox50c20me-6FxlXPrXImhdeET@iNLlA1z+ zB_$zmN#(S_TO|;*?jU3Afns(6miQGBsd;ZK3r3NCxnB*l94=sEnFT>I#=UGolJ4u;SaP9HnsO59 zy!|JXWb;oa(?5kfmR%oau49$Q7K^fRxuiGDttuX(sBp74r0DH!q81$pEafYs#AxS=4W) zXdsZH+*LwUg1686DV&io^?F%R0gcY%EsHJkQ6?iP$F-F#>(_4#SuTp%?taQ{2+B(? zIk|wQl(vEHzC3NlBuQ6dvY=o8F*2sxAy1pHb%Ew-le1^F0X68jZu7KR)8(2D-3icM zl60$1KwFcrsbK-#(YVT!QTof#IOZPj{F~X7GnK)=eoQHMLHz8yy!_A}LH(N;$vL(9 zltP9w$R6Ua&Pa(d*;$^lK0HI%Dbd@ycS>NV8|AEfOu30pnU$@VzDB~j^^}wGZ9zjO zcvN!Sk;+N=+C}fxso_NfCZEpBLTS|?VDjk|4VZj-MFS?EUeSQbr&ly!^63=~`0?Zv zJ?)RDiNXOto+b(h{CJuuY}Bu>@p9+_V-EQ7G%@9XA5Rm71AaVB6b|_Dza$z`e@Qf?{*q`&{UyXz%L0BTjV{dP zOK4ff&!m|$!6wa=q9Icb5Po)_gvjjkt-dVhH_!9PIcBhaLjXw|cK~yeeq)mqvabea zK|ixQ;l^MV^{YMmB4L*Cn@PCt7iKZPwLbIO;oyX<+lYfPIfuhjWo8d`r$TLCVJzFz z&n)@ebj-;78sB{ZGRygm#MZsYEaKPVj_Z<7T@&^#%BRNUzFe8*it#Po++Jb4?bvXiOL)4l?`MtPT)P&eS)A zvX7~63FRWr<2ypx&(!yXa)7BH2<0GCKN3ossh0;h*g_33J_d@Ar>S>|$F!hX34m0(vPmFI$Rn5lfBj4%}w$|zF_p^R}1A(UfG6$)jXsi{Ia&Q!5b zPH>D8po~nCpOH|wJXnz7Ru$NHXC89%=>8$8c z^zrc4p`u(w&)MT*bcrcxP5VOB#*70vlsZC_K_cqnBfo z7^LKt=e)1WPSUvWJ^JeCYZB2{MX?v+TwF?zs<{F!V~g=c{?X`_&_BKvUsdXz_o*8< z{W~vyH(1U)+6|V7zA^fyggO{~vwfcee{K~?b1 z=7?VW|nvEf|g7kCyHlOdAg-Y;&CHIdwZwq3!QQuh^q*yp20U#C!d}$8qhpyq#GC13BIQGs=)&p{cajgN%68|0 zhX1-OJo`ggK15~tFiKEkAcE_Qh#tx$qjDh|)K4U$C!&}rrSG@8UJhvT(N#E3i; zd6+u-=P;w-xL?2=grj{4nRe1+p(6T~{4bK!SA{ylW&XOe6XMuWH2O_dX+G6mn1S9Q&Fw zqcBK+g3Yx$q{WwA@Xzt+v(e{-dKu^Nyii}s)Zc{qYNlR5Y#*x{js6quFphUpwr9Ij z!^0Vvo^u!a2%0;$I|uP-YA1~umHlI*G+bBiLF}Pymy8V~`(pIpFi0^)RaV%oNoID9 zVNM-M?8qK<^gy%WVp<~lY)r@WQPy274>h*Rs4=)I&J@bpgR8DKXt+}@vZ_a>6pa<& zxP-TQ(-;kf`Z`%Fv8hO*-bexNEQhfBaZ+j&YleZDN*m6XR77KEIA<6po0=pxJsvBK z%}|v-yAIql0k`kJ?(ybKA~rLDjAwaO5;NCJxH2(MKEc%4UZGl{Udi=xj!@si)I12m z;NtDv;S=eK4xG|bl?&}M{nxnJ>c$qt7Q*7ha8fXe+$u7(CH|nDjl28*uQFW{TbhV| zE4B>hMd{IoMiZ_l5bknFf&{FdRg_B!l->)3I0BD{@fze`Rm*@5=QV;98^U@3v4rD;II=F{sr_YOl*Ix@o| z*2V3JsUKbMQz@U0O;h)Tt`219RYHFMn%N>kOf%%NRwE25?sUvnFlqz z?`6BvfT&TA*?^TYbC0xl5(Uptr1EJByitGcS(Upnp1L4**i~^BfJasQ(q+w{sYlZr52830t z<6MNdyUd9k9;_<-uiJ{pt=N*-O;m$7n`I{U|JGO<8Ql`Ql`8%=$_>A-G`bs-D+h$T zaxg5$F*M<^LzM zDvjabf!KpoxQ9@wRwQG5mUuJ)Tg?@uOY6 zmy7k3P#!(^Rh>t4^$8pMnf(X>fSEh<%1f zv(KXPsh;V<8WHePdnON*vvzM{lCBuT$E1 zaiFezhvG1dU$G#WC7WgMQ z(;rbG%`uM%jjq?^B7>OXDspv|P(R4={!geMW9nBF$L!5SXmoKVrvSo}0&-Y{8wac& z=xjdHfNW;K*?wiQr>Xt^h{;-`i2aGo(~Q`&$fKhw7Q?jnlZ@ec%GIgQ!Dah*~zyB-mqI2b>#<2YCe9g#*go`94bqdL;& zm=ulU_(>5DQ#O}Is(4{MUJ##xVTqIl&$Nqp5fTKi*yIg&iFgU~&cNb5XEGg&u}qa= zftIORLj4NEo+H$+Gj$f0zZqaT6~*iUL}+xqCpRAmPrVmfh7dLCcO za4@UN?}W|~!b)aAalXYlL#ZMiRn^t8IFuvOfs3YNaWsQQ7lg`OiSXn~HBAXpHPFC> zYB*P@-{%A|bJFP6P>u}36Pe03JTfHFG;w51lA0O&2BH3lli5Vc030U+!jlZT`(}>Q ziVqM>;r;@dG~wFDNpHt_PKGM)jS%sj%-?16yD&bA_#Wo(73xnJ0Omp(-9*X&Cg@=e zs_+*qiB}Fax{H)0C-kuz{K)wgOLmc@*)NE27pexo4O+M;y+Zvh$GI5aQkaKX5j7PW zXpqt|dkqnubZYU{h2xA0^$(oRF^XgM5h6Tsr~o4!a`=wFj4R_LJ|uB6mrW1{_*wSc z$Gen6t7-99CX`4VOOVv5}!+GWF(w{j>X@E9Aj87uZX_|1#N~ZIBqtPQ#0GhNw0{%HBL*$@wZ_Z z*wZ_lZ3ZgL`W;JV#9e2cFkU0#*T%1d@rz%NiM%=Ib4o!0DSP~uxH2t%E0(jV`KHC+i750-^qjy&_C38_qldA?epdWW zD(77=@_VrK=%^5@5Z%4Q!=rtbMvVBoYy;m2|6 z?HN|o_v2eB^baj_KMj~X-RbH*hAEGTKPaao@rQ)^XO@0MsLwO?m{9-0)D!rO$|+!G z@gnm+C?fYn?xj?*mbQbn`lCWqIrQVS)?(GC-=dZkDCb3XDU5HCXqQjob4>hG_-4t8 ze@18#PW*F1i!${EtO3TZ5Sn1`mxVTksjmvHgsHFNEb`&n)v@?Dg;vI_ZwqZUQ{NR@ zIaA*kS_M--6xsr&ek`Nb$KpQ~+G1v5aSW+-#^V1cv}Me~`~a-3So}9at76vguo7u% z^my;+VOgfsK$rZIRS}K4Xqqbi z`6>DFzfR46i>@e2l>Wg9&thKd-;o{e#f<0@`C%)Lg|NByX9MHS-j+;%M^~mbJDTav zbdC-VpNPg^gbl&3LoDkXhg~j|DgY;sF_|u8Fh+ZP=K9K|rQLJc*d=Y&)v!;MGwOG-K^%S$FfmKqh zM2PiqW=#icMzN9yma<=Vs>CdOGiPcJzL_(H2~3))a(pvq>KxLvnyOf09;W;8dtmu# zqJgPKVxb(#szBj;@3}0!6v|+hT&}5Z=A(9UPMZ9;2gY6ms}u-q=89A;{d z(AINkJ2r1{HC!aLP0Tyss1WLOMsG}Dx?$fuV+Y6z$;18G+wq?$tT5f zECK&#nshjUG_dHHBO>uK`8zjpQbd2nd0d7(US6!kD33iH_i~}_W9rpHJHXUyg?5N3*wjv@ zt`u4~Q*RVnFH>(8S|3wa32l(6tA#eq)HS50q!`x;ZH!qr2#vokByPkm6KT;BH;d>q zjP4eoyR}$}6FUBqkhtCPIYZWNG;ybhO=0L8YuQk%Vu{}hjhB@Yzn84KSmJ4+@fu0u8S2X`Vu@#k#>)$d=Y)1Yr|`Vc z9%AZmLVJ{{7lif%Q~wm&2bg+MXdjkTQW4rGn9_vyX{I7V`y5li`$eW=LgOEG$%N4O zM_p0~jele%3x)PwmctGk`emYM$zuEhp)P<0cKQ>^61jGoJVR(dVA<(H`!Q2xLi-t0 zu)VL4m7Ij_eI-+{y_Yiu+xse}&cTivrsiQs4O0u`4?Ni4xA|kQyYZ#SEe?JSQBTl8Ch&8R*Fa`Uf{qsq5X@g3x%#QwO!~s zQ#-NehXG)P`%PSkz1Z`^)IOo-v)q26$C)}PVw*U#L&z*stP~?Ny^sUDgkHo{x6pZR zmOMGWXD2bUII4txzOyPHhHNlcY*bdn3&cjWE*s*YY zG@8V3vm{T1+VI1@BAPr7dt!|Al0(Klc}YBZBKb0C{EjRVJO}e~j^p@>*V}J?3WN-P z3M4O0UWQMA$(LiF!D)n}$ycIZXZwdnVVvilHgTl=s^qH^$;*>iS#GrF&g=WK{n>$0 z^9$ovxr@d0oeC-a`it&PzAlj*PhK%Ka<_=d-*Q^=4XD*O(o_*sC?U5RRP=Z5t{53o zm70k&s^PJL(cb=SB~8m#S8lc@SVkN`eoOMIVx=VcRx~7CPI|#9aa(a6A4Mm&98!E+ z@)|;Yt%$vietv4n>jC+OV&#nFTSe?HX59qV&BaP7u|CPHTfw@mSeZ_&Uoh(quUHq0eXPDWNZ73d^(@GGRzQEcB(!`l!$= znfkcUYnb{ZiLI!LC9$XTGEVoiLSM;&pBMUSroJfjHB5aO`yN^Dt3qGPysu*iB=f#0 zV*lpO_H9(ccZ!u+)Y(!j_I(joIPixE{86zon*uj*;7|E`lw5hGdnHBk=SVX4z2q-| z5Yxg~@|QwyW?76fFKyIEObZ>sxsFR*)ZA~Azr!kT62s3_Z)i06G=7$t>%8Og zu@RAc2KgobnEaE_TR59P3wi3=B3Wz6@qGr{oJ&jA?zhL}QES0S;R zCH4q?g!%14KgQHWkQf%?081Pc`U&PA68cG|Iz*(HLoxcjoO#_sznm$IevuAr9)Zp~ zs)CoL#1U~ZK}L@$GOLkPH{C@aAH@KoV#YWm1ntHVgW{;rU&E;)xneVhRAi|9$WT;_ zCB!ijyMo1z3;hjDT{0m8_2{TLDI&Wm@k_;JLVq*MV(+Ae&n)6{4tSN&-^u|Pvm*5F zj1crGA91b_`ZXMcHOI)%@ZhKrG@U@un}mKn2VrF~uQP+IafKi=iLk4|>5#u^#Wg~| zk;SgVFJ0^cz{VUQZeY2$3;i7&coX_wUlt+n;E-E{ejA6}Mrn=V&Qu|8=de4Zb*heu zyM%rR3*m#Iespj|2>MhA0Phw0yExZC)2=O8L%T0V3^?bNHCO!&uhr2;w z40w=J!59$f%TS>{O|TvnpAkBLU=yDc`r|D01+Ut0lYS{7zD%w86ia=TylBG@vG*I~ zMZ0~Jz27D;+KQ*^;(O%1TIf7y7e6E~T7f6-;wR)q)${CK{G7aZ2%V?!;+Nz_&GI~6 z{D!=!O`gn)-wT~5^Wtft^Hg0tLrVP^e{QazIS5+l&s;apVY?UAS`YdI_NSVz5YKb? zUxm)IeDQZE0BVow?;k?v3BULkcB4vWnnPgTdFo!E3Z3WK1-j7xAS6La!i69}yb8b;LfxLN6DwkFe1DMC>ChbTcjc2n)R_#6H48F9flV zu+Td|>?17nst@}J3%%XLKEgsT@kk#L8ojZ@KEgsT>9CKm(7QP7BP{g#4f_ZSy=B8b z!a^_Bu#d3N`!nn#EcD6@`v?oY8N)upLa)I{9}yb8`NBTJLNB?17nx(WLT3%y~&KEgsTl1LvB8of2bKEgsTim;Ec(EA|l zBP{d^2>S>Nz3IU|!a^^1u#d3NI~?pIEcB=b`v?m?oxwg3x_sX^%Ddix27aJ0{Gi|m z4#N)$ejqXYpx_4xN(ABg1a^B|b9khF{_%o6dfs^lCEihF{_%18?{xJ~Hu!U*aR%ZuliWGV_LC z;v++E_$59v^@d;KBV%v)B|b9uhF{_%JI{Wi^lCEshF{_%qi^^nJ~I1;U*aRfZ}=rX zGW~{M;v?g4_$59v|At@UqXEG1OMEm07=DS5Y(M*n(yM70F#Hl94FrZ?;-jI!@JoC& z7#MzukA?%oFY(cUVE83I8WIe@#7BdI;g|SmSTOt&AB_j>CrYoTp~3J=d^9*1eu=_)UD0npV+;?*aS?H_IPtvA?6v=Toftp*P#b zVpv|YKcX6+FRisob76}`*V$P_3$YjGZ9d8WufO!Vz60|IWEWy1-2UvVHWdyg3I_^@ zrsNkM#jlz^`PJG1T@;QK;`7(;&STQLtk)(|K`gW@smU z3dgP+I=a?2*58pGHaNvCI4Rh-8*gTigu$H31-~#eoaxWvSH=jIewwj>F)R;sW#LWm zNjQ8|MXF`nd8KrB??^8aNev7Pj>^-A5S)q)4x{+pml@(T!J4uIIcAy%`hbOwaAAc^ zasXc`S2QJpzkDTz>zs?}3_O-=i|M$#baAp>x;WP^U7Tu{F3z+|7bn`Ki}UQ##c6iw z;w-y#agtrSIL9tsoMM+Q&ag`tC)lNn^Xt;Z>2>Mi?7DPua$UMOw=P|rT9+=)tVe9t&b?M@)x^!_;UAj1@E?t~bmoCnzOBW~9rHixZ(#1)1>Eax^ba4t@x;TR_ zU7SFdF3z7z7pKpqi?ip_#mRH&;@r7(aq3*UICCytoH&;*&YMdYr_H5{v*yypNptDq zoVj#y%3QifjCeREcYebaA3wx;RfRU7RMD zF3yrm7bnT3i*w}C#VK;>;taWTae`dBI6p33oF11h&W=kLCWbK}y*sd4Gz%(!%M zVqCg7FD_l27MCv0ic1$K#ifgL;?l(_ap~fWxO8zsT)H?PE?t}smoCnROBW}@rHgan z(#5H8>EcYdba5hFx;PImU7QA&F3y5W7q3JpYT+@^n(}t^gBz8bKsVAe5YolV4bsK4 z3vi3+Spwa340@M+_HF4M=%BG$36(Ga%h3jPNH7_bJ20^8zv)j|)f_PYXyF z4+}^a&k9Hvj|xZ^PYOsE4+=;Z&k0EPlZK0@1f(Ai2}l>u2uK%?2uSyHMmnE2Ts$8j z`Cl|#JRKnYcsM}1cs4+~Up3sX8Sd8&_Zx=$O~b`g0a6|h1xOdq1W5P0hWkCk{l4Mi zc>o!X#{r~^rvapkhXJIEX91*(M**aZCjq332LYsu=K!RO#{i^@rvRjjhXACDX8@#& zM*yVzTf_aG;o|y#$^V1l;_83t$Ho8B#kK#^{gc6e)^Pu9xX&5xUkn#l{Y!aV^eSNlsB7yFY-*ZNBrm-Drx|XE;htf* zxT;^uC4ZUW;_7|r$Hn{7#kKp=tv1{m z!>u*k6^6UgaBS_zr+k!yh zGi_KET~*+N{zcf-C%)wdk`pmjt&dz<{X+&O}Ie4!vb|n z5U5+jgUYF<+@Nl=K)o{v)a~Ix-I+^GC0#Xjmj&uwL7?6}$)KjXK)uHTb$1Y`_l5_R zQ}a!CfqI_>>YgA__l5^`UoOoz(*^2&3)BNaps@cTZ12lSr)If8J!FA;I0zJWUW5hp zSS~enmJ8J57N{qJKs^~AR8BQ@whPo#7N`#df%;&0P#?~vrYc;ZK4O9TXb`B6g$I>W zP0e$G`nUz^6G5OpImw`wxP(QFh{V)jBk0u$^#V$}kwm|(P2-Hs}8PuQ))XywXKMw-+i%ACM zUNrkZ3)C-zK>ccxLAe*rer0`NyM4UxGkkUu;-Vf6Kw5durZ^;sGOSbkV~ijX@UAz5U3Z!gUYF<+@St#g3^=#P;_}i*yhV=DJbKtDNVCL=|P|( zlMJfE1uD-1l^+Bu8XA-q&qdKYU7!*csALc*5gL@5Q}ek&6lMCDAy!Un{I)c5d^9%G$=JEJLLv7(*iXs2-NJ*ptLjp`#AT+4l6urk)QwuFni-JHc zen~+su|O>i0=4WV1-0A)RT%`TDm19v6usBgeAO1HnjlcM;X&o3=&y5uT48}&83bxo zXi&M?DL1G(3)Jc$Q1#(K3W=ZCMUoQ4@U zs0%DmsUT2|;X&opd~Q%p7N~VWpw@>5m77U;ldJhQSfDlrf!Z|5pssR(YPLXa4g!VC zltNDOa+^=xd{sEaI6`-4Co2oEYJ zopOUZXn{%xfx_)(A!{l(lW?c2`7#!$jv!E-p+V)=d~Q%(7N~3xsP6Efa%w&|s2&T{ z;UG}Gp+V)=e0RB;?}!B|Xh$sFgcnk$a%(;}sD2C7Ku}E$h6j~X^SMC{S)h&vff}A< zQ15az--rcjGzipKXi&N7lpB<_cUTMBJ4}})PO471L0Nl;wV=JjbTwmGP&uu6-s@^U zYwxfYw0D>;XABGK6}hZWJ?R4VN=v6M57MbuO){vbT%cZUfqG34sMm%Em6J*MfD6>? zEKpYjfqH#tP`OR=+@P+sK)oRd)EmQt%4w4424(FX)`Ip9)19JW6+Ne4$Zk+qSvB?6 zpqjcmJgA&>>VqzwdYc96njlcuh6a_JPPsu{XMwst2-FSXLFJ@VZcuNxK;0Mw>ZZ`3 za+|uiLEUVDdPfkbTS9}%Z9x8tOQ&wNK;0Gu>YbrMPXLwLK^*%Q! zYnQbaw9A?<5)Nyp{s*9}UDjIAE^E5V_$35o?XuQ_c3IPH${|7Jrs!XFDf;^@ow_eb zr|u68DmO)UgL=RM^l58pgtP}>T}^iFHSP3Z@8Mz+K;XU?MJ6; z^e1(gaf7nav0sN9UF8`MuMP(KX<^|R2Ra#M6SsGnP) zeh~x;7v4^)qW{R%e803n{VE6)?%oXvDmR__oeR`&EKt7<0)^)`LW0Uor`(`^Z-M$l z5GcI-5fW5xI^_oSM+?+5L7?ymNk~w+8PDIln(tW))SrVuJ@=A=`ilkX`5;h#eMv$6 z%>woJAW$!a2bI(M)Sq0<_YVuyKZ8L1D>SIw6x|K#MGMrw13=M3IUyB2H#_A5rK=_= zT?+!GhX$qQ^b_k@XY=V13shbZsQmDtcIWaF>n|=)Q43To2vj^YC_VY#gAx{~f*??M zBPpa#KrNkQP^(-u zwafywJP1_fOA4yW0#zLZs%DZw)wyb_)&jL62-M1#6x1pUR9z6L)sqZrwX3G;El>?X zpw_&kpw6{GofiaZ?IeS$ch%JS7N`q?K&3*1(wlOad8!wKwuRCf@lo|hEVVGC4m5U3-Q4C-oEOI(wZ|B`|lus{t4ff|}*P;Yb9)KLr6a1f}GmlV{f1!^n^)Uinhb&ad0#w}3CgFu~l zNkLs=fqGdGsFRZn>RMM#U21{4EC|%gUs6!7ut2>s2-M}14C*>pO})wj_39u{uX#y9 zz19Ntx*$+jOfsnJT{ZQ33)GcCpzwNd*r`iS1G4LSd;N_Ts5b?H!ZXE_3hM2yntF=` z>Z%}6cp`aHLEY#Ab+rZRZ9$-}nPgC|Bft8!7O3ljKwUq{pl){6)D0G>w+Df`agss3 z!v*Rl3)Ia)px!abpl)%2y2S!@YY-^ByFICjeya=AJ1tPR2Z6d{l0n_(0(GYa>aHMA z@0w&#?{tBBw*~4wL7?uQWKg%eK)u%j^}Zlb_e?S<*D-SaUJKOwgFxLk$)H@vGj;2J zbUo;Pbb23tQhVR~T+L_QkFE#Zk4`VsPb#Q;T%aDcn(wio=6igSLAegH>Q7jpo(ux@ z)Fgv)y`rLjzykHbAW$EgWKj3Hn(xCFsE-7J`sgHsy59xL+PSX>?cCSH@7&jOnhrnU z0%h&o*MoNM>*06q=LYIQ7bt7zz8}tN>S+j)S z2h9@x5PFu7+eb(@sHZJZe+&ZkOlVNK)zn8^HT5S8)U!dL{u~-qZZ+iw^_&IjFF~N5 z4-G1}n);}#rv7Sy`dbjFzlR2uTTQt^y<2a9r5GHgbhi~i_G^+a`C;PlSIMYNiK;j zosi^`$0ODLWu1s}8EVinDCM8#L@hd( zN-nkNJf{|&3oSZN)+M!6s z&?&oUOO~Q&hyAmdShNl!i&&(SYEKreOIeMgks&~lI*?f>{<>t5dLu`S3`dnH{=;kP zi;-bjq%5y2|MAGEf3}-g(yGzI$i>QTsmq0tzQ~x&wlLC9*;0y;0Z`OVg^@u}d6F6e zl`lb+ciB~ahc@HA}0;~*$*s)Cc$NX zJo0M)WLL11Ro+D8GNYOk5z2t7IT3j|C@OCv@(NH9LzgamyhCE6|($6P>6)X31h!$zohGQ-K`iKpEZ=xoSdZT(We|O)G-fxCMczjwfzb<()4z(E zJjFa|s-fm;B$nW)y z{N-?w-{%|otKlNw<6GNb4;T4f-<-c0F7o?*bN+U?$oKi?{M~So@Ar-T{cw>V@QwV# zaFHMMjr`+qkstDn{L^reANGy>^Kg;>pS|k=^BXJ=<-%kAyu35n0?BhDAL^LP4)HwcN(>m%MMB)*`Jc$2Ws7xfWu783ug zk9doa_>w;2twQ2o^bv0p5?|3r{H~Drsy^cPgv5X7Bi=3~zNU|OhmiPheZ)J37Is}9 z@h%Z@prns@w~*LhAMqX`aiBipy+UGHAMrjRu~{GSej%|{AMyJ_;zIg}4~UE;FjOD$ z2SVa7eZ(IMiNo~~9~2T7)kpl1khr)$;*W*ISRe5rA#o{v#D|5%W%Ln$A|x)SkNAj? zxV%2%qe9|}`iPGSi6iw9e<~!dqL28v(5tHHBmPWSauP}A#sX6;`2h{RDHxh2#GuBBfcOc?xc_S zMVKH`f);;#CLe-aXR*GK%b&?VFL5&us}+)E$vC85}T^bubc5@+Zm{zXWfrH}Ym zA#s0w#8-sG+4_io6KZ>)KH{swk_YP}{#{7?fIi|sgv1Z(BmPrJ{E$B4YeM4T`iTD$ z5|7kJ{I{^FM(ZQ~M_BS$eZ<#=#N%}lOGrpOQ6I4+B%Y*?*iWdi$@+->g~WD!!~sIF zr|KgP6cSI`TZP2W>LU&o63@{` zTu4YfS08bRka)g6;!q*+i~5LdLgJV75r+wh7wRJ}EF^waA91*lc#%HhB0}ON`iP4P ziI?dkE+!;ip^vz@ka(3o;u1pQ)%u9BkoZk~#3hBqZ|Ng0B_v*}kGQmu_#J)3WrW0e z`iRR4i8tsYE+-`3q>ng4NW4WKad{!}Hhshugv9UZBd#bU-l31Ul8|_pKH^9r@g9A| zm4(Fn^buDP62GsHxT=u&1AWBRgv1B+5l0D$Kh{SaEhIjykGQ&!_=rB@8bab@`iN@^ z$6?3y5!Vuyd_o^_Z6WbVeZ(j;U@=p(KxBtEN;xSo*sYkkDALgI7!i0cc9 zztcw?CnP?vkGO%5_<}y-hCDK4vUnfU_W!<*DexCD%bh;3 z{8h|yw+}3@1pX%4z_m|5OV9Rs#|Eyzt70kN*UwV9CoJ%HG0P8pVEKob{*PG7Pl~M*FG0T%a zu$06sPx-*oPt5X+4=nw~EYJGDGC<7oYadt!idmlXfn|``F2B>yQfZe#vY6$0A6S~i zEHC)L(k#~HMg1(jyvHJD`Lho!tzwp!d|(+YX8DT`EDMQQUh#oth?wP7A6SNpS^nVz zOPiSGH6K`piCO;b1Ixl<@42p@rI(L~3t0wAKCmnzX6f$(%c5eIfj+P-CT1!7z_PfQ zrP&9TCB!VPKCr}MmW6y^SyE`~fuZ_YdWGOpVwPb(uq-WR8SVqiGGdlRePCHu%(A!- zEX#>mVjoyWh*_5Mfn|9y%Q8N&tRQAt&Igti#VpJFz_OBaR1IyZCQ*Wf7rI)G4h^1_zpQV?n*AcU9<^#*RVwMR$u&gI$+0qA= zv0|33ePCH%%remjmT_X1Nj|V_AZD531IvbDmZ?6lj2E-)-~-D>VwRnJVA)vAGR+5; zO~fp_`oOZOm}Pe#ST+*}w{-n1y@FeFG0R>)uuKqFypMjCUh%Spm}Q0!EL)0MX8FLf zm6&CJA6T{)v&{B^WgBse57f`n%ZL)iQV!P7(yPVWidjD31Ir{a%Ljd6nJi}ckPj?V z#4LyVz_Oi~yAjG0Ry#u->jTT)VwQ7!VA)5^a;^_7`-)l4_km@GnB|K;u*?** ze8~rvSz?w8ePG#7%<@$qSoRmQT;v1G0b-U*d|;U^X1UAOvgJPC>KCm1nX1T!!mJf+pZt{WU z!(x_Od|)|T%yOF#EJui0zUKqWkz$rRd|)|B%yO3xEJur3?(u=;7%|IzKCm1sX8FDk zEXRpie&7Sk@nV(-ePB63%<^L&SWXnPJnRF@N5m|T_`q_KnB_4aSUxJA_Z-*H(rex` zSuEuV{VcuaJ-K3*Cw*XP7qdL&1IsC5mS=omIaSQ^tPd<76SMr<2bR;sEYJDCa=Mu1 zcRsLuT+H&k4=kS$v%KH~%Nb&p7kyy)q?qN;KCqlAW_jtqvqW`+p7K6eHOT9v<|?9( z7@*joX9UF0D$g5kAta6qdQL$6ypp)3khr0+DsU%Jn61Nl*zpf;1FC=ayBz{9l+(Ag(T1dP`N!(FL+(tVOGw;ZNPJjH+)qf{Ln!tUC2@Zt zak`NBn36bKNZeCMd|XMKBP8x6Z08e7;=w}V-a_J&O5!0x;yyy+Q%d4tLgKzc;xkI( z5kle&A@Nxy@faa-rjYn+CGi9yah9;D&MAp=g~a`Y#NR22rwWPt3&lRKB%UTD9w03F zf|B@gA#t{l_@a{d2_bQgkoadM@eCpHKq2uZCGnF&;z2^K z2%GAvl6aPo_yHmDA4=lcLgJx9;%iFcIYQzGg~Wd=iRTN6hY1yST}k|skoX}Hu`DTx zUltNSED~GxR}wE25)T)a9H=B-A|xImB$k!LONGQEg~Vnh@iHOtC?T;`NxWQ0JX)wQ zuTSx<5E73O5{D{Fc6_j&`)Uq+;AX6lI7~_G_%gYYc$|2M?&IUq1Y9b#D|2$b|G=3lK8NYc#4p?ijw#fA@NipaWy6J5h3woLgHv8 z@lhf1G$C;fCGl|~@pK_^EhX`1LgL4T?Hr>dJ}o4ELP%U!NqkmFJVQtvt0X=rBz{s@ z=Qt(t1tIZFVaZY)KO-bgP!j(t zB%Up-b4w-h6(RAnLgLm+;@^bC&k4m&R1*IwB%UKIIY~);O-TH_kXZSZP6-K#=L(5a z6(vgzgv9fN#2u8xf;B)}LV!u`=r#Gympdznk>De}wggmHP7@G!)j^C32doTO+$lniSbPFW{{kR^$fNBH@#Iq{y12$Ub=i z`8TFW8$uq5k>uL;3OuxEqx(kKHbhS2orIjE$9+ zGs)+eogdwkGi^3tKS7QCBOLoj#q1v{i2YbeK8pDfR0zR)<5){T5p zwj>IYH_Ds9s%2DK-i+$eX2=n#bBnvaCy<5KcMxCSZEE!mw}mn_9;;R3?Ki2$W4Rjd zaMyUJv&Mr&HQwc}@o=ayvCl+DjrRolGZmVsRiV9Hh4#CekpH6OM8?(>2~?keEG!NP>rIf5~|`ho|?pXs<@3sX*_{4 zswvCkW%*NCKE+$n^KPA10W12P>a+-0(MhLH<3u$%ty+^Wp$1Zx47__m;5K=Dx*>43{~~M}Sa?mA6jD%Qq?-7vI9EADb# zr>DVu?mE}F9SpioQ(>wX=sHc|P6rcpou(r0`gVt|n{*^K)|qfrzA4CKR?Y!I7|OE+ zgGD+A0;bY}kxw3#!Lc4wL!{p_?(+D7m8qPkJSe@q%Z&NnXeYy7G_W#NaIu$iVdO); zdA^5M#}zD0l?2U4Iv|hNn<@*v9x{z*y>qWEQq?<6QGCvd>N#tAs_jQtj3EV^tIge?L&UH!v2sLcfU zd&CB@-91>6EVjz(>}ZV~qc78=dg3s!tD(-0jl+;rqxLnuRd2DSsdLWatJGROXAV2~ zGv$g0f20fIId<3NXnKSlDoU0xG)+CfLe{bBIqkd*qt$cPbT_(%YxF6!wlbE@wJ^z2$F zQO$i&yw%h6w7hk-rYlZ$(I(zTP`{W?16HTZT>C3vg4NLng&g-ZdLYbd4G4 zI9)QMW0J-1fMlhyu{Q)bm<5<-(%9GtV&hXJHcAmNc9=!R4pJc)J3LLs4$^OcJp)*f z^d*ebX9E`K_YGjr0%r322(ae>gIS>e_oDu7jw`PE%Me##DZ+0dSdBBT@(vTCX=^kF z&U3~?rqM%edLv;7=M=6;sEF;MHjROyx50Z_X^=i{MTokot^I72xhspmR7lNW@Y z33b{9-i~XtHPR`V%~oAKCuuCcm29?7>e;y(+iWe>bHc#UkLzQ{k8x%|T%ghGfL$v=DiLt&fYS|;Reo8h`mAY3cNa=|!Uv%hwXmLB1jc%&j) z4oanlX|2Z=ifDBVoP8D17_OT*sf%b#{Y^D#Oj$|O)x0ERW-VT8H<2-xtGe7!s;Fjl zX(_{LI*%=@D4j`YmBpwiT&oCf@E6WS6P1uxtiX`RH)t{4F|U}G!IIIPVi;P?roOsn z;@ZBL)y^hFeIgu^@ng%e!gi_zG<^tN=z56 znS8FrY13Af=@IRvJ=Ivr3Rlt=ud^B@wOW}Q3752KOjSH1;gU8CMY}5UBsVE~S8Y4l)(Ej&=zr81898 zJd8e&6pl7aMWj_yQNN;6xL;4Hm|tJ1nE!sMxc^nDSU`EHc)(?;SfE8J5%`3J1K*ZP z291|W(P0lP{ez4_ruWFOC!i|~d$yBdPvAb7Dv;-=0fFmbN7eIRuEY!;h|b-}W>R86Azf zpVxt)F^!E{K%VS_$&Zr^<0UqVp-|Qq~!* zSS^@QMd*%i5&tf}Md*%i5xV1Bgzoqjp*y}s=!_uf<_{UCcrhw^d+5um4`@lmP8`IE)aFT zB9X{10V2`YBodKuB8ocSxT20fSqz4#9}4uh8NG{R+)0$ge8G!bT;joD}KuE8rSrI#2ux zJp+FA1Mw@VKKRuI;#X2d@T(ske&zR^$geKC{L1e$=VBn~0fc^4Rj*$SWBsbCZojI^ z*)R1g80!b*oBo$?`o%rcug2wa&qv(<9;Gs4xT2UbM0?K|oU3}GjWW)qctIajUAM1P z|98GpUAM1P*X=9Sb^A(n-M&&?pRZI``ig}9l+04F+ehfCUNGk)?p3{FB;rd|!T778 zH>D_aP>M!Zr0VX~yDP)0Z#A-aRTTeggZI@bQ}C)c=AkEzZ*CV9y)}1ZY!$A zS(!7&!xTS^HSO93uF`O84Yjq#UEDybww9-P0)A~yNE+~+Cj6JM6H=Qd#^^TTn15%& zF}h7SMz;ya=r-XP-6kBP&xB*VP547}5}oEu7@ZcIu;ZamEmQ#(p!Z2JF4KkVL8iZ{ z>3#sF`;XIf8;D}{b@!uv#m0nmZs))R;m!f6F)h-u=SZ|M!HnGO*TEJrvPHEK-Fss8 zW7)eVGv)xHjgdX7j-7Q9DuyCaRkw1Y$u`xhoN^R%X4vBlb7uID*;*qdxHVN31(^e> zri!A{ur^Pf95oVX$}GE_+<&EWw0I&a-)yFRFB&Vp?(opf z77lYF*D4K!2XhJdv%+6VmSK0T#a8HN&FuC_sk_z!s>kcQb7d2+f0UH(maT`a1S1>y zcd}bv*^Q=iwoG#EhrbQ*=iDh_E-PqXx?eQJ7R*+%r>%kN{7~M`=S)z|$xl&xYHfb- ztPb|%7RyK__K*rVW|QU!;iy+sg~)iVl&(qtxE+q{d6Sx`Ivo4Zdb8KK^Ey2EVjq^n)X(jh zu*VI0<8G+8)E#{Wt6RTI=`i8zDSa*VqRvXDdriPuD-vgg-nz885^+}PA7nB|0u~@$ zKxNI90VCb-36TR=aXGMGx?@->eF4gKI&d}k8O<72Ut2X*9h-8fqt~Qq3{ORtTJ^Yl zU(V&6?(TM!x&lXW%=paJ*zz(odv#@9n})e`Rsm`YbM+6HV3Pa`ahTj!zahP`AYmyx|r%04y#WEG`EuZlHUR zLM*Pi+u{DHE?*V-s>H}P1 zQ^;G8wNO)(;Py)xzgbfN$h(%(5E%11UiBj`nE(n(rV6SFE}7y`5SlG= z$#!l9eFje&KtWQbrxR!oKRdEj9A>Mn9(^3)Kg|)$I?}$N8%<&8?D5ZGwhVO^%7+5O zbUX7fPOGja^s2x^T3bF~wCL_|dg*BJhiq-stKu0!J;aGd8~i$KxeMbO99||-+h8Yb z@L_1TQK-~jWM6e zqo9#oVK>MlMu10n-ryu2k>&P?ep(*UUzdW$a5B3T)RD2EY#j<3t4~2=xx#Lcg2sS? zJTH6_1?9LEG*C-HgWL+5?ue;UkUOS&8g&9Evr9ok7zGX0p`eNSV(LV$Fjs$B0>t9h zNgvVbt39GWBIqy4(ClHw^5!J({?3<3?igfEfM%J9o{=6wr=&^lE{`fl%O0t%P9A~fZ5 zcw2)UF|ffrHEN@I2K>#0zo(<%fQL$Oz=L^qzWMp>^3=Sbry=9eeDe#At&L6v*jlj9 zJ)FgbzmJa^95^398> zJ~-~JZDnAP;-XnXxxe6|acB>SY!Uh9<@x5<^UZI%D$$1S9(55qDzQKhVWKU9DbYdr zGE2zG*Va~n&kePIMKyO4eH_3D1-V~ibIT8r+BEm7O|nwSpt{8@AtzJ{?RNNLma@4W z#ds^NouHWIEFo7+s;!b&-B+sSZZF9Fx@ztY0=>SWn!BSQ_ZrpQo#+;1UOjKC=I$&| z<~r5fY4l}Qp7(my++75cF37!I zHFr;eBs6mXH42yQs=&qVrGJ*$n25 zYCBQcZOg!Ls0PElpaWE$@y@s4%$wETf+Me;n@ceD&eVFT93(YwrE~j99EtieJl6o3 z8ZG72f*G61YkTH*v6*>o&w?$k*Y-FqWZJrEYT-lj*kC&t7QB~h-boBB-iocXfZf>2 z6cCJqJq6^O_vHnB%#yxONekgZl=K5!h#?KZAqvvN4&8_1P@4T94rQ|2u+5!)E~CW$ z8mpe>kH9z|VUBmO_1D@APIth^hv6_<{2?616kiw@b{EgQ?gN`%^XZ zf{svCh2wBq_$N4=DZB_S;wqdzFK2hO+6HKjF&Ry1uJkh7@PT6;b?b0#3x`}qA(yjr zFg@vLyP~)#mH#L%%E(^~7jwuD54zwa2ACRts$8kJWz*hT9F0utsd9?r;*|MkxH!YS z1cq@eIR#4*Ib0mz8Dfkn!_P5h7?#8(RTyeKDg=fC56`w`s>{HJ*levh2i9?n zsFywojW2~uQK7%Ur5K@0a#P!S3*x+k+G+G&4 zhKhR{mtn*$i^~dioTIht!O>{ta5>8GOI(g&7=a_)^>>)qS6(JIkWSe&O{_eI<4Yin zeuK+1%q!pu3g+Jmm}~4{W}AATmZ3Ykw2HVQmEwC`k&&VjuB4FS2Z0nCZ*=fdv{JWB zHg4tE#;9jx-X;O_X`!AmCokx@$AlwsB(2|%IFhMfWn9@^Ki-4~YwSYcOn9)?10Gh` z!CJ5SF)y9k2HTR=YqcmZ=tR^y^Cj^8U*PWw<4i-e9-P~wHe28w&U}l7PIXVJ@EBGQ z4|o^D#p9F;j}Zm&DD2^JMuo>1jolO`QkP;L9%of}Oely)Ne_>&L6;TapfKnu0^qzx zxOfKNpkkYe9`*$=In5C+HqSrP1G_Ax)6xT@@-1c4(u3du-b1Km=g8ht1^!8<|5VNKPbSa5xR2~|P4_2phq{ad}7;BgRC6yF&Odlxqu7wS0&4t_5C?*%PYb zs#K&Kx6}w=rqyS1KsJ{^ItWOJ(SQ={!?)tP6 z5oW0i$<=3?R@7aS7j&MCiMqyD!Bxn3$kGN^Va7vMaaG57$gyz?@>RptXuh_%8j~*y z&ioThfWpw@8dGBu^MPYDj;0)wv1jGT8ebiI4idP1`lsO^59w}#^|lVQSFV+07&Uj*qb?clFH%YTN} zbRR~;KRa5!2BycAS~_BOHLNDC>1k2gPPK3?+D@HuEvDsboRRo57%Sc!s8dSv{p7qA4a*ir`#>Q zZRNND*V7sYr;u3bDduU7;j4)YbF*w3lSv43SKU?a=Bjcmj-^%Zi({E8*T?n6Ri3S@ z$~|0FZf1*MYx1n_IzOws&a(@s^B+*>{t%;>Nnse8qt%6ynbbfs3mQUah1Wz3<`=|Z zn1{jNjt~=v&_Ru`A#O-1h-3qvnynYp8LX@nb5 zj-zlRhGS#gm~CTN4D?#5A>2Ewcd=aO@{-10b`yl>>%>XNKtoJsE#hUZCC;2YS#n$K z7?;I1!A)pw#^EMRZJOeyY;8Q=vry{=CYO_%Cp#>*hGVwtn$MPYKWhS+1Dr=&TPCEr zC!uUrUeP#b$1;h}Ngkhy=cBwsFSNB+&$%W~hLg4}H3atkYC+~{cbKPXx@+$Pdev4K zigGO@lX)}@OPXOg#1#faQ*kp!*UfQrk1f)C2{?h~n}!pZd@XPbPd-)wOEi~pkoPk?2wQPgic=SfKk%-|$S!jouIFZTM7PochgW;ja=9g;i7KI0#Y)ed zYxxXLVQSe9!%@4gs7qTS6{pgC&*D@jUwho%laJN!GR>`Flw$|nfpVOKJ1`tO;*JID zcbQgr;4FQaRulv+m>pW^gga4L=HgC_ES+&@UKY!I@5$J5t)Z^t5kwkJqugJ_X$!oXa*MI>X&uK3 z>}vKcW3*N)E@Z)CEv(kK`o5CxzR$6GyP6xluGJcK0VlH1`=+O#%#*{&6JP+}a(6iE zY++o7L)Y)URli>Aye*>ocRacahe9&>E4bY~|>{zk3)FI2#dC=#BmSCJt?l#an zMgxIvN>G3^(TU<_#ptd#?k(2c7Ms?S>O8r)BkK0SeJIymxDUg%FYfE$>RJL2Mcu7h<7bD=890M- z--9z4?wL5#&3!HxB(`b{pV)_dVQ6asoi>EOESyCP--ol9!u#QVVufwhIt{>oRhHDPaaVv?gzloY)n^xc8Xo7)wAmx4# z4`jFx!h_u0=i1oUz0o#}b1nHc*jB(07TRDi9!yLB7!PJjAA*O7HMXtbHh2I(Ksg@9 z4=@~u;-P9BwcDT+w1MR)+uOaX{_fr;%Q1hHCzk<|O(gPgw)>vedKGLdvK(hic~8sX zx#07j*5HPDN*{*a(`pabo*j~nJ?0=rJ{QZI? z|JsZB`wGeVF+@3cKMopqF9U32hd1Rs+eV$8x%Yn4F!Yi44s*FY7(X0w$R3-34rz|l z=&a#E{2+C~bNE5V1&85bVi!E5)lWDA>qGb<%JDn=5X13d{IG{3EqOQ|PV=3|!| z@CZjfcW-sUdya6(HbgxbJ3{41Jd$$1h(|KqM`8F(r1J}ljzIjgfIF;+Yp`d%<&bTx zdQQ%-4r%SsbSQ2#9!*8Qghw+XkHKRUBL5;3d9}JA+zjqe7(JOlJdtwz15ac)K7t=n zXiU(^hqca;

&T>+!imj!AeDmE#(o#K`d|hL46ijcu;2oQ>IC9EJ|t)E^0x<3L>B zk>fS;sCvse%ziSSOeOgnPi7>^#km@igrNnhY^f;W7Qxfw!>~A|N@n6U2#*ZavvMYP zSnD8At}8vPwG|TvWRVWzv|~F}$aQRI6fy-*@faPAMpN-rlFusPsZ74d@ME5QY*Tz< ztEXNgD7}iK^l5k+oje$v6w9bE;kaYVOk94Wvx6z7$rBU*DWZnf=*ZaX+qfE`qGw8Tt2lj_5aXEOSD z3O`k_K8|X=^zU%HS$G!ZZpE`0?oZ>V#qD=gWB;RbMd}&+4CPn|Kf`dGjc2QIR6okt z$?;kIEae!ApJg~cho5t605)l|UmXrZ$210mj>sU|GIorPPQ;HToSTT};5k&5Fg%Bm z<$3%(Ba0$n9@AJqP>)i_v_35WZD$SVx33+usUPjnKTSQRH3s3fuN~7kmEFqh>?Zow zc?3T?T7cC@JFI>#o=f#y0?%diJP*%v>)A015{$8q7i{(O@qEg?B%aT3e*wQBw$bAS zTm6gpMar=>ev#q0054GEsActeat+XewJiK`Pme#-nl!*JK`ZQ4^*q`5OlxhNoS(}4x4TI3;T5RjFHB}5oP2)3h!p%YpYOY$6(FoO9r)ON{fpj9+#;`&`8d_~^986qf{5j~krU zcmQSPmQ7Dm>&}D^KhPd3&jP03V)DYXyGJN>AxgGJB{SI#a->Q9W8a zqZQB>(T4M`!?iFg@P>Tt z`Zj*sqdT|jv7eGbm$mj?aFSfs>T;l-*6!SqHo#f^RS53mYmigpBfQ+&%w>&7f5iQp zk#TuC{Pkijy58yt(!n^+*0JyztMwi#M5fp6ZiMHbZdvX<>5S9 zfoz<|R3IPcv*rfjX#?Is^9{rsn0y=YMo&Jrq5dq`r8nVCl;dE$iQ%{zZ)R0DG~5aY z;*)Qz@!T~gb)2dH`5#>RPu(v4X8|sq=rTuBCzru&!CPppAH-XjT5rW$*;;$d@tW2q zi-mb|5_m|sU{~f}TA#Zh!>Qp8OJE+U{befzw&{ALHqP~wiF{no^FadSeRz+Y0>d%K zNBBJXB*hjIdSHm+RHrb>kIMy5@l4HVm&~336ejsA59gvE-{Vh+3X=lpLX=B<-~~pz z4R52$9*MUx%6=EW>oQu?bU}H6+~dC|DW~`Fdz8~?{2s$;JKnCzDTqHWVT*MvL^K#S zS>bfC1^L$8eCzZL))|gdTA)pygEp}`K4d%`ZWnlNhr#VDp4-)MyV!Gk2yT~qZV$rk z>z><{aJz=yc3)>*-`!=Bpq?Fg2dz{--oaFAC*H~0A}kSjcdAl7ti;;de-pxxdh#yeIF!eA@q^Dlxnc4!u z1a$&7ZbMFu9+0dukQX&T`aO6Lm3}MU!$`ju@8zWz>;jQu)pso9n;g=ch=IAD!~=oG z&RbskFf?7gqKx?a@IEU3yLcZX{(iil7vH+wD|mx68VAuh#n0r$S6^`D_OJtMjZa%M z(!Y=2r_%4l?=#XLzz2BgE#7OMQhgoDhq`-Au(m3}Wi$VmSY{)m^}y5HM!;tJNi zm0ebs;&ki2zE0hLj6bH*e~3S3q(6iY@zQ%Ab`u7|bX9jPhuxDS5ImL>r}cn~(|w%Q zD2LJgVSJcM{}DdSNdF1`L?ONR+c{E$f^`qChcMDN(5?G8+k@(gVWdBTk5K6k;UkRn zNAXdG^xkg=fb<31{U^NidhNb}PP;#bk5TE5;$w{TpW;sy(*NLX_YDiyz4cR8`i8o7 z-$2vu^W;=IULnVwcx{)K8fiWLu>%FW0}gU)z5uh?`Z|6kK^N1 zjbGs7j2b_~pSjcsLprgz%?ny#{L)K+=G+!G$4Kx5K0yWe3ZGyE_#A&u1#o{y)q0K| z@(xpJ{voB*N@KN@tWU#u%X=#YP-$onW<0Qs-x_W!$L`|?njWftMOVTd`^!j?*6$ov z=UFu3msg9h%d4(!X;3#SyC5aC3PaO0N@SUPqFgJjDLwbKj#lj9izYbm7tG-#K1o~S zJU+>^#uxYtrZub=^R1W3D3gCJR%)%WG%oLTkDgkyXLCA>J%vxvVt>V_m|{=k(@e3> zkLfyBNxAQnx6vq>oS5RZ;4ih&`&0vRYK)e+-m#6=^AC2h26!f^wySgNz!`joYUDS3 zhSA8E_)Dr0>+jpGzvo-8u|cYhP2&wW_HmKaCJfC|FW6~wFv+4w2oS$vkt^*27t z$n_Qeif^f41O~z{dr65}Pkq>}D3DX*v;&WPi30h)#$OZpg8lH4G)%G{SY~mDct1zZY4AzFmLI{y}bOLZ=fhdzi}Ls4$4i~%BU=*}ERr=p`Qff3yLK%l zYYju#1wF{AvB5uwjW5Yz=ovjxBbnVPZJOd*_hL^K50lz)M}*S@yX6j07qjDpSGPh_ z>2qIK2qJwHIc{FLR@H$USCF+T(Q)$~IYT13;5ZX6UY)o#CX#Cp&80X*%`IVPu55B_ zKD;IG#Wjszb91g-ZB?#ZQ6)uf4kjnc!O9i6Ee5yba5(2}INXwR-ki5Fa9h@M+XQYa zcy7DFZDr4GI^0HiZd=1`P0wu_+}82j)`Z*o^wzP=MUR&e9Mcyj&C+`O0c)ed@xX>3 ziOy1-{FZN~Up|UIkIz%TZj8?}e*FXff%{%j}J>FlGLRe`Cwca7lO-U!}RT@Kq-F@A!8`Zcf7I zwK_D9gwK1mGW{G${2%xaT4sOz2UF&s_)oUXY?p-B@HLuyAil=r{tN%5$jwPOSDziu z<-!YuBl9r$bLjDJ{5LIkF#ek<_aFQZTQ2DF!R^5hG||+P#jiQ@Kas>u$M2cgIU;H6< z$PJ(8X^q}JA6=ZMY&p3r)KP*uO0@Rl$uF+{et`I~^>@S(=eb@@*eEt%>jYew@i=L^ z@iZC_0=PlJe64j~Zcs2^YfG+Yq&{Eqk`_c#(1kzL*PrTQBKgJXA^?y8g)YDrCglgi zH)1R`+}-aB?0No<@hET(1nNM_*-n0OoPz)f5^{db+0nx*_-_6$ave9FBm;jLc*vCh zbn=ViZvw<5iv_NBPA~OnJAgreu$}&?qW|Ci=92P(SW-IT9k6P zMrn=!VAa?AG+AkhZIUV#ebNh6g5yu1MxlI-!qBU#gx*!se3_Md(6mHry?{Qd5!QaG z)=NUFYu-yWUJ`QEp6uiOM6s^B)Yejeei)O0W3d8sW7y{thhUWi+&^>#C-zNK2*FxR+y-6g_UUJ7DJ zDH>lklV4nXDGf+zVSL%<^my+<%yP}Hmvmwl?-Qsc%~PTrZQ}G};Nad*ZmB{#f9|wz z;H17t&rb-JYn*Eq%)WjBJk`zKv2O-~pSLPj(4nq)&!E#h1(9im*5+ZiDu`Y4s-RD* zKpU_v-}4A)h1L-y5FVUM&ZJpf3&>(?T%j=!;FYyPb6*`R+;ZCks$SvndZAiZ7OYmm zW4@Jo)Ec}~RjsVxD>bxAckOCF{_`}?O5IvrsavZnZ0bQ#L#wL_)~Xf>$6g8i?k=YEf+?&G7!Pa(CD_8{NkcpSwPAPqucx74*}d~GQ*_R9DmnHwH)v$ zNBMt1esTOG0EuAvJ60>5BUSdDCg}~$kt(aVH#9c6)MMP7oR&J3f0M>OSsn*8GUR|llJkpCIc9DAK+NADhWtP{?$ zYd~2ws2pd>FHVk{fYcPq@s*&S?{I$Uvd3D$qZZ}=4f)0KuMJ3TA^&s0KRj4D$6hbg zWDIbLp(T7resLw#0i=$wgzp74$P?C}F7T*J`TszEas2B6QcuYL0_D%ovGX}gb49LL z;1NstUnIXc{`CQ=&+>=WS6H$3iisP9GuAkuj-#A^Ccik&4FGAta)vc&5$BCc&X(S) zGulm>4o#X=G~(Q(F%Ncb^`&bO4MF0Dv^JN>FRnK6fW#|m10D%0?3ZoLxM|}ijW5#C zp&*QD>B@`ufOeBj?+W)OjiGNadvdz8$)>)-9sD~{Sup9d;fMQU#+E87Q(iYv# zzoo$DFN8Fke~Z?1jBEZax|@Gv zq`9u<->PwXGVjA%b?a+O7@Df#F78p)Chqkv*Qh4}WKW>=BG1ecxO%k!qy?=Pvys*r z4qL*%wWM_9iC9aHt`#7ylyq)6-lLCs*-bfQ&AK(vw5I&X6R_4CzczrhVfZ<>kkY<3 z5&kWa(vc@ti5y*9K-wzl+;VIe%8>*#Nt7RXLY2hvO9mvF;pf~_O65p_e@mfs0t$n&FAj$eB~+VlL#j!7y<2l%%Rl#VcG);1f-*q z&Mn7Ip&XrnrW55yo&t5^_;m)Pvw|Ni0aH2B;NQ|H9eKKw#?f^Fq>GZyEypfSj&Ww)&b*@1Ipdr*y_#zD>C`bf~MpxJOe9Q0MtIoU2xk>|+s;QF=vt9(_qo64GMnd-nb z)zsIN1=kNvr%YeUc5{-sr@4=8x0o#@EoI=EV;O6i0M`wc{gw}8yLG5_k~LSh2bT)2 z9$X8qLxU#;ll%9BKMy`7+Y5Cllv$|1Y!9gw(mbT4Y%kudc*o*ta2;5DT=9u;-BkQQ z@q@A*H^j*}6|Px$1Rf37ci@T4KG|NXS*ebtAb;sPrCXM6BiqZoT;|O(Z^LzWnPX)> zlkH`Tm90`X3a;Ojy;Amf*&fj`A}eA5T*pPsh^JoR;n7-!8wS{BF2@R{nhX zA7y)mE)}vX43g~?<140AY!BCd6-QPa1K0Hx_f*_3+bcD%)TvSzxV}>9txD_Q`oBmi zGC;ORJ{P$pa=C1;TB&Mm)dsS?T4uH3)keWJzuLZP2V{Fxk*LV1s&IWaYH`#uxPBe= zYZT}=x?yy3G}JG8e)P)d)v~>M(dw0}SA*-o>f@?|9%}ti>sqaUWP9z#wNq<%gzJFX zqic_Y>(1IoYJV!*V@AhJkC`Fc>(r>zv`zwCyVl96GZ?Nb>TImDMYh+iRkwLv&~M#M zbq~}9ebzfr?_@owcfHH8{;@%_J+^IZdTejFJ{)V0eGINUV~@lF-};}_|GNISvOTVL zTtZwcxK4?i69;w?cQo!?-1oA*L1u&D4WNDv_cuJz@C(@);%CHzew*Yq z+1uoO*`DB^P$Z!^T(c5JBtZKmypymy0ot#{kQNhLJPOw@TU=`Kt88!Cv1L}v0dW1b zRbVTVY;RS%RgG4);X16<*sd#ClC z_H+U}=scqH)Xvjods^+Zgf!4km$6-*=rR+ozjrlv4VLZQrgxj)Z2?^W?jF)TOt$w3 z?Ge$VB3xJW*w_QwB|R~{M|v;W-g8UO4|{$r+k4gM)wCD1Uq)a?u?!5?x*4r965+Zc zV`B#7&p4LxZN_=oo|%-{GZXwSb8O}lnNVKlh0MP)ugi8gBRndr23%)ly^^&Eu3NG` z%mTjs>h^2Z5BT)E(C@E)kgxxD{r~C@*8#s|o3gF4Jv%kKUv@TJ-^|{by+^j^#O5UD zfIa5S&3QfNO}Jhi7(6gkwhx><@cDuB;d*A^uLHqu2PF*ZHYgpgiw5Nn+9cZtOM{CI z2Dyh68d70Mq--Dd;IJvfrpfk^DI+sS_J`~0k=sY^lI^3?M-3Y_9Io$-+CS<8**-da zbk)((aD8d?+oRus>$NeqG2ya(Os_Eyj~NNqugCm8=9+9D+j4A=v7oQ9%f@aVyG^!_ z3mjK+9K@Y*+2baRn*`VQ#vL68?K*ze_{HOw$@Yo;CXSs5@n}-NNnw$BSpA7%WQ;{Ug_UkCneeb|$UPsGN zfHR9Ho6KSU^xr>~WyswY1u?l<3MI}`wL>Na6-z1lH@A2z5q!#-)_{<(e~uc-s{hUk z&;tdlaA5_}$`eTFQM4?dl4XY~>Yxw}o-E&j@_F;FjBEw)X8G&M>sII(R9FXVmc8Em z4m$$nIP^0L713FctFT0BVjEDge?jM>y^iF6P3P807gXY37ReU-Uuaq5Y>P_%htgRI z{wqBz#T%&ff0Tli#1|f{G|N$0AEaR=@|BOms@tLn9|dEDyAiw;0(L0N~aEoir*8Fyq&4j4< z+fa43`nRim#>pX+HNH(+U^Q_&Wni_j2Q|Ho+F&(uyX9bmM1R!$Hfx2|%$*<$6GU3v z0jgoubEn9|x>XWtb%$t))zqCN6RWLLsLdUuEmmW9np}(FY#J5-CTChue!8Fy}f2dk1*=bbJmYoJM};~lP5RSV7=DSH|)}WJ6x4TH&tj6y)xmm5hh>h4SwngvtdNQpF zo3I|f$6J+c+54fcZ0nXoBkqINWn1`usV`kR_Pl`86^*)28k%kF`>D>}FEG81#@t73 z&bIsgR&Rx~zlFx#XARFb{{2{Y_p(MgG~qsMdbauR*ZQ-=x+L_-eQE`)CEU+CV69;? zdh|ZF2G%0(cRjFHVMn?5xmB>1Vd!G2^C%$KnGKQ&O)(6F9z^6ifXL8?<6tIcwE37} zBJQ)QTxMeE#B+WZ+vB97>4vqqt%dD<483?PhUkR}Fi#jJ!tQX((2utTDXd76(Nl&M39X2=Btu7HOCmaQcV}JE(}pRjni6YHhMv^TNfDr6 zi^gojsx+;NwJbwd+Lq;QUC$ZTRiJgT7G~(HU<*@P*;MqrVP(3kjI}gFXZkFS=#1YZ zA!VR>hQaAJIM(P4z3DePqBmyr|AJw1|H|Z8vomz}@63+qj$aI0U|8S3wm#MZ4gLLl z3shL4e?9cFVTJ$63Rz1ubok#ak?7Dd4upfX>Dk);t5G!RZ)zImUu~d(> zUZx*-c@Ql(to4RjD{HZaUT>Vm61|$Jor2ZE>}kGi3W5J%+beu8b|6}5nD7lXVb+Wd z-QHL;)->gp(CdaN-*8iA&DqfJjW=haU-uG1IrN5M!Z*c)Su-|td~?j0=$Kz}SYuf8 zO|xd!q76OYJd0LZ^;z_`VbwR)s#(i6bbWIzo9Nm#{E!mSI>WSYx@oiKZRq>vo3|%` zJ3hcCwg~{WKcP@(J=X2 zYVxev8@j)>W>0j_FT89vtpAogZSexu6O1;vJ)Yq420H7N z2B3EhZ@6vV;PQw>y6GYTZ8zHDwzdV|9v`BeMtj`$_HebybtD_@0V8^%kK6r6SCTBX z9DBv`&~C#`ZhMosOu}_+rO_t0-%VU?;`o@yc-iAIdkv4dV?2hmlFMt1cDZxBhO~>) zbMn!C!*lK^&tbjCXq!9Bdqf_ThYlDXbjNuR>qSQU+<9Ik_M{IDPr4&LiS;Isc<(N1@+SBX9Akl|T(v}dv2Wwh0u?OkFI`^50DJKn=sFEiTf&i690 zryVss?XK`N*4vCWyF0v1>~WtO9(UJx9P4#PyWKrrC-6L}Df-Otyt~TtT;Au{(l-u$ zZnWQB*M3|ZO4HC6MjPIhZOFAF8ir0A?ReL=BiELa8GUKApj!1 zT-&0-=zF7W@2R%s+SmVM^n=m9_gwqB+L(-tjrR2(X%hY1?SFo*p>ibp(Qx6rzB$#* z(e+x9(Z+Yb%c`|8JMn!0{bYFaJ?qWH0$d(#wDY~|(WIT34*GwFOW)Hj&APSG*7vqs zleXqN?8}CC-}BzhdbrWv_r8ZKI`Cf&7r!rDoON@f&F>F4_wLYtGrax2@pjhZjds6( zJYLbk|8BVaedY43+Z%0vf4RMPhySPH{r8>svk|~(|NAcjCzcq5d*Wa;w$7qE!ajH#Nt1#N8!>D|U?aSpbd^F3J2C7;#ZGuznJC!| zTQO`!!&Z2ENs|g2_F~ve0rtY%OjoIhVKau!=&%{yZqlS;hTRx;qtkAbwo}5e9m971 zh3)Y6b6qNF*pFd9|I&Uq8wyC1N*gw0*wBBlA>NJ-OJxl^GVJI-+7V|3=h?OZ%n9Mijj7E#h{0gzMNQ!v>A0 zbh~U2j*D_cLr5VY{vtIs0-_NRZ{sk*6%-9SG=k#o3W}s$MPR%vH8%pI5g2b{U?fKA z3XX;?8o}{)21oAzi9V287y;4HP2K@E>9R|*@NL+n(RttQ z&f8T!d^&(G{GgUn8zWR2q4Ew1m9B8<>c-m|wrSYr9b}s>`y}1DVVg#G?&?nOlq=J% zCmW&D2%UFW=yZioSNGn|uu;QC??4-M*(vGf4I4GO`8%b1SIPn1z2j?NEv5EGC^bUq z9U4kq;ndabcQkC(u+=-*R$cZ=x_`q~jqYFB?cQnk%rKy{5n7GVdWVNrS9o;|2f7$G zYuM~vV6!f}CBp*4W{qLNoi+?mlgIf`AY?p30ehtwgivKv3{^qZPz#iXS|U4Yg;t~1 z=nQHjl|YG7C)8G&jFO}`QL^+cO7Sa#+WDoTRKJO+z27R-!S6Wg=pTqW`PW6A{j*S- z|1+qI|2EXs{{re3fKm5=MARc-G)fOxf_erVM!f>fsCQrs)F*Hv$_P4uGUY=k%Vb6U zOl?qqb4xV9;)k-WStuts2MsLrG0F+4ga(KFiH3yMLJx#Cf@@PWEOaD#D0DJhr=Ss` z8_>wmU2xrt#)Mu&W8ph+V{8^Q!PX2-w57ncJ(?6Iq1-S(G&$@&lv{W;nq2rOvWIU+ zx#1Vl)FS24v?3MIV@2noX~i0$$BN~kX~i3$$4fLtPn7rz&A@fglO-FVnI(TiPnD{U zW|f+Wo-TD6%_?0E%`W{YdbaepXm*)!G^b2!^n964aP5NTmzj=UDDxa#=c1R&zJy*b zdl)S&R~x-jZWmnthhB|{M6X4}z%>aiDZdIWtymW=tJDxJk8FlkM2V2T?cKeo{!$G{sVfi z#s;*#W-YX%<{-4Q<|w#6h4$3kgZ9=u4A=AM{aO{!fm$`;+6Eo0H6DFb>m78cPGxks z-Y)b>Yyvt`{{wV1?jv-p;q&Nd!yV{&{66$qqkMF_(FSy)(eLQ<#tqSl#{JRB#!KP% zN9c1LFXn?T<3vOgS1GgVcI6Rek(QVk}5Usk`CA5Qu8h!NeNv( zhwEjjRkvKJb+@Zhn;wg##PkHIZO=R@saF*#x%Y9YT^~OwwNE9fL!V@+W1okmPJQM{ zo%`&S()#=;b?NIbb?sYN>eIKp)W2_SX>i|W(t~~5OT+v2kw*6&Dvj?uQJUQMNoiW& z1(5d@X;$Ck($jsvhU+!y*^GtKbD7PgIhkjr=d-3tbNfX~^ZK8W<`1|ay^!5ndNF&M zv>>O3^is|&>E)cCrG*2>O0Nt&F17B8Sr1fJLN_k`dmh#8-kv5FmAZ;8U zCT$vDRN6G*2Wj&o?@5~;`9a$9=)2P9N6$-JC;udE$;Hy9+!*P-+)dJUdxEsX{=T$x zN+)U8loP0O$OME6b&PcC))cTR#U}#R46vx;*?=_%tXlDdfF%G{ zz4!*eS^yS}QvquUSWO%USS!G4;L(7!2CO#D0IUsQweUW`5&^4&*8Dsem;ovjDL6fW?*h46qJ>#h2L$SVzDbmW=|e z6JU+Y76Gg?V2#TD4pj79o z#A(3N0c#bpAF!T)wJg6IuwH<*DZd7=-hj0(|07_10Bc+RQ^5KHmRMmBU>SfVSLh5_ zCSXYw+XI#bSi6c10P6=>O2sjN^#`nd#Z15k0G3*DKVaE_b*#7!upGcTRO$lQK)^az zY6{pOz&cf02iRc1x>R}@upxk@MFs%&0ASrJ{RG%hz`8~*2kb$>dPL3!Y#3nOt2O}a zA;5Z8tpM1=fTdR(1=w)FdROZU*a*OSRXYIKNWl75TMyVM!1_d01#C26nNfuS8v|HI z)H1-v0@g3;8NkK?mK8<(Z9HHDqKLmu0IYvBv6qQ}9fIVD0 z90+w5s_|ZJTCf6gjHXpDl^@y##0GK^C2(T9cd#v9709ydq)Y#sDy#(0w*fxN@ z4A`{T#{gRh*b}kC0DA?n$74y|UIpyQSW>sw0Gm<&Tfi0p_Eh~tfGq}WW?U=4mH_s2 zTus210yZm-#Li`a&5k3nb2(to#C;Fg3c#L=`vkC+fIZuQ*vl%wo^L?x<#oX3H2eav z)qu@wxEHWD0Gk^h57?W4y%1jsur+|qk0<{27GMkFiNC!K*o#fx2W%~1FE@DyuyugF zlu#V7cK~}OK>}<&U<(sSe8~gswFDAh@&S7_fy9>$fGtiS@ns`mi&{Ji*e1Z1wipE1 zX26!T_!Y1%fGuxv8nCT^Eo(Udux)^?Y}p>LcL7_`$^_VZfW6-GGGN;QTh*#IU^@VN zqg6@3b^^A#)fB*X0k)>qP{4Kr_Gas$fb9Y7?bh7@+Y8uRZMFio53qG@mIJmQu(gRL z0ec^?^@#z19RTc|#FK!109bzF9>6{XEU&FUUR*7J25evZLx7zD z>_Gd?fPD$r`yHMJ>?~j(b{GfPSAc!cu^nJv1NKqJ`ha}{*uhQ~z|H}7sN=7IeGAyf zok&dl4zN!;k(l^BV23+T2kbmxM>{_Z*bjgmNh9`r0kBWgh&}%Z*s(4%0lNs;XI(}E z_7h;oy9NXHGhm;0`30>CZ-_C>eH0J{v>$?jo*{Q}tOZr1?&6|hr1Dgt%| zurGTQ0_-=y&h#L@e-*H=dJy0L9k8?My#V_Iuy4{^1NJ9iU-$eNuxo&Q+jA3Oe*t!` z7l|)_1NMC{5?}rS?7IvM*mb~u$nZzyLl9u+GZK+0L;~!`j2OWD0K1R@<%akJ_EQFw z8xjE6#f+*riO+ONbe;Uo$~3Ar`=X$-EAj z6|mnj&jS_=*p;jrfE5Dl_pIW8g#dOnYY||ffc=>@6EGWKe`G=3Lc#$1D+}rtQW&sn z{fNxrfc?{t$Xo=lzx!QB!68NEO%m#N9z})}1MGT#f)xkMum9I5I-~@uCrQ~>z%XC| z1AYdqBw+s8*?^S-EGRn(u+o49X72&43}B}0Re+TROwLIKtQ=sLoEX3&05j*j30Qf+ zf^(h)tO8)xfuVp^1S}-y7r-h3R%qaSz#;*&4V(yAWxzrQUInZQV1);s1gt7xVS~~E zs|Hw+K}`UQ0xWzGj4nf>0V_6WAz;-3D>@hhRs*mSgZ>7rCSb*fL;_X|u#!W}fYk;J z51R&93}B^)4F;?ZV5LU(2dpk&Wk)6gRu8Z;BX1Wux5Zo zjlK$4bHJ*N83|YdVAaQT2do8P(PORw))KIqW6l893a}budjZxOu-apr0oDevT4T2X zmIzp#v5Np}3s}s!GJqukR&SgHSTbOB$4vq(1+e<#vH)ubSnRmtfTaS~VB8kK+5;9h zei>jL0E-_#1F(*OHJmsBuugzAp4b<#&VV(VM6fi#noc5E7r>fK9uHVoz?x6)16Vh} zn&ox^tUF*Wa$^DO0a!xr48YO>YnA&TU_AkAnR^1TUVyd9-3C~1z*^hG0P6!-+uSRF z^#v@^o&{J2V9EB@fMo)fWPcH`EWp~?Cjr(EuoU}w!1@E$-hL3U0f41WDGyjSU>&Cf z0+s_Gio$}D8I^!5hHELfmWFFtxR!%! zdAL@9Yb8n_2Fp)XVetv0XcUC1Ljg76S_`f*aIFJHPl9}Y$Q<%0T&;jx;Ll2|Xfi_n z6;L%P5lK>WO8_!S&CS1?|1ke)zGnU#{{C=amO=t7On?;?U|4|V2(SkQSgrtjO@OTw zVCw|f=K}1s0Q<@tv(^(}4Fp&_0oG4|J*>cjtWR2J!QazF_n>!j|Dxi4q2hj#;(nRp zewE_>P39ij+Im7E1HsNHFpwiSjG-g%HcoVI*rt!HguZD;LgeHirpqIIEl zk#(7MmGw>Q3G12Qu;8M>IJiu3`QXUlYQZ&vV}fIY8;0b<>PV)9{N6A%mop?&Ba*Zv zNn1$d|B+vzBq>5}zaziCF?E+r7fJFbNv;ECMkJBp)?9@o@g!+Ml6H`o%8-BUOK$s< zWDrS4l4Jr&atYH4B&kc1RFZUqe=yA<$ug4cfkb{E{y{!Xl3gU(LXycOnLv`hBm*rCk~he|zDa(qA-~=tzuqRvT9T|I$vY%j zPm(;6>>$ZbLa~b^yGgQ#BzsA+k0kp^ z@;*rpkjx*D zIZ2W)NOFoKr%7^#Bwv!uXUVUxNb)sFz9GqZlAI&Sw z36iu8dJdsPk~~gsbIC6|Nv4owDoGwA$uyEoC&^^U7Z4dx8U4S5`;L*KisJx2v-9o_ z6e)@#2m*p2O%SBs(T*bJC`XYFN9T^-j&can;Xn=$(AW!#7>GoyAQ+8CqaiUdF)@!g`Qrc&tBRVUWOQp!gGGi;H{phdE#~PHOw~0Q| zYOF#(^u=1U9kO?(mQuOIsBV-KFYcv{fCRVgv+>M_NsJEx}K)& z2r)895RirJ^tkLCR6$i^H{eE8!%e7;8mNg{xY;XiL2cZM+fWC$qb}+>_zu)Z1Kf#* zXoSYN3r)}zccU4aJIg&%3$#Qlv_>1;i?(Rz;QOTZ=z#mt6&=wDozVr|kc&KYM-TLL zs$S@=%ts&eML+a6`+zh612G7LQGg*187d9Ka1>&MiIEtk9E}H+W2CVdhw+$ziI{}R zn1Uiq#WYOE49vtV%*GrPqXcs?5A(4A3$X}`u>?!849ii96BsyodMk5kA3ZID;?nWlCHj zeTA>_ExyN(_!+YyGPpb?s&8Csw< z+NQ)$NbS)PU66|&=#Bmuhyn~tiJz55VGPD&3Z`K;N-!UburwupK`O;6tid{Lz-Da2 z4(!67l=zV3b4e<%vU1H&E1$!Qco~Or1h1vUuS&1uO}vAXIE@dWgNY6%I+*BSd{olL zL>m(wOmr~O!9)iW9ZYmE(ZS@8l-O0qmGAbKv9maji@1X8DItTbl-R1`6F7wr@i9Kd z=dg^payM0ee%2CygYWPIe!?&K4V7!Lg7gn5Q&z$<;>);}l8F(JgKDS&+b2^uB`%Zd zqcNJIIa;C(+MxqFp=(OKR?0(9gw4h$UE_ z5^s`RV5V}pm2bXLxdq#?6BXEt{VDNQ>2W-XXYf1@;t=edjD3(fhT|!rW~dc~0yp|2 z;b_o zDGskV!BZX_2D&E?!eI1tcOD`YU?|3TG#DivFxwT~l+&d=EW$!#3(yPGFv7$zsXHbp z$4kSd9@12dLy56@n5CS7nOKUsCg!79IU94Z7)!9i>_bwKGzF6}2@_MQuTQ_8NPh?u F{SSY`u6Y0e diff --git a/target/scala-2.12/classes/dbg/dbg_top$.class b/target/scala-2.12/classes/dbg/dbg_main$.class similarity index 64% rename from target/scala-2.12/classes/dbg/dbg_top$.class rename to target/scala-2.12/classes/dbg/dbg_main$.class index 145ec28139922ebf1447da8b904f30e94a081d19..d066ba56db6c0174e992fb22c15cc4369d0f7466 100644 GIT binary patch delta 216 zcmZpWYn78c^>5cc1_lNbhPjLkyeUcP`am3?o0yq5QDP<^zYns=#(lahjB=CTut>0g z6l`W^kU0cwE7%Bqu-Su>`YX7|%?u;`L=c!=Mi2 MpW&?llCFHa0UP#5>Hq)$ delta 211 zcmZpbYmk#T^>5cc1_lNbhPjLkJSj=(`am3Cl3y@UawadI50b#f1G+4XvXkGjNH7DX zH*>M_GaJYt$*ZKK<|J08rg-LMmZ&7)PZ6b Lc`JaV7vF9GXX8l) diff --git a/target/scala-2.12/classes/dbg/dbg_main$delayedInit$body.class b/target/scala-2.12/classes/dbg/dbg_main$delayedInit$body.class new file mode 100644 index 0000000000000000000000000000000000000000..91c2f4f12ccd3f9a4947df580e15e98e0642ab59 GIT binary patch literal 730 zcmZ`%U279T6g@XzYtp1iV{NV4){iJ@3&w&zMFbTKfuss)`zo`$W4m=T8#WU$|4PLV z@IfC1{ZHbVBrhrWFmvbLJ@?GHXZH8s?>_)M#67`g=%2Q@UJq1c8ljHWM28&{*@mBl z6AvQT9tA2^t#oW`G|;W5{>Y{(um@uk*eEd%JY)q$a@?N+1%*a3wmKD5y9?}XL0%1q z@kFrN-07aHcd8XDbJ}|8pX5kve4dtt(15*gbd#I2e1eL=Rl zb5h1KswGrV6Ra-^f0n%0;W0<^P#5Hm4?9PKjqZOyRI`dJMO?-j!S*Z~(TT-*F1p3} z3XdaR@I+8=E@InG+BGLFhZ`h(o`l*N6d8Ru9{4&vQhv-zy_?YO6O~3TpBF2AtAe*Z zHJs3NUynx_m_ za0x|LwwOI)6vn09&sh43l}}jzFa>RMFSt+g2RC^x&tSNU4Q9g0u9M19^cXXYwY^`+ z%g^1tFJ$|M&5x{QacjCu0T&6^W=(TKwomm5ZnGgzUs=fF4wDS>XfQ7G&&;@c#pxH^ HWexuT$xof1 literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dbg/dbg_top.class b/target/scala-2.12/classes/dbg/dbg_main.class similarity index 50% rename from target/scala-2.12/classes/dbg/dbg_top.class rename to target/scala-2.12/classes/dbg/dbg_main.class index d4a8771cc8d34fc053bac07a1a80220115f41a03..0d99113b0dafc5deb9126088a1c905fc0405dc43 100644 GIT binary patch delta 199 zcmZo*Yh{x>^>5cc1_lNb231A|o|L3?eISm{P0Y-jC=tNeF)>pnhmlFjD8t^2N8C?_ zjftC8($P24goV*jkWtBzor#mv5yVf3X7fqUb7f)@cV$#!bm8D*HVqVXbYWym=i_nL zi)3Y1G!;x#6tt*P6*FOXW@Hx@=9CO_a%6H!bmB>53^2_P4k~d`c4V3OUQ?SF*%d16 c3_Oes?7sdkp+2rEj12rf$g-Oq8TT^+0Gh@v=l}o! delta 216 zcmZo=YhaT&^>5cc1_lNb231A|?v$i-eISl6$uF2F8Nk>!F-xYtkWtDg!#=2n-QSs! zjhR4Oe|D#VdN8KEfiFAvPy9_;t_XZ z7v@B$nOce2pF)ghP>{`wSk-q}O zMdAUT5E37Sn052eRN`TGcE)GUo;mA3f4~0#@DTS1t5I<3@p^4^>P9jV6B+eXY}`Oc zlM0BiF$zT@ylkvYJe1zkU}Q28nuD}3W|g(Q{2yi1jp55BQrw7zf9gGltr2* z6T)I=$3GYEgqH|)>b(rkWoWu}IH*)nK#fqp+*m=Kur}59LPe>Lm2nwiSFU@XQ0(lS z)X_k*hIzCI%d@JV>Gv`^=D8|ZAe4>|dq;#7|34tsvWOd1T*nfF%~?Dmtj`Q{)hQm% zc^otU6GFQ)1MOO2x2&)dR+;X(jwGS!$4VZKhk?wFM38W0!Pji`iO6D`PmA*dBf__R zkxuhgUz#%=y%L!iN;a8=)dqT;h4LV_B+Y?+te#4ky{F!2_B^j(pN%c?qw_u1XFe6s z#x+#Avd-Bfj>K_p_cP|cqWuZWA9BzJ?~eUMzp%;Y`UMO(vBDYgWVe~hQuH|%IJWkF zqf9?{_r5UOH>`c+S`l~hog7>xT)JqQ5^{U4kFdoJWww