diff --git a/el2_ifu_aln_ctl.fir b/el2_ifu_aln_ctl.fir index b55913aa..680d0d41 100644 --- a/el2_ifu_aln_ctl.fir +++ b/el2_ifu_aln_ctl.fir @@ -1,9 +1,1874 @@ ;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 circuit el2_ifu_aln_ctl : + module el2_ifu_compress_ctl : + input clock : Clock + input reset : Reset + output io : {flip din : UInt<16>, dout : UInt<32>} + + wire out : UInt<1>[32] @[el2_ifu_compress_ctl.scala 13:17] + out[0] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[1] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[2] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[3] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[4] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[5] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[6] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[7] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[8] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[9] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[10] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[11] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[12] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[13] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[14] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[15] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[16] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[17] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[18] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[19] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[20] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[21] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[22] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[23] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[24] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[25] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[26] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[27] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[28] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[29] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[30] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + out[31] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 14:7] + node _T = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_2 = eq(_T_1, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_3 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_4 = eq(_T_3, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_5 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 12:71] + node _T_6 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 12:90] + node _T_7 = eq(_T_6, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_8 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 12:90] + node _T_9 = eq(_T_8, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_10 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_11 = and(_T, _T_2) @[el2_ifu_compress_ctl.scala 12:110] + node _T_12 = and(_T_11, _T_4) @[el2_ifu_compress_ctl.scala 12:110] + node _T_13 = and(_T_12, _T_5) @[el2_ifu_compress_ctl.scala 12:110] + node _T_14 = and(_T_13, _T_7) @[el2_ifu_compress_ctl.scala 12:110] + node _T_15 = and(_T_14, _T_9) @[el2_ifu_compress_ctl.scala 12:110] + node _T_16 = and(_T_15, _T_10) @[el2_ifu_compress_ctl.scala 12:110] + node _T_17 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_18 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_19 = eq(_T_18, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_20 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_21 = eq(_T_20, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_22 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 12:90] + node _T_23 = eq(_T_22, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_24 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 12:71] + node _T_25 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_26 = and(_T_17, _T_19) @[el2_ifu_compress_ctl.scala 12:110] + node _T_27 = and(_T_26, _T_21) @[el2_ifu_compress_ctl.scala 12:110] + node _T_28 = and(_T_27, _T_23) @[el2_ifu_compress_ctl.scala 12:110] + node _T_29 = and(_T_28, _T_24) @[el2_ifu_compress_ctl.scala 12:110] + node _T_30 = and(_T_29, _T_25) @[el2_ifu_compress_ctl.scala 12:110] + node _T_31 = or(_T_16, _T_30) @[el2_ifu_compress_ctl.scala 16:53] + out[30] <= _T_31 @[el2_ifu_compress_ctl.scala 16:11] + node _T_32 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_33 = eq(_T_32, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_34 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:71] + node _T_35 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 12:90] + node _T_36 = eq(_T_35, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_37 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 12:90] + node _T_38 = eq(_T_37, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_39 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 12:90] + node _T_40 = eq(_T_39, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_41 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 12:90] + node _T_42 = eq(_T_41, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_43 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 12:90] + node _T_44 = eq(_T_43, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_45 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 12:90] + node _T_46 = eq(_T_45, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_47 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 12:90] + node _T_48 = eq(_T_47, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_49 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 12:90] + node _T_50 = eq(_T_49, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_51 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 12:90] + node _T_52 = eq(_T_51, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_53 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 12:90] + node _T_54 = eq(_T_53, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_55 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_56 = and(_T_33, _T_34) @[el2_ifu_compress_ctl.scala 12:110] + node _T_57 = and(_T_56, _T_36) @[el2_ifu_compress_ctl.scala 12:110] + node _T_58 = and(_T_57, _T_38) @[el2_ifu_compress_ctl.scala 12:110] + node _T_59 = and(_T_58, _T_40) @[el2_ifu_compress_ctl.scala 12:110] + node _T_60 = and(_T_59, _T_42) @[el2_ifu_compress_ctl.scala 12:110] + node _T_61 = and(_T_60, _T_44) @[el2_ifu_compress_ctl.scala 12:110] + node _T_62 = and(_T_61, _T_46) @[el2_ifu_compress_ctl.scala 12:110] + node _T_63 = and(_T_62, _T_48) @[el2_ifu_compress_ctl.scala 12:110] + node _T_64 = and(_T_63, _T_50) @[el2_ifu_compress_ctl.scala 12:110] + node _T_65 = and(_T_64, _T_52) @[el2_ifu_compress_ctl.scala 12:110] + node _T_66 = and(_T_65, _T_54) @[el2_ifu_compress_ctl.scala 12:110] + node _T_67 = and(_T_66, _T_55) @[el2_ifu_compress_ctl.scala 12:110] + out[20] <= _T_67 @[el2_ifu_compress_ctl.scala 18:11] + node _T_68 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_69 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_70 = eq(_T_69, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_71 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_72 = eq(_T_71, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_73 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 12:90] + node _T_74 = eq(_T_73, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_75 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_76 = and(_T_68, _T_70) @[el2_ifu_compress_ctl.scala 12:110] + node _T_77 = and(_T_76, _T_72) @[el2_ifu_compress_ctl.scala 12:110] + node _T_78 = and(_T_77, _T_74) @[el2_ifu_compress_ctl.scala 12:110] + node _T_79 = and(_T_78, _T_75) @[el2_ifu_compress_ctl.scala 12:110] + node _T_80 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_81 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_82 = eq(_T_81, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_83 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_84 = eq(_T_83, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_85 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 12:90] + node _T_86 = eq(_T_85, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_87 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_88 = and(_T_80, _T_82) @[el2_ifu_compress_ctl.scala 12:110] + node _T_89 = and(_T_88, _T_84) @[el2_ifu_compress_ctl.scala 12:110] + node _T_90 = and(_T_89, _T_86) @[el2_ifu_compress_ctl.scala 12:110] + node _T_91 = and(_T_90, _T_87) @[el2_ifu_compress_ctl.scala 12:110] + node _T_92 = or(_T_79, _T_91) @[el2_ifu_compress_ctl.scala 20:46] + node _T_93 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_94 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_95 = eq(_T_94, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_96 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_97 = eq(_T_96, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_98 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 12:71] + node _T_99 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_100 = and(_T_93, _T_95) @[el2_ifu_compress_ctl.scala 12:110] + node _T_101 = and(_T_100, _T_97) @[el2_ifu_compress_ctl.scala 12:110] + node _T_102 = and(_T_101, _T_98) @[el2_ifu_compress_ctl.scala 12:110] + node _T_103 = and(_T_102, _T_99) @[el2_ifu_compress_ctl.scala 12:110] + node _T_104 = or(_T_92, _T_103) @[el2_ifu_compress_ctl.scala 20:80] + node _T_105 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_106 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_107 = eq(_T_106, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_108 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_109 = eq(_T_108, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_110 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 12:71] + node _T_111 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_112 = and(_T_105, _T_107) @[el2_ifu_compress_ctl.scala 12:110] + node _T_113 = and(_T_112, _T_109) @[el2_ifu_compress_ctl.scala 12:110] + node _T_114 = and(_T_113, _T_110) @[el2_ifu_compress_ctl.scala 12:110] + node _T_115 = and(_T_114, _T_111) @[el2_ifu_compress_ctl.scala 12:110] + node _T_116 = or(_T_104, _T_115) @[el2_ifu_compress_ctl.scala 20:113] + out[14] <= _T_116 @[el2_ifu_compress_ctl.scala 20:11] + node _T_117 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_118 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_119 = eq(_T_118, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_120 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_121 = eq(_T_120, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_122 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 12:71] + node _T_123 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 12:90] + node _T_124 = eq(_T_123, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_125 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_126 = and(_T_117, _T_119) @[el2_ifu_compress_ctl.scala 12:110] + node _T_127 = and(_T_126, _T_121) @[el2_ifu_compress_ctl.scala 12:110] + node _T_128 = and(_T_127, _T_122) @[el2_ifu_compress_ctl.scala 12:110] + node _T_129 = and(_T_128, _T_124) @[el2_ifu_compress_ctl.scala 12:110] + node _T_130 = and(_T_129, _T_125) @[el2_ifu_compress_ctl.scala 12:110] + node _T_131 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_132 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_133 = eq(_T_132, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_134 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_135 = eq(_T_134, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_136 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 12:71] + node _T_137 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 12:71] + node _T_138 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_139 = and(_T_131, _T_133) @[el2_ifu_compress_ctl.scala 12:110] + node _T_140 = and(_T_139, _T_135) @[el2_ifu_compress_ctl.scala 12:110] + node _T_141 = and(_T_140, _T_136) @[el2_ifu_compress_ctl.scala 12:110] + node _T_142 = and(_T_141, _T_137) @[el2_ifu_compress_ctl.scala 12:110] + node _T_143 = and(_T_142, _T_138) @[el2_ifu_compress_ctl.scala 12:110] + node _T_144 = or(_T_130, _T_143) @[el2_ifu_compress_ctl.scala 22:50] + node _T_145 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 22:95] + node _T_146 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 22:108] + node _T_147 = eq(_T_146, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 22:101] + node _T_148 = and(_T_145, _T_147) @[el2_ifu_compress_ctl.scala 22:99] + node _T_149 = or(_T_144, _T_148) @[el2_ifu_compress_ctl.scala 22:86] + out[13] <= _T_149 @[el2_ifu_compress_ctl.scala 22:11] + node _T_150 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_151 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_152 = eq(_T_151, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_153 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_154 = eq(_T_153, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_155 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 12:71] + node _T_156 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 12:71] + node _T_157 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_158 = and(_T_150, _T_152) @[el2_ifu_compress_ctl.scala 12:110] + node _T_159 = and(_T_158, _T_154) @[el2_ifu_compress_ctl.scala 12:110] + node _T_160 = and(_T_159, _T_155) @[el2_ifu_compress_ctl.scala 12:110] + node _T_161 = and(_T_160, _T_156) @[el2_ifu_compress_ctl.scala 12:110] + node _T_162 = and(_T_161, _T_157) @[el2_ifu_compress_ctl.scala 12:110] + node _T_163 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_164 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_165 = eq(_T_164, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_166 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_167 = eq(_T_166, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_168 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 12:90] + node _T_169 = eq(_T_168, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_170 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_171 = and(_T_163, _T_165) @[el2_ifu_compress_ctl.scala 12:110] + node _T_172 = and(_T_171, _T_167) @[el2_ifu_compress_ctl.scala 12:110] + node _T_173 = and(_T_172, _T_169) @[el2_ifu_compress_ctl.scala 12:110] + node _T_174 = and(_T_173, _T_170) @[el2_ifu_compress_ctl.scala 12:110] + node _T_175 = or(_T_162, _T_174) @[el2_ifu_compress_ctl.scala 24:47] + node _T_176 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_177 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_178 = eq(_T_177, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_179 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_180 = eq(_T_179, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_181 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 12:90] + node _T_182 = eq(_T_181, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_183 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_184 = and(_T_176, _T_178) @[el2_ifu_compress_ctl.scala 12:110] + node _T_185 = and(_T_184, _T_180) @[el2_ifu_compress_ctl.scala 12:110] + node _T_186 = and(_T_185, _T_182) @[el2_ifu_compress_ctl.scala 12:110] + node _T_187 = and(_T_186, _T_183) @[el2_ifu_compress_ctl.scala 12:110] + node _T_188 = or(_T_175, _T_187) @[el2_ifu_compress_ctl.scala 24:81] + node _T_189 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_190 = eq(_T_189, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_191 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_192 = eq(_T_191, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_193 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_194 = and(_T_190, _T_192) @[el2_ifu_compress_ctl.scala 12:110] + node _T_195 = and(_T_194, _T_193) @[el2_ifu_compress_ctl.scala 12:110] + node _T_196 = or(_T_188, _T_195) @[el2_ifu_compress_ctl.scala 24:115] + node _T_197 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_198 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_199 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_200 = and(_T_197, _T_198) @[el2_ifu_compress_ctl.scala 12:110] + node _T_201 = and(_T_200, _T_199) @[el2_ifu_compress_ctl.scala 12:110] + node _T_202 = or(_T_196, _T_201) @[el2_ifu_compress_ctl.scala 25:26] + out[12] <= _T_202 @[el2_ifu_compress_ctl.scala 24:11] + node _T_203 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_204 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_205 = eq(_T_204, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_206 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 12:90] + node _T_207 = eq(_T_206, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_208 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 12:90] + node _T_209 = eq(_T_208, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_210 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 12:90] + node _T_211 = eq(_T_210, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_212 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 12:90] + node _T_213 = eq(_T_212, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_214 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 12:90] + node _T_215 = eq(_T_214, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_216 = and(_T_203, _T_205) @[el2_ifu_compress_ctl.scala 12:110] + node _T_217 = and(_T_216, _T_207) @[el2_ifu_compress_ctl.scala 12:110] + node _T_218 = and(_T_217, _T_209) @[el2_ifu_compress_ctl.scala 12:110] + node _T_219 = and(_T_218, _T_211) @[el2_ifu_compress_ctl.scala 12:110] + node _T_220 = and(_T_219, _T_213) @[el2_ifu_compress_ctl.scala 12:110] + node _T_221 = and(_T_220, _T_215) @[el2_ifu_compress_ctl.scala 12:110] + node _T_222 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 27:62] + node _T_223 = eq(_T_222, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 27:55] + node _T_224 = and(_T_221, _T_223) @[el2_ifu_compress_ctl.scala 27:53] + node _T_225 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_226 = eq(_T_225, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_227 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_228 = and(_T_226, _T_227) @[el2_ifu_compress_ctl.scala 12:110] + node _T_229 = or(_T_224, _T_228) @[el2_ifu_compress_ctl.scala 27:67] + node _T_230 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_231 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_232 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_233 = and(_T_230, _T_231) @[el2_ifu_compress_ctl.scala 12:110] + node _T_234 = and(_T_233, _T_232) @[el2_ifu_compress_ctl.scala 12:110] + node _T_235 = or(_T_229, _T_234) @[el2_ifu_compress_ctl.scala 27:88] + out[6] <= _T_235 @[el2_ifu_compress_ctl.scala 27:10] + node _T_236 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 29:20] + node _T_237 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 29:33] + node _T_238 = eq(_T_237, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 29:26] + node _T_239 = and(_T_236, _T_238) @[el2_ifu_compress_ctl.scala 29:24] + node _T_240 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_241 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 12:71] + node _T_242 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 12:71] + node _T_243 = and(_T_240, _T_241) @[el2_ifu_compress_ctl.scala 12:110] + node _T_244 = and(_T_243, _T_242) @[el2_ifu_compress_ctl.scala 12:110] + node _T_245 = or(_T_239, _T_244) @[el2_ifu_compress_ctl.scala 29:39] + node _T_246 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_247 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 12:90] + node _T_248 = eq(_T_247, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_249 = and(_T_246, _T_248) @[el2_ifu_compress_ctl.scala 12:110] + node _T_250 = or(_T_245, _T_249) @[el2_ifu_compress_ctl.scala 29:63] + node _T_251 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_252 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 12:71] + node _T_253 = and(_T_251, _T_252) @[el2_ifu_compress_ctl.scala 12:110] + node _T_254 = or(_T_250, _T_253) @[el2_ifu_compress_ctl.scala 29:83] + node _T_255 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_256 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 12:71] + node _T_257 = and(_T_255, _T_256) @[el2_ifu_compress_ctl.scala 12:110] + node _T_258 = or(_T_254, _T_257) @[el2_ifu_compress_ctl.scala 29:102] + node _T_259 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_260 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 12:71] + node _T_261 = and(_T_259, _T_260) @[el2_ifu_compress_ctl.scala 12:110] + node _T_262 = or(_T_258, _T_261) @[el2_ifu_compress_ctl.scala 30:22] + node _T_263 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_264 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 12:71] + node _T_265 = and(_T_263, _T_264) @[el2_ifu_compress_ctl.scala 12:110] + node _T_266 = or(_T_262, _T_265) @[el2_ifu_compress_ctl.scala 30:42] + node _T_267 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_268 = eq(_T_267, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_269 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_270 = and(_T_268, _T_269) @[el2_ifu_compress_ctl.scala 12:110] + node _T_271 = or(_T_266, _T_270) @[el2_ifu_compress_ctl.scala 30:62] + node _T_272 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_273 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_274 = and(_T_272, _T_273) @[el2_ifu_compress_ctl.scala 12:110] + node _T_275 = or(_T_271, _T_274) @[el2_ifu_compress_ctl.scala 30:83] + out[5] <= _T_275 @[el2_ifu_compress_ctl.scala 29:10] + node _T_276 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_277 = eq(_T_276, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_278 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 12:90] + node _T_279 = eq(_T_278, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_280 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 12:90] + node _T_281 = eq(_T_280, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_282 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 12:90] + node _T_283 = eq(_T_282, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_284 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 12:90] + node _T_285 = eq(_T_284, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_286 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 12:90] + node _T_287 = eq(_T_286, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_288 = and(_T_277, _T_279) @[el2_ifu_compress_ctl.scala 12:110] + node _T_289 = and(_T_288, _T_281) @[el2_ifu_compress_ctl.scala 12:110] + node _T_290 = and(_T_289, _T_283) @[el2_ifu_compress_ctl.scala 12:110] + node _T_291 = and(_T_290, _T_285) @[el2_ifu_compress_ctl.scala 12:110] + node _T_292 = and(_T_291, _T_287) @[el2_ifu_compress_ctl.scala 12:110] + node _T_293 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 32:59] + node _T_294 = eq(_T_293, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 32:52] + node _T_295 = and(_T_292, _T_294) @[el2_ifu_compress_ctl.scala 32:50] + node _T_296 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_297 = eq(_T_296, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_298 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_299 = eq(_T_298, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_300 = and(_T_297, _T_299) @[el2_ifu_compress_ctl.scala 12:110] + node _T_301 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 32:96] + node _T_302 = eq(_T_301, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 32:89] + node _T_303 = and(_T_300, _T_302) @[el2_ifu_compress_ctl.scala 32:87] + node _T_304 = or(_T_295, _T_303) @[el2_ifu_compress_ctl.scala 32:65] + node _T_305 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_307 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 12:71] + node _T_308 = and(_T_306, _T_307) @[el2_ifu_compress_ctl.scala 12:110] + node _T_309 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 33:32] + node _T_310 = eq(_T_309, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 33:25] + node _T_311 = and(_T_308, _T_310) @[el2_ifu_compress_ctl.scala 33:23] + node _T_312 = or(_T_304, _T_311) @[el2_ifu_compress_ctl.scala 32:102] + node _T_313 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_315 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_316 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_317 = and(_T_314, _T_315) @[el2_ifu_compress_ctl.scala 12:110] + node _T_318 = and(_T_317, _T_316) @[el2_ifu_compress_ctl.scala 12:110] + node _T_319 = or(_T_312, _T_318) @[el2_ifu_compress_ctl.scala 33:38] + node _T_320 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_321 = eq(_T_320, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_322 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 12:71] + node _T_323 = and(_T_321, _T_322) @[el2_ifu_compress_ctl.scala 12:110] + node _T_324 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 33:91] + node _T_325 = eq(_T_324, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 33:84] + node _T_326 = and(_T_323, _T_325) @[el2_ifu_compress_ctl.scala 33:82] + node _T_327 = or(_T_319, _T_326) @[el2_ifu_compress_ctl.scala 33:62] + node _T_328 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_329 = eq(_T_328, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_330 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 12:71] + node _T_331 = and(_T_329, _T_330) @[el2_ifu_compress_ctl.scala 12:110] + node _T_332 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 34:32] + node _T_333 = eq(_T_332, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 34:25] + node _T_334 = and(_T_331, _T_333) @[el2_ifu_compress_ctl.scala 34:23] + node _T_335 = or(_T_327, _T_334) @[el2_ifu_compress_ctl.scala 33:97] + node _T_336 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_337 = eq(_T_336, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_338 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 12:71] + node _T_339 = and(_T_337, _T_338) @[el2_ifu_compress_ctl.scala 12:110] + node _T_340 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 34:67] + node _T_341 = eq(_T_340, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 34:60] + node _T_342 = and(_T_339, _T_341) @[el2_ifu_compress_ctl.scala 34:58] + node _T_343 = or(_T_335, _T_342) @[el2_ifu_compress_ctl.scala 34:38] + node _T_344 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_345 = eq(_T_344, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_346 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 12:71] + node _T_347 = and(_T_345, _T_346) @[el2_ifu_compress_ctl.scala 12:110] + node _T_348 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 34:102] + node _T_349 = eq(_T_348, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 34:95] + node _T_350 = and(_T_347, _T_349) @[el2_ifu_compress_ctl.scala 34:93] + node _T_351 = or(_T_343, _T_350) @[el2_ifu_compress_ctl.scala 34:73] + node _T_352 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_353 = eq(_T_352, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_354 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_355 = eq(_T_354, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_356 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_357 = and(_T_353, _T_355) @[el2_ifu_compress_ctl.scala 12:110] + node _T_358 = and(_T_357, _T_356) @[el2_ifu_compress_ctl.scala 12:110] + node _T_359 = or(_T_351, _T_358) @[el2_ifu_compress_ctl.scala 34:108] + out[4] <= _T_359 @[el2_ifu_compress_ctl.scala 32:10] + node _T_360 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_361 = eq(_T_360, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_362 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_363 = and(_T_361, _T_362) @[el2_ifu_compress_ctl.scala 12:110] + out[3] <= _T_363 @[el2_ifu_compress_ctl.scala 37:10] + node _T_364 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_365 = eq(_T_364, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_366 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:71] + node _T_367 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 12:71] + node _T_368 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 12:90] + node _T_369 = eq(_T_368, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_370 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 12:90] + node _T_371 = eq(_T_370, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_372 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 12:90] + node _T_373 = eq(_T_372, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_374 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 12:90] + node _T_375 = eq(_T_374, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_376 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 12:90] + node _T_377 = eq(_T_376, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_378 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_379 = and(_T_365, _T_366) @[el2_ifu_compress_ctl.scala 12:110] + node _T_380 = and(_T_379, _T_367) @[el2_ifu_compress_ctl.scala 12:110] + node _T_381 = and(_T_380, _T_369) @[el2_ifu_compress_ctl.scala 12:110] + node _T_382 = and(_T_381, _T_371) @[el2_ifu_compress_ctl.scala 12:110] + node _T_383 = and(_T_382, _T_373) @[el2_ifu_compress_ctl.scala 12:110] + node _T_384 = and(_T_383, _T_375) @[el2_ifu_compress_ctl.scala 12:110] + node _T_385 = and(_T_384, _T_377) @[el2_ifu_compress_ctl.scala 12:110] + node _T_386 = and(_T_385, _T_378) @[el2_ifu_compress_ctl.scala 12:110] + node _T_387 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_388 = eq(_T_387, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_389 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:71] + node _T_390 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 12:71] + node _T_391 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 12:90] + node _T_392 = eq(_T_391, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_393 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 12:90] + node _T_394 = eq(_T_393, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_395 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 12:90] + node _T_396 = eq(_T_395, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_397 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 12:90] + node _T_398 = eq(_T_397, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_399 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 12:90] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_401 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_402 = and(_T_388, _T_389) @[el2_ifu_compress_ctl.scala 12:110] + node _T_403 = and(_T_402, _T_390) @[el2_ifu_compress_ctl.scala 12:110] + node _T_404 = and(_T_403, _T_392) @[el2_ifu_compress_ctl.scala 12:110] + node _T_405 = and(_T_404, _T_394) @[el2_ifu_compress_ctl.scala 12:110] + node _T_406 = and(_T_405, _T_396) @[el2_ifu_compress_ctl.scala 12:110] + node _T_407 = and(_T_406, _T_398) @[el2_ifu_compress_ctl.scala 12:110] + node _T_408 = and(_T_407, _T_400) @[el2_ifu_compress_ctl.scala 12:110] + node _T_409 = and(_T_408, _T_401) @[el2_ifu_compress_ctl.scala 12:110] + node _T_410 = or(_T_386, _T_409) @[el2_ifu_compress_ctl.scala 39:59] + node _T_411 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_412 = eq(_T_411, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_413 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:71] + node _T_414 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 12:71] + node _T_415 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 12:90] + node _T_416 = eq(_T_415, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_417 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 12:90] + node _T_418 = eq(_T_417, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_419 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 12:90] + node _T_420 = eq(_T_419, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_421 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 12:90] + node _T_422 = eq(_T_421, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_423 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 12:90] + node _T_424 = eq(_T_423, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_425 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_426 = and(_T_412, _T_413) @[el2_ifu_compress_ctl.scala 12:110] + node _T_427 = and(_T_426, _T_414) @[el2_ifu_compress_ctl.scala 12:110] + node _T_428 = and(_T_427, _T_416) @[el2_ifu_compress_ctl.scala 12:110] + node _T_429 = and(_T_428, _T_418) @[el2_ifu_compress_ctl.scala 12:110] + node _T_430 = and(_T_429, _T_420) @[el2_ifu_compress_ctl.scala 12:110] + node _T_431 = and(_T_430, _T_422) @[el2_ifu_compress_ctl.scala 12:110] + node _T_432 = and(_T_431, _T_424) @[el2_ifu_compress_ctl.scala 12:110] + node _T_433 = and(_T_432, _T_425) @[el2_ifu_compress_ctl.scala 12:110] + node _T_434 = or(_T_410, _T_433) @[el2_ifu_compress_ctl.scala 39:107] + node _T_435 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_436 = eq(_T_435, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_437 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:71] + node _T_438 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 12:71] + node _T_439 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 12:90] + node _T_440 = eq(_T_439, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_441 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 12:90] + node _T_442 = eq(_T_441, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_443 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 12:90] + node _T_444 = eq(_T_443, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_445 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 12:90] + node _T_446 = eq(_T_445, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_447 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 12:90] + node _T_448 = eq(_T_447, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_449 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_450 = and(_T_436, _T_437) @[el2_ifu_compress_ctl.scala 12:110] + node _T_451 = and(_T_450, _T_438) @[el2_ifu_compress_ctl.scala 12:110] + node _T_452 = and(_T_451, _T_440) @[el2_ifu_compress_ctl.scala 12:110] + node _T_453 = and(_T_452, _T_442) @[el2_ifu_compress_ctl.scala 12:110] + node _T_454 = and(_T_453, _T_444) @[el2_ifu_compress_ctl.scala 12:110] + node _T_455 = and(_T_454, _T_446) @[el2_ifu_compress_ctl.scala 12:110] + node _T_456 = and(_T_455, _T_448) @[el2_ifu_compress_ctl.scala 12:110] + node _T_457 = and(_T_456, _T_449) @[el2_ifu_compress_ctl.scala 12:110] + node _T_458 = or(_T_434, _T_457) @[el2_ifu_compress_ctl.scala 40:50] + node _T_459 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_460 = eq(_T_459, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_461 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:71] + node _T_462 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 12:71] + node _T_463 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 12:90] + node _T_464 = eq(_T_463, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_465 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 12:90] + node _T_466 = eq(_T_465, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_467 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 12:90] + node _T_468 = eq(_T_467, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_469 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 12:90] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_471 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 12:90] + node _T_472 = eq(_T_471, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_473 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_474 = and(_T_460, _T_461) @[el2_ifu_compress_ctl.scala 12:110] + node _T_475 = and(_T_474, _T_462) @[el2_ifu_compress_ctl.scala 12:110] + node _T_476 = and(_T_475, _T_464) @[el2_ifu_compress_ctl.scala 12:110] + node _T_477 = and(_T_476, _T_466) @[el2_ifu_compress_ctl.scala 12:110] + node _T_478 = and(_T_477, _T_468) @[el2_ifu_compress_ctl.scala 12:110] + node _T_479 = and(_T_478, _T_470) @[el2_ifu_compress_ctl.scala 12:110] + node _T_480 = and(_T_479, _T_472) @[el2_ifu_compress_ctl.scala 12:110] + node _T_481 = and(_T_480, _T_473) @[el2_ifu_compress_ctl.scala 12:110] + node _T_482 = or(_T_458, _T_481) @[el2_ifu_compress_ctl.scala 40:94] + node _T_483 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_484 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_485 = eq(_T_484, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_486 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:90] + node _T_487 = eq(_T_486, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_488 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 12:90] + node _T_489 = eq(_T_488, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_490 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 12:90] + node _T_491 = eq(_T_490, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_492 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 12:90] + node _T_493 = eq(_T_492, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_494 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 12:90] + node _T_495 = eq(_T_494, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_496 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 12:90] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_498 = and(_T_483, _T_485) @[el2_ifu_compress_ctl.scala 12:110] + node _T_499 = and(_T_498, _T_487) @[el2_ifu_compress_ctl.scala 12:110] + node _T_500 = and(_T_499, _T_489) @[el2_ifu_compress_ctl.scala 12:110] + node _T_501 = and(_T_500, _T_491) @[el2_ifu_compress_ctl.scala 12:110] + node _T_502 = and(_T_501, _T_493) @[el2_ifu_compress_ctl.scala 12:110] + node _T_503 = and(_T_502, _T_495) @[el2_ifu_compress_ctl.scala 12:110] + node _T_504 = and(_T_503, _T_497) @[el2_ifu_compress_ctl.scala 12:110] + node _T_505 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 41:103] + node _T_506 = eq(_T_505, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 41:96] + node _T_507 = and(_T_504, _T_506) @[el2_ifu_compress_ctl.scala 41:94] + node _T_508 = or(_T_482, _T_507) @[el2_ifu_compress_ctl.scala 41:49] + node _T_509 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_510 = eq(_T_509, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_511 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_512 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 12:90] + node _T_513 = eq(_T_512, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_514 = and(_T_510, _T_511) @[el2_ifu_compress_ctl.scala 12:110] + node _T_515 = and(_T_514, _T_513) @[el2_ifu_compress_ctl.scala 12:110] + node _T_516 = or(_T_508, _T_515) @[el2_ifu_compress_ctl.scala 41:109] + node _T_517 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_518 = eq(_T_517, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_519 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_520 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 12:71] + node _T_521 = and(_T_518, _T_519) @[el2_ifu_compress_ctl.scala 12:110] + node _T_522 = and(_T_521, _T_520) @[el2_ifu_compress_ctl.scala 12:110] + node _T_523 = or(_T_516, _T_522) @[el2_ifu_compress_ctl.scala 42:26] + node _T_524 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_525 = eq(_T_524, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_526 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_527 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 12:71] + node _T_528 = and(_T_525, _T_526) @[el2_ifu_compress_ctl.scala 12:110] + node _T_529 = and(_T_528, _T_527) @[el2_ifu_compress_ctl.scala 12:110] + node _T_530 = or(_T_523, _T_529) @[el2_ifu_compress_ctl.scala 42:48] + node _T_531 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_532 = eq(_T_531, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_533 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_534 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 12:71] + node _T_535 = and(_T_532, _T_533) @[el2_ifu_compress_ctl.scala 12:110] + node _T_536 = and(_T_535, _T_534) @[el2_ifu_compress_ctl.scala 12:110] + node _T_537 = or(_T_530, _T_536) @[el2_ifu_compress_ctl.scala 42:70] + node _T_538 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_539 = eq(_T_538, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_540 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_541 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 12:71] + node _T_542 = and(_T_539, _T_540) @[el2_ifu_compress_ctl.scala 12:110] + node _T_543 = and(_T_542, _T_541) @[el2_ifu_compress_ctl.scala 12:110] + node _T_544 = or(_T_537, _T_543) @[el2_ifu_compress_ctl.scala 42:93] + node _T_545 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_546 = eq(_T_545, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_547 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_548 = and(_T_546, _T_547) @[el2_ifu_compress_ctl.scala 12:110] + node _T_549 = or(_T_544, _T_548) @[el2_ifu_compress_ctl.scala 43:26] + out[2] <= _T_549 @[el2_ifu_compress_ctl.scala 39:10] + out[1] <= UInt<1>("h01") @[el2_ifu_compress_ctl.scala 45:10] + out[0] <= UInt<1>("h01") @[el2_ifu_compress_ctl.scala 47:10] + node rs2d = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 49:20] + node rdd = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 50:19] + node _T_550 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 51:34] + node rdpd = cat(UInt<2>("h01"), _T_550) @[Cat.scala 29:58] + node _T_551 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 52:35] + node rs2pd = cat(UInt<2>("h01"), _T_551) @[Cat.scala 29:58] + node _T_552 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_553 = eq(_T_552, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_554 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 12:71] + node _T_555 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_556 = and(_T_553, _T_554) @[el2_ifu_compress_ctl.scala 12:110] + node _T_557 = and(_T_556, _T_555) @[el2_ifu_compress_ctl.scala 12:110] + node _T_558 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_559 = eq(_T_558, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_560 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_561 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 12:71] + node _T_562 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_563 = and(_T_559, _T_560) @[el2_ifu_compress_ctl.scala 12:110] + node _T_564 = and(_T_563, _T_561) @[el2_ifu_compress_ctl.scala 12:110] + node _T_565 = and(_T_564, _T_562) @[el2_ifu_compress_ctl.scala 12:110] + node _T_566 = or(_T_557, _T_565) @[el2_ifu_compress_ctl.scala 54:33] + node _T_567 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_568 = eq(_T_567, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_569 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 12:71] + node _T_570 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_571 = and(_T_568, _T_569) @[el2_ifu_compress_ctl.scala 12:110] + node _T_572 = and(_T_571, _T_570) @[el2_ifu_compress_ctl.scala 12:110] + node _T_573 = or(_T_566, _T_572) @[el2_ifu_compress_ctl.scala 54:58] + node _T_574 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_575 = eq(_T_574, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_576 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_577 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 12:71] + node _T_578 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_579 = and(_T_575, _T_576) @[el2_ifu_compress_ctl.scala 12:110] + node _T_580 = and(_T_579, _T_577) @[el2_ifu_compress_ctl.scala 12:110] + node _T_581 = and(_T_580, _T_578) @[el2_ifu_compress_ctl.scala 12:110] + node _T_582 = or(_T_573, _T_581) @[el2_ifu_compress_ctl.scala 54:79] + node _T_583 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_584 = eq(_T_583, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_585 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 12:71] + node _T_586 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_587 = and(_T_584, _T_585) @[el2_ifu_compress_ctl.scala 12:110] + node _T_588 = and(_T_587, _T_586) @[el2_ifu_compress_ctl.scala 12:110] + node _T_589 = or(_T_582, _T_588) @[el2_ifu_compress_ctl.scala 54:104] + node _T_590 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_591 = eq(_T_590, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_592 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_593 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 12:71] + node _T_594 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_595 = and(_T_591, _T_592) @[el2_ifu_compress_ctl.scala 12:110] + node _T_596 = and(_T_595, _T_593) @[el2_ifu_compress_ctl.scala 12:110] + node _T_597 = and(_T_596, _T_594) @[el2_ifu_compress_ctl.scala 12:110] + node _T_598 = or(_T_589, _T_597) @[el2_ifu_compress_ctl.scala 55:24] + node _T_599 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_600 = eq(_T_599, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_601 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 12:71] + node _T_602 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_603 = and(_T_600, _T_601) @[el2_ifu_compress_ctl.scala 12:110] + node _T_604 = and(_T_603, _T_602) @[el2_ifu_compress_ctl.scala 12:110] + node _T_605 = or(_T_598, _T_604) @[el2_ifu_compress_ctl.scala 55:48] + node _T_606 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_607 = eq(_T_606, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_608 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_609 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 12:90] + node _T_610 = eq(_T_609, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_611 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_612 = and(_T_607, _T_608) @[el2_ifu_compress_ctl.scala 12:110] + node _T_613 = and(_T_612, _T_610) @[el2_ifu_compress_ctl.scala 12:110] + node _T_614 = and(_T_613, _T_611) @[el2_ifu_compress_ctl.scala 12:110] + node _T_615 = or(_T_605, _T_614) @[el2_ifu_compress_ctl.scala 55:69] + node _T_616 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_617 = eq(_T_616, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_618 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 12:71] + node _T_619 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_620 = and(_T_617, _T_618) @[el2_ifu_compress_ctl.scala 12:110] + node _T_621 = and(_T_620, _T_619) @[el2_ifu_compress_ctl.scala 12:110] + node _T_622 = or(_T_615, _T_621) @[el2_ifu_compress_ctl.scala 55:94] + node _T_623 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_624 = eq(_T_623, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_625 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_626 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 12:71] + node _T_627 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_628 = and(_T_624, _T_625) @[el2_ifu_compress_ctl.scala 12:110] + node _T_629 = and(_T_628, _T_626) @[el2_ifu_compress_ctl.scala 12:110] + node _T_630 = and(_T_629, _T_627) @[el2_ifu_compress_ctl.scala 12:110] + node _T_631 = or(_T_622, _T_630) @[el2_ifu_compress_ctl.scala 56:22] + node _T_632 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_633 = eq(_T_632, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_634 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_635 = and(_T_633, _T_634) @[el2_ifu_compress_ctl.scala 12:110] + node _T_636 = or(_T_631, _T_635) @[el2_ifu_compress_ctl.scala 56:46] + node _T_637 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_638 = eq(_T_637, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_639 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_640 = eq(_T_639, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_641 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_642 = and(_T_638, _T_640) @[el2_ifu_compress_ctl.scala 12:110] + node _T_643 = and(_T_642, _T_641) @[el2_ifu_compress_ctl.scala 12:110] + node rdrd = or(_T_636, _T_643) @[el2_ifu_compress_ctl.scala 56:65] + node _T_644 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_645 = eq(_T_644, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_646 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:71] + node _T_647 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 12:71] + node _T_648 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_649 = and(_T_645, _T_646) @[el2_ifu_compress_ctl.scala 12:110] + node _T_650 = and(_T_649, _T_647) @[el2_ifu_compress_ctl.scala 12:110] + node _T_651 = and(_T_650, _T_648) @[el2_ifu_compress_ctl.scala 12:110] + node _T_652 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_653 = eq(_T_652, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_654 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:71] + node _T_655 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 12:71] + node _T_656 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_657 = and(_T_653, _T_654) @[el2_ifu_compress_ctl.scala 12:110] + node _T_658 = and(_T_657, _T_655) @[el2_ifu_compress_ctl.scala 12:110] + node _T_659 = and(_T_658, _T_656) @[el2_ifu_compress_ctl.scala 12:110] + node _T_660 = or(_T_651, _T_659) @[el2_ifu_compress_ctl.scala 58:38] + node _T_661 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_662 = eq(_T_661, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_663 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:71] + node _T_664 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 12:71] + node _T_665 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_666 = and(_T_662, _T_663) @[el2_ifu_compress_ctl.scala 12:110] + node _T_667 = and(_T_666, _T_664) @[el2_ifu_compress_ctl.scala 12:110] + node _T_668 = and(_T_667, _T_665) @[el2_ifu_compress_ctl.scala 12:110] + node _T_669 = or(_T_660, _T_668) @[el2_ifu_compress_ctl.scala 58:63] + node _T_670 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_671 = eq(_T_670, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_672 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:71] + node _T_673 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 12:71] + node _T_674 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_675 = and(_T_671, _T_672) @[el2_ifu_compress_ctl.scala 12:110] + node _T_676 = and(_T_675, _T_673) @[el2_ifu_compress_ctl.scala 12:110] + node _T_677 = and(_T_676, _T_674) @[el2_ifu_compress_ctl.scala 12:110] + node _T_678 = or(_T_669, _T_677) @[el2_ifu_compress_ctl.scala 58:87] + node _T_679 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_680 = eq(_T_679, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_681 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:71] + node _T_682 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 12:71] + node _T_683 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_684 = and(_T_680, _T_681) @[el2_ifu_compress_ctl.scala 12:110] + node _T_685 = and(_T_684, _T_682) @[el2_ifu_compress_ctl.scala 12:110] + node _T_686 = and(_T_685, _T_683) @[el2_ifu_compress_ctl.scala 12:110] + node _T_687 = or(_T_678, _T_686) @[el2_ifu_compress_ctl.scala 59:27] + node _T_688 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_689 = eq(_T_688, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_690 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:90] + node _T_691 = eq(_T_690, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_692 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 12:90] + node _T_693 = eq(_T_692, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_694 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 12:90] + node _T_695 = eq(_T_694, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_696 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 12:90] + node _T_697 = eq(_T_696, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_698 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 12:90] + node _T_699 = eq(_T_698, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_700 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 12:90] + node _T_701 = eq(_T_700, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_702 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_703 = and(_T_689, _T_691) @[el2_ifu_compress_ctl.scala 12:110] + node _T_704 = and(_T_703, _T_693) @[el2_ifu_compress_ctl.scala 12:110] + node _T_705 = and(_T_704, _T_695) @[el2_ifu_compress_ctl.scala 12:110] + node _T_706 = and(_T_705, _T_697) @[el2_ifu_compress_ctl.scala 12:110] + node _T_707 = and(_T_706, _T_699) @[el2_ifu_compress_ctl.scala 12:110] + node _T_708 = and(_T_707, _T_701) @[el2_ifu_compress_ctl.scala 12:110] + node _T_709 = and(_T_708, _T_702) @[el2_ifu_compress_ctl.scala 12:110] + node _T_710 = or(_T_687, _T_709) @[el2_ifu_compress_ctl.scala 59:51] + node _T_711 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_712 = eq(_T_711, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_713 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:71] + node _T_714 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 12:71] + node _T_715 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_716 = and(_T_712, _T_713) @[el2_ifu_compress_ctl.scala 12:110] + node _T_717 = and(_T_716, _T_714) @[el2_ifu_compress_ctl.scala 12:110] + node _T_718 = and(_T_717, _T_715) @[el2_ifu_compress_ctl.scala 12:110] + node _T_719 = or(_T_710, _T_718) @[el2_ifu_compress_ctl.scala 59:89] + node _T_720 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_721 = eq(_T_720, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_722 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:71] + node _T_723 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 12:71] + node _T_724 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_725 = and(_T_721, _T_722) @[el2_ifu_compress_ctl.scala 12:110] + node _T_726 = and(_T_725, _T_723) @[el2_ifu_compress_ctl.scala 12:110] + node _T_727 = and(_T_726, _T_724) @[el2_ifu_compress_ctl.scala 12:110] + node _T_728 = or(_T_719, _T_727) @[el2_ifu_compress_ctl.scala 60:27] + node _T_729 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_730 = eq(_T_729, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_731 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:71] + node _T_732 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 12:71] + node _T_733 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_734 = and(_T_730, _T_731) @[el2_ifu_compress_ctl.scala 12:110] + node _T_735 = and(_T_734, _T_732) @[el2_ifu_compress_ctl.scala 12:110] + node _T_736 = and(_T_735, _T_733) @[el2_ifu_compress_ctl.scala 12:110] + node _T_737 = or(_T_728, _T_736) @[el2_ifu_compress_ctl.scala 60:51] + node _T_738 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_739 = eq(_T_738, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_740 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:71] + node _T_741 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 12:71] + node _T_742 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_743 = and(_T_739, _T_740) @[el2_ifu_compress_ctl.scala 12:110] + node _T_744 = and(_T_743, _T_741) @[el2_ifu_compress_ctl.scala 12:110] + node _T_745 = and(_T_744, _T_742) @[el2_ifu_compress_ctl.scala 12:110] + node _T_746 = or(_T_737, _T_745) @[el2_ifu_compress_ctl.scala 60:75] + node _T_747 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_748 = eq(_T_747, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_749 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:71] + node _T_750 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 12:71] + node _T_751 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_752 = and(_T_748, _T_749) @[el2_ifu_compress_ctl.scala 12:110] + node _T_753 = and(_T_752, _T_750) @[el2_ifu_compress_ctl.scala 12:110] + node _T_754 = and(_T_753, _T_751) @[el2_ifu_compress_ctl.scala 12:110] + node _T_755 = or(_T_746, _T_754) @[el2_ifu_compress_ctl.scala 60:99] + node _T_756 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_757 = eq(_T_756, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_758 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_759 = eq(_T_758, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_760 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_761 = eq(_T_760, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_762 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_763 = and(_T_757, _T_759) @[el2_ifu_compress_ctl.scala 12:110] + node _T_764 = and(_T_763, _T_761) @[el2_ifu_compress_ctl.scala 12:110] + node _T_765 = and(_T_764, _T_762) @[el2_ifu_compress_ctl.scala 12:110] + node _T_766 = or(_T_755, _T_765) @[el2_ifu_compress_ctl.scala 61:27] + node _T_767 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_768 = eq(_T_767, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_769 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_770 = eq(_T_769, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_771 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_772 = and(_T_768, _T_770) @[el2_ifu_compress_ctl.scala 12:110] + node _T_773 = and(_T_772, _T_771) @[el2_ifu_compress_ctl.scala 12:110] + node rdrs1 = or(_T_766, _T_773) @[el2_ifu_compress_ctl.scala 61:54] + node _T_774 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_775 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 12:71] + node _T_776 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_777 = and(_T_774, _T_775) @[el2_ifu_compress_ctl.scala 12:110] + node _T_778 = and(_T_777, _T_776) @[el2_ifu_compress_ctl.scala 12:110] + node _T_779 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_780 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 12:71] + node _T_781 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_782 = and(_T_779, _T_780) @[el2_ifu_compress_ctl.scala 12:110] + node _T_783 = and(_T_782, _T_781) @[el2_ifu_compress_ctl.scala 12:110] + node _T_784 = or(_T_778, _T_783) @[el2_ifu_compress_ctl.scala 63:34] + node _T_785 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_786 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 12:71] + node _T_787 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_788 = and(_T_785, _T_786) @[el2_ifu_compress_ctl.scala 12:110] + node _T_789 = and(_T_788, _T_787) @[el2_ifu_compress_ctl.scala 12:110] + node _T_790 = or(_T_784, _T_789) @[el2_ifu_compress_ctl.scala 63:54] + node _T_791 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_792 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 12:71] + node _T_793 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_794 = and(_T_791, _T_792) @[el2_ifu_compress_ctl.scala 12:110] + node _T_795 = and(_T_794, _T_793) @[el2_ifu_compress_ctl.scala 12:110] + node _T_796 = or(_T_790, _T_795) @[el2_ifu_compress_ctl.scala 63:74] + node _T_797 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_798 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 12:71] + node _T_799 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_800 = and(_T_797, _T_798) @[el2_ifu_compress_ctl.scala 12:110] + node _T_801 = and(_T_800, _T_799) @[el2_ifu_compress_ctl.scala 12:110] + node _T_802 = or(_T_796, _T_801) @[el2_ifu_compress_ctl.scala 63:94] + node _T_803 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_804 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_805 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_806 = and(_T_803, _T_804) @[el2_ifu_compress_ctl.scala 12:110] + node _T_807 = and(_T_806, _T_805) @[el2_ifu_compress_ctl.scala 12:110] + node rs2rs2 = or(_T_802, _T_807) @[el2_ifu_compress_ctl.scala 63:114] + node _T_808 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_809 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_810 = eq(_T_809, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_811 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_812 = eq(_T_811, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_813 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_814 = and(_T_808, _T_810) @[el2_ifu_compress_ctl.scala 12:110] + node _T_815 = and(_T_814, _T_812) @[el2_ifu_compress_ctl.scala 12:110] + node rdprd = and(_T_815, _T_813) @[el2_ifu_compress_ctl.scala 12:110] + node _T_816 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_817 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_818 = eq(_T_817, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_819 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_820 = and(_T_816, _T_818) @[el2_ifu_compress_ctl.scala 12:110] + node _T_821 = and(_T_820, _T_819) @[el2_ifu_compress_ctl.scala 12:110] + node _T_822 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_823 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_824 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_825 = and(_T_822, _T_823) @[el2_ifu_compress_ctl.scala 12:110] + node _T_826 = and(_T_825, _T_824) @[el2_ifu_compress_ctl.scala 12:110] + node _T_827 = or(_T_821, _T_826) @[el2_ifu_compress_ctl.scala 67:36] + node _T_828 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_829 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:90] + node _T_830 = eq(_T_829, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_831 = and(_T_828, _T_830) @[el2_ifu_compress_ctl.scala 12:110] + node _T_832 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 67:85] + node _T_833 = eq(_T_832, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 67:78] + node _T_834 = and(_T_831, _T_833) @[el2_ifu_compress_ctl.scala 67:76] + node rdprs1 = or(_T_827, _T_834) @[el2_ifu_compress_ctl.scala 67:57] + node _T_835 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_836 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_837 = eq(_T_836, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_838 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_839 = eq(_T_838, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_840 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 12:71] + node _T_841 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 12:71] + node _T_842 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_843 = and(_T_835, _T_837) @[el2_ifu_compress_ctl.scala 12:110] + node _T_844 = and(_T_843, _T_839) @[el2_ifu_compress_ctl.scala 12:110] + node _T_845 = and(_T_844, _T_840) @[el2_ifu_compress_ctl.scala 12:110] + node _T_846 = and(_T_845, _T_841) @[el2_ifu_compress_ctl.scala 12:110] + node _T_847 = and(_T_846, _T_842) @[el2_ifu_compress_ctl.scala 12:110] + node _T_848 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_849 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:90] + node _T_850 = eq(_T_849, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_851 = and(_T_848, _T_850) @[el2_ifu_compress_ctl.scala 12:110] + node _T_852 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 69:75] + node _T_853 = eq(_T_852, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 69:68] + node _T_854 = and(_T_851, _T_853) @[el2_ifu_compress_ctl.scala 69:66] + node rs2prs2 = or(_T_847, _T_854) @[el2_ifu_compress_ctl.scala 69:47] + node _T_855 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_856 = eq(_T_855, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_857 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:90] + node _T_858 = eq(_T_857, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_859 = and(_T_856, _T_858) @[el2_ifu_compress_ctl.scala 12:110] + node _T_860 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 71:42] + node _T_861 = eq(_T_860, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 71:35] + node rs2prd = and(_T_859, _T_861) @[el2_ifu_compress_ctl.scala 71:33] + node _T_862 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_863 = eq(_T_862, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_864 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:90] + node _T_865 = eq(_T_864, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_866 = and(_T_863, _T_865) @[el2_ifu_compress_ctl.scala 12:110] + node _T_867 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 73:43] + node _T_868 = eq(_T_867, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 73:36] + node uimm9_2 = and(_T_866, _T_868) @[el2_ifu_compress_ctl.scala 73:34] + node _T_869 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_870 = eq(_T_869, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_871 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_872 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:90] + node _T_873 = eq(_T_872, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_874 = and(_T_870, _T_871) @[el2_ifu_compress_ctl.scala 12:110] + node _T_875 = and(_T_874, _T_873) @[el2_ifu_compress_ctl.scala 12:110] + node _T_876 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 75:48] + node _T_877 = eq(_T_876, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 75:41] + node ulwimm6_2 = and(_T_875, _T_877) @[el2_ifu_compress_ctl.scala 75:39] + node _T_878 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_879 = eq(_T_878, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_880 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_881 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_882 = and(_T_879, _T_880) @[el2_ifu_compress_ctl.scala 12:110] + node ulwspimm7_2 = and(_T_882, _T_881) @[el2_ifu_compress_ctl.scala 12:110] + node _T_883 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_884 = eq(_T_883, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_885 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_886 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_887 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 12:90] + node _T_888 = eq(_T_887, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_889 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 12:90] + node _T_890 = eq(_T_889, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_891 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 12:90] + node _T_892 = eq(_T_891, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_893 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 12:71] + node _T_894 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 12:90] + node _T_895 = eq(_T_894, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_896 = and(_T_884, _T_885) @[el2_ifu_compress_ctl.scala 12:110] + node _T_897 = and(_T_896, _T_886) @[el2_ifu_compress_ctl.scala 12:110] + node _T_898 = and(_T_897, _T_888) @[el2_ifu_compress_ctl.scala 12:110] + node _T_899 = and(_T_898, _T_890) @[el2_ifu_compress_ctl.scala 12:110] + node _T_900 = and(_T_899, _T_892) @[el2_ifu_compress_ctl.scala 12:110] + node _T_901 = and(_T_900, _T_893) @[el2_ifu_compress_ctl.scala 12:110] + node rdeq2 = and(_T_901, _T_895) @[el2_ifu_compress_ctl.scala 12:110] + node _T_902 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_903 = eq(_T_902, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_904 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:71] + node _T_905 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 12:71] + node _T_906 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 12:90] + node _T_907 = eq(_T_906, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_908 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 12:90] + node _T_909 = eq(_T_908, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_910 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 12:90] + node _T_911 = eq(_T_910, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_912 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 12:90] + node _T_913 = eq(_T_912, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_914 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 12:90] + node _T_915 = eq(_T_914, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_916 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_917 = and(_T_903, _T_904) @[el2_ifu_compress_ctl.scala 12:110] + node _T_918 = and(_T_917, _T_905) @[el2_ifu_compress_ctl.scala 12:110] + node _T_919 = and(_T_918, _T_907) @[el2_ifu_compress_ctl.scala 12:110] + node _T_920 = and(_T_919, _T_909) @[el2_ifu_compress_ctl.scala 12:110] + node _T_921 = and(_T_920, _T_911) @[el2_ifu_compress_ctl.scala 12:110] + node _T_922 = and(_T_921, _T_913) @[el2_ifu_compress_ctl.scala 12:110] + node _T_923 = and(_T_922, _T_915) @[el2_ifu_compress_ctl.scala 12:110] + node _T_924 = and(_T_923, _T_916) @[el2_ifu_compress_ctl.scala 12:110] + node _T_925 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_926 = eq(_T_925, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_927 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:71] + node _T_928 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 12:71] + node _T_929 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 12:90] + node _T_930 = eq(_T_929, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_931 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 12:90] + node _T_932 = eq(_T_931, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_933 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 12:90] + node _T_934 = eq(_T_933, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_935 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 12:90] + node _T_936 = eq(_T_935, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_937 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 12:90] + node _T_938 = eq(_T_937, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_939 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_940 = and(_T_926, _T_927) @[el2_ifu_compress_ctl.scala 12:110] + node _T_941 = and(_T_940, _T_928) @[el2_ifu_compress_ctl.scala 12:110] + node _T_942 = and(_T_941, _T_930) @[el2_ifu_compress_ctl.scala 12:110] + node _T_943 = and(_T_942, _T_932) @[el2_ifu_compress_ctl.scala 12:110] + node _T_944 = and(_T_943, _T_934) @[el2_ifu_compress_ctl.scala 12:110] + node _T_945 = and(_T_944, _T_936) @[el2_ifu_compress_ctl.scala 12:110] + node _T_946 = and(_T_945, _T_938) @[el2_ifu_compress_ctl.scala 12:110] + node _T_947 = and(_T_946, _T_939) @[el2_ifu_compress_ctl.scala 12:110] + node _T_948 = or(_T_924, _T_947) @[el2_ifu_compress_ctl.scala 81:53] + node _T_949 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_950 = eq(_T_949, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_951 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:71] + node _T_952 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 12:71] + node _T_953 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 12:90] + node _T_954 = eq(_T_953, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_955 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 12:90] + node _T_956 = eq(_T_955, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_957 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 12:90] + node _T_958 = eq(_T_957, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_959 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 12:90] + node _T_960 = eq(_T_959, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_961 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 12:90] + node _T_962 = eq(_T_961, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_963 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_964 = and(_T_950, _T_951) @[el2_ifu_compress_ctl.scala 12:110] + node _T_965 = and(_T_964, _T_952) @[el2_ifu_compress_ctl.scala 12:110] + node _T_966 = and(_T_965, _T_954) @[el2_ifu_compress_ctl.scala 12:110] + node _T_967 = and(_T_966, _T_956) @[el2_ifu_compress_ctl.scala 12:110] + node _T_968 = and(_T_967, _T_958) @[el2_ifu_compress_ctl.scala 12:110] + node _T_969 = and(_T_968, _T_960) @[el2_ifu_compress_ctl.scala 12:110] + node _T_970 = and(_T_969, _T_962) @[el2_ifu_compress_ctl.scala 12:110] + node _T_971 = and(_T_970, _T_963) @[el2_ifu_compress_ctl.scala 12:110] + node _T_972 = or(_T_948, _T_971) @[el2_ifu_compress_ctl.scala 81:93] + node _T_973 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_974 = eq(_T_973, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_975 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:71] + node _T_976 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 12:71] + node _T_977 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 12:90] + node _T_978 = eq(_T_977, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_979 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 12:90] + node _T_980 = eq(_T_979, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_981 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 12:90] + node _T_982 = eq(_T_981, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_983 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 12:90] + node _T_984 = eq(_T_983, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_985 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 12:90] + node _T_986 = eq(_T_985, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_987 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_988 = and(_T_974, _T_975) @[el2_ifu_compress_ctl.scala 12:110] + node _T_989 = and(_T_988, _T_976) @[el2_ifu_compress_ctl.scala 12:110] + node _T_990 = and(_T_989, _T_978) @[el2_ifu_compress_ctl.scala 12:110] + node _T_991 = and(_T_990, _T_980) @[el2_ifu_compress_ctl.scala 12:110] + node _T_992 = and(_T_991, _T_982) @[el2_ifu_compress_ctl.scala 12:110] + node _T_993 = and(_T_992, _T_984) @[el2_ifu_compress_ctl.scala 12:110] + node _T_994 = and(_T_993, _T_986) @[el2_ifu_compress_ctl.scala 12:110] + node _T_995 = and(_T_994, _T_987) @[el2_ifu_compress_ctl.scala 12:110] + node _T_996 = or(_T_972, _T_995) @[el2_ifu_compress_ctl.scala 82:42] + node _T_997 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_998 = eq(_T_997, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_999 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1000 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1001 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1002 = eq(_T_1001, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1003 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1004 = eq(_T_1003, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1005 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1006 = eq(_T_1005, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1007 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1008 = eq(_T_1007, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1009 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1010 = eq(_T_1009, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1011 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1012 = and(_T_998, _T_999) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1013 = and(_T_1012, _T_1000) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1014 = and(_T_1013, _T_1002) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1015 = and(_T_1014, _T_1004) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1016 = and(_T_1015, _T_1006) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1017 = and(_T_1016, _T_1008) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1018 = and(_T_1017, _T_1010) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1019 = and(_T_1018, _T_1011) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1020 = or(_T_996, _T_1019) @[el2_ifu_compress_ctl.scala 82:81] + node _T_1021 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1022 = eq(_T_1021, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1023 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1024 = eq(_T_1023, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1025 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1026 = and(_T_1022, _T_1024) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1027 = and(_T_1026, _T_1025) @[el2_ifu_compress_ctl.scala 12:110] + node rdeq1 = or(_T_1020, _T_1027) @[el2_ifu_compress_ctl.scala 83:42] + node _T_1028 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1029 = eq(_T_1028, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1030 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1031 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1032 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1033 = eq(_T_1032, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1034 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1035 = eq(_T_1034, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1036 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1037 = eq(_T_1036, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1038 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1039 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1040 = eq(_T_1039, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1041 = and(_T_1029, _T_1030) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1042 = and(_T_1041, _T_1031) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1043 = and(_T_1042, _T_1033) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1044 = and(_T_1043, _T_1035) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1045 = and(_T_1044, _T_1037) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1046 = and(_T_1045, _T_1038) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1047 = and(_T_1046, _T_1040) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1048 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1049 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1050 = and(_T_1048, _T_1049) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1051 = or(_T_1047, _T_1050) @[el2_ifu_compress_ctl.scala 85:53] + node _T_1052 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1053 = eq(_T_1052, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1054 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1055 = eq(_T_1054, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1056 = and(_T_1053, _T_1055) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1057 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 85:100] + node _T_1058 = eq(_T_1057, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 85:93] + node _T_1059 = and(_T_1056, _T_1058) @[el2_ifu_compress_ctl.scala 85:91] + node rs1eq2 = or(_T_1051, _T_1059) @[el2_ifu_compress_ctl.scala 85:71] + node _T_1060 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1061 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1062 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1063 = and(_T_1060, _T_1061) @[el2_ifu_compress_ctl.scala 12:110] + node sbroffset8_1 = and(_T_1063, _T_1062) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1064 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1065 = eq(_T_1064, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1066 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1067 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1068 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1069 = eq(_T_1068, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1070 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1071 = eq(_T_1070, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1072 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1073 = eq(_T_1072, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1074 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1075 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1076 = eq(_T_1075, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1077 = and(_T_1065, _T_1066) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1078 = and(_T_1077, _T_1067) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1079 = and(_T_1078, _T_1069) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1080 = and(_T_1079, _T_1071) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1081 = and(_T_1080, _T_1073) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1082 = and(_T_1081, _T_1074) @[el2_ifu_compress_ctl.scala 12:110] + node simm9_4 = and(_T_1082, _T_1076) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1083 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1084 = eq(_T_1083, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1085 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1086 = eq(_T_1085, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1087 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1088 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1089 = eq(_T_1088, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1090 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1091 = and(_T_1084, _T_1086) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1092 = and(_T_1091, _T_1087) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1093 = and(_T_1092, _T_1089) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1094 = and(_T_1093, _T_1090) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1095 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1096 = eq(_T_1095, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1097 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1098 = eq(_T_1097, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1099 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1100 = and(_T_1096, _T_1098) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1101 = and(_T_1100, _T_1099) @[el2_ifu_compress_ctl.scala 12:110] + node simm5_0 = or(_T_1094, _T_1101) @[el2_ifu_compress_ctl.scala 91:45] + node _T_1102 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1103 = eq(_T_1102, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1104 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node sjaloffset11_1 = and(_T_1103, _T_1104) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1105 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1106 = eq(_T_1105, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1107 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1108 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1109 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1110 = and(_T_1106, _T_1107) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1111 = and(_T_1110, _T_1108) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1112 = and(_T_1111, _T_1109) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1113 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1114 = eq(_T_1113, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1115 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1116 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1117 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1118 = eq(_T_1117, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1119 = and(_T_1114, _T_1115) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1120 = and(_T_1119, _T_1116) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1121 = and(_T_1120, _T_1118) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1122 = or(_T_1112, _T_1121) @[el2_ifu_compress_ctl.scala 95:44] + node _T_1123 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1124 = eq(_T_1123, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1125 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1126 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1127 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1128 = and(_T_1124, _T_1125) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1129 = and(_T_1128, _T_1126) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1130 = and(_T_1129, _T_1127) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1131 = or(_T_1122, _T_1130) @[el2_ifu_compress_ctl.scala 95:70] + node _T_1132 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1133 = eq(_T_1132, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1134 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1135 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1136 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1137 = and(_T_1133, _T_1134) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1138 = and(_T_1137, _T_1135) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1139 = and(_T_1138, _T_1136) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1140 = or(_T_1131, _T_1139) @[el2_ifu_compress_ctl.scala 95:95] + node _T_1141 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1142 = eq(_T_1141, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1143 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1144 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1145 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1146 = and(_T_1142, _T_1143) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1147 = and(_T_1146, _T_1144) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1148 = and(_T_1147, _T_1145) @[el2_ifu_compress_ctl.scala 12:110] + node sluimm17_12 = or(_T_1140, _T_1148) @[el2_ifu_compress_ctl.scala 95:121] + node _T_1149 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1150 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1151 = eq(_T_1150, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1152 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1153 = eq(_T_1152, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1154 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1155 = eq(_T_1154, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1156 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1157 = and(_T_1149, _T_1151) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1158 = and(_T_1157, _T_1153) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1159 = and(_T_1158, _T_1155) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1160 = and(_T_1159, _T_1156) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1161 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1162 = eq(_T_1161, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1163 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1164 = eq(_T_1163, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1165 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1166 = and(_T_1162, _T_1164) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1167 = and(_T_1166, _T_1165) @[el2_ifu_compress_ctl.scala 12:110] + node uimm5_0 = or(_T_1160, _T_1167) @[el2_ifu_compress_ctl.scala 97:45] + node _T_1168 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1169 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1170 = eq(_T_1169, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1171 = and(_T_1168, _T_1170) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1172 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 99:44] + node _T_1173 = eq(_T_1172, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 99:37] + node uswimm6_2 = and(_T_1171, _T_1173) @[el2_ifu_compress_ctl.scala 99:35] + node _T_1174 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1175 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1176 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1177 = and(_T_1174, _T_1175) @[el2_ifu_compress_ctl.scala 12:110] + node uswspimm7_2 = and(_T_1177, _T_1176) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1178 = cat(out[2], out[1]) @[Cat.scala 29:58] + node _T_1179 = cat(_T_1178, out[0]) @[Cat.scala 29:58] + node _T_1180 = cat(out[4], out[3]) @[Cat.scala 29:58] + node _T_1181 = cat(out[6], out[5]) @[Cat.scala 29:58] + node _T_1182 = cat(_T_1181, _T_1180) @[Cat.scala 29:58] + node l1_6 = cat(_T_1182, _T_1179) @[Cat.scala 29:58] + node _T_1183 = cat(out[8], out[7]) @[Cat.scala 29:58] + node _T_1184 = cat(out[11], out[10]) @[Cat.scala 29:58] + node _T_1185 = cat(_T_1184, out[9]) @[Cat.scala 29:58] + node _T_1186 = cat(_T_1185, _T_1183) @[Cat.scala 29:58] + node _T_1187 = bits(rdrd, 0, 0) @[el2_ifu_compress_ctl.scala 105:81] + node _T_1188 = bits(rdprd, 0, 0) @[el2_ifu_compress_ctl.scala 106:9] + node _T_1189 = bits(rs2prd, 0, 0) @[el2_ifu_compress_ctl.scala 106:30] + node _T_1190 = bits(rdeq1, 0, 0) @[el2_ifu_compress_ctl.scala 106:51] + node _T_1191 = bits(rdeq2, 0, 0) @[el2_ifu_compress_ctl.scala 106:75] + node _T_1192 = mux(_T_1187, rdd, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1193 = mux(_T_1188, rdpd, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1194 = mux(_T_1189, rs2pd, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1195 = mux(_T_1190, UInt<5>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1196 = mux(_T_1191, UInt<5>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1197 = or(_T_1192, _T_1193) @[Mux.scala 27:72] + node _T_1198 = or(_T_1197, _T_1194) @[Mux.scala 27:72] + node _T_1199 = or(_T_1198, _T_1195) @[Mux.scala 27:72] + node _T_1200 = or(_T_1199, _T_1196) @[Mux.scala 27:72] + wire _T_1201 : UInt<5> @[Mux.scala 27:72] + _T_1201 <= _T_1200 @[Mux.scala 27:72] + node l1_11 = or(_T_1186, _T_1201) @[el2_ifu_compress_ctl.scala 105:64] + node _T_1202 = cat(out[14], out[13]) @[Cat.scala 29:58] + node l1_14 = cat(_T_1202, out[12]) @[Cat.scala 29:58] + node _T_1203 = cat(out[16], out[15]) @[Cat.scala 29:58] + node _T_1204 = cat(out[19], out[18]) @[Cat.scala 29:58] + node _T_1205 = cat(_T_1204, out[17]) @[Cat.scala 29:58] + node _T_1206 = cat(_T_1205, _T_1203) @[Cat.scala 29:58] + node _T_1207 = bits(rdrs1, 0, 0) @[el2_ifu_compress_ctl.scala 110:85] + node _T_1208 = bits(rdprs1, 0, 0) @[el2_ifu_compress_ctl.scala 111:12] + node _T_1209 = bits(rs1eq2, 0, 0) @[el2_ifu_compress_ctl.scala 111:33] + node _T_1210 = mux(_T_1207, rdd, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1211 = mux(_T_1208, rdpd, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1212 = mux(_T_1209, UInt<5>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1213 = or(_T_1210, _T_1211) @[Mux.scala 27:72] + node _T_1214 = or(_T_1213, _T_1212) @[Mux.scala 27:72] + wire _T_1215 : UInt<5> @[Mux.scala 27:72] + _T_1215 <= _T_1214 @[Mux.scala 27:72] + node l1_19 = or(_T_1206, _T_1215) @[el2_ifu_compress_ctl.scala 110:67] + node _T_1216 = cat(out[21], out[20]) @[Cat.scala 29:58] + node _T_1217 = cat(out[24], out[23]) @[Cat.scala 29:58] + node _T_1218 = cat(_T_1217, out[22]) @[Cat.scala 29:58] + node _T_1219 = cat(_T_1218, _T_1216) @[Cat.scala 29:58] + node _T_1220 = bits(rs2rs2, 0, 0) @[el2_ifu_compress_ctl.scala 113:86] + node _T_1221 = bits(rs2prs2, 0, 0) @[el2_ifu_compress_ctl.scala 114:13] + node _T_1222 = mux(_T_1220, rs2d, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1223 = mux(_T_1221, rs2pd, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1224 = or(_T_1222, _T_1223) @[Mux.scala 27:72] + wire _T_1225 : UInt<5> @[Mux.scala 27:72] + _T_1225 <= _T_1224 @[Mux.scala 27:72] + node l1_24 = or(_T_1219, _T_1225) @[el2_ifu_compress_ctl.scala 113:67] + node _T_1226 = cat(out[27], out[26]) @[Cat.scala 29:58] + node _T_1227 = cat(_T_1226, out[25]) @[Cat.scala 29:58] + node _T_1228 = cat(out[29], out[28]) @[Cat.scala 29:58] + node _T_1229 = cat(out[31], out[30]) @[Cat.scala 29:58] + node _T_1230 = cat(_T_1229, _T_1228) @[Cat.scala 29:58] + node l1_31 = cat(_T_1230, _T_1227) @[Cat.scala 29:58] + node _T_1231 = cat(l1_14, l1_11) @[Cat.scala 29:58] + node _T_1232 = cat(_T_1231, l1_6) @[Cat.scala 29:58] + node _T_1233 = cat(l1_31, l1_24) @[Cat.scala 29:58] + node _T_1234 = cat(_T_1233, l1_19) @[Cat.scala 29:58] + node l1 = cat(_T_1234, _T_1232) @[Cat.scala 29:58] + node _T_1235 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 120:26] + node _T_1236 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 120:38] + node simm5d = cat(_T_1235, _T_1236) @[Cat.scala 29:58] + node _T_1237 = bits(io.din, 10, 7) @[el2_ifu_compress_ctl.scala 121:26] + node _T_1238 = bits(io.din, 12, 11) @[el2_ifu_compress_ctl.scala 121:40] + node _T_1239 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 121:55] + node _T_1240 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 121:66] + node _T_1241 = cat(_T_1239, _T_1240) @[Cat.scala 29:58] + node _T_1242 = cat(_T_1237, _T_1238) @[Cat.scala 29:58] + node uimm9d = cat(_T_1242, _T_1241) @[Cat.scala 29:58] + node _T_1243 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 122:26] + node _T_1244 = bits(io.din, 4, 3) @[el2_ifu_compress_ctl.scala 122:38] + node _T_1245 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 122:51] + node _T_1246 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 122:62] + node _T_1247 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 122:73] + node _T_1248 = cat(_T_1246, _T_1247) @[Cat.scala 29:58] + node _T_1249 = cat(_T_1243, _T_1244) @[Cat.scala 29:58] + node _T_1250 = cat(_T_1249, _T_1245) @[Cat.scala 29:58] + node simm9d = cat(_T_1250, _T_1248) @[Cat.scala 29:58] + node _T_1251 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 123:28] + node _T_1252 = bits(io.din, 12, 10) @[el2_ifu_compress_ctl.scala 123:39] + node _T_1253 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 123:54] + node _T_1254 = cat(_T_1251, _T_1252) @[Cat.scala 29:58] + node ulwimm6d = cat(_T_1254, _T_1253) @[Cat.scala 29:58] + node _T_1255 = bits(io.din, 3, 2) @[el2_ifu_compress_ctl.scala 124:30] + node _T_1256 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 124:43] + node _T_1257 = bits(io.din, 6, 4) @[el2_ifu_compress_ctl.scala 124:55] + node _T_1258 = cat(_T_1255, _T_1256) @[Cat.scala 29:58] + node ulwspimm7d = cat(_T_1258, _T_1257) @[Cat.scala 29:58] + node _T_1259 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 125:26] + node _T_1260 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 125:38] + node uimm5d = cat(_T_1259, _T_1260) @[Cat.scala 29:58] + node _T_1261 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 126:27] + node _T_1262 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 126:39] + node _T_1263 = bits(io.din, 10, 9) @[el2_ifu_compress_ctl.scala 126:50] + node _T_1264 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 126:64] + node _T_1265 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 126:75] + node _T_1266 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 126:86] + node _T_1267 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 126:97] + node _T_1268 = bits(io.din, 5, 4) @[el2_ifu_compress_ctl.scala 127:11] + node _T_1269 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 127:24] + node _T_1270 = cat(_T_1268, _T_1269) @[Cat.scala 29:58] + node _T_1271 = cat(_T_1266, _T_1267) @[Cat.scala 29:58] + node _T_1272 = cat(_T_1271, _T_1270) @[Cat.scala 29:58] + node _T_1273 = cat(_T_1264, _T_1265) @[Cat.scala 29:58] + node _T_1274 = cat(_T_1261, _T_1262) @[Cat.scala 29:58] + node _T_1275 = cat(_T_1274, _T_1263) @[Cat.scala 29:58] + node _T_1276 = cat(_T_1275, _T_1273) @[Cat.scala 29:58] + node sjald_1 = cat(_T_1276, _T_1272) @[Cat.scala 29:58] + node _T_1277 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 128:32] + node _T_1278 = bits(_T_1277, 0, 0) @[Bitwise.scala 72:15] + node sjald_12 = mux(_T_1278, UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] + node sjald = cat(sjald_12, sjald_1) @[Cat.scala 29:58] + node _T_1279 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 130:36] + node _T_1280 = bits(_T_1279, 0, 0) @[Bitwise.scala 72:15] + node _T_1281 = mux(_T_1280, UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12] + node _T_1282 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 130:49] + node sluimmd = cat(_T_1281, _T_1282) @[Cat.scala 29:58] + node _T_1283 = bits(l1, 31, 20) @[el2_ifu_compress_ctl.scala 132:17] + node _T_1284 = bits(simm5_0, 0, 0) @[el2_ifu_compress_ctl.scala 133:23] + node _T_1285 = bits(simm5d, 5, 5) @[el2_ifu_compress_ctl.scala 133:49] + node _T_1286 = bits(_T_1285, 0, 0) @[Bitwise.scala 72:15] + node _T_1287 = mux(_T_1286, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_1288 = bits(simm5d, 4, 0) @[el2_ifu_compress_ctl.scala 133:61] + node _T_1289 = cat(_T_1287, _T_1288) @[Cat.scala 29:58] + node _T_1290 = bits(uimm9_2, 0, 0) @[el2_ifu_compress_ctl.scala 134:23] + node _T_1291 = cat(UInt<2>("h00"), uimm9d) @[Cat.scala 29:58] + node _T_1292 = cat(_T_1291, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1293 = bits(simm9_4, 0, 0) @[el2_ifu_compress_ctl.scala 135:23] + node _T_1294 = bits(simm9d, 5, 5) @[el2_ifu_compress_ctl.scala 135:49] + node _T_1295 = bits(_T_1294, 0, 0) @[Bitwise.scala 72:15] + node _T_1296 = mux(_T_1295, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_1297 = bits(simm9d, 4, 0) @[el2_ifu_compress_ctl.scala 135:61] + node _T_1298 = cat(_T_1296, _T_1297) @[Cat.scala 29:58] + node _T_1299 = cat(_T_1298, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1300 = bits(ulwimm6_2, 0, 0) @[el2_ifu_compress_ctl.scala 136:25] + node _T_1301 = cat(UInt<5>("h00"), ulwimm6d) @[Cat.scala 29:58] + node _T_1302 = cat(_T_1301, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1303 = bits(ulwspimm7_2, 0, 0) @[el2_ifu_compress_ctl.scala 137:27] + node _T_1304 = cat(UInt<4>("h00"), ulwspimm7d) @[Cat.scala 29:58] + node _T_1305 = cat(_T_1304, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1306 = bits(uimm5_0, 0, 0) @[el2_ifu_compress_ctl.scala 138:23] + node _T_1307 = cat(UInt<6>("h00"), uimm5d) @[Cat.scala 29:58] + node _T_1308 = bits(sjaloffset11_1, 0, 0) @[el2_ifu_compress_ctl.scala 139:30] + node _T_1309 = bits(sjald, 19, 19) @[el2_ifu_compress_ctl.scala 139:47] + node _T_1310 = bits(sjald, 9, 0) @[el2_ifu_compress_ctl.scala 139:58] + node _T_1311 = bits(sjald, 10, 10) @[el2_ifu_compress_ctl.scala 139:70] + node _T_1312 = cat(_T_1309, _T_1310) @[Cat.scala 29:58] + node _T_1313 = cat(_T_1312, _T_1311) @[Cat.scala 29:58] + node _T_1314 = bits(sluimm17_12, 0, 0) @[el2_ifu_compress_ctl.scala 140:27] + node _T_1315 = bits(sluimmd, 19, 8) @[el2_ifu_compress_ctl.scala 140:42] + node _T_1316 = mux(_T_1284, _T_1289, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1317 = mux(_T_1290, _T_1292, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1318 = mux(_T_1293, _T_1299, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1319 = mux(_T_1300, _T_1302, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1320 = mux(_T_1303, _T_1305, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1321 = mux(_T_1306, _T_1307, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1322 = mux(_T_1308, _T_1313, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1323 = mux(_T_1314, _T_1315, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1324 = or(_T_1316, _T_1317) @[Mux.scala 27:72] + node _T_1325 = or(_T_1324, _T_1318) @[Mux.scala 27:72] + node _T_1326 = or(_T_1325, _T_1319) @[Mux.scala 27:72] + node _T_1327 = or(_T_1326, _T_1320) @[Mux.scala 27:72] + node _T_1328 = or(_T_1327, _T_1321) @[Mux.scala 27:72] + node _T_1329 = or(_T_1328, _T_1322) @[Mux.scala 27:72] + node _T_1330 = or(_T_1329, _T_1323) @[Mux.scala 27:72] + wire _T_1331 : UInt<12> @[Mux.scala 27:72] + _T_1331 <= _T_1330 @[Mux.scala 27:72] + node l2_31 = or(_T_1283, _T_1331) @[el2_ifu_compress_ctl.scala 132:25] + node _T_1332 = bits(l1, 19, 12) @[el2_ifu_compress_ctl.scala 142:17] + node _T_1333 = bits(sjaloffset11_1, 0, 0) @[el2_ifu_compress_ctl.scala 142:52] + node _T_1334 = bits(sjald, 19, 12) @[el2_ifu_compress_ctl.scala 142:65] + node _T_1335 = bits(sluimm17_12, 0, 0) @[el2_ifu_compress_ctl.scala 143:49] + node _T_1336 = bits(sluimmd, 7, 0) @[el2_ifu_compress_ctl.scala 143:64] + node _T_1337 = mux(_T_1333, _T_1334, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1338 = mux(_T_1335, _T_1336, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1339 = or(_T_1337, _T_1338) @[Mux.scala 27:72] + wire _T_1340 : UInt<8> @[Mux.scala 27:72] + _T_1340 <= _T_1339 @[Mux.scala 27:72] + node l2_19 = or(_T_1332, _T_1340) @[el2_ifu_compress_ctl.scala 142:25] + node _T_1341 = bits(l1, 11, 0) @[el2_ifu_compress_ctl.scala 144:32] + node _T_1342 = cat(l2_31, l2_19) @[Cat.scala 29:58] + node l2 = cat(_T_1342, _T_1341) @[Cat.scala 29:58] + node _T_1343 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 146:25] + node _T_1344 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 146:36] + node _T_1345 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 146:46] + node _T_1346 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 146:56] + node _T_1347 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 146:66] + node _T_1348 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 146:77] + node _T_1349 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 146:88] + node _T_1350 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 146:98] + node _T_1351 = cat(_T_1350, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_1352 = cat(_T_1348, _T_1349) @[Cat.scala 29:58] + node _T_1353 = cat(_T_1352, _T_1351) @[Cat.scala 29:58] + node _T_1354 = cat(_T_1346, _T_1347) @[Cat.scala 29:58] + node _T_1355 = cat(_T_1343, _T_1344) @[Cat.scala 29:58] + node _T_1356 = cat(_T_1355, _T_1345) @[Cat.scala 29:58] + node _T_1357 = cat(_T_1356, _T_1354) @[Cat.scala 29:58] + node sbr8d = cat(_T_1357, _T_1353) @[Cat.scala 29:58] + node _T_1358 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 147:28] + node _T_1359 = bits(io.din, 12, 10) @[el2_ifu_compress_ctl.scala 147:39] + node _T_1360 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 147:54] + node _T_1361 = cat(_T_1360, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1362 = cat(_T_1358, _T_1359) @[Cat.scala 29:58] + node uswimm6d = cat(_T_1362, _T_1361) @[Cat.scala 29:58] + node _T_1363 = bits(io.din, 8, 7) @[el2_ifu_compress_ctl.scala 148:30] + node _T_1364 = bits(io.din, 12, 9) @[el2_ifu_compress_ctl.scala 148:42] + node _T_1365 = cat(_T_1363, _T_1364) @[Cat.scala 29:58] + node uswspimm7d = cat(_T_1365, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1366 = bits(l2, 31, 25) @[el2_ifu_compress_ctl.scala 150:17] + node _T_1367 = bits(sbroffset8_1, 0, 0) @[el2_ifu_compress_ctl.scala 150:50] + node _T_1368 = bits(sbr8d, 8, 8) @[el2_ifu_compress_ctl.scala 150:74] + node _T_1369 = bits(_T_1368, 0, 0) @[Bitwise.scala 72:15] + node _T_1370 = mux(_T_1369, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1371 = bits(sbr8d, 7, 5) @[el2_ifu_compress_ctl.scala 150:84] + node _T_1372 = cat(_T_1370, _T_1371) @[Cat.scala 29:58] + node _T_1373 = bits(uswimm6_2, 0, 0) @[el2_ifu_compress_ctl.scala 151:15] + node _T_1374 = bits(uswimm6d, 6, 5) @[el2_ifu_compress_ctl.scala 151:44] + node _T_1375 = cat(UInt<5>("h00"), _T_1374) @[Cat.scala 29:58] + node _T_1376 = bits(uswspimm7_2, 0, 0) @[el2_ifu_compress_ctl.scala 151:64] + node _T_1377 = bits(uswspimm7d, 7, 5) @[el2_ifu_compress_ctl.scala 151:95] + node _T_1378 = cat(UInt<4>("h00"), _T_1377) @[Cat.scala 29:58] + node _T_1379 = mux(_T_1367, _T_1372, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1380 = mux(_T_1373, _T_1375, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1381 = mux(_T_1376, _T_1378, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1382 = or(_T_1379, _T_1380) @[Mux.scala 27:72] + node _T_1383 = or(_T_1382, _T_1381) @[Mux.scala 27:72] + wire _T_1384 : UInt<7> @[Mux.scala 27:72] + _T_1384 <= _T_1383 @[Mux.scala 27:72] + node l3_31 = or(_T_1366, _T_1384) @[el2_ifu_compress_ctl.scala 150:25] + node l3_24 = bits(l2, 24, 12) @[el2_ifu_compress_ctl.scala 153:17] + node _T_1385 = bits(l2, 11, 7) @[el2_ifu_compress_ctl.scala 155:17] + node _T_1386 = bits(sbroffset8_1, 0, 0) @[el2_ifu_compress_ctl.scala 155:49] + node _T_1387 = bits(sbr8d, 4, 1) @[el2_ifu_compress_ctl.scala 155:66] + node _T_1388 = bits(sbr8d, 8, 8) @[el2_ifu_compress_ctl.scala 155:78] + node _T_1389 = cat(_T_1387, _T_1388) @[Cat.scala 29:58] + node _T_1390 = bits(uswimm6_2, 0, 0) @[el2_ifu_compress_ctl.scala 156:15] + node _T_1391 = bits(uswimm6d, 4, 0) @[el2_ifu_compress_ctl.scala 156:31] + node _T_1392 = bits(uswspimm7_2, 0, 0) @[el2_ifu_compress_ctl.scala 157:17] + node _T_1393 = bits(uswspimm7d, 4, 0) @[el2_ifu_compress_ctl.scala 157:35] + node _T_1394 = mux(_T_1386, _T_1389, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1395 = mux(_T_1390, _T_1391, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1396 = mux(_T_1392, _T_1393, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1397 = or(_T_1394, _T_1395) @[Mux.scala 27:72] + node _T_1398 = or(_T_1397, _T_1396) @[Mux.scala 27:72] + wire _T_1399 : UInt<5> @[Mux.scala 27:72] + _T_1399 <= _T_1398 @[Mux.scala 27:72] + node l3_11 = or(_T_1385, _T_1399) @[el2_ifu_compress_ctl.scala 155:24] + node _T_1400 = bits(l2, 6, 0) @[el2_ifu_compress_ctl.scala 159:39] + node _T_1401 = cat(l3_11, _T_1400) @[Cat.scala 29:58] + node _T_1402 = cat(l3_31, l3_24) @[Cat.scala 29:58] + node l3 = cat(_T_1402, _T_1401) @[Cat.scala 29:58] + node _T_1403 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1404 = eq(_T_1403, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1405 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1406 = eq(_T_1405, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1407 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1408 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1409 = and(_T_1404, _T_1406) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1410 = and(_T_1409, _T_1407) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1411 = and(_T_1410, _T_1408) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1412 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 161:48] + node _T_1413 = eq(_T_1412, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 161:41] + node _T_1414 = and(_T_1411, _T_1413) @[el2_ifu_compress_ctl.scala 161:39] + node _T_1415 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1416 = eq(_T_1415, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1417 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1418 = eq(_T_1417, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1419 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1420 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1421 = and(_T_1416, _T_1418) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1422 = and(_T_1421, _T_1419) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1423 = and(_T_1422, _T_1420) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1424 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 161:88] + node _T_1425 = eq(_T_1424, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 161:81] + node _T_1426 = and(_T_1423, _T_1425) @[el2_ifu_compress_ctl.scala 161:79] + node _T_1427 = or(_T_1414, _T_1426) @[el2_ifu_compress_ctl.scala 161:54] + node _T_1428 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1429 = eq(_T_1428, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1430 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1431 = eq(_T_1430, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1432 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1433 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1434 = eq(_T_1433, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1435 = and(_T_1429, _T_1431) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1436 = and(_T_1435, _T_1432) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1437 = and(_T_1436, _T_1434) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1438 = or(_T_1427, _T_1437) @[el2_ifu_compress_ctl.scala 161:94] + node _T_1439 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1440 = eq(_T_1439, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1441 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1442 = eq(_T_1441, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1443 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1444 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1445 = and(_T_1440, _T_1442) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1446 = and(_T_1445, _T_1443) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1447 = and(_T_1446, _T_1444) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1448 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 162:64] + node _T_1449 = eq(_T_1448, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 162:57] + node _T_1450 = and(_T_1447, _T_1449) @[el2_ifu_compress_ctl.scala 162:55] + node _T_1451 = or(_T_1438, _T_1450) @[el2_ifu_compress_ctl.scala 162:30] + node _T_1452 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1453 = eq(_T_1452, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1454 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1455 = eq(_T_1454, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1456 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1457 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1458 = and(_T_1453, _T_1455) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1459 = and(_T_1458, _T_1456) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1460 = and(_T_1459, _T_1457) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1461 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 162:105] + node _T_1462 = eq(_T_1461, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 162:98] + node _T_1463 = and(_T_1460, _T_1462) @[el2_ifu_compress_ctl.scala 162:96] + node _T_1464 = or(_T_1451, _T_1463) @[el2_ifu_compress_ctl.scala 162:70] + node _T_1465 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1466 = eq(_T_1465, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1467 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1468 = eq(_T_1467, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1469 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1470 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1471 = eq(_T_1470, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1472 = and(_T_1466, _T_1468) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1473 = and(_T_1472, _T_1469) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1474 = and(_T_1473, _T_1471) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1475 = or(_T_1464, _T_1474) @[el2_ifu_compress_ctl.scala 162:111] + node _T_1476 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1477 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1478 = eq(_T_1477, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1479 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1480 = eq(_T_1479, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1481 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1482 = and(_T_1476, _T_1478) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1483 = and(_T_1482, _T_1480) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1484 = and(_T_1483, _T_1481) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1485 = or(_T_1475, _T_1484) @[el2_ifu_compress_ctl.scala 163:29] + node _T_1486 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1487 = eq(_T_1486, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1488 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1489 = eq(_T_1488, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1490 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1491 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1492 = and(_T_1487, _T_1489) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1493 = and(_T_1492, _T_1490) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1494 = and(_T_1493, _T_1491) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1495 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 163:88] + node _T_1496 = eq(_T_1495, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 163:81] + node _T_1497 = and(_T_1494, _T_1496) @[el2_ifu_compress_ctl.scala 163:79] + node _T_1498 = or(_T_1485, _T_1497) @[el2_ifu_compress_ctl.scala 163:54] + node _T_1499 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1500 = eq(_T_1499, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1501 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1502 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1503 = eq(_T_1502, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1504 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1505 = and(_T_1500, _T_1501) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1506 = and(_T_1505, _T_1503) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1507 = and(_T_1506, _T_1504) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1508 = or(_T_1498, _T_1507) @[el2_ifu_compress_ctl.scala 163:94] + node _T_1509 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1510 = eq(_T_1509, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1511 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1512 = eq(_T_1511, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1513 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1514 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1515 = eq(_T_1514, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1516 = and(_T_1510, _T_1512) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1517 = and(_T_1516, _T_1513) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1518 = and(_T_1517, _T_1515) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1519 = or(_T_1508, _T_1518) @[el2_ifu_compress_ctl.scala 163:118] + node _T_1520 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1521 = eq(_T_1520, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1522 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1523 = eq(_T_1522, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1524 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1525 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1526 = and(_T_1521, _T_1523) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1527 = and(_T_1526, _T_1524) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1528 = and(_T_1527, _T_1525) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1529 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 164:37] + node _T_1530 = eq(_T_1529, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 164:30] + node _T_1531 = and(_T_1528, _T_1530) @[el2_ifu_compress_ctl.scala 164:28] + node _T_1532 = or(_T_1519, _T_1531) @[el2_ifu_compress_ctl.scala 163:144] + node _T_1533 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1534 = eq(_T_1533, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1535 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1536 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1537 = eq(_T_1536, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1538 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1539 = and(_T_1534, _T_1535) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1540 = and(_T_1539, _T_1537) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1541 = and(_T_1540, _T_1538) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1542 = or(_T_1532, _T_1541) @[el2_ifu_compress_ctl.scala 164:43] + node _T_1543 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1544 = eq(_T_1543, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1545 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1546 = eq(_T_1545, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1547 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1548 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1549 = eq(_T_1548, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1550 = and(_T_1544, _T_1546) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1551 = and(_T_1550, _T_1547) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1552 = and(_T_1551, _T_1549) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1553 = or(_T_1542, _T_1552) @[el2_ifu_compress_ctl.scala 164:67] + node _T_1554 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1555 = eq(_T_1554, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1556 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1557 = eq(_T_1556, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1558 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1559 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1560 = and(_T_1555, _T_1557) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1561 = and(_T_1560, _T_1558) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1562 = and(_T_1561, _T_1559) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1563 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 165:37] + node _T_1564 = eq(_T_1563, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 165:30] + node _T_1565 = and(_T_1562, _T_1564) @[el2_ifu_compress_ctl.scala 165:28] + node _T_1566 = or(_T_1553, _T_1565) @[el2_ifu_compress_ctl.scala 164:94] + node _T_1567 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1568 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1569 = bits(io.din, 10, 10) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1570 = eq(_T_1569, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1571 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1572 = eq(_T_1571, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1573 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1574 = and(_T_1567, _T_1568) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1575 = and(_T_1574, _T_1570) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1576 = and(_T_1575, _T_1572) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1577 = and(_T_1576, _T_1573) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1578 = or(_T_1566, _T_1577) @[el2_ifu_compress_ctl.scala 165:43] + node _T_1579 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1580 = eq(_T_1579, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1581 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1582 = eq(_T_1581, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1583 = bits(io.din, 9, 9) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1584 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1585 = eq(_T_1584, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1586 = and(_T_1580, _T_1582) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1587 = and(_T_1586, _T_1583) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1588 = and(_T_1587, _T_1585) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1589 = or(_T_1578, _T_1588) @[el2_ifu_compress_ctl.scala 165:71] + node _T_1590 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1591 = eq(_T_1590, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1592 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1593 = eq(_T_1592, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1594 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1595 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1596 = and(_T_1591, _T_1593) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1597 = and(_T_1596, _T_1594) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1598 = and(_T_1597, _T_1595) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1599 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 166:37] + node _T_1600 = eq(_T_1599, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 166:30] + node _T_1601 = and(_T_1598, _T_1600) @[el2_ifu_compress_ctl.scala 166:28] + node _T_1602 = or(_T_1589, _T_1601) @[el2_ifu_compress_ctl.scala 165:97] + node _T_1603 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1604 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1605 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1606 = eq(_T_1605, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1607 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1608 = and(_T_1603, _T_1604) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1609 = and(_T_1608, _T_1606) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1610 = and(_T_1609, _T_1607) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1611 = or(_T_1602, _T_1610) @[el2_ifu_compress_ctl.scala 166:43] + node _T_1612 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1613 = eq(_T_1612, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1614 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1615 = eq(_T_1614, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1616 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1617 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1618 = eq(_T_1617, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1619 = and(_T_1613, _T_1615) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1620 = and(_T_1619, _T_1616) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1621 = and(_T_1620, _T_1618) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1622 = or(_T_1611, _T_1621) @[el2_ifu_compress_ctl.scala 166:67] + node _T_1623 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1624 = eq(_T_1623, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1625 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1626 = eq(_T_1625, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1627 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1628 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1629 = and(_T_1624, _T_1626) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1630 = and(_T_1629, _T_1627) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1631 = and(_T_1630, _T_1628) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1632 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 167:37] + node _T_1633 = eq(_T_1632, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 167:30] + node _T_1634 = and(_T_1631, _T_1633) @[el2_ifu_compress_ctl.scala 167:28] + node _T_1635 = or(_T_1622, _T_1634) @[el2_ifu_compress_ctl.scala 166:93] + node _T_1636 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1637 = bits(io.din, 4, 4) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1638 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1639 = eq(_T_1638, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1640 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1641 = and(_T_1636, _T_1637) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1642 = and(_T_1641, _T_1639) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1643 = and(_T_1642, _T_1640) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1644 = or(_T_1635, _T_1643) @[el2_ifu_compress_ctl.scala 167:43] + node _T_1645 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1646 = eq(_T_1645, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1647 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1648 = eq(_T_1647, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1649 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1650 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1651 = and(_T_1646, _T_1648) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1652 = and(_T_1651, _T_1649) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1653 = and(_T_1652, _T_1650) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1654 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 167:100] + node _T_1655 = eq(_T_1654, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 167:93] + node _T_1656 = and(_T_1653, _T_1655) @[el2_ifu_compress_ctl.scala 167:91] + node _T_1657 = or(_T_1644, _T_1656) @[el2_ifu_compress_ctl.scala 167:66] + node _T_1658 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1659 = eq(_T_1658, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1660 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1661 = eq(_T_1660, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1662 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1663 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1664 = eq(_T_1663, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1665 = and(_T_1659, _T_1661) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1666 = and(_T_1665, _T_1662) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1667 = and(_T_1666, _T_1664) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1668 = or(_T_1657, _T_1667) @[el2_ifu_compress_ctl.scala 167:106] + node _T_1669 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1670 = bits(io.din, 3, 3) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1671 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1672 = eq(_T_1671, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1673 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1674 = and(_T_1669, _T_1670) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1675 = and(_T_1674, _T_1672) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1676 = and(_T_1675, _T_1673) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1677 = or(_T_1668, _T_1676) @[el2_ifu_compress_ctl.scala 168:29] + node _T_1678 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1679 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1680 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1681 = eq(_T_1680, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1682 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1683 = and(_T_1678, _T_1679) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1684 = and(_T_1683, _T_1681) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1685 = and(_T_1684, _T_1682) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1686 = or(_T_1677, _T_1685) @[el2_ifu_compress_ctl.scala 168:52] + node _T_1687 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1688 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1689 = eq(_T_1688, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1690 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1691 = eq(_T_1690, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1692 = and(_T_1687, _T_1689) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1693 = and(_T_1692, _T_1691) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1694 = or(_T_1686, _T_1693) @[el2_ifu_compress_ctl.scala 168:75] + node _T_1695 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1696 = eq(_T_1695, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1697 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1698 = eq(_T_1697, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1699 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1700 = eq(_T_1699, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1701 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1702 = and(_T_1696, _T_1698) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1703 = and(_T_1702, _T_1700) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1704 = and(_T_1703, _T_1701) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1705 = or(_T_1694, _T_1704) @[el2_ifu_compress_ctl.scala 168:98] + node _T_1706 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1707 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1708 = eq(_T_1707, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1709 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1710 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1711 = and(_T_1706, _T_1708) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1712 = and(_T_1711, _T_1709) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1713 = and(_T_1712, _T_1710) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1714 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 169:63] + node _T_1715 = eq(_T_1714, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 169:56] + node _T_1716 = and(_T_1713, _T_1715) @[el2_ifu_compress_ctl.scala 169:54] + node _T_1717 = or(_T_1705, _T_1716) @[el2_ifu_compress_ctl.scala 169:29] + node _T_1718 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1719 = eq(_T_1718, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1720 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1721 = eq(_T_1720, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1722 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1723 = eq(_T_1722, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1724 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1725 = and(_T_1719, _T_1721) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1726 = and(_T_1725, _T_1723) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1727 = and(_T_1726, _T_1724) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1728 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 169:105] + node _T_1729 = eq(_T_1728, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 169:98] + node _T_1730 = and(_T_1727, _T_1729) @[el2_ifu_compress_ctl.scala 169:96] + node _T_1731 = or(_T_1717, _T_1730) @[el2_ifu_compress_ctl.scala 169:69] + node _T_1732 = bits(io.din, 15, 15) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1733 = eq(_T_1732, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1734 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1735 = eq(_T_1734, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1736 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1737 = bits(io.din, 1, 1) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1738 = eq(_T_1737, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1739 = and(_T_1733, _T_1735) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1740 = and(_T_1739, _T_1736) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1741 = and(_T_1740, _T_1738) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1742 = or(_T_1731, _T_1741) @[el2_ifu_compress_ctl.scala 169:111] + node _T_1743 = bits(io.din, 14, 14) @[el2_ifu_compress_ctl.scala 12:71] + node _T_1744 = bits(io.din, 13, 13) @[el2_ifu_compress_ctl.scala 12:90] + node _T_1745 = eq(_T_1744, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 12:83] + node _T_1746 = and(_T_1743, _T_1745) @[el2_ifu_compress_ctl.scala 12:110] + node _T_1747 = bits(io.din, 0, 0) @[el2_ifu_compress_ctl.scala 170:59] + node _T_1748 = eq(_T_1747, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 170:52] + node _T_1749 = and(_T_1746, _T_1748) @[el2_ifu_compress_ctl.scala 170:50] + node legal = or(_T_1742, _T_1749) @[el2_ifu_compress_ctl.scala 170:30] + node _T_1750 = bits(legal, 0, 0) @[Bitwise.scala 72:15] + node _T_1751 = mux(_T_1750, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1752 = and(l3, _T_1751) @[el2_ifu_compress_ctl.scala 172:16] + io.dout <= _T_1752 @[el2_ifu_compress_ctl.scala 172:10] + module el2_ifu_aln_ctl : input clock : Clock input reset : UInt<1> - output io : {flip scan_mode : UInt<1>, flip ifu_async_error_start : UInt<1>, flip iccm_rd_ecc_double_err : UInt<1>, flip ic_access_fault_f : UInt<1>, flip ic_access_fault_type_f : UInt<2>, flip ifu_bp_fghr_f : UInt<8>, flip ifu_bp_btb_target_f : UInt<32>, flip ifu_bp_poffset_f : UInt<12>, flip ifu_bp_hist0_f : UInt<2>, flip ifu_bp_hist1_f : UInt<2>, flip ifu_bp_pc4_f : UInt<2>, flip ifu_bp_way_f : UInt<2>, flip ifu_bp_valid_f : UInt<2>, flip ifu_bp_ret_f : UInt<2>, flip exu_flush_final : UInt<1>, flip dec_i0_decode_d : UInt<1>, flip ifu_fetch_data_f : UInt<32>, flip ifu_fetch_val : UInt<2>, flip ifu_fetch_pc : UInt<32>, ifu_i0_valid : UInt<1>, ifu_i0_icaf : UInt<1>, ifu_i0_icaf_type : UInt<2>, ifu_i0_icaf_f1 : UInt<1>, ifu_i0_dbecc : UInt<1>, ifu_i0_instr : UInt<32>, ifu_i0_pc : UInt<32>, ifu_i0_pc4 : UInt<1>, ifu_fb_consume1 : UInt<1>, ifu_fb_consume2 : UInt<1>, ifu_i0_bp_index : UInt<7>, ifu_i0_bp_fghr : UInt<8>, ifu_i0_bp_btag : UInt<5>, ifu_pmu_instr_aligned : UInt<1>, ifu_i0_cinst : UInt<16>, i0_brp : {valid : UInt<1>, toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<32>, way : UInt<1>, ret : UInt<1>}} + output io : {flip scan_mode : UInt<1>, flip free_clk : Clock, flip active_clk : Clock, flip ifu_async_error_start : UInt<1>, flip iccm_rd_ecc_double_err : UInt<1>, flip ic_access_fault_f : UInt<1>, flip ic_access_fault_type_f : UInt<2>, flip ifu_bp_fghr_f : UInt<8>, flip ifu_bp_btb_target_f : UInt<32>, flip ifu_bp_poffset_f : UInt<12>, flip ifu_bp_hist0_f : UInt<2>, flip ifu_bp_hist1_f : UInt<2>, flip ifu_bp_pc4_f : UInt<2>, flip ifu_bp_way_f : UInt<2>, flip ifu_bp_valid_f : UInt<2>, flip ifu_bp_ret_f : UInt<2>, flip exu_flush_final : UInt<1>, flip dec_i0_decode_d : UInt<1>, flip ifu_fetch_data_f : UInt<32>, flip ifu_fetch_val : UInt<2>, flip ifu_fetch_pc : UInt<32>, ifu_i0_valid : UInt<1>, ifu_i0_icaf : UInt<1>, ifu_i0_icaf_type : UInt<2>, ifu_i0_icaf_f1 : UInt<1>, ifu_i0_dbecc : UInt<1>, ifu_i0_instr : UInt<32>, ifu_i0_pc : UInt<32>, ifu_i0_pc4 : UInt<1>, ifu_fb_consume1 : UInt<1>, ifu_fb_consume2 : UInt<1>, ifu_i0_bp_index : UInt<7>, ifu_i0_bp_fghr : UInt<8>, ifu_i0_bp_btag : UInt<5>, ifu_pmu_instr_aligned : UInt<1>, ifu_i0_cinst : UInt<16>, i0_brp : {valid : UInt<1>, toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<32>, way : UInt<1>, ret : UInt<1>}} wire error_stall_in : UInt<1> error_stall_in <= UInt<1>("h00") @@ -63,31 +1928,35 @@ circuit el2_ifu_aln_ctl : brdata0 <= UInt<1>("h00") wire brdata2 : UInt<12> brdata2 <= UInt<1>("h00") - reg error_stall : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_aln_ctl.scala 88:28] - error_stall <= error_stall_in @[el2_ifu_aln_ctl.scala 88:28] - reg f0val : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_aln_ctl.scala 89:22] - f0val <= f0val_in @[el2_ifu_aln_ctl.scala 89:22] - node _T = or(error_stall, io.ifu_async_error_start) @[el2_ifu_aln_ctl.scala 90:34] - node _T_1 = not(io.exu_flush_final) @[el2_ifu_aln_ctl.scala 90:64] - node _T_2 = and(_T, _T_1) @[el2_ifu_aln_ctl.scala 90:62] - error_stall_in <= _T_2 @[el2_ifu_aln_ctl.scala 90:18] - node _T_3 = not(error_stall) @[el2_ifu_aln_ctl.scala 92:39] - node i0_shift = and(io.dec_i0_decode_d, _T_3) @[el2_ifu_aln_ctl.scala 92:37] - io.ifu_pmu_instr_aligned <= i0_shift @[el2_ifu_aln_ctl.scala 94:28] - node _T_4 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 96:34] - node _T_5 = bits(_T_4, 0, 0) @[el2_ifu_aln_ctl.scala 96:38] - node _T_6 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 96:64] - node _T_7 = not(_T_6) @[el2_ifu_aln_ctl.scala 96:58] - node _T_8 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 96:75] - node _T_9 = and(_T_7, _T_8) @[el2_ifu_aln_ctl.scala 96:68] - node _T_10 = bits(_T_9, 0, 0) @[el2_ifu_aln_ctl.scala 96:80] + reg error_stall : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_aln_ctl.scala 90:54] + error_stall <= error_stall_in @[el2_ifu_aln_ctl.scala 90:54] + reg f0val : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_aln_ctl.scala 91:48] + f0val <= f0val_in @[el2_ifu_aln_ctl.scala 91:48] + node _T = or(error_stall, io.ifu_async_error_start) @[el2_ifu_aln_ctl.scala 92:34] + node _T_1 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 92:64] + node _T_2 = and(_T, _T_1) @[el2_ifu_aln_ctl.scala 92:62] + error_stall_in <= _T_2 @[el2_ifu_aln_ctl.scala 92:18] + node _T_3 = not(error_stall) @[el2_ifu_aln_ctl.scala 94:39] + node i0_shift = and(io.dec_i0_decode_d, _T_3) @[el2_ifu_aln_ctl.scala 94:37] + io.ifu_pmu_instr_aligned <= i0_shift @[el2_ifu_aln_ctl.scala 96:28] + node _T_4 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 98:34] + node _T_5 = bits(_T_4, 0, 0) @[el2_ifu_aln_ctl.scala 98:38] + node _T_6 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 98:64] + node _T_7 = not(_T_6) @[el2_ifu_aln_ctl.scala 98:58] + node _T_8 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 98:75] + node _T_9 = and(_T_7, _T_8) @[el2_ifu_aln_ctl.scala 98:68] + node _T_10 = bits(_T_9, 0, 0) @[el2_ifu_aln_ctl.scala 98:80] node _T_11 = cat(q1final, q0final) @[Cat.scala 29:58] node _T_12 = mux(_T_5, q0final, UInt<1>("h00")) @[Mux.scala 27:72] node _T_13 = mux(_T_10, _T_11, UInt<1>("h00")) @[Mux.scala 27:72] node _T_14 = or(_T_12, _T_13) @[Mux.scala 27:72] wire aligndata : UInt<32> @[Mux.scala 27:72] aligndata <= _T_14 @[Mux.scala 27:72] - io.ifu_i0_instr <= UInt<1>("h00") @[el2_ifu_aln_ctl.scala 104:19] + inst decompressed of el2_ifu_compress_ctl @[el2_ifu_aln_ctl.scala 100:28] + decompressed.clock <= clock + decompressed.reset <= reset + decompressed.io.din <= aligndata @[el2_ifu_aln_ctl.scala 102:23] + io.ifu_i0_instr <= decompressed.io.dout @[el2_ifu_aln_ctl.scala 104:20] node _T_15 = bits(aligndata, 15, 0) @[el2_ifu_aln_ctl.scala 107:31] io.ifu_i0_cinst <= _T_15 @[el2_ifu_aln_ctl.scala 107:19] wire first4B : UInt<1> @@ -133,7 +2002,7 @@ circuit el2_ifu_aln_ctl : node _T_44 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 121:55] node _T_45 = bits(shift_4B, 0, 0) @[el2_ifu_aln_ctl.scala 121:69] node _T_46 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 121:86] - node _T_47 = not(_T_46) @[el2_ifu_aln_ctl.scala 121:80] + node _T_47 = eq(_T_46, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 121:80] node _T_48 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 121:97] node _T_49 = and(_T_47, _T_48) @[el2_ifu_aln_ctl.scala 121:90] node _T_50 = mux(_T_43, _T_44, UInt<1>("h00")) @[Mux.scala 27:72] @@ -143,110 +2012,110 @@ circuit el2_ifu_aln_ctl : f0_shift_2B <= _T_52 @[Mux.scala 27:72] node _T_53 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 122:27] node _T_54 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 122:39] - node _T_55 = not(_T_54) @[el2_ifu_aln_ctl.scala 122:33] + node _T_55 = eq(_T_54, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 122:33] node _T_56 = and(_T_53, _T_55) @[el2_ifu_aln_ctl.scala 122:31] node f1_shift_2B = and(_T_56, shift_4B) @[el2_ifu_aln_ctl.scala 122:43] - reg wrptr : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_aln_ctl.scala 124:22] - wrptr <= wrptr_in @[el2_ifu_aln_ctl.scala 124:22] - reg rdptr : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_aln_ctl.scala 125:22] - rdptr <= wrptr_in @[el2_ifu_aln_ctl.scala 125:22] - reg f2val : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_aln_ctl.scala 127:22] - f2val <= f2val_in @[el2_ifu_aln_ctl.scala 127:22] - reg f1val : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_aln_ctl.scala 128:22] - f1val <= f1val_in @[el2_ifu_aln_ctl.scala 128:22] - reg q2off : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_aln_ctl.scala 131:22] - q2off <= q2off_in @[el2_ifu_aln_ctl.scala 131:22] - reg q1off : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_aln_ctl.scala 132:22] - q1off <= q1off_in @[el2_ifu_aln_ctl.scala 132:22] - reg q0off : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_aln_ctl.scala 133:22] - q0off <= q0off_in @[el2_ifu_aln_ctl.scala 133:22] - node _T_57 = not(sf0_valid) @[el2_ifu_aln_ctl.scala 135:29] - node _T_58 = not(sf1_valid) @[el2_ifu_aln_ctl.scala 135:42] + reg wrptr : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_aln_ctl.scala 124:48] + wrptr <= wrptr_in @[el2_ifu_aln_ctl.scala 124:48] + reg rdptr : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_aln_ctl.scala 125:48] + rdptr <= wrptr_in @[el2_ifu_aln_ctl.scala 125:48] + reg f2val : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_aln_ctl.scala 127:48] + f2val <= f2val_in @[el2_ifu_aln_ctl.scala 127:48] + reg f1val : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_aln_ctl.scala 128:48] + f1val <= f1val_in @[el2_ifu_aln_ctl.scala 128:48] + reg q2off : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_aln_ctl.scala 131:48] + q2off <= q2off_in @[el2_ifu_aln_ctl.scala 131:48] + reg q1off : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_aln_ctl.scala 132:48] + q1off <= q1off_in @[el2_ifu_aln_ctl.scala 132:48] + reg q0off : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_aln_ctl.scala 133:48] + q0off <= q0off_in @[el2_ifu_aln_ctl.scala 133:48] + node _T_57 = eq(sf0_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 135:29] + node _T_58 = eq(sf1_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 135:42] node _T_59 = and(_T_57, _T_58) @[el2_ifu_aln_ctl.scala 135:40] - node _T_60 = not(f2_valid) @[el2_ifu_aln_ctl.scala 135:55] + node _T_60 = eq(f2_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 135:55] node _T_61 = and(_T_59, _T_60) @[el2_ifu_aln_ctl.scala 135:53] node fetch_to_f0 = and(_T_61, ifvalid) @[el2_ifu_aln_ctl.scala 135:65] - node _T_62 = not(sf0_valid) @[el2_ifu_aln_ctl.scala 136:29] - node _T_63 = not(sf1_valid) @[el2_ifu_aln_ctl.scala 136:42] + node _T_62 = eq(sf0_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 136:29] + node _T_63 = eq(sf1_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 136:42] node _T_64 = and(_T_62, _T_63) @[el2_ifu_aln_ctl.scala 136:40] node _T_65 = and(_T_64, f2_valid) @[el2_ifu_aln_ctl.scala 136:53] node _T_66 = and(_T_65, ifvalid) @[el2_ifu_aln_ctl.scala 136:65] - node _T_67 = not(sf0_valid) @[el2_ifu_aln_ctl.scala 137:6] - node _T_68 = and(_T_67, sf1_valid) @[el2_ifu_aln_ctl.scala 137:17] - node _T_69 = not(f2_valid) @[el2_ifu_aln_ctl.scala 137:32] - node _T_70 = and(_T_68, _T_69) @[el2_ifu_aln_ctl.scala 137:30] - node _T_71 = and(_T_70, ifvalid) @[el2_ifu_aln_ctl.scala 137:42] + node _T_67 = eq(sf0_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 137:29] + node _T_68 = and(_T_67, sf1_valid) @[el2_ifu_aln_ctl.scala 137:40] + node _T_69 = eq(f2_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 137:55] + node _T_70 = and(_T_68, _T_69) @[el2_ifu_aln_ctl.scala 137:53] + node _T_71 = and(_T_70, ifvalid) @[el2_ifu_aln_ctl.scala 137:65] node _T_72 = or(_T_66, _T_71) @[el2_ifu_aln_ctl.scala 136:77] - node _T_73 = not(sf1_valid) @[el2_ifu_aln_ctl.scala 138:19] - node _T_74 = and(sf0_valid, _T_73) @[el2_ifu_aln_ctl.scala 138:17] - node _T_75 = not(f2_valid) @[el2_ifu_aln_ctl.scala 138:32] - node _T_76 = and(_T_74, _T_75) @[el2_ifu_aln_ctl.scala 138:30] - node _T_77 = and(_T_76, ifvalid) @[el2_ifu_aln_ctl.scala 138:42] - node fetch_to_f1 = or(_T_72, _T_77) @[el2_ifu_aln_ctl.scala 137:54] - node _T_78 = not(sf0_valid) @[el2_ifu_aln_ctl.scala 139:29] - node _T_79 = and(_T_78, sf1_valid) @[el2_ifu_aln_ctl.scala 139:40] - node _T_80 = and(_T_79, f2_valid) @[el2_ifu_aln_ctl.scala 139:53] - node _T_81 = and(_T_80, ifvalid) @[el2_ifu_aln_ctl.scala 139:65] - node _T_82 = and(sf0_valid, sf1_valid) @[el2_ifu_aln_ctl.scala 140:17] - node _T_83 = not(f2_valid) @[el2_ifu_aln_ctl.scala 140:32] - node _T_84 = and(_T_82, _T_83) @[el2_ifu_aln_ctl.scala 140:30] - node _T_85 = and(_T_84, ifvalid) @[el2_ifu_aln_ctl.scala 140:42] - node f2_wr_en = or(_T_81, _T_85) @[el2_ifu_aln_ctl.scala 139:77] - node _T_86 = or(fetch_to_f1, shift_f2_f1) @[el2_ifu_aln_ctl.scala 143:36] - node f1_shift_wr_en = or(_T_86, f1_shift_2B) @[el2_ifu_aln_ctl.scala 143:50] - node _T_87 = or(fetch_to_f0, shift_f2_f0) @[el2_ifu_aln_ctl.scala 144:36] - node _T_88 = or(_T_87, shift_f1_f0) @[el2_ifu_aln_ctl.scala 144:50] - node _T_89 = or(_T_88, shift_2B) @[el2_ifu_aln_ctl.scala 144:64] - node f0_shift_wr_en = or(_T_89, shift_4B) @[el2_ifu_aln_ctl.scala 144:75] - node _T_90 = eq(rdptr, UInt<2>("h02")) @[el2_ifu_aln_ctl.scala 146:24] - node _T_91 = eq(rdptr, UInt<1>("h01")) @[el2_ifu_aln_ctl.scala 146:39] - node _T_92 = eq(rdptr, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 146:54] + node _T_73 = eq(sf1_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 138:42] + node _T_74 = and(sf0_valid, _T_73) @[el2_ifu_aln_ctl.scala 138:40] + node _T_75 = eq(f2_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 138:55] + node _T_76 = and(_T_74, _T_75) @[el2_ifu_aln_ctl.scala 138:53] + node _T_77 = and(_T_76, ifvalid) @[el2_ifu_aln_ctl.scala 138:65] + node fetch_to_f1 = or(_T_72, _T_77) @[el2_ifu_aln_ctl.scala 137:77] + node _T_78 = eq(sf0_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 140:29] + node _T_79 = and(_T_78, sf1_valid) @[el2_ifu_aln_ctl.scala 140:40] + node _T_80 = and(_T_79, f2_valid) @[el2_ifu_aln_ctl.scala 140:53] + node _T_81 = and(_T_80, ifvalid) @[el2_ifu_aln_ctl.scala 140:65] + node _T_82 = and(sf0_valid, sf1_valid) @[el2_ifu_aln_ctl.scala 141:40] + node _T_83 = eq(f2_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 141:55] + node _T_84 = and(_T_82, _T_83) @[el2_ifu_aln_ctl.scala 141:53] + node _T_85 = and(_T_84, ifvalid) @[el2_ifu_aln_ctl.scala 141:65] + node f2_wr_en = or(_T_81, _T_85) @[el2_ifu_aln_ctl.scala 140:77] + node _T_86 = or(fetch_to_f1, shift_f2_f1) @[el2_ifu_aln_ctl.scala 144:36] + node f1_shift_wr_en = or(_T_86, f1_shift_2B) @[el2_ifu_aln_ctl.scala 144:50] + node _T_87 = or(fetch_to_f0, shift_f2_f0) @[el2_ifu_aln_ctl.scala 145:36] + node _T_88 = or(_T_87, shift_f1_f0) @[el2_ifu_aln_ctl.scala 145:50] + node _T_89 = or(_T_88, shift_2B) @[el2_ifu_aln_ctl.scala 145:64] + node f0_shift_wr_en = or(_T_89, shift_4B) @[el2_ifu_aln_ctl.scala 145:75] + node _T_90 = eq(rdptr, UInt<2>("h02")) @[el2_ifu_aln_ctl.scala 147:24] + node _T_91 = eq(rdptr, UInt<1>("h01")) @[el2_ifu_aln_ctl.scala 147:39] + node _T_92 = eq(rdptr, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 147:54] node _T_93 = cat(_T_90, _T_91) @[Cat.scala 29:58] node qren = cat(_T_93, _T_92) @[Cat.scala 29:58] - node _T_94 = eq(wrptr, UInt<2>("h02")) @[el2_ifu_aln_ctl.scala 147:24] - node _T_95 = and(_T_94, ifvalid) @[el2_ifu_aln_ctl.scala 147:32] - node _T_96 = eq(wrptr, UInt<1>("h01")) @[el2_ifu_aln_ctl.scala 147:49] - node _T_97 = and(_T_96, ifvalid) @[el2_ifu_aln_ctl.scala 147:57] - node _T_98 = eq(wrptr, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 147:74] - node _T_99 = and(_T_98, ifvalid) @[el2_ifu_aln_ctl.scala 147:82] + node _T_94 = eq(wrptr, UInt<2>("h02")) @[el2_ifu_aln_ctl.scala 148:24] + node _T_95 = and(_T_94, ifvalid) @[el2_ifu_aln_ctl.scala 148:32] + node _T_96 = eq(wrptr, UInt<1>("h01")) @[el2_ifu_aln_ctl.scala 148:49] + node _T_97 = and(_T_96, ifvalid) @[el2_ifu_aln_ctl.scala 148:57] + node _T_98 = eq(wrptr, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 148:74] + node _T_99 = and(_T_98, ifvalid) @[el2_ifu_aln_ctl.scala 148:82] node _T_100 = cat(_T_95, _T_97) @[Cat.scala 29:58] node qwen = cat(_T_100, _T_99) @[Cat.scala 29:58] - node _T_101 = bits(qren, 0, 0) @[el2_ifu_aln_ctl.scala 149:30] - node _T_102 = and(_T_101, io.ifu_fb_consume1) @[el2_ifu_aln_ctl.scala 149:34] - node _T_103 = not(io.exu_flush_final) @[el2_ifu_aln_ctl.scala 149:57] - node _T_104 = and(_T_102, _T_103) @[el2_ifu_aln_ctl.scala 149:55] - node _T_105 = bits(_T_104, 0, 0) @[el2_ifu_aln_ctl.scala 149:78] - node _T_106 = bits(qren, 1, 1) @[el2_ifu_aln_ctl.scala 150:30] - node _T_107 = and(_T_106, io.ifu_fb_consume1) @[el2_ifu_aln_ctl.scala 150:34] - node _T_108 = not(io.exu_flush_final) @[el2_ifu_aln_ctl.scala 150:57] - node _T_109 = and(_T_107, _T_108) @[el2_ifu_aln_ctl.scala 150:55] - node _T_110 = bits(_T_109, 0, 0) @[el2_ifu_aln_ctl.scala 150:78] - node _T_111 = bits(qren, 2, 2) @[el2_ifu_aln_ctl.scala 151:30] - node _T_112 = and(_T_111, io.ifu_fb_consume1) @[el2_ifu_aln_ctl.scala 151:34] - node _T_113 = not(io.exu_flush_final) @[el2_ifu_aln_ctl.scala 151:57] - node _T_114 = and(_T_112, _T_113) @[el2_ifu_aln_ctl.scala 151:55] - node _T_115 = bits(_T_114, 0, 0) @[el2_ifu_aln_ctl.scala 151:78] - node _T_116 = bits(qren, 0, 0) @[el2_ifu_aln_ctl.scala 152:30] - node _T_117 = and(_T_116, io.ifu_fb_consume2) @[el2_ifu_aln_ctl.scala 152:34] - node _T_118 = not(io.exu_flush_final) @[el2_ifu_aln_ctl.scala 152:57] - node _T_119 = and(_T_117, _T_118) @[el2_ifu_aln_ctl.scala 152:55] - node _T_120 = bits(_T_119, 0, 0) @[el2_ifu_aln_ctl.scala 152:78] - node _T_121 = bits(qren, 1, 1) @[el2_ifu_aln_ctl.scala 153:30] - node _T_122 = and(_T_121, io.ifu_fb_consume2) @[el2_ifu_aln_ctl.scala 153:34] - node _T_123 = not(io.exu_flush_final) @[el2_ifu_aln_ctl.scala 153:57] - node _T_124 = and(_T_122, _T_123) @[el2_ifu_aln_ctl.scala 153:55] - node _T_125 = bits(_T_124, 0, 0) @[el2_ifu_aln_ctl.scala 153:78] - node _T_126 = bits(qren, 2, 2) @[el2_ifu_aln_ctl.scala 154:30] - node _T_127 = and(_T_126, io.ifu_fb_consume2) @[el2_ifu_aln_ctl.scala 154:34] - node _T_128 = not(io.exu_flush_final) @[el2_ifu_aln_ctl.scala 154:57] - node _T_129 = and(_T_127, _T_128) @[el2_ifu_aln_ctl.scala 154:55] - node _T_130 = bits(_T_129, 0, 0) @[el2_ifu_aln_ctl.scala 154:78] - node _T_131 = not(io.ifu_fb_consume1) @[el2_ifu_aln_ctl.scala 155:12] - node _T_132 = not(io.ifu_fb_consume2) @[el2_ifu_aln_ctl.scala 155:34] - node _T_133 = and(_T_131, _T_132) @[el2_ifu_aln_ctl.scala 155:32] - node _T_134 = not(io.exu_flush_final) @[el2_ifu_aln_ctl.scala 155:56] - node _T_135 = and(_T_133, _T_134) @[el2_ifu_aln_ctl.scala 155:54] - node _T_136 = bits(_T_135, 0, 0) @[el2_ifu_aln_ctl.scala 155:77] + node _T_101 = bits(qren, 0, 0) @[el2_ifu_aln_ctl.scala 150:30] + node _T_102 = and(_T_101, io.ifu_fb_consume1) @[el2_ifu_aln_ctl.scala 150:34] + node _T_103 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 150:57] + node _T_104 = and(_T_102, _T_103) @[el2_ifu_aln_ctl.scala 150:55] + node _T_105 = bits(_T_104, 0, 0) @[el2_ifu_aln_ctl.scala 150:78] + node _T_106 = bits(qren, 1, 1) @[el2_ifu_aln_ctl.scala 151:30] + node _T_107 = and(_T_106, io.ifu_fb_consume1) @[el2_ifu_aln_ctl.scala 151:34] + node _T_108 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 151:57] + node _T_109 = and(_T_107, _T_108) @[el2_ifu_aln_ctl.scala 151:55] + node _T_110 = bits(_T_109, 0, 0) @[el2_ifu_aln_ctl.scala 151:78] + node _T_111 = bits(qren, 2, 2) @[el2_ifu_aln_ctl.scala 152:30] + node _T_112 = and(_T_111, io.ifu_fb_consume1) @[el2_ifu_aln_ctl.scala 152:34] + node _T_113 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 152:57] + node _T_114 = and(_T_112, _T_113) @[el2_ifu_aln_ctl.scala 152:55] + node _T_115 = bits(_T_114, 0, 0) @[el2_ifu_aln_ctl.scala 152:78] + node _T_116 = bits(qren, 0, 0) @[el2_ifu_aln_ctl.scala 153:30] + node _T_117 = and(_T_116, io.ifu_fb_consume2) @[el2_ifu_aln_ctl.scala 153:34] + node _T_118 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 153:57] + node _T_119 = and(_T_117, _T_118) @[el2_ifu_aln_ctl.scala 153:55] + node _T_120 = bits(_T_119, 0, 0) @[el2_ifu_aln_ctl.scala 153:78] + node _T_121 = bits(qren, 1, 1) @[el2_ifu_aln_ctl.scala 154:30] + node _T_122 = and(_T_121, io.ifu_fb_consume2) @[el2_ifu_aln_ctl.scala 154:34] + node _T_123 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 154:57] + node _T_124 = and(_T_122, _T_123) @[el2_ifu_aln_ctl.scala 154:55] + node _T_125 = bits(_T_124, 0, 0) @[el2_ifu_aln_ctl.scala 154:78] + node _T_126 = bits(qren, 2, 2) @[el2_ifu_aln_ctl.scala 155:30] + node _T_127 = and(_T_126, io.ifu_fb_consume2) @[el2_ifu_aln_ctl.scala 155:34] + node _T_128 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 155:57] + node _T_129 = and(_T_127, _T_128) @[el2_ifu_aln_ctl.scala 155:55] + node _T_130 = bits(_T_129, 0, 0) @[el2_ifu_aln_ctl.scala 155:78] + node _T_131 = eq(io.ifu_fb_consume1, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 156:26] + node _T_132 = eq(io.ifu_fb_consume2, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 156:48] + node _T_133 = and(_T_131, _T_132) @[el2_ifu_aln_ctl.scala 156:46] + node _T_134 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 156:70] + node _T_135 = and(_T_133, _T_134) @[el2_ifu_aln_ctl.scala 156:68] + node _T_136 = bits(_T_135, 0, 0) @[el2_ifu_aln_ctl.scala 156:91] node _T_137 = mux(_T_105, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_138 = mux(_T_110, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_139 = mux(_T_115, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -262,23 +2131,23 @@ circuit el2_ifu_aln_ctl : node _T_149 = or(_T_148, _T_143) @[Mux.scala 27:72] wire _T_150 : UInt @[Mux.scala 27:72] _T_150 <= _T_149 @[Mux.scala 27:72] - rdptr_in <= _T_150 @[el2_ifu_aln_ctl.scala 149:12] - node _T_151 = bits(qwen, 0, 0) @[el2_ifu_aln_ctl.scala 157:30] - node _T_152 = not(io.exu_flush_final) @[el2_ifu_aln_ctl.scala 157:36] - node _T_153 = and(_T_151, _T_152) @[el2_ifu_aln_ctl.scala 157:34] - node _T_154 = bits(_T_153, 0, 0) @[el2_ifu_aln_ctl.scala 157:57] - node _T_155 = bits(qwen, 1, 1) @[el2_ifu_aln_ctl.scala 158:30] - node _T_156 = not(io.exu_flush_final) @[el2_ifu_aln_ctl.scala 158:36] - node _T_157 = and(_T_155, _T_156) @[el2_ifu_aln_ctl.scala 158:34] - node _T_158 = bits(_T_157, 0, 0) @[el2_ifu_aln_ctl.scala 158:57] - node _T_159 = bits(qwen, 2, 2) @[el2_ifu_aln_ctl.scala 159:30] - node _T_160 = not(io.exu_flush_final) @[el2_ifu_aln_ctl.scala 159:36] - node _T_161 = and(_T_159, _T_160) @[el2_ifu_aln_ctl.scala 159:34] - node _T_162 = bits(_T_161, 0, 0) @[el2_ifu_aln_ctl.scala 159:57] - node _T_163 = not(ifvalid) @[el2_ifu_aln_ctl.scala 160:26] - node _T_164 = not(io.exu_flush_final) @[el2_ifu_aln_ctl.scala 160:37] - node _T_165 = and(_T_163, _T_164) @[el2_ifu_aln_ctl.scala 160:35] - node _T_166 = bits(_T_165, 0, 0) @[el2_ifu_aln_ctl.scala 160:58] + rdptr_in <= _T_150 @[el2_ifu_aln_ctl.scala 150:12] + node _T_151 = bits(qwen, 0, 0) @[el2_ifu_aln_ctl.scala 158:30] + node _T_152 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 158:36] + node _T_153 = and(_T_151, _T_152) @[el2_ifu_aln_ctl.scala 158:34] + node _T_154 = bits(_T_153, 0, 0) @[el2_ifu_aln_ctl.scala 158:57] + node _T_155 = bits(qwen, 1, 1) @[el2_ifu_aln_ctl.scala 159:30] + node _T_156 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 159:36] + node _T_157 = and(_T_155, _T_156) @[el2_ifu_aln_ctl.scala 159:34] + node _T_158 = bits(_T_157, 0, 0) @[el2_ifu_aln_ctl.scala 159:57] + node _T_159 = bits(qwen, 2, 2) @[el2_ifu_aln_ctl.scala 160:30] + node _T_160 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 160:36] + node _T_161 = and(_T_159, _T_160) @[el2_ifu_aln_ctl.scala 160:34] + node _T_162 = bits(_T_161, 0, 0) @[el2_ifu_aln_ctl.scala 160:57] + node _T_163 = eq(ifvalid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 161:26] + node _T_164 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 161:37] + node _T_165 = and(_T_163, _T_164) @[el2_ifu_aln_ctl.scala 161:35] + node _T_166 = bits(_T_165, 0, 0) @[el2_ifu_aln_ctl.scala 161:58] node _T_167 = mux(_T_154, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_168 = mux(_T_158, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_169 = mux(_T_162, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -288,24 +2157,24 @@ circuit el2_ifu_aln_ctl : node _T_173 = or(_T_172, _T_170) @[Mux.scala 27:72] wire _T_174 : UInt @[Mux.scala 27:72] _T_174 <= _T_173 @[Mux.scala 27:72] - wrptr_in <= _T_174 @[el2_ifu_aln_ctl.scala 157:12] - node _T_175 = bits(qwen, 2, 2) @[el2_ifu_aln_ctl.scala 162:31] - node _T_176 = not(_T_175) @[el2_ifu_aln_ctl.scala 162:26] - node _T_177 = eq(rdptr, UInt<2>("h02")) @[el2_ifu_aln_ctl.scala 162:43] - node _T_178 = and(_T_176, _T_177) @[el2_ifu_aln_ctl.scala 162:35] - node _T_179 = bits(_T_178, 0, 0) @[el2_ifu_aln_ctl.scala 162:52] - node _T_180 = or(q2off, f0_shift_2B) @[el2_ifu_aln_ctl.scala 162:74] - node _T_181 = bits(qwen, 2, 2) @[el2_ifu_aln_ctl.scala 163:31] - node _T_182 = not(_T_181) @[el2_ifu_aln_ctl.scala 163:26] - node _T_183 = eq(rdptr, UInt<1>("h01")) @[el2_ifu_aln_ctl.scala 163:43] - node _T_184 = and(_T_182, _T_183) @[el2_ifu_aln_ctl.scala 163:35] - node _T_185 = bits(_T_184, 0, 0) @[el2_ifu_aln_ctl.scala 163:52] - node _T_186 = or(q2off, f1_shift_2B) @[el2_ifu_aln_ctl.scala 163:74] - node _T_187 = bits(qwen, 2, 2) @[el2_ifu_aln_ctl.scala 164:31] - node _T_188 = not(_T_187) @[el2_ifu_aln_ctl.scala 164:26] - node _T_189 = eq(rdptr, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 164:43] - node _T_190 = and(_T_188, _T_189) @[el2_ifu_aln_ctl.scala 164:35] - node _T_191 = bits(_T_190, 0, 0) @[el2_ifu_aln_ctl.scala 164:52] + wrptr_in <= _T_174 @[el2_ifu_aln_ctl.scala 158:12] + node _T_175 = bits(qwen, 2, 2) @[el2_ifu_aln_ctl.scala 163:31] + node _T_176 = eq(_T_175, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 163:26] + node _T_177 = eq(rdptr, UInt<2>("h02")) @[el2_ifu_aln_ctl.scala 163:43] + node _T_178 = and(_T_176, _T_177) @[el2_ifu_aln_ctl.scala 163:35] + node _T_179 = bits(_T_178, 0, 0) @[el2_ifu_aln_ctl.scala 163:52] + node _T_180 = or(q2off, f0_shift_2B) @[el2_ifu_aln_ctl.scala 163:74] + node _T_181 = bits(qwen, 2, 2) @[el2_ifu_aln_ctl.scala 164:31] + node _T_182 = eq(_T_181, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 164:26] + node _T_183 = eq(rdptr, UInt<1>("h01")) @[el2_ifu_aln_ctl.scala 164:43] + node _T_184 = and(_T_182, _T_183) @[el2_ifu_aln_ctl.scala 164:35] + node _T_185 = bits(_T_184, 0, 0) @[el2_ifu_aln_ctl.scala 164:52] + node _T_186 = or(q2off, f1_shift_2B) @[el2_ifu_aln_ctl.scala 164:74] + node _T_187 = bits(qwen, 2, 2) @[el2_ifu_aln_ctl.scala 165:31] + node _T_188 = eq(_T_187, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 165:26] + node _T_189 = eq(rdptr, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 165:43] + node _T_190 = and(_T_188, _T_189) @[el2_ifu_aln_ctl.scala 165:35] + node _T_191 = bits(_T_190, 0, 0) @[el2_ifu_aln_ctl.scala 165:52] node _T_192 = mux(_T_179, _T_180, UInt<1>("h00")) @[Mux.scala 27:72] node _T_193 = mux(_T_185, _T_186, UInt<1>("h00")) @[Mux.scala 27:72] node _T_194 = mux(_T_191, q2off, UInt<1>("h00")) @[Mux.scala 27:72] @@ -313,24 +2182,24 @@ circuit el2_ifu_aln_ctl : node _T_196 = or(_T_195, _T_194) @[Mux.scala 27:72] wire _T_197 : UInt @[Mux.scala 27:72] _T_197 <= _T_196 @[Mux.scala 27:72] - q2off_in <= _T_197 @[el2_ifu_aln_ctl.scala 162:12] - node _T_198 = bits(qwen, 1, 1) @[el2_ifu_aln_ctl.scala 166:31] - node _T_199 = not(_T_198) @[el2_ifu_aln_ctl.scala 166:26] - node _T_200 = eq(rdptr, UInt<1>("h01")) @[el2_ifu_aln_ctl.scala 166:43] - node _T_201 = and(_T_199, _T_200) @[el2_ifu_aln_ctl.scala 166:35] - node _T_202 = bits(_T_201, 0, 0) @[el2_ifu_aln_ctl.scala 166:52] - node _T_203 = or(q1off, f0_shift_2B) @[el2_ifu_aln_ctl.scala 166:74] - node _T_204 = bits(qwen, 1, 1) @[el2_ifu_aln_ctl.scala 167:31] - node _T_205 = not(_T_204) @[el2_ifu_aln_ctl.scala 167:26] - node _T_206 = eq(rdptr, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 167:43] - node _T_207 = and(_T_205, _T_206) @[el2_ifu_aln_ctl.scala 167:35] - node _T_208 = bits(_T_207, 0, 0) @[el2_ifu_aln_ctl.scala 167:52] - node _T_209 = or(q1off, f1_shift_2B) @[el2_ifu_aln_ctl.scala 167:74] - node _T_210 = bits(qwen, 1, 1) @[el2_ifu_aln_ctl.scala 168:31] - node _T_211 = not(_T_210) @[el2_ifu_aln_ctl.scala 168:26] - node _T_212 = eq(rdptr, UInt<2>("h02")) @[el2_ifu_aln_ctl.scala 168:43] - node _T_213 = and(_T_211, _T_212) @[el2_ifu_aln_ctl.scala 168:35] - node _T_214 = bits(_T_213, 0, 0) @[el2_ifu_aln_ctl.scala 168:52] + q2off_in <= _T_197 @[el2_ifu_aln_ctl.scala 163:12] + node _T_198 = bits(qwen, 1, 1) @[el2_ifu_aln_ctl.scala 167:31] + node _T_199 = eq(_T_198, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 167:26] + node _T_200 = eq(rdptr, UInt<1>("h01")) @[el2_ifu_aln_ctl.scala 167:43] + node _T_201 = and(_T_199, _T_200) @[el2_ifu_aln_ctl.scala 167:35] + node _T_202 = bits(_T_201, 0, 0) @[el2_ifu_aln_ctl.scala 167:52] + node _T_203 = or(q1off, f0_shift_2B) @[el2_ifu_aln_ctl.scala 167:74] + node _T_204 = bits(qwen, 1, 1) @[el2_ifu_aln_ctl.scala 168:31] + node _T_205 = eq(_T_204, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 168:26] + node _T_206 = eq(rdptr, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 168:43] + node _T_207 = and(_T_205, _T_206) @[el2_ifu_aln_ctl.scala 168:35] + node _T_208 = bits(_T_207, 0, 0) @[el2_ifu_aln_ctl.scala 168:52] + node _T_209 = or(q1off, f1_shift_2B) @[el2_ifu_aln_ctl.scala 168:74] + node _T_210 = bits(qwen, 1, 1) @[el2_ifu_aln_ctl.scala 169:31] + node _T_211 = eq(_T_210, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 169:26] + node _T_212 = eq(rdptr, UInt<2>("h02")) @[el2_ifu_aln_ctl.scala 169:43] + node _T_213 = and(_T_211, _T_212) @[el2_ifu_aln_ctl.scala 169:35] + node _T_214 = bits(_T_213, 0, 0) @[el2_ifu_aln_ctl.scala 169:52] node _T_215 = mux(_T_202, _T_203, UInt<1>("h00")) @[Mux.scala 27:72] node _T_216 = mux(_T_208, _T_209, UInt<1>("h00")) @[Mux.scala 27:72] node _T_217 = mux(_T_214, q1off, UInt<1>("h00")) @[Mux.scala 27:72] @@ -338,24 +2207,24 @@ circuit el2_ifu_aln_ctl : node _T_219 = or(_T_218, _T_217) @[Mux.scala 27:72] wire _T_220 : UInt @[Mux.scala 27:72] _T_220 <= _T_219 @[Mux.scala 27:72] - q1off_in <= _T_220 @[el2_ifu_aln_ctl.scala 166:12] - node _T_221 = bits(qwen, 0, 0) @[el2_ifu_aln_ctl.scala 170:31] - node _T_222 = not(_T_221) @[el2_ifu_aln_ctl.scala 170:26] - node _T_223 = eq(rdptr, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 170:43] - node _T_224 = and(_T_222, _T_223) @[el2_ifu_aln_ctl.scala 170:35] - node _T_225 = bits(_T_224, 0, 0) @[el2_ifu_aln_ctl.scala 170:52] - node _T_226 = or(q0off, f0_shift_2B) @[el2_ifu_aln_ctl.scala 170:76] - node _T_227 = bits(qwen, 0, 0) @[el2_ifu_aln_ctl.scala 171:31] - node _T_228 = not(_T_227) @[el2_ifu_aln_ctl.scala 171:26] - node _T_229 = eq(rdptr, UInt<2>("h02")) @[el2_ifu_aln_ctl.scala 171:43] - node _T_230 = and(_T_228, _T_229) @[el2_ifu_aln_ctl.scala 171:35] - node _T_231 = bits(_T_230, 0, 0) @[el2_ifu_aln_ctl.scala 171:52] - node _T_232 = or(q0off, f1_shift_2B) @[el2_ifu_aln_ctl.scala 171:76] - node _T_233 = bits(qwen, 0, 0) @[el2_ifu_aln_ctl.scala 172:31] - node _T_234 = not(_T_233) @[el2_ifu_aln_ctl.scala 172:26] - node _T_235 = eq(rdptr, UInt<1>("h01")) @[el2_ifu_aln_ctl.scala 172:43] - node _T_236 = and(_T_234, _T_235) @[el2_ifu_aln_ctl.scala 172:35] - node _T_237 = bits(_T_236, 0, 0) @[el2_ifu_aln_ctl.scala 172:52] + q1off_in <= _T_220 @[el2_ifu_aln_ctl.scala 167:12] + node _T_221 = bits(qwen, 0, 0) @[el2_ifu_aln_ctl.scala 171:31] + node _T_222 = eq(_T_221, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 171:26] + node _T_223 = eq(rdptr, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 171:43] + node _T_224 = and(_T_222, _T_223) @[el2_ifu_aln_ctl.scala 171:35] + node _T_225 = bits(_T_224, 0, 0) @[el2_ifu_aln_ctl.scala 171:52] + node _T_226 = or(q0off, f0_shift_2B) @[el2_ifu_aln_ctl.scala 171:76] + node _T_227 = bits(qwen, 0, 0) @[el2_ifu_aln_ctl.scala 172:31] + node _T_228 = eq(_T_227, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 172:26] + node _T_229 = eq(rdptr, UInt<2>("h02")) @[el2_ifu_aln_ctl.scala 172:43] + node _T_230 = and(_T_228, _T_229) @[el2_ifu_aln_ctl.scala 172:35] + node _T_231 = bits(_T_230, 0, 0) @[el2_ifu_aln_ctl.scala 172:52] + node _T_232 = or(q0off, f1_shift_2B) @[el2_ifu_aln_ctl.scala 172:76] + node _T_233 = bits(qwen, 0, 0) @[el2_ifu_aln_ctl.scala 173:31] + node _T_234 = eq(_T_233, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 173:26] + node _T_235 = eq(rdptr, UInt<1>("h01")) @[el2_ifu_aln_ctl.scala 173:43] + node _T_236 = and(_T_234, _T_235) @[el2_ifu_aln_ctl.scala 173:35] + node _T_237 = bits(_T_236, 0, 0) @[el2_ifu_aln_ctl.scala 173:52] node _T_238 = mux(_T_225, _T_226, UInt<1>("h00")) @[Mux.scala 27:72] node _T_239 = mux(_T_231, _T_232, UInt<1>("h00")) @[Mux.scala 27:72] node _T_240 = mux(_T_237, q0off, UInt<1>("h00")) @[Mux.scala 27:72] @@ -363,10 +2232,10 @@ circuit el2_ifu_aln_ctl : node _T_242 = or(_T_241, _T_240) @[Mux.scala 27:72] wire _T_243 : UInt @[Mux.scala 27:72] _T_243 <= _T_242 @[Mux.scala 27:72] - q0off_in <= _T_243 @[el2_ifu_aln_ctl.scala 170:12] - node _T_244 = eq(rdptr, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 174:31] - node _T_245 = eq(rdptr, UInt<1>("h01")) @[el2_ifu_aln_ctl.scala 175:31] - node _T_246 = eq(rdptr, UInt<2>("h02")) @[el2_ifu_aln_ctl.scala 176:31] + q0off_in <= _T_243 @[el2_ifu_aln_ctl.scala 171:12] + node _T_244 = eq(rdptr, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 175:31] + node _T_245 = eq(rdptr, UInt<1>("h01")) @[el2_ifu_aln_ctl.scala 176:31] + node _T_246 = eq(rdptr, UInt<2>("h02")) @[el2_ifu_aln_ctl.scala 177:31] node _T_247 = mux(_T_244, q0off, UInt<1>("h00")) @[Mux.scala 27:72] node _T_248 = mux(_T_245, q1off, UInt<1>("h00")) @[Mux.scala 27:72] node _T_249 = mux(_T_246, q2off, UInt<1>("h00")) @[Mux.scala 27:72] @@ -374,9 +2243,9 @@ circuit el2_ifu_aln_ctl : node _T_251 = or(_T_250, _T_249) @[Mux.scala 27:72] wire q0ptr : UInt @[Mux.scala 27:72] q0ptr <= _T_251 @[Mux.scala 27:72] - node _T_252 = eq(rdptr, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 178:32] - node _T_253 = eq(rdptr, UInt<1>("h01")) @[el2_ifu_aln_ctl.scala 178:57] - node _T_254 = eq(rdptr, UInt<2>("h02")) @[el2_ifu_aln_ctl.scala 178:83] + node _T_252 = eq(rdptr, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 179:32] + node _T_253 = eq(rdptr, UInt<1>("h01")) @[el2_ifu_aln_ctl.scala 179:57] + node _T_254 = eq(rdptr, UInt<2>("h02")) @[el2_ifu_aln_ctl.scala 179:83] node _T_255 = mux(_T_252, q1off, UInt<1>("h00")) @[Mux.scala 27:72] node _T_256 = mux(_T_253, q2off, UInt<1>("h00")) @[Mux.scala 27:72] node _T_257 = mux(_T_254, q0off, UInt<1>("h00")) @[Mux.scala 27:72] @@ -384,24 +2253,24 @@ circuit el2_ifu_aln_ctl : node _T_259 = or(_T_258, _T_257) @[Mux.scala 27:72] wire q1ptr : UInt @[Mux.scala 27:72] q1ptr <= _T_259 @[Mux.scala 27:72] - node _T_260 = not(q0ptr) @[el2_ifu_aln_ctl.scala 180:26] + node _T_260 = eq(q0ptr, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 181:26] node q0sel = cat(q0ptr, _T_260) @[Cat.scala 29:58] - node _T_261 = not(q1ptr) @[el2_ifu_aln_ctl.scala 182:26] + node _T_261 = eq(q1ptr, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 183:26] node q1sel = cat(q1ptr, _T_261) @[Cat.scala 29:58] - node _T_262 = bits(io.ifu_bp_btb_target_f, 31, 1) @[el2_ifu_aln_ctl.scala 185:25] + node _T_262 = bits(io.ifu_bp_btb_target_f, 31, 1) @[el2_ifu_aln_ctl.scala 186:48] node _T_263 = cat(_T_262, io.ifu_bp_poffset_f) @[Cat.scala 29:58] node _T_264 = cat(_T_263, io.ifu_bp_fghr_f) @[Cat.scala 29:58] node _T_265 = cat(io.iccm_rd_ecc_double_err, io.ic_access_fault_f) @[Cat.scala 29:58] node _T_266 = cat(_T_265, io.ic_access_fault_type_f) @[Cat.scala 29:58] node misc_data_in = cat(_T_266, _T_264) @[Cat.scala 29:58] - node _T_267 = bits(qren, 0, 0) @[el2_ifu_aln_ctl.scala 187:31] - node _T_268 = bits(_T_267, 0, 0) @[el2_ifu_aln_ctl.scala 187:41] + node _T_267 = bits(qren, 0, 0) @[el2_ifu_aln_ctl.scala 188:31] + node _T_268 = bits(_T_267, 0, 0) @[el2_ifu_aln_ctl.scala 188:41] node _T_269 = cat(misc1, misc0) @[Cat.scala 29:58] - node _T_270 = bits(qren, 1, 1) @[el2_ifu_aln_ctl.scala 188:27] - node _T_271 = bits(_T_270, 0, 0) @[el2_ifu_aln_ctl.scala 188:37] + node _T_270 = bits(qren, 1, 1) @[el2_ifu_aln_ctl.scala 189:27] + node _T_271 = bits(_T_270, 0, 0) @[el2_ifu_aln_ctl.scala 189:37] node _T_272 = cat(misc2, misc1) @[Cat.scala 29:58] - node _T_273 = bits(qren, 2, 2) @[el2_ifu_aln_ctl.scala 189:27] - node _T_274 = bits(_T_273, 0, 0) @[el2_ifu_aln_ctl.scala 189:37] + node _T_273 = bits(qren, 2, 2) @[el2_ifu_aln_ctl.scala 190:27] + node _T_274 = bits(_T_273, 0, 0) @[el2_ifu_aln_ctl.scala 190:37] node _T_275 = cat(misc0, misc2) @[Cat.scala 29:58] node _T_276 = mux(_T_268, _T_269, UInt<1>("h00")) @[Mux.scala 27:72] node _T_277 = mux(_T_271, _T_272, UInt<1>("h00")) @[Mux.scala 27:72] @@ -410,34 +2279,34 @@ circuit el2_ifu_aln_ctl : node _T_280 = or(_T_279, _T_278) @[Mux.scala 27:72] wire misceff : UInt<108> @[Mux.scala 27:72] misceff <= _T_280 @[Mux.scala 27:72] - node misc1eff = bits(misceff, 107, 55) @[el2_ifu_aln_ctl.scala 191:25] - node misc0eff = bits(misceff, 54, 0) @[el2_ifu_aln_ctl.scala 192:25] - node f1dbecc = bits(misc1eff, 52, 52) @[el2_ifu_aln_ctl.scala 194:25] - node _T_281 = bits(misc1eff, 51, 51) @[el2_ifu_aln_ctl.scala 195:21] - f1icaf <= _T_281 @[el2_ifu_aln_ctl.scala 195:10] - node f1ictype = bits(misc1eff, 50, 49) @[el2_ifu_aln_ctl.scala 196:26] - node f1prett = bits(misc1eff, 48, 18) @[el2_ifu_aln_ctl.scala 197:25] - node f1poffset = bits(misc1eff, 19, 8) @[el2_ifu_aln_ctl.scala 198:27] - node f1fghr = bits(misc1eff, 7, 0) @[el2_ifu_aln_ctl.scala 199:24] - node f0dbecc = bits(misc0eff, 54, 54) @[el2_ifu_aln_ctl.scala 201:25] - node _T_282 = bits(misc0eff, 53, 53) @[el2_ifu_aln_ctl.scala 202:21] - f0icaf <= _T_282 @[el2_ifu_aln_ctl.scala 202:10] - node f0ictype = bits(misc0eff, 52, 51) @[el2_ifu_aln_ctl.scala 203:26] - node f0prett = bits(misc0eff, 50, 20) @[el2_ifu_aln_ctl.scala 204:25] - node f0poffset = bits(misc0eff, 19, 8) @[el2_ifu_aln_ctl.scala 205:27] - node f0fghr = bits(misc0eff, 7, 0) @[el2_ifu_aln_ctl.scala 206:24] - node _T_283 = bits(io.ifu_bp_hist1_f, 1, 1) @[el2_ifu_aln_ctl.scala 208:40] - node _T_284 = bits(io.ifu_bp_hist0_f, 1, 1) @[el2_ifu_aln_ctl.scala 208:61] - node _T_285 = bits(io.ifu_bp_pc4_f, 1, 1) @[el2_ifu_aln_ctl.scala 208:80] - node _T_286 = bits(io.ifu_bp_way_f, 1, 1) @[el2_ifu_aln_ctl.scala 208:99] - node _T_287 = bits(io.ifu_bp_valid_f, 1, 1) @[el2_ifu_aln_ctl.scala 208:120] - node _T_288 = bits(io.ifu_bp_ret_f, 1, 1) @[el2_ifu_aln_ctl.scala 209:20] - node _T_289 = bits(io.ifu_bp_hist1_f, 0, 0) @[el2_ifu_aln_ctl.scala 209:42] - node _T_290 = bits(io.ifu_bp_hist0_f, 0, 0) @[el2_ifu_aln_ctl.scala 209:63] - node _T_291 = bits(io.ifu_bp_pc4_f, 0, 0) @[el2_ifu_aln_ctl.scala 209:82] - node _T_292 = bits(io.ifu_bp_way_f, 0, 0) @[el2_ifu_aln_ctl.scala 209:101] - node _T_293 = bits(io.ifu_bp_valid_f, 0, 0) @[el2_ifu_aln_ctl.scala 210:22] - node _T_294 = bits(io.ifu_bp_ret_f, 0, 0) @[el2_ifu_aln_ctl.scala 210:41] + node misc1eff = bits(misceff, 107, 55) @[el2_ifu_aln_ctl.scala 192:25] + node misc0eff = bits(misceff, 54, 0) @[el2_ifu_aln_ctl.scala 193:25] + node f1dbecc = bits(misc1eff, 52, 52) @[el2_ifu_aln_ctl.scala 195:25] + node _T_281 = bits(misc1eff, 51, 51) @[el2_ifu_aln_ctl.scala 196:21] + f1icaf <= _T_281 @[el2_ifu_aln_ctl.scala 196:10] + node f1ictype = bits(misc1eff, 50, 49) @[el2_ifu_aln_ctl.scala 197:26] + node f1prett = bits(misc1eff, 48, 18) @[el2_ifu_aln_ctl.scala 198:25] + node f1poffset = bits(misc1eff, 19, 8) @[el2_ifu_aln_ctl.scala 199:27] + node f1fghr = bits(misc1eff, 7, 0) @[el2_ifu_aln_ctl.scala 200:24] + node f0dbecc = bits(misc0eff, 54, 54) @[el2_ifu_aln_ctl.scala 202:25] + node _T_282 = bits(misc0eff, 53, 53) @[el2_ifu_aln_ctl.scala 203:21] + f0icaf <= _T_282 @[el2_ifu_aln_ctl.scala 203:10] + node f0ictype = bits(misc0eff, 52, 51) @[el2_ifu_aln_ctl.scala 204:26] + node f0prett = bits(misc0eff, 50, 20) @[el2_ifu_aln_ctl.scala 205:25] + node f0poffset = bits(misc0eff, 19, 8) @[el2_ifu_aln_ctl.scala 206:27] + node f0fghr = bits(misc0eff, 7, 0) @[el2_ifu_aln_ctl.scala 207:24] + node _T_283 = bits(io.ifu_bp_hist1_f, 1, 1) @[el2_ifu_aln_ctl.scala 209:40] + node _T_284 = bits(io.ifu_bp_hist0_f, 1, 1) @[el2_ifu_aln_ctl.scala 209:61] + node _T_285 = bits(io.ifu_bp_pc4_f, 1, 1) @[el2_ifu_aln_ctl.scala 209:80] + node _T_286 = bits(io.ifu_bp_way_f, 1, 1) @[el2_ifu_aln_ctl.scala 209:99] + node _T_287 = bits(io.ifu_bp_valid_f, 1, 1) @[el2_ifu_aln_ctl.scala 209:120] + node _T_288 = bits(io.ifu_bp_ret_f, 1, 1) @[el2_ifu_aln_ctl.scala 210:20] + node _T_289 = bits(io.ifu_bp_hist1_f, 0, 0) @[el2_ifu_aln_ctl.scala 210:42] + node _T_290 = bits(io.ifu_bp_hist0_f, 0, 0) @[el2_ifu_aln_ctl.scala 210:63] + node _T_291 = bits(io.ifu_bp_pc4_f, 0, 0) @[el2_ifu_aln_ctl.scala 210:82] + node _T_292 = bits(io.ifu_bp_way_f, 0, 0) @[el2_ifu_aln_ctl.scala 210:101] + node _T_293 = bits(io.ifu_bp_valid_f, 0, 0) @[el2_ifu_aln_ctl.scala 211:22] + node _T_294 = bits(io.ifu_bp_ret_f, 0, 0) @[el2_ifu_aln_ctl.scala 211:41] node _T_295 = cat(_T_292, _T_293) @[Cat.scala 29:58] node _T_296 = cat(_T_295, _T_294) @[Cat.scala 29:58] node _T_297 = cat(_T_289, _T_290) @[Cat.scala 29:58] @@ -449,14 +2318,14 @@ circuit el2_ifu_aln_ctl : node _T_303 = cat(_T_302, _T_285) @[Cat.scala 29:58] node _T_304 = cat(_T_303, _T_301) @[Cat.scala 29:58] node brdata_in = cat(_T_304, _T_299) @[Cat.scala 29:58] - node _T_305 = bits(qren, 0, 0) @[el2_ifu_aln_ctl.scala 212:33] - node _T_306 = bits(_T_305, 0, 0) @[el2_ifu_aln_ctl.scala 212:37] + node _T_305 = bits(qren, 0, 0) @[el2_ifu_aln_ctl.scala 213:33] + node _T_306 = bits(_T_305, 0, 0) @[el2_ifu_aln_ctl.scala 213:37] node _T_307 = cat(brdata1, brdata0) @[Cat.scala 29:58] - node _T_308 = bits(qren, 1, 1) @[el2_ifu_aln_ctl.scala 213:33] - node _T_309 = bits(_T_308, 0, 0) @[el2_ifu_aln_ctl.scala 213:37] + node _T_308 = bits(qren, 1, 1) @[el2_ifu_aln_ctl.scala 214:33] + node _T_309 = bits(_T_308, 0, 0) @[el2_ifu_aln_ctl.scala 214:37] node _T_310 = cat(brdata2, brdata1) @[Cat.scala 29:58] - node _T_311 = bits(qren, 2, 2) @[el2_ifu_aln_ctl.scala 214:33] - node _T_312 = bits(_T_311, 0, 0) @[el2_ifu_aln_ctl.scala 214:37] + node _T_311 = bits(qren, 2, 2) @[el2_ifu_aln_ctl.scala 215:33] + node _T_312 = bits(_T_311, 0, 0) @[el2_ifu_aln_ctl.scala 215:37] node _T_313 = cat(brdata0, brdata2) @[Cat.scala 29:58] node _T_314 = mux(_T_306, _T_307, UInt<1>("h00")) @[Mux.scala 27:72] node _T_315 = mux(_T_309, _T_310, UInt<1>("h00")) @[Mux.scala 27:72] @@ -465,22 +2334,22 @@ circuit el2_ifu_aln_ctl : node _T_318 = or(_T_317, _T_316) @[Mux.scala 27:72] wire brdataeff : UInt<24> @[Mux.scala 27:72] brdataeff <= _T_318 @[Mux.scala 27:72] - node brdata0eff = bits(brdataeff, 11, 0) @[el2_ifu_aln_ctl.scala 216:43] - node brdata1eff = bits(brdataeff, 23, 12) @[el2_ifu_aln_ctl.scala 216:61] + node brdata0eff = bits(brdataeff, 11, 0) @[el2_ifu_aln_ctl.scala 217:43] + node brdata1eff = bits(brdataeff, 23, 12) @[el2_ifu_aln_ctl.scala 217:61] wire q0 : UInt<32> q0 <= UInt<1>("h00") wire q1 : UInt<32> q1 <= UInt<1>("h00") wire q2 : UInt<32> q2 <= UInt<1>("h00") - node _T_319 = bits(qren, 0, 0) @[el2_ifu_aln_ctl.scala 222:28] - node _T_320 = bits(_T_319, 0, 0) @[el2_ifu_aln_ctl.scala 222:32] + node _T_319 = bits(qren, 0, 0) @[el2_ifu_aln_ctl.scala 223:28] + node _T_320 = bits(_T_319, 0, 0) @[el2_ifu_aln_ctl.scala 223:32] node _T_321 = cat(q1, q0) @[Cat.scala 29:58] - node _T_322 = bits(qren, 1, 1) @[el2_ifu_aln_ctl.scala 223:27] - node _T_323 = bits(_T_322, 0, 0) @[el2_ifu_aln_ctl.scala 223:31] + node _T_322 = bits(qren, 1, 1) @[el2_ifu_aln_ctl.scala 224:27] + node _T_323 = bits(_T_322, 0, 0) @[el2_ifu_aln_ctl.scala 224:31] node _T_324 = cat(q2, q1) @[Cat.scala 29:58] - node _T_325 = bits(qren, 2, 2) @[el2_ifu_aln_ctl.scala 224:27] - node _T_326 = bits(_T_325, 0, 0) @[el2_ifu_aln_ctl.scala 224:31] + node _T_325 = bits(qren, 2, 2) @[el2_ifu_aln_ctl.scala 225:27] + node _T_326 = bits(_T_325, 0, 0) @[el2_ifu_aln_ctl.scala 225:31] node _T_327 = cat(q0, q2) @[Cat.scala 29:58] node _T_328 = mux(_T_320, _T_321, UInt<1>("h00")) @[Mux.scala 27:72] node _T_329 = mux(_T_323, _T_324, UInt<1>("h00")) @[Mux.scala 27:72] @@ -489,121 +2358,121 @@ circuit el2_ifu_aln_ctl : node _T_332 = or(_T_331, _T_330) @[Mux.scala 27:72] wire qeff : UInt<64> @[Mux.scala 27:72] qeff <= _T_332 @[Mux.scala 27:72] - node q1eff = bits(qeff, 63, 32) @[el2_ifu_aln_ctl.scala 225:29] - node q0eff = bits(qeff, 31, 0) @[el2_ifu_aln_ctl.scala 225:42] - node _T_333 = bits(q0sel, 0, 0) @[el2_ifu_aln_ctl.scala 226:37] - node _T_334 = bits(_T_333, 0, 0) @[el2_ifu_aln_ctl.scala 226:41] - node _T_335 = bits(q0sel, 1, 1) @[el2_ifu_aln_ctl.scala 226:68] - node _T_336 = bits(_T_335, 0, 0) @[el2_ifu_aln_ctl.scala 226:72] - node _T_337 = bits(brdata0eff, 11, 6) @[el2_ifu_aln_ctl.scala 226:92] + node q1eff = bits(qeff, 63, 32) @[el2_ifu_aln_ctl.scala 226:29] + node q0eff = bits(qeff, 31, 0) @[el2_ifu_aln_ctl.scala 226:42] + node _T_333 = bits(q0sel, 0, 0) @[el2_ifu_aln_ctl.scala 227:37] + node _T_334 = bits(_T_333, 0, 0) @[el2_ifu_aln_ctl.scala 227:41] + node _T_335 = bits(q0sel, 1, 1) @[el2_ifu_aln_ctl.scala 227:68] + node _T_336 = bits(_T_335, 0, 0) @[el2_ifu_aln_ctl.scala 227:72] + node _T_337 = bits(brdata0eff, 11, 6) @[el2_ifu_aln_ctl.scala 227:92] node _T_338 = mux(_T_334, brdata0eff, UInt<1>("h00")) @[Mux.scala 27:72] node _T_339 = mux(_T_336, _T_337, UInt<1>("h00")) @[Mux.scala 27:72] node _T_340 = or(_T_338, _T_339) @[Mux.scala 27:72] wire brdata0final : UInt<12> @[Mux.scala 27:72] brdata0final <= _T_340 @[Mux.scala 27:72] - node _T_341 = bits(q1sel, 0, 0) @[el2_ifu_aln_ctl.scala 228:37] - node _T_342 = bits(_T_341, 0, 0) @[el2_ifu_aln_ctl.scala 228:41] - node _T_343 = bits(q1sel, 1, 1) @[el2_ifu_aln_ctl.scala 228:68] - node _T_344 = bits(_T_343, 0, 0) @[el2_ifu_aln_ctl.scala 228:72] - node _T_345 = bits(brdata1eff, 11, 6) @[el2_ifu_aln_ctl.scala 228:92] + node _T_341 = bits(q1sel, 0, 0) @[el2_ifu_aln_ctl.scala 229:37] + node _T_342 = bits(_T_341, 0, 0) @[el2_ifu_aln_ctl.scala 229:41] + node _T_343 = bits(q1sel, 1, 1) @[el2_ifu_aln_ctl.scala 229:68] + node _T_344 = bits(_T_343, 0, 0) @[el2_ifu_aln_ctl.scala 229:72] + node _T_345 = bits(brdata1eff, 11, 6) @[el2_ifu_aln_ctl.scala 229:92] node _T_346 = mux(_T_342, brdata1eff, UInt<1>("h00")) @[Mux.scala 27:72] node _T_347 = mux(_T_344, _T_345, UInt<1>("h00")) @[Mux.scala 27:72] node _T_348 = or(_T_346, _T_347) @[Mux.scala 27:72] wire brdata1final : UInt<12> @[Mux.scala 27:72] brdata1final <= _T_348 @[Mux.scala 27:72] - node _T_349 = bits(brdata0final, 6, 6) @[el2_ifu_aln_ctl.scala 230:31] - node _T_350 = bits(brdata0final, 0, 0) @[el2_ifu_aln_ctl.scala 230:47] + node _T_349 = bits(brdata0final, 6, 6) @[el2_ifu_aln_ctl.scala 231:31] + node _T_350 = bits(brdata0final, 0, 0) @[el2_ifu_aln_ctl.scala 231:47] node f0ret = cat(_T_349, _T_350) @[Cat.scala 29:58] - node _T_351 = bits(brdata0final, 7, 7) @[el2_ifu_aln_ctl.scala 231:33] - node _T_352 = bits(brdata0final, 1, 1) @[el2_ifu_aln_ctl.scala 231:49] + node _T_351 = bits(brdata0final, 7, 7) @[el2_ifu_aln_ctl.scala 232:33] + node _T_352 = bits(brdata0final, 1, 1) @[el2_ifu_aln_ctl.scala 232:49] node f0brend = cat(_T_351, _T_352) @[Cat.scala 29:58] - node _T_353 = bits(brdata0final, 8, 8) @[el2_ifu_aln_ctl.scala 232:31] - node _T_354 = bits(brdata0final, 2, 2) @[el2_ifu_aln_ctl.scala 232:47] + node _T_353 = bits(brdata0final, 8, 8) @[el2_ifu_aln_ctl.scala 233:31] + node _T_354 = bits(brdata0final, 2, 2) @[el2_ifu_aln_ctl.scala 233:47] node f0way = cat(_T_353, _T_354) @[Cat.scala 29:58] - node _T_355 = bits(brdata0final, 9, 9) @[el2_ifu_aln_ctl.scala 233:31] - node _T_356 = bits(brdata0final, 3, 3) @[el2_ifu_aln_ctl.scala 233:47] + node _T_355 = bits(brdata0final, 9, 9) @[el2_ifu_aln_ctl.scala 234:31] + node _T_356 = bits(brdata0final, 3, 3) @[el2_ifu_aln_ctl.scala 234:47] node f0pc4 = cat(_T_355, _T_356) @[Cat.scala 29:58] - node _T_357 = bits(brdata0final, 10, 10) @[el2_ifu_aln_ctl.scala 234:33] - node _T_358 = bits(brdata0final, 4, 4) @[el2_ifu_aln_ctl.scala 234:50] + node _T_357 = bits(brdata0final, 10, 10) @[el2_ifu_aln_ctl.scala 235:33] + node _T_358 = bits(brdata0final, 4, 4) @[el2_ifu_aln_ctl.scala 235:50] node f0hist0 = cat(_T_357, _T_358) @[Cat.scala 29:58] - node _T_359 = bits(brdata0final, 11, 11) @[el2_ifu_aln_ctl.scala 235:33] - node _T_360 = bits(brdata0final, 5, 5) @[el2_ifu_aln_ctl.scala 235:50] + node _T_359 = bits(brdata0final, 11, 11) @[el2_ifu_aln_ctl.scala 236:33] + node _T_360 = bits(brdata0final, 5, 5) @[el2_ifu_aln_ctl.scala 236:50] node f0hist1 = cat(_T_359, _T_360) @[Cat.scala 29:58] - node _T_361 = bits(brdata1final, 6, 6) @[el2_ifu_aln_ctl.scala 237:31] - node _T_362 = bits(brdata1final, 0, 0) @[el2_ifu_aln_ctl.scala 237:47] + node _T_361 = bits(brdata1final, 6, 6) @[el2_ifu_aln_ctl.scala 238:31] + node _T_362 = bits(brdata1final, 0, 0) @[el2_ifu_aln_ctl.scala 238:47] node f1ret = cat(_T_361, _T_362) @[Cat.scala 29:58] - node _T_363 = bits(brdata1final, 7, 7) @[el2_ifu_aln_ctl.scala 238:33] - node _T_364 = bits(brdata1final, 1, 1) @[el2_ifu_aln_ctl.scala 238:49] + node _T_363 = bits(brdata1final, 7, 7) @[el2_ifu_aln_ctl.scala 239:33] + node _T_364 = bits(brdata1final, 1, 1) @[el2_ifu_aln_ctl.scala 239:49] node f1brend = cat(_T_363, _T_364) @[Cat.scala 29:58] - node _T_365 = bits(brdata1final, 8, 8) @[el2_ifu_aln_ctl.scala 239:31] - node _T_366 = bits(brdata1final, 2, 2) @[el2_ifu_aln_ctl.scala 239:47] + node _T_365 = bits(brdata1final, 8, 8) @[el2_ifu_aln_ctl.scala 240:31] + node _T_366 = bits(brdata1final, 2, 2) @[el2_ifu_aln_ctl.scala 240:47] node f1way = cat(_T_365, _T_366) @[Cat.scala 29:58] - node _T_367 = bits(brdata1final, 9, 9) @[el2_ifu_aln_ctl.scala 240:31] - node _T_368 = bits(brdata1final, 3, 3) @[el2_ifu_aln_ctl.scala 240:47] + node _T_367 = bits(brdata1final, 9, 9) @[el2_ifu_aln_ctl.scala 241:31] + node _T_368 = bits(brdata1final, 3, 3) @[el2_ifu_aln_ctl.scala 241:47] node f1pc4 = cat(_T_367, _T_368) @[Cat.scala 29:58] - node _T_369 = bits(brdata1final, 10, 10) @[el2_ifu_aln_ctl.scala 241:33] - node _T_370 = bits(brdata1final, 4, 4) @[el2_ifu_aln_ctl.scala 241:50] + node _T_369 = bits(brdata1final, 10, 10) @[el2_ifu_aln_ctl.scala 242:33] + node _T_370 = bits(brdata1final, 4, 4) @[el2_ifu_aln_ctl.scala 242:50] node f1hist0 = cat(_T_369, _T_370) @[Cat.scala 29:58] - node _T_371 = bits(brdata1final, 11, 11) @[el2_ifu_aln_ctl.scala 242:33] - node _T_372 = bits(brdata1final, 5, 5) @[el2_ifu_aln_ctl.scala 242:50] + node _T_371 = bits(brdata1final, 11, 11) @[el2_ifu_aln_ctl.scala 243:33] + node _T_372 = bits(brdata1final, 5, 5) @[el2_ifu_aln_ctl.scala 243:50] node f1hist1 = cat(_T_371, _T_372) @[Cat.scala 29:58] - node _T_373 = bits(f2val, 0, 0) @[el2_ifu_aln_ctl.scala 246:20] - f2_valid <= _T_373 @[el2_ifu_aln_ctl.scala 246:12] - node _T_374 = bits(sf1val, 0, 0) @[el2_ifu_aln_ctl.scala 247:22] - sf1_valid <= _T_374 @[el2_ifu_aln_ctl.scala 247:13] - node _T_375 = bits(sf0val, 0, 0) @[el2_ifu_aln_ctl.scala 248:22] - sf0_valid <= _T_375 @[el2_ifu_aln_ctl.scala 248:13] - node _T_376 = bits(sf0val, 0, 0) @[el2_ifu_aln_ctl.scala 250:28] - node _T_377 = not(_T_376) @[el2_ifu_aln_ctl.scala 250:21] - node _T_378 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 250:39] - node consume_fb0 = and(_T_377, _T_378) @[el2_ifu_aln_ctl.scala 250:32] - node _T_379 = bits(sf1val, 0, 0) @[el2_ifu_aln_ctl.scala 251:28] - node _T_380 = not(_T_379) @[el2_ifu_aln_ctl.scala 251:21] - node _T_381 = bits(f1val, 0, 0) @[el2_ifu_aln_ctl.scala 251:39] - node consume_fb1 = and(_T_380, _T_381) @[el2_ifu_aln_ctl.scala 251:32] - node _T_382 = not(consume_fb1) @[el2_ifu_aln_ctl.scala 253:39] - node _T_383 = and(consume_fb0, _T_382) @[el2_ifu_aln_ctl.scala 253:37] - node _T_384 = not(io.exu_flush_final) @[el2_ifu_aln_ctl.scala 253:54] - node _T_385 = and(_T_383, _T_384) @[el2_ifu_aln_ctl.scala 253:52] - io.ifu_fb_consume1 <= _T_385 @[el2_ifu_aln_ctl.scala 253:22] - node _T_386 = and(consume_fb0, consume_fb1) @[el2_ifu_aln_ctl.scala 254:37] - node _T_387 = not(io.exu_flush_final) @[el2_ifu_aln_ctl.scala 254:54] - node _T_388 = and(_T_386, _T_387) @[el2_ifu_aln_ctl.scala 254:52] - io.ifu_fb_consume2 <= _T_388 @[el2_ifu_aln_ctl.scala 254:22] - node _T_389 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_aln_ctl.scala 256:30] - ifvalid <= _T_389 @[el2_ifu_aln_ctl.scala 256:11] - node _T_390 = not(sf0_valid) @[el2_ifu_aln_ctl.scala 258:18] - node _T_391 = and(_T_390, sf1_valid) @[el2_ifu_aln_ctl.scala 258:29] - shift_f1_f0 <= _T_391 @[el2_ifu_aln_ctl.scala 258:15] - node _T_392 = not(sf0_valid) @[el2_ifu_aln_ctl.scala 259:18] - node _T_393 = not(sf1_valid) @[el2_ifu_aln_ctl.scala 259:31] - node _T_394 = and(_T_392, _T_393) @[el2_ifu_aln_ctl.scala 259:29] - node _T_395 = and(_T_394, f2_valid) @[el2_ifu_aln_ctl.scala 259:42] - shift_f2_f0 <= _T_395 @[el2_ifu_aln_ctl.scala 259:15] - node _T_396 = not(sf0_valid) @[el2_ifu_aln_ctl.scala 260:18] - node _T_397 = and(_T_396, sf1_valid) @[el2_ifu_aln_ctl.scala 260:29] - node _T_398 = and(_T_397, f2_valid) @[el2_ifu_aln_ctl.scala 260:42] - shift_f2_f1 <= _T_398 @[el2_ifu_aln_ctl.scala 260:15] + node _T_373 = bits(f2val, 0, 0) @[el2_ifu_aln_ctl.scala 247:20] + f2_valid <= _T_373 @[el2_ifu_aln_ctl.scala 247:12] + node _T_374 = bits(sf1val, 0, 0) @[el2_ifu_aln_ctl.scala 248:22] + sf1_valid <= _T_374 @[el2_ifu_aln_ctl.scala 248:13] + node _T_375 = bits(sf0val, 0, 0) @[el2_ifu_aln_ctl.scala 249:22] + sf0_valid <= _T_375 @[el2_ifu_aln_ctl.scala 249:13] + node _T_376 = bits(sf0val, 0, 0) @[el2_ifu_aln_ctl.scala 251:28] + node _T_377 = eq(_T_376, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 251:21] + node _T_378 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 251:39] + node consume_fb0 = and(_T_377, _T_378) @[el2_ifu_aln_ctl.scala 251:32] + node _T_379 = bits(sf1val, 0, 0) @[el2_ifu_aln_ctl.scala 252:28] + node _T_380 = eq(_T_379, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 252:21] + node _T_381 = bits(f1val, 0, 0) @[el2_ifu_aln_ctl.scala 252:39] + node consume_fb1 = and(_T_380, _T_381) @[el2_ifu_aln_ctl.scala 252:32] + node _T_382 = eq(consume_fb1, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 254:39] + node _T_383 = and(consume_fb0, _T_382) @[el2_ifu_aln_ctl.scala 254:37] + node _T_384 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 254:54] + node _T_385 = and(_T_383, _T_384) @[el2_ifu_aln_ctl.scala 254:52] + io.ifu_fb_consume1 <= _T_385 @[el2_ifu_aln_ctl.scala 254:22] + node _T_386 = and(consume_fb0, consume_fb1) @[el2_ifu_aln_ctl.scala 255:37] + node _T_387 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 255:54] + node _T_388 = and(_T_386, _T_387) @[el2_ifu_aln_ctl.scala 255:52] + io.ifu_fb_consume2 <= _T_388 @[el2_ifu_aln_ctl.scala 255:22] + node _T_389 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_aln_ctl.scala 257:30] + ifvalid <= _T_389 @[el2_ifu_aln_ctl.scala 257:11] + node _T_390 = eq(sf0_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 259:18] + node _T_391 = and(_T_390, sf1_valid) @[el2_ifu_aln_ctl.scala 259:29] + shift_f1_f0 <= _T_391 @[el2_ifu_aln_ctl.scala 259:15] + node _T_392 = eq(sf0_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 260:18] + node _T_393 = eq(sf1_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 260:31] + node _T_394 = and(_T_392, _T_393) @[el2_ifu_aln_ctl.scala 260:29] + node _T_395 = and(_T_394, f2_valid) @[el2_ifu_aln_ctl.scala 260:42] + shift_f2_f0 <= _T_395 @[el2_ifu_aln_ctl.scala 260:15] + node _T_396 = eq(sf0_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 261:18] + node _T_397 = and(_T_396, sf1_valid) @[el2_ifu_aln_ctl.scala 261:29] + node _T_398 = and(_T_397, f2_valid) @[el2_ifu_aln_ctl.scala 261:42] + shift_f2_f1 <= _T_398 @[el2_ifu_aln_ctl.scala 261:15] wire f0pc : UInt<31> f0pc <= UInt<1>("h00") wire f2pc : UInt<31> f2pc <= UInt<1>("h00") - node _T_399 = add(f0pc, UInt<1>("h01")) @[el2_ifu_aln_ctl.scala 265:25] - node f0pc_plus1 = tail(_T_399, 1) @[el2_ifu_aln_ctl.scala 265:25] + node _T_399 = add(f0pc, UInt<1>("h01")) @[el2_ifu_aln_ctl.scala 266:25] + node f0pc_plus1 = tail(_T_399, 1) @[el2_ifu_aln_ctl.scala 266:25] node _T_400 = bits(f1_shift_2B, 0, 0) @[Bitwise.scala 72:15] node _T_401 = mux(_T_400, UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] - node _T_402 = and(_T_401, f0pc_plus1) @[el2_ifu_aln_ctl.scala 267:38] - node _T_403 = not(f1_shift_2B) @[el2_ifu_aln_ctl.scala 267:64] + node _T_402 = and(_T_401, f0pc_plus1) @[el2_ifu_aln_ctl.scala 268:38] + node _T_403 = eq(f1_shift_2B, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 268:64] node _T_404 = bits(_T_403, 0, 0) @[Bitwise.scala 72:15] node _T_405 = mux(_T_404, UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] - node _T_406 = and(_T_405, f0pc) @[el2_ifu_aln_ctl.scala 267:78] - node sf1pc = or(_T_402, _T_406) @[el2_ifu_aln_ctl.scala 267:52] - node _T_407 = bits(fetch_to_f1, 0, 0) @[el2_ifu_aln_ctl.scala 269:39] - node _T_408 = bits(shift_f2_f1, 0, 0) @[el2_ifu_aln_ctl.scala 270:39] - node _T_409 = not(fetch_to_f1) @[el2_ifu_aln_ctl.scala 271:28] - node _T_410 = not(shift_f2_f1) @[el2_ifu_aln_ctl.scala 271:43] - node _T_411 = and(_T_409, _T_410) @[el2_ifu_aln_ctl.scala 271:41] - node _T_412 = bits(_T_411, 0, 0) @[el2_ifu_aln_ctl.scala 271:57] + node _T_406 = and(_T_405, f0pc) @[el2_ifu_aln_ctl.scala 268:78] + node sf1pc = or(_T_402, _T_406) @[el2_ifu_aln_ctl.scala 268:52] + node _T_407 = bits(fetch_to_f1, 0, 0) @[el2_ifu_aln_ctl.scala 270:39] + node _T_408 = bits(shift_f2_f1, 0, 0) @[el2_ifu_aln_ctl.scala 271:39] + node _T_409 = eq(fetch_to_f1, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 272:28] + node _T_410 = eq(shift_f2_f1, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 272:43] + node _T_411 = and(_T_409, _T_410) @[el2_ifu_aln_ctl.scala 272:41] + node _T_412 = bits(_T_411, 0, 0) @[el2_ifu_aln_ctl.scala 272:57] node _T_413 = mux(_T_407, io.ifu_fetch_pc, UInt<1>("h00")) @[Mux.scala 27:72] node _T_414 = mux(_T_408, f2pc, UInt<1>("h00")) @[Mux.scala 27:72] node _T_415 = mux(_T_412, sf1pc, UInt<1>("h00")) @[Mux.scala 27:72] @@ -611,15 +2480,15 @@ circuit el2_ifu_aln_ctl : node _T_417 = or(_T_416, _T_415) @[Mux.scala 27:72] wire f1pc_in : UInt<32> @[Mux.scala 27:72] f1pc_in <= _T_417 @[Mux.scala 27:72] - node _T_418 = bits(fetch_to_f0, 0, 0) @[el2_ifu_aln_ctl.scala 273:39] - node _T_419 = bits(shift_f2_f0, 0, 0) @[el2_ifu_aln_ctl.scala 274:39] - node _T_420 = bits(shift_f1_f0, 0, 0) @[el2_ifu_aln_ctl.scala 275:39] - node _T_421 = not(fetch_to_f0) @[el2_ifu_aln_ctl.scala 276:28] - node _T_422 = not(shift_f2_f0) @[el2_ifu_aln_ctl.scala 276:43] - node _T_423 = and(_T_421, _T_422) @[el2_ifu_aln_ctl.scala 276:41] - node _T_424 = not(shift_f1_f0) @[el2_ifu_aln_ctl.scala 276:58] - node _T_425 = and(_T_423, _T_424) @[el2_ifu_aln_ctl.scala 276:56] - node _T_426 = bits(_T_425, 0, 0) @[el2_ifu_aln_ctl.scala 276:72] + node _T_418 = bits(fetch_to_f0, 0, 0) @[el2_ifu_aln_ctl.scala 274:39] + node _T_419 = bits(shift_f2_f0, 0, 0) @[el2_ifu_aln_ctl.scala 275:39] + node _T_420 = bits(shift_f1_f0, 0, 0) @[el2_ifu_aln_ctl.scala 276:39] + node _T_421 = eq(fetch_to_f0, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 277:28] + node _T_422 = eq(shift_f2_f0, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 277:43] + node _T_423 = and(_T_421, _T_422) @[el2_ifu_aln_ctl.scala 277:41] + node _T_424 = eq(shift_f1_f0, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 277:58] + node _T_425 = and(_T_423, _T_424) @[el2_ifu_aln_ctl.scala 277:56] + node _T_426 = bits(_T_425, 0, 0) @[el2_ifu_aln_ctl.scala 277:72] node _T_427 = mux(_T_418, io.ifu_fetch_pc, UInt<1>("h00")) @[Mux.scala 27:72] node _T_428 = mux(_T_419, f2pc, UInt<1>("h00")) @[Mux.scala 27:72] node _T_429 = mux(_T_420, sf1pc, UInt<1>("h00")) @[Mux.scala 27:72] @@ -629,47 +2498,47 @@ circuit el2_ifu_aln_ctl : node _T_433 = or(_T_432, _T_430) @[Mux.scala 27:72] wire f0pc_in : UInt<32> @[Mux.scala 27:72] f0pc_in <= _T_433 @[Mux.scala 27:72] - node _T_434 = not(io.exu_flush_final) @[el2_ifu_aln_ctl.scala 278:40] - node _T_435 = and(f2_wr_en, _T_434) @[el2_ifu_aln_ctl.scala 278:38] - node _T_436 = bits(_T_435, 0, 0) @[el2_ifu_aln_ctl.scala 278:61] - node _T_437 = not(f2_wr_en) @[el2_ifu_aln_ctl.scala 279:6] - node _T_438 = not(shift_f2_f1) @[el2_ifu_aln_ctl.scala 279:21] - node _T_439 = and(_T_437, _T_438) @[el2_ifu_aln_ctl.scala 279:19] - node _T_440 = not(shift_f2_f0) @[el2_ifu_aln_ctl.scala 279:36] - node _T_441 = and(_T_439, _T_440) @[el2_ifu_aln_ctl.scala 279:34] - node _T_442 = not(io.exu_flush_final) @[el2_ifu_aln_ctl.scala 279:51] - node _T_443 = and(_T_441, _T_442) @[el2_ifu_aln_ctl.scala 279:49] - node _T_444 = bits(_T_443, 0, 0) @[el2_ifu_aln_ctl.scala 279:72] + node _T_434 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 279:40] + node _T_435 = and(f2_wr_en, _T_434) @[el2_ifu_aln_ctl.scala 279:38] + node _T_436 = bits(_T_435, 0, 0) @[el2_ifu_aln_ctl.scala 279:61] + node _T_437 = eq(f2_wr_en, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 280:6] + node _T_438 = eq(shift_f2_f1, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 280:21] + node _T_439 = and(_T_437, _T_438) @[el2_ifu_aln_ctl.scala 280:19] + node _T_440 = eq(shift_f2_f0, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 280:36] + node _T_441 = and(_T_439, _T_440) @[el2_ifu_aln_ctl.scala 280:34] + node _T_442 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 280:51] + node _T_443 = and(_T_441, _T_442) @[el2_ifu_aln_ctl.scala 280:49] + node _T_444 = bits(_T_443, 0, 0) @[el2_ifu_aln_ctl.scala 280:72] node _T_445 = mux(_T_436, io.ifu_fetch_val, UInt<1>("h00")) @[Mux.scala 27:72] node _T_446 = mux(_T_444, f2val, UInt<1>("h00")) @[Mux.scala 27:72] node _T_447 = or(_T_445, _T_446) @[Mux.scala 27:72] wire _T_448 : UInt @[Mux.scala 27:72] _T_448 <= _T_447 @[Mux.scala 27:72] - f2val_in <= _T_448 @[el2_ifu_aln_ctl.scala 278:12] - node _T_449 = bits(f1_shift_2B, 0, 0) @[el2_ifu_aln_ctl.scala 281:35] - node _T_450 = bits(f1val, 1, 1) @[el2_ifu_aln_ctl.scala 281:48] - node _T_451 = bits(f1_shift_2B, 0, 0) @[el2_ifu_aln_ctl.scala 281:66] - node _T_452 = not(_T_451) @[el2_ifu_aln_ctl.scala 281:53] + f2val_in <= _T_448 @[el2_ifu_aln_ctl.scala 279:12] + node _T_449 = bits(f1_shift_2B, 0, 0) @[el2_ifu_aln_ctl.scala 282:35] + node _T_450 = bits(f1val, 1, 1) @[el2_ifu_aln_ctl.scala 282:48] + node _T_451 = bits(f1_shift_2B, 0, 0) @[el2_ifu_aln_ctl.scala 282:66] + node _T_452 = eq(_T_451, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 282:53] node _T_453 = mux(_T_449, _T_450, UInt<1>("h00")) @[Mux.scala 27:72] node _T_454 = mux(_T_452, f1val, UInt<1>("h00")) @[Mux.scala 27:72] node _T_455 = or(_T_453, _T_454) @[Mux.scala 27:72] wire _T_456 : UInt @[Mux.scala 27:72] _T_456 <= _T_455 @[Mux.scala 27:72] - sf1val <= _T_456 @[el2_ifu_aln_ctl.scala 281:10] - node _T_457 = not(io.exu_flush_final) @[el2_ifu_aln_ctl.scala 283:40] - node _T_458 = and(fetch_to_f1, _T_457) @[el2_ifu_aln_ctl.scala 283:38] - node _T_459 = bits(_T_458, 0, 0) @[el2_ifu_aln_ctl.scala 283:61] - node _T_460 = not(io.exu_flush_final) @[el2_ifu_aln_ctl.scala 284:40] - node _T_461 = and(shift_f2_f1, _T_460) @[el2_ifu_aln_ctl.scala 284:38] - node _T_462 = bits(_T_461, 0, 0) @[el2_ifu_aln_ctl.scala 284:61] - node _T_463 = not(fetch_to_f1) @[el2_ifu_aln_ctl.scala 285:26] - node _T_464 = not(shift_f2_f1) @[el2_ifu_aln_ctl.scala 285:41] - node _T_465 = and(_T_463, _T_464) @[el2_ifu_aln_ctl.scala 285:39] - node _T_466 = not(shift_f1_f0) @[el2_ifu_aln_ctl.scala 285:56] - node _T_467 = and(_T_465, _T_466) @[el2_ifu_aln_ctl.scala 285:54] - node _T_468 = not(io.exu_flush_final) @[el2_ifu_aln_ctl.scala 285:71] - node _T_469 = and(_T_467, _T_468) @[el2_ifu_aln_ctl.scala 285:69] - node _T_470 = bits(_T_469, 0, 0) @[el2_ifu_aln_ctl.scala 285:92] + sf1val <= _T_456 @[el2_ifu_aln_ctl.scala 282:10] + node _T_457 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 284:40] + node _T_458 = and(fetch_to_f1, _T_457) @[el2_ifu_aln_ctl.scala 284:38] + node _T_459 = bits(_T_458, 0, 0) @[el2_ifu_aln_ctl.scala 284:61] + node _T_460 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 285:40] + node _T_461 = and(shift_f2_f1, _T_460) @[el2_ifu_aln_ctl.scala 285:38] + node _T_462 = bits(_T_461, 0, 0) @[el2_ifu_aln_ctl.scala 285:61] + node _T_463 = eq(fetch_to_f1, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 286:26] + node _T_464 = eq(shift_f2_f1, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 286:41] + node _T_465 = and(_T_463, _T_464) @[el2_ifu_aln_ctl.scala 286:39] + node _T_466 = eq(shift_f1_f0, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 286:56] + node _T_467 = and(_T_465, _T_466) @[el2_ifu_aln_ctl.scala 286:54] + node _T_468 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 286:71] + node _T_469 = and(_T_467, _T_468) @[el2_ifu_aln_ctl.scala 286:69] + node _T_470 = bits(_T_469, 0, 0) @[el2_ifu_aln_ctl.scala 286:92] node _T_471 = mux(_T_459, io.ifu_fetch_val, UInt<1>("h00")) @[Mux.scala 27:72] node _T_472 = mux(_T_462, f2val, UInt<1>("h00")) @[Mux.scala 27:72] node _T_473 = mux(_T_470, sf1val, UInt<1>("h00")) @[Mux.scala 27:72] @@ -677,36 +2546,36 @@ circuit el2_ifu_aln_ctl : node _T_475 = or(_T_474, _T_473) @[Mux.scala 27:72] wire _T_476 : UInt @[Mux.scala 27:72] _T_476 <= _T_475 @[Mux.scala 27:72] - f1val_in <= _T_476 @[el2_ifu_aln_ctl.scala 283:12] - node _T_477 = bits(shift_2B, 0, 0) @[el2_ifu_aln_ctl.scala 287:31] - node _T_478 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 287:46] - node _T_479 = not(shift_2B) @[el2_ifu_aln_ctl.scala 287:52] - node _T_480 = not(shift_4B) @[el2_ifu_aln_ctl.scala 287:64] - node _T_481 = and(_T_479, _T_480) @[el2_ifu_aln_ctl.scala 287:62] - node _T_482 = bits(_T_481, 0, 0) @[el2_ifu_aln_ctl.scala 287:75] + f1val_in <= _T_476 @[el2_ifu_aln_ctl.scala 284:12] + node _T_477 = bits(shift_2B, 0, 0) @[el2_ifu_aln_ctl.scala 288:31] + node _T_478 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 288:46] + node _T_479 = eq(shift_2B, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 288:52] + node _T_480 = eq(shift_4B, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 288:64] + node _T_481 = and(_T_479, _T_480) @[el2_ifu_aln_ctl.scala 288:62] + node _T_482 = bits(_T_481, 0, 0) @[el2_ifu_aln_ctl.scala 288:75] node _T_483 = mux(_T_477, _T_478, UInt<1>("h00")) @[Mux.scala 27:72] node _T_484 = mux(_T_482, f0val, UInt<1>("h00")) @[Mux.scala 27:72] node _T_485 = or(_T_483, _T_484) @[Mux.scala 27:72] wire _T_486 : UInt @[Mux.scala 27:72] _T_486 <= _T_485 @[Mux.scala 27:72] - f0val <= _T_486 @[el2_ifu_aln_ctl.scala 287:9] - node _T_487 = not(io.exu_flush_final) @[el2_ifu_aln_ctl.scala 289:40] - node _T_488 = and(fetch_to_f0, _T_487) @[el2_ifu_aln_ctl.scala 289:38] - node _T_489 = bits(_T_488, 0, 0) @[el2_ifu_aln_ctl.scala 289:61] - node _T_490 = not(io.exu_flush_final) @[el2_ifu_aln_ctl.scala 290:40] - node _T_491 = and(shift_f2_f0, _T_490) @[el2_ifu_aln_ctl.scala 290:38] - node _T_492 = bits(_T_491, 0, 0) @[el2_ifu_aln_ctl.scala 290:61] - node _T_493 = not(io.exu_flush_final) @[el2_ifu_aln_ctl.scala 291:40] - node _T_494 = and(shift_f1_f0, _T_493) @[el2_ifu_aln_ctl.scala 291:38] - node _T_495 = bits(_T_494, 0, 0) @[el2_ifu_aln_ctl.scala 291:67] - node _T_496 = not(fetch_to_f0) @[el2_ifu_aln_ctl.scala 292:26] - node _T_497 = not(shift_f2_f0) @[el2_ifu_aln_ctl.scala 292:41] - node _T_498 = and(_T_496, _T_497) @[el2_ifu_aln_ctl.scala 292:39] - node _T_499 = not(shift_f1_f0) @[el2_ifu_aln_ctl.scala 292:56] - node _T_500 = and(_T_498, _T_499) @[el2_ifu_aln_ctl.scala 292:54] - node _T_501 = not(io.exu_flush_final) @[el2_ifu_aln_ctl.scala 292:71] - node _T_502 = and(_T_500, _T_501) @[el2_ifu_aln_ctl.scala 292:69] - node _T_503 = bits(_T_502, 0, 0) @[el2_ifu_aln_ctl.scala 292:92] + f0val <= _T_486 @[el2_ifu_aln_ctl.scala 288:9] + node _T_487 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 290:40] + node _T_488 = and(fetch_to_f0, _T_487) @[el2_ifu_aln_ctl.scala 290:38] + node _T_489 = bits(_T_488, 0, 0) @[el2_ifu_aln_ctl.scala 290:61] + node _T_490 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 291:40] + node _T_491 = and(shift_f2_f0, _T_490) @[el2_ifu_aln_ctl.scala 291:38] + node _T_492 = bits(_T_491, 0, 0) @[el2_ifu_aln_ctl.scala 291:61] + node _T_493 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 292:40] + node _T_494 = and(shift_f1_f0, _T_493) @[el2_ifu_aln_ctl.scala 292:38] + node _T_495 = bits(_T_494, 0, 0) @[el2_ifu_aln_ctl.scala 292:67] + node _T_496 = eq(fetch_to_f0, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 293:26] + node _T_497 = eq(shift_f2_f0, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 293:41] + node _T_498 = and(_T_496, _T_497) @[el2_ifu_aln_ctl.scala 293:39] + node _T_499 = eq(shift_f1_f0, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 293:56] + node _T_500 = and(_T_498, _T_499) @[el2_ifu_aln_ctl.scala 293:54] + node _T_501 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 293:71] + node _T_502 = and(_T_500, _T_501) @[el2_ifu_aln_ctl.scala 293:69] + node _T_503 = bits(_T_502, 0, 0) @[el2_ifu_aln_ctl.scala 293:92] node _T_504 = mux(_T_489, io.ifu_fetch_val, UInt<1>("h00")) @[Mux.scala 27:72] node _T_505 = mux(_T_492, f2val, UInt<1>("h00")) @[Mux.scala 27:72] node _T_506 = mux(_T_495, sf1val, UInt<1>("h00")) @[Mux.scala 27:72] @@ -716,378 +2585,378 @@ circuit el2_ifu_aln_ctl : node _T_510 = or(_T_509, _T_507) @[Mux.scala 27:72] wire _T_511 : UInt @[Mux.scala 27:72] _T_511 <= _T_510 @[Mux.scala 27:72] - f0val_in <= _T_511 @[el2_ifu_aln_ctl.scala 289:12] - node _T_512 = bits(q0sel, 0, 0) @[el2_ifu_aln_ctl.scala 294:29] - node _T_513 = bits(_T_512, 0, 0) @[el2_ifu_aln_ctl.scala 294:33] - node _T_514 = bits(q0sel, 1, 1) @[el2_ifu_aln_ctl.scala 294:53] - node _T_515 = bits(_T_514, 0, 0) @[el2_ifu_aln_ctl.scala 294:57] - node _T_516 = bits(q0eff, 31, 16) @[el2_ifu_aln_ctl.scala 294:70] + f0val_in <= _T_511 @[el2_ifu_aln_ctl.scala 290:12] + node _T_512 = bits(q0sel, 0, 0) @[el2_ifu_aln_ctl.scala 295:29] + node _T_513 = bits(_T_512, 0, 0) @[el2_ifu_aln_ctl.scala 295:33] + node _T_514 = bits(q0sel, 1, 1) @[el2_ifu_aln_ctl.scala 295:53] + node _T_515 = bits(_T_514, 0, 0) @[el2_ifu_aln_ctl.scala 295:57] + node _T_516 = bits(q0eff, 31, 16) @[el2_ifu_aln_ctl.scala 295:70] node _T_517 = mux(_T_513, q0eff, UInt<1>("h00")) @[Mux.scala 27:72] node _T_518 = mux(_T_515, _T_516, UInt<1>("h00")) @[Mux.scala 27:72] node _T_519 = or(_T_517, _T_518) @[Mux.scala 27:72] wire _T_520 : UInt<32> @[Mux.scala 27:72] _T_520 <= _T_519 @[Mux.scala 27:72] - q0final <= _T_520 @[el2_ifu_aln_ctl.scala 294:11] - node _T_521 = bits(q1sel, 0, 0) @[el2_ifu_aln_ctl.scala 296:29] - node _T_522 = bits(_T_521, 0, 0) @[el2_ifu_aln_ctl.scala 296:33] - node _T_523 = bits(q1eff, 15, 0) @[el2_ifu_aln_ctl.scala 296:46] - node _T_524 = bits(q1sel, 1, 1) @[el2_ifu_aln_ctl.scala 296:59] - node _T_525 = bits(_T_524, 0, 0) @[el2_ifu_aln_ctl.scala 296:63] - node _T_526 = bits(q1eff, 31, 16) @[el2_ifu_aln_ctl.scala 296:76] + q0final <= _T_520 @[el2_ifu_aln_ctl.scala 295:11] + node _T_521 = bits(q1sel, 0, 0) @[el2_ifu_aln_ctl.scala 297:29] + node _T_522 = bits(_T_521, 0, 0) @[el2_ifu_aln_ctl.scala 297:33] + node _T_523 = bits(q1eff, 15, 0) @[el2_ifu_aln_ctl.scala 297:46] + node _T_524 = bits(q1sel, 1, 1) @[el2_ifu_aln_ctl.scala 297:59] + node _T_525 = bits(_T_524, 0, 0) @[el2_ifu_aln_ctl.scala 297:63] + node _T_526 = bits(q1eff, 31, 16) @[el2_ifu_aln_ctl.scala 297:76] node _T_527 = mux(_T_522, _T_523, UInt<1>("h00")) @[Mux.scala 27:72] node _T_528 = mux(_T_525, _T_526, UInt<1>("h00")) @[Mux.scala 27:72] node _T_529 = or(_T_527, _T_528) @[Mux.scala 27:72] wire _T_530 : UInt<16> @[Mux.scala 27:72] _T_530 <= _T_529 @[Mux.scala 27:72] - q1final <= _T_530 @[el2_ifu_aln_ctl.scala 296:11] - node _T_531 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 298:30] - node _T_532 = bits(_T_531, 0, 0) @[el2_ifu_aln_ctl.scala 298:34] - node _T_533 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 298:54] - node _T_534 = not(_T_533) @[el2_ifu_aln_ctl.scala 298:48] - node _T_535 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 298:65] - node _T_536 = and(_T_534, _T_535) @[el2_ifu_aln_ctl.scala 298:58] - node _T_537 = bits(f1val, 0, 0) @[el2_ifu_aln_ctl.scala 298:82] + q1final <= _T_530 @[el2_ifu_aln_ctl.scala 297:11] + node _T_531 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 299:30] + node _T_532 = bits(_T_531, 0, 0) @[el2_ifu_aln_ctl.scala 299:34] + node _T_533 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 299:54] + node _T_534 = eq(_T_533, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 299:48] + node _T_535 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 299:65] + node _T_536 = and(_T_534, _T_535) @[el2_ifu_aln_ctl.scala 299:58] + node _T_537 = bits(f1val, 0, 0) @[el2_ifu_aln_ctl.scala 299:82] node _T_538 = cat(_T_537, UInt<1>("h01")) @[Cat.scala 29:58] node _T_539 = mux(_T_532, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_540 = mux(_T_536, _T_538, UInt<1>("h00")) @[Mux.scala 27:72] node _T_541 = or(_T_539, _T_540) @[Mux.scala 27:72] wire _T_542 : UInt<2> @[Mux.scala 27:72] _T_542 <= _T_541 @[Mux.scala 27:72] - alignval <= _T_542 @[el2_ifu_aln_ctl.scala 298:12] - node _T_543 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 300:35] - node _T_544 = bits(_T_543, 0, 0) @[el2_ifu_aln_ctl.scala 300:39] + alignval <= _T_542 @[el2_ifu_aln_ctl.scala 299:12] + node _T_543 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 301:35] + node _T_544 = bits(_T_543, 0, 0) @[el2_ifu_aln_ctl.scala 301:39] node _T_545 = bits(f0dbecc, 0, 0) @[Bitwise.scala 72:15] node _T_546 = mux(_T_545, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_547 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 300:73] - node _T_548 = not(_T_547) @[el2_ifu_aln_ctl.scala 300:67] - node _T_549 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 300:84] - node _T_550 = and(_T_548, _T_549) @[el2_ifu_aln_ctl.scala 300:77] - node _T_551 = bits(_T_550, 0, 0) @[el2_ifu_aln_ctl.scala 300:89] + node _T_547 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 301:73] + node _T_548 = eq(_T_547, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 301:67] + node _T_549 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 301:84] + node _T_550 = and(_T_548, _T_549) @[el2_ifu_aln_ctl.scala 301:77] + node _T_551 = bits(_T_550, 0, 0) @[el2_ifu_aln_ctl.scala 301:89] node _T_552 = cat(f1dbecc, f0dbecc) @[Cat.scala 29:58] node _T_553 = mux(_T_544, _T_546, UInt<1>("h00")) @[Mux.scala 27:72] node _T_554 = mux(_T_551, _T_552, UInt<1>("h00")) @[Mux.scala 27:72] node _T_555 = or(_T_553, _T_554) @[Mux.scala 27:72] wire aligndbecc : UInt<2> @[Mux.scala 27:72] aligndbecc <= _T_555 @[Mux.scala 27:72] - node _T_556 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 302:35] - node _T_557 = bits(_T_556, 0, 0) @[el2_ifu_aln_ctl.scala 302:45] - node _T_558 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 302:65] - node _T_559 = not(_T_558) @[el2_ifu_aln_ctl.scala 302:59] - node _T_560 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 302:76] - node _T_561 = and(_T_559, _T_560) @[el2_ifu_aln_ctl.scala 302:69] - node _T_562 = bits(_T_561, 0, 0) @[el2_ifu_aln_ctl.scala 302:81] - node _T_563 = bits(f1brend, 0, 0) @[el2_ifu_aln_ctl.scala 302:100] - node _T_564 = bits(f0brend, 0, 0) @[el2_ifu_aln_ctl.scala 302:111] + node _T_556 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 303:35] + node _T_557 = bits(_T_556, 0, 0) @[el2_ifu_aln_ctl.scala 303:45] + node _T_558 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 303:65] + node _T_559 = eq(_T_558, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 303:59] + node _T_560 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 303:76] + node _T_561 = and(_T_559, _T_560) @[el2_ifu_aln_ctl.scala 303:69] + node _T_562 = bits(_T_561, 0, 0) @[el2_ifu_aln_ctl.scala 303:81] + node _T_563 = bits(f1brend, 0, 0) @[el2_ifu_aln_ctl.scala 303:100] + node _T_564 = bits(f0brend, 0, 0) @[el2_ifu_aln_ctl.scala 303:111] node _T_565 = cat(_T_563, _T_564) @[Cat.scala 29:58] node _T_566 = mux(_T_557, f0brend, UInt<1>("h00")) @[Mux.scala 27:72] node _T_567 = mux(_T_562, _T_565, UInt<1>("h00")) @[Mux.scala 27:72] node _T_568 = or(_T_566, _T_567) @[Mux.scala 27:72] wire alignbrend : UInt<2> @[Mux.scala 27:72] alignbrend <= _T_568 @[Mux.scala 27:72] - node _T_569 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 304:33] - node _T_570 = bits(_T_569, 0, 0) @[el2_ifu_aln_ctl.scala 304:43] - node _T_571 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 304:61] - node _T_572 = not(_T_571) @[el2_ifu_aln_ctl.scala 304:55] - node _T_573 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 304:72] - node _T_574 = and(_T_572, _T_573) @[el2_ifu_aln_ctl.scala 304:65] - node _T_575 = bits(_T_574, 0, 0) @[el2_ifu_aln_ctl.scala 304:77] - node _T_576 = bits(f1pc4, 0, 0) @[el2_ifu_aln_ctl.scala 304:94] - node _T_577 = bits(f0pc4, 0, 0) @[el2_ifu_aln_ctl.scala 304:103] + node _T_569 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 305:33] + node _T_570 = bits(_T_569, 0, 0) @[el2_ifu_aln_ctl.scala 305:43] + node _T_571 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 305:61] + node _T_572 = eq(_T_571, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 305:55] + node _T_573 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 305:72] + node _T_574 = and(_T_572, _T_573) @[el2_ifu_aln_ctl.scala 305:65] + node _T_575 = bits(_T_574, 0, 0) @[el2_ifu_aln_ctl.scala 305:77] + node _T_576 = bits(f1pc4, 0, 0) @[el2_ifu_aln_ctl.scala 305:94] + node _T_577 = bits(f0pc4, 0, 0) @[el2_ifu_aln_ctl.scala 305:103] node _T_578 = cat(_T_576, _T_577) @[Cat.scala 29:58] node _T_579 = mux(_T_570, f0pc4, UInt<1>("h00")) @[Mux.scala 27:72] node _T_580 = mux(_T_575, _T_578, UInt<1>("h00")) @[Mux.scala 27:72] node _T_581 = or(_T_579, _T_580) @[Mux.scala 27:72] wire alignpc4 : UInt<2> @[Mux.scala 27:72] alignpc4 <= _T_581 @[Mux.scala 27:72] - node _T_582 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 306:33] - node _T_583 = bits(_T_582, 0, 0) @[el2_ifu_aln_ctl.scala 306:43] - node _T_584 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 306:61] - node _T_585 = not(_T_584) @[el2_ifu_aln_ctl.scala 306:55] - node _T_586 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 306:72] - node _T_587 = and(_T_585, _T_586) @[el2_ifu_aln_ctl.scala 306:65] - node _T_588 = bits(_T_587, 0, 0) @[el2_ifu_aln_ctl.scala 306:77] - node _T_589 = bits(f1ret, 0, 0) @[el2_ifu_aln_ctl.scala 306:94] - node _T_590 = bits(f0ret, 0, 0) @[el2_ifu_aln_ctl.scala 306:103] + node _T_582 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 307:33] + node _T_583 = bits(_T_582, 0, 0) @[el2_ifu_aln_ctl.scala 307:43] + node _T_584 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 307:61] + node _T_585 = eq(_T_584, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 307:55] + node _T_586 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 307:72] + node _T_587 = and(_T_585, _T_586) @[el2_ifu_aln_ctl.scala 307:65] + node _T_588 = bits(_T_587, 0, 0) @[el2_ifu_aln_ctl.scala 307:77] + node _T_589 = bits(f1ret, 0, 0) @[el2_ifu_aln_ctl.scala 307:94] + node _T_590 = bits(f0ret, 0, 0) @[el2_ifu_aln_ctl.scala 307:103] node _T_591 = cat(_T_589, _T_590) @[Cat.scala 29:58] node _T_592 = mux(_T_583, f0ret, UInt<1>("h00")) @[Mux.scala 27:72] node _T_593 = mux(_T_588, _T_591, UInt<1>("h00")) @[Mux.scala 27:72] node _T_594 = or(_T_592, _T_593) @[Mux.scala 27:72] wire alignret : UInt<2> @[Mux.scala 27:72] alignret <= _T_594 @[Mux.scala 27:72] - node _T_595 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 308:33] - node _T_596 = bits(_T_595, 0, 0) @[el2_ifu_aln_ctl.scala 308:43] - node _T_597 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 308:61] - node _T_598 = not(_T_597) @[el2_ifu_aln_ctl.scala 308:55] - node _T_599 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 308:72] - node _T_600 = and(_T_598, _T_599) @[el2_ifu_aln_ctl.scala 308:65] - node _T_601 = bits(_T_600, 0, 0) @[el2_ifu_aln_ctl.scala 308:77] - node _T_602 = bits(f1way, 0, 0) @[el2_ifu_aln_ctl.scala 308:94] - node _T_603 = bits(f0way, 0, 0) @[el2_ifu_aln_ctl.scala 308:103] + node _T_595 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 309:33] + node _T_596 = bits(_T_595, 0, 0) @[el2_ifu_aln_ctl.scala 309:43] + node _T_597 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 309:61] + node _T_598 = eq(_T_597, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 309:55] + node _T_599 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 309:72] + node _T_600 = and(_T_598, _T_599) @[el2_ifu_aln_ctl.scala 309:65] + node _T_601 = bits(_T_600, 0, 0) @[el2_ifu_aln_ctl.scala 309:77] + node _T_602 = bits(f1way, 0, 0) @[el2_ifu_aln_ctl.scala 309:94] + node _T_603 = bits(f0way, 0, 0) @[el2_ifu_aln_ctl.scala 309:103] node _T_604 = cat(_T_602, _T_603) @[Cat.scala 29:58] node _T_605 = mux(_T_596, f0way, UInt<1>("h00")) @[Mux.scala 27:72] node _T_606 = mux(_T_601, _T_604, UInt<1>("h00")) @[Mux.scala 27:72] node _T_607 = or(_T_605, _T_606) @[Mux.scala 27:72] wire alignway : UInt<2> @[Mux.scala 27:72] alignway <= _T_607 @[Mux.scala 27:72] - node _T_608 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 310:35] - node _T_609 = bits(_T_608, 0, 0) @[el2_ifu_aln_ctl.scala 310:45] - node _T_610 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 310:65] - node _T_611 = not(_T_610) @[el2_ifu_aln_ctl.scala 310:59] - node _T_612 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 310:76] - node _T_613 = and(_T_611, _T_612) @[el2_ifu_aln_ctl.scala 310:69] - node _T_614 = bits(_T_613, 0, 0) @[el2_ifu_aln_ctl.scala 310:81] - node _T_615 = bits(f1hist1, 0, 0) @[el2_ifu_aln_ctl.scala 310:100] - node _T_616 = bits(f0hist1, 0, 0) @[el2_ifu_aln_ctl.scala 310:111] + node _T_608 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 311:35] + node _T_609 = bits(_T_608, 0, 0) @[el2_ifu_aln_ctl.scala 311:45] + node _T_610 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 311:65] + node _T_611 = eq(_T_610, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 311:59] + node _T_612 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 311:76] + node _T_613 = and(_T_611, _T_612) @[el2_ifu_aln_ctl.scala 311:69] + node _T_614 = bits(_T_613, 0, 0) @[el2_ifu_aln_ctl.scala 311:81] + node _T_615 = bits(f1hist1, 0, 0) @[el2_ifu_aln_ctl.scala 311:100] + node _T_616 = bits(f0hist1, 0, 0) @[el2_ifu_aln_ctl.scala 311:111] node _T_617 = cat(_T_615, _T_616) @[Cat.scala 29:58] node _T_618 = mux(_T_609, f0hist1, UInt<1>("h00")) @[Mux.scala 27:72] node _T_619 = mux(_T_614, _T_617, UInt<1>("h00")) @[Mux.scala 27:72] node _T_620 = or(_T_618, _T_619) @[Mux.scala 27:72] wire alignhist1 : UInt<2> @[Mux.scala 27:72] alignhist1 <= _T_620 @[Mux.scala 27:72] - node _T_621 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 312:35] - node _T_622 = bits(_T_621, 0, 0) @[el2_ifu_aln_ctl.scala 312:45] - node _T_623 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 312:65] - node _T_624 = not(_T_623) @[el2_ifu_aln_ctl.scala 312:59] - node _T_625 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 312:76] - node _T_626 = and(_T_624, _T_625) @[el2_ifu_aln_ctl.scala 312:69] - node _T_627 = bits(_T_626, 0, 0) @[el2_ifu_aln_ctl.scala 312:81] - node _T_628 = bits(f1hist0, 0, 0) @[el2_ifu_aln_ctl.scala 312:100] - node _T_629 = bits(f0hist0, 0, 0) @[el2_ifu_aln_ctl.scala 312:111] + node _T_621 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 313:35] + node _T_622 = bits(_T_621, 0, 0) @[el2_ifu_aln_ctl.scala 313:45] + node _T_623 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 313:65] + node _T_624 = eq(_T_623, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 313:59] + node _T_625 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 313:76] + node _T_626 = and(_T_624, _T_625) @[el2_ifu_aln_ctl.scala 313:69] + node _T_627 = bits(_T_626, 0, 0) @[el2_ifu_aln_ctl.scala 313:81] + node _T_628 = bits(f1hist0, 0, 0) @[el2_ifu_aln_ctl.scala 313:100] + node _T_629 = bits(f0hist0, 0, 0) @[el2_ifu_aln_ctl.scala 313:111] node _T_630 = cat(_T_628, _T_629) @[Cat.scala 29:58] node _T_631 = mux(_T_622, f0hist0, UInt<1>("h00")) @[Mux.scala 27:72] node _T_632 = mux(_T_627, _T_630, UInt<1>("h00")) @[Mux.scala 27:72] node _T_633 = or(_T_631, _T_632) @[Mux.scala 27:72] wire alignhist0 : UInt<2> @[Mux.scala 27:72] alignhist0 <= _T_633 @[Mux.scala 27:72] - node _T_634 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 314:27] - node _T_635 = not(_T_634) @[el2_ifu_aln_ctl.scala 314:21] - node _T_636 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 314:38] - node alignfromf1 = and(_T_635, _T_636) @[el2_ifu_aln_ctl.scala 314:31] + node _T_634 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 315:27] + node _T_635 = eq(_T_634, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 315:21] + node _T_636 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 315:38] + node alignfromf1 = and(_T_635, _T_636) @[el2_ifu_aln_ctl.scala 315:31] wire f1pc : UInt<31> f1pc <= UInt<1>("h00") - node _T_637 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 318:33] - node _T_638 = bits(_T_637, 0, 0) @[el2_ifu_aln_ctl.scala 318:43] - node _T_639 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 318:67] - node _T_640 = not(_T_639) @[el2_ifu_aln_ctl.scala 318:61] - node _T_641 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 318:78] - node _T_642 = and(_T_640, _T_641) @[el2_ifu_aln_ctl.scala 318:71] - node _T_643 = bits(_T_642, 0, 0) @[el2_ifu_aln_ctl.scala 318:83] + node _T_637 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 319:33] + node _T_638 = bits(_T_637, 0, 0) @[el2_ifu_aln_ctl.scala 319:43] + node _T_639 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 319:67] + node _T_640 = eq(_T_639, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 319:61] + node _T_641 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 319:78] + node _T_642 = and(_T_640, _T_641) @[el2_ifu_aln_ctl.scala 319:71] + node _T_643 = bits(_T_642, 0, 0) @[el2_ifu_aln_ctl.scala 319:83] node _T_644 = mux(_T_638, f0pc_plus1, UInt<1>("h00")) @[Mux.scala 27:72] node _T_645 = mux(_T_643, f1pc, UInt<1>("h00")) @[Mux.scala 27:72] node _T_646 = or(_T_644, _T_645) @[Mux.scala 27:72] wire secondpc : UInt<31> @[Mux.scala 27:72] secondpc <= _T_646 @[Mux.scala 27:72] - io.ifu_i0_pc <= f0pc @[el2_ifu_aln_ctl.scala 320:16] - node _T_647 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 324:47] - node _T_648 = not(_T_647) @[el2_ifu_aln_ctl.scala 324:41] - node _T_649 = and(first4B, _T_648) @[el2_ifu_aln_ctl.scala 324:39] - node _T_650 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 324:58] - node _T_651 = and(_T_649, _T_650) @[el2_ifu_aln_ctl.scala 324:51] - node _T_652 = bits(alignicaf, 0, 0) @[el2_ifu_aln_ctl.scala 324:74] - node _T_653 = not(_T_652) @[el2_ifu_aln_ctl.scala 324:64] - node _T_654 = and(_T_651, _T_653) @[el2_ifu_aln_ctl.scala 324:62] - node _T_655 = bits(aligndbecc, 0, 0) @[el2_ifu_aln_ctl.scala 324:91] - node _T_656 = not(_T_655) @[el2_ifu_aln_ctl.scala 324:80] - node _T_657 = and(_T_654, _T_656) @[el2_ifu_aln_ctl.scala 324:78] - node _T_658 = bits(_T_657, 0, 0) @[el2_ifu_aln_ctl.scala 324:96] - node _T_659 = mux(_T_658, f1ictype, f0ictype) @[el2_ifu_aln_ctl.scala 324:29] - io.ifu_i0_icaf_type <= _T_659 @[el2_ifu_aln_ctl.scala 324:23] - node _T_660 = bits(alignicaf, 1, 1) @[el2_ifu_aln_ctl.scala 326:27] - node _T_661 = bits(aligndbecc, 1, 1) @[el2_ifu_aln_ctl.scala 326:43] - node icaf_eff = or(_T_660, _T_661) @[el2_ifu_aln_ctl.scala 326:31] - node _T_662 = and(first4B, icaf_eff) @[el2_ifu_aln_ctl.scala 328:32] - node _T_663 = and(_T_662, alignfromf1) @[el2_ifu_aln_ctl.scala 328:43] - io.ifu_i0_icaf_f1 <= _T_663 @[el2_ifu_aln_ctl.scala 328:21] - node _T_664 = bits(first4B, 0, 0) @[el2_ifu_aln_ctl.scala 330:40] - node _T_665 = orr(aligndbecc) @[el2_ifu_aln_ctl.scala 330:59] - node _T_666 = bits(first2B, 0, 0) @[el2_ifu_aln_ctl.scala 330:72] - node _T_667 = bits(aligndbecc, 0, 0) @[el2_ifu_aln_ctl.scala 330:90] + io.ifu_i0_pc <= f0pc @[el2_ifu_aln_ctl.scala 321:16] + node _T_647 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 325:47] + node _T_648 = eq(_T_647, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 325:41] + node _T_649 = and(first4B, _T_648) @[el2_ifu_aln_ctl.scala 325:39] + node _T_650 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 325:58] + node _T_651 = and(_T_649, _T_650) @[el2_ifu_aln_ctl.scala 325:51] + node _T_652 = bits(alignicaf, 0, 0) @[el2_ifu_aln_ctl.scala 325:74] + node _T_653 = eq(_T_652, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 325:64] + node _T_654 = and(_T_651, _T_653) @[el2_ifu_aln_ctl.scala 325:62] + node _T_655 = bits(aligndbecc, 0, 0) @[el2_ifu_aln_ctl.scala 325:91] + node _T_656 = eq(_T_655, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 325:80] + node _T_657 = and(_T_654, _T_656) @[el2_ifu_aln_ctl.scala 325:78] + node _T_658 = bits(_T_657, 0, 0) @[el2_ifu_aln_ctl.scala 325:96] + node _T_659 = mux(_T_658, f1ictype, f0ictype) @[el2_ifu_aln_ctl.scala 325:29] + io.ifu_i0_icaf_type <= _T_659 @[el2_ifu_aln_ctl.scala 325:23] + node _T_660 = bits(alignicaf, 1, 1) @[el2_ifu_aln_ctl.scala 327:27] + node _T_661 = bits(aligndbecc, 1, 1) @[el2_ifu_aln_ctl.scala 327:43] + node icaf_eff = or(_T_660, _T_661) @[el2_ifu_aln_ctl.scala 327:31] + node _T_662 = and(first4B, icaf_eff) @[el2_ifu_aln_ctl.scala 329:32] + node _T_663 = and(_T_662, alignfromf1) @[el2_ifu_aln_ctl.scala 329:43] + io.ifu_i0_icaf_f1 <= _T_663 @[el2_ifu_aln_ctl.scala 329:21] + node _T_664 = bits(first4B, 0, 0) @[el2_ifu_aln_ctl.scala 331:40] + node _T_665 = orr(aligndbecc) @[el2_ifu_aln_ctl.scala 331:59] + node _T_666 = bits(first2B, 0, 0) @[el2_ifu_aln_ctl.scala 331:72] + node _T_667 = bits(aligndbecc, 0, 0) @[el2_ifu_aln_ctl.scala 331:90] node _T_668 = mux(_T_664, _T_665, UInt<1>("h00")) @[Mux.scala 27:72] node _T_669 = mux(_T_666, _T_667, UInt<1>("h00")) @[Mux.scala 27:72] node _T_670 = or(_T_668, _T_669) @[Mux.scala 27:72] wire _T_671 : UInt<1> @[Mux.scala 27:72] _T_671 <= _T_670 @[Mux.scala 27:72] - io.ifu_i0_dbecc <= _T_671 @[el2_ifu_aln_ctl.scala 330:19] - node _T_672 = bits(f0pc, 9, 2) @[el2_lib.scala 182:12] - node _T_673 = bits(f0pc, 17, 10) @[el2_lib.scala 182:46] - node _T_674 = xor(_T_672, _T_673) @[el2_lib.scala 182:42] - node _T_675 = bits(f0pc, 25, 18) @[el2_lib.scala 182:80] - node firstpc_hash = xor(_T_674, _T_675) @[el2_lib.scala 182:76] - node _T_676 = bits(secondpc, 9, 2) @[el2_lib.scala 182:12] - node _T_677 = bits(secondpc, 17, 10) @[el2_lib.scala 182:46] - node _T_678 = xor(_T_676, _T_677) @[el2_lib.scala 182:42] - node _T_679 = bits(secondpc, 25, 18) @[el2_lib.scala 182:80] - node secondpc_hash = xor(_T_678, _T_679) @[el2_lib.scala 182:76] - node _T_680 = bits(f0pc, 14, 10) @[el2_lib.scala 175:32] - node _T_681 = bits(f0pc, 19, 15) @[el2_lib.scala 175:32] - node _T_682 = bits(f0pc, 24, 20) @[el2_lib.scala 175:32] - wire _T_683 : UInt<5>[3] @[el2_lib.scala 175:24] - _T_683[0] <= _T_680 @[el2_lib.scala 175:24] - _T_683[1] <= _T_681 @[el2_lib.scala 175:24] - _T_683[2] <= _T_682 @[el2_lib.scala 175:24] - node _T_684 = xor(_T_683[0], _T_683[1]) @[el2_lib.scala 175:111] - node firstbrtag_hash = xor(_T_684, _T_683[2]) @[el2_lib.scala 175:111] - node _T_685 = bits(secondpc, 14, 10) @[el2_lib.scala 175:32] - node _T_686 = bits(secondpc, 19, 15) @[el2_lib.scala 175:32] - node _T_687 = bits(secondpc, 24, 20) @[el2_lib.scala 175:32] - wire _T_688 : UInt<5>[3] @[el2_lib.scala 175:24] - _T_688[0] <= _T_685 @[el2_lib.scala 175:24] - _T_688[1] <= _T_686 @[el2_lib.scala 175:24] - _T_688[2] <= _T_687 @[el2_lib.scala 175:24] - node _T_689 = xor(_T_688[0], _T_688[1]) @[el2_lib.scala 175:111] - node secondbrtag_hash = xor(_T_689, _T_688[2]) @[el2_lib.scala 175:111] - node _T_690 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 340:42] - node _T_691 = and(first2B, _T_690) @[el2_ifu_aln_ctl.scala 340:30] - node _T_692 = bits(alignbrend, 1, 1) @[el2_ifu_aln_ctl.scala 340:70] - node _T_693 = and(first4B, _T_692) @[el2_ifu_aln_ctl.scala 340:58] - node _T_694 = or(_T_691, _T_693) @[el2_ifu_aln_ctl.scala 340:47] - node _T_695 = bits(alignval, 1, 1) @[el2_ifu_aln_ctl.scala 340:96] - node _T_696 = and(first4B, _T_695) @[el2_ifu_aln_ctl.scala 340:86] - node _T_697 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 340:112] - node _T_698 = and(_T_696, _T_697) @[el2_ifu_aln_ctl.scala 340:100] - node _T_699 = or(_T_694, _T_698) @[el2_ifu_aln_ctl.scala 340:75] - io.i0_brp.valid <= _T_699 @[el2_ifu_aln_ctl.scala 340:19] - node _T_700 = bits(alignret, 0, 0) @[el2_ifu_aln_ctl.scala 342:39] - node _T_701 = and(first2B, _T_700) @[el2_ifu_aln_ctl.scala 342:29] - node _T_702 = bits(alignret, 1, 1) @[el2_ifu_aln_ctl.scala 342:65] - node _T_703 = and(first4B, _T_702) @[el2_ifu_aln_ctl.scala 342:55] - node _T_704 = or(_T_701, _T_703) @[el2_ifu_aln_ctl.scala 342:44] - io.i0_brp.ret <= _T_704 @[el2_ifu_aln_ctl.scala 342:17] - node _T_705 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 344:45] - node _T_706 = or(first2B, _T_705) @[el2_ifu_aln_ctl.scala 344:33] - node _T_707 = bits(_T_706, 0, 0) @[el2_ifu_aln_ctl.scala 344:50] - node _T_708 = bits(alignway, 0, 0) @[el2_ifu_aln_ctl.scala 344:66] - node _T_709 = bits(alignway, 1, 1) @[el2_ifu_aln_ctl.scala 344:80] - node _T_710 = mux(_T_707, _T_708, _T_709) @[el2_ifu_aln_ctl.scala 344:23] - io.i0_brp.way <= _T_710 @[el2_ifu_aln_ctl.scala 344:17] - node _T_711 = bits(alignhist1, 0, 0) @[el2_ifu_aln_ctl.scala 345:46] - node _T_712 = and(first2B, _T_711) @[el2_ifu_aln_ctl.scala 345:34] - node _T_713 = bits(alignhist1, 1, 1) @[el2_ifu_aln_ctl.scala 345:74] - node _T_714 = and(first4B, _T_713) @[el2_ifu_aln_ctl.scala 345:62] - node _T_715 = or(_T_712, _T_714) @[el2_ifu_aln_ctl.scala 345:51] - node _T_716 = bits(alignhist0, 0, 0) @[el2_ifu_aln_ctl.scala 346:26] - node _T_717 = and(first2B, _T_716) @[el2_ifu_aln_ctl.scala 346:14] - node _T_718 = bits(alignhist0, 1, 1) @[el2_ifu_aln_ctl.scala 346:54] - node _T_719 = and(first4B, _T_718) @[el2_ifu_aln_ctl.scala 346:42] - node _T_720 = or(_T_717, _T_719) @[el2_ifu_aln_ctl.scala 346:31] + io.ifu_i0_dbecc <= _T_671 @[el2_ifu_aln_ctl.scala 331:19] + node _T_672 = bits(f0pc, 9, 2) @[el2_lib.scala 179:12] + node _T_673 = bits(f0pc, 17, 10) @[el2_lib.scala 179:46] + node _T_674 = xor(_T_672, _T_673) @[el2_lib.scala 179:42] + node _T_675 = bits(f0pc, 25, 18) @[el2_lib.scala 179:80] + node firstpc_hash = xor(_T_674, _T_675) @[el2_lib.scala 179:76] + node _T_676 = bits(secondpc, 9, 2) @[el2_lib.scala 179:12] + node _T_677 = bits(secondpc, 17, 10) @[el2_lib.scala 179:46] + node _T_678 = xor(_T_676, _T_677) @[el2_lib.scala 179:42] + node _T_679 = bits(secondpc, 25, 18) @[el2_lib.scala 179:80] + node secondpc_hash = xor(_T_678, _T_679) @[el2_lib.scala 179:76] + node _T_680 = bits(f0pc, 14, 10) @[el2_lib.scala 172:32] + node _T_681 = bits(f0pc, 19, 15) @[el2_lib.scala 172:32] + node _T_682 = bits(f0pc, 24, 20) @[el2_lib.scala 172:32] + wire _T_683 : UInt<5>[3] @[el2_lib.scala 172:24] + _T_683[0] <= _T_680 @[el2_lib.scala 172:24] + _T_683[1] <= _T_681 @[el2_lib.scala 172:24] + _T_683[2] <= _T_682 @[el2_lib.scala 172:24] + node _T_684 = xor(_T_683[0], _T_683[1]) @[el2_lib.scala 172:111] + node firstbrtag_hash = xor(_T_684, _T_683[2]) @[el2_lib.scala 172:111] + node _T_685 = bits(secondpc, 14, 10) @[el2_lib.scala 172:32] + node _T_686 = bits(secondpc, 19, 15) @[el2_lib.scala 172:32] + node _T_687 = bits(secondpc, 24, 20) @[el2_lib.scala 172:32] + wire _T_688 : UInt<5>[3] @[el2_lib.scala 172:24] + _T_688[0] <= _T_685 @[el2_lib.scala 172:24] + _T_688[1] <= _T_686 @[el2_lib.scala 172:24] + _T_688[2] <= _T_687 @[el2_lib.scala 172:24] + node _T_689 = xor(_T_688[0], _T_688[1]) @[el2_lib.scala 172:111] + node secondbrtag_hash = xor(_T_689, _T_688[2]) @[el2_lib.scala 172:111] + node _T_690 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 341:42] + node _T_691 = and(first2B, _T_690) @[el2_ifu_aln_ctl.scala 341:30] + node _T_692 = bits(alignbrend, 1, 1) @[el2_ifu_aln_ctl.scala 341:70] + node _T_693 = and(first4B, _T_692) @[el2_ifu_aln_ctl.scala 341:58] + node _T_694 = or(_T_691, _T_693) @[el2_ifu_aln_ctl.scala 341:47] + node _T_695 = bits(alignval, 1, 1) @[el2_ifu_aln_ctl.scala 341:96] + node _T_696 = and(first4B, _T_695) @[el2_ifu_aln_ctl.scala 341:86] + node _T_697 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 341:112] + node _T_698 = and(_T_696, _T_697) @[el2_ifu_aln_ctl.scala 341:100] + node _T_699 = or(_T_694, _T_698) @[el2_ifu_aln_ctl.scala 341:75] + io.i0_brp.valid <= _T_699 @[el2_ifu_aln_ctl.scala 341:19] + node _T_700 = bits(alignret, 0, 0) @[el2_ifu_aln_ctl.scala 343:39] + node _T_701 = and(first2B, _T_700) @[el2_ifu_aln_ctl.scala 343:29] + node _T_702 = bits(alignret, 1, 1) @[el2_ifu_aln_ctl.scala 343:65] + node _T_703 = and(first4B, _T_702) @[el2_ifu_aln_ctl.scala 343:55] + node _T_704 = or(_T_701, _T_703) @[el2_ifu_aln_ctl.scala 343:44] + io.i0_brp.ret <= _T_704 @[el2_ifu_aln_ctl.scala 343:17] + node _T_705 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 345:45] + node _T_706 = or(first2B, _T_705) @[el2_ifu_aln_ctl.scala 345:33] + node _T_707 = bits(_T_706, 0, 0) @[el2_ifu_aln_ctl.scala 345:50] + node _T_708 = bits(alignway, 0, 0) @[el2_ifu_aln_ctl.scala 345:66] + node _T_709 = bits(alignway, 1, 1) @[el2_ifu_aln_ctl.scala 345:80] + node _T_710 = mux(_T_707, _T_708, _T_709) @[el2_ifu_aln_ctl.scala 345:23] + io.i0_brp.way <= _T_710 @[el2_ifu_aln_ctl.scala 345:17] + node _T_711 = bits(alignhist1, 0, 0) @[el2_ifu_aln_ctl.scala 346:46] + node _T_712 = and(first2B, _T_711) @[el2_ifu_aln_ctl.scala 346:34] + node _T_713 = bits(alignhist1, 1, 1) @[el2_ifu_aln_ctl.scala 346:74] + node _T_714 = and(first4B, _T_713) @[el2_ifu_aln_ctl.scala 346:62] + node _T_715 = or(_T_712, _T_714) @[el2_ifu_aln_ctl.scala 346:51] + node _T_716 = bits(alignhist0, 0, 0) @[el2_ifu_aln_ctl.scala 347:26] + node _T_717 = and(first2B, _T_716) @[el2_ifu_aln_ctl.scala 347:14] + node _T_718 = bits(alignhist0, 1, 1) @[el2_ifu_aln_ctl.scala 347:54] + node _T_719 = and(first4B, _T_718) @[el2_ifu_aln_ctl.scala 347:42] + node _T_720 = or(_T_717, _T_719) @[el2_ifu_aln_ctl.scala 347:31] node _T_721 = cat(_T_715, _T_720) @[Cat.scala 29:58] - io.i0_brp.hist <= _T_721 @[el2_ifu_aln_ctl.scala 345:18] - node _T_722 = and(first4B, alignfromf1) @[el2_ifu_aln_ctl.scala 348:37] - node _T_723 = bits(_T_722, 0, 0) @[el2_ifu_aln_ctl.scala 348:52] - node _T_724 = mux(_T_723, f1poffset, f0poffset) @[el2_ifu_aln_ctl.scala 348:27] - io.i0_brp.toffset <= _T_724 @[el2_ifu_aln_ctl.scala 348:21] - node _T_725 = and(first4B, alignfromf1) @[el2_ifu_aln_ctl.scala 350:35] - node _T_726 = bits(_T_725, 0, 0) @[el2_ifu_aln_ctl.scala 350:50] - node _T_727 = mux(_T_726, f1prett, f0prett) @[el2_ifu_aln_ctl.scala 350:25] - io.i0_brp.prett <= _T_727 @[el2_ifu_aln_ctl.scala 350:19] - node _T_728 = bits(alignval, 1, 1) @[el2_ifu_aln_ctl.scala 352:51] - node _T_729 = and(first4B, _T_728) @[el2_ifu_aln_ctl.scala 352:41] - node _T_730 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 352:67] - node _T_731 = and(_T_729, _T_730) @[el2_ifu_aln_ctl.scala 352:55] - io.i0_brp.br_start_error <= _T_731 @[el2_ifu_aln_ctl.scala 352:29] - node _T_732 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 354:57] - node _T_733 = or(first2B, _T_732) @[el2_ifu_aln_ctl.scala 354:45] - node _T_734 = bits(_T_733, 0, 0) @[el2_ifu_aln_ctl.scala 354:62] - node _T_735 = bits(f0pc, 1, 1) @[el2_ifu_aln_ctl.scala 354:77] - node _T_736 = bits(secondpc, 1, 1) @[el2_ifu_aln_ctl.scala 354:90] - node _T_737 = mux(_T_734, _T_735, _T_736) @[el2_ifu_aln_ctl.scala 354:35] - io.i0_brp.bank <= _T_737 @[el2_ifu_aln_ctl.scala 354:29] - node _T_738 = bits(alignpc4, 0, 0) @[el2_ifu_aln_ctl.scala 356:39] - node _T_739 = and(first2B, _T_738) @[el2_ifu_aln_ctl.scala 356:29] - node _T_740 = bits(alignpc4, 1, 1) @[el2_ifu_aln_ctl.scala 356:65] - node _T_741 = and(first4B, _T_740) @[el2_ifu_aln_ctl.scala 356:55] - node i0_brp_pc4 = or(_T_739, _T_741) @[el2_ifu_aln_ctl.scala 356:44] - node _T_742 = and(io.i0_brp.valid, i0_brp_pc4) @[el2_ifu_aln_ctl.scala 358:42] - node _T_743 = and(_T_742, first2B) @[el2_ifu_aln_ctl.scala 358:56] - node _T_744 = not(i0_brp_pc4) @[el2_ifu_aln_ctl.scala 358:89] - node _T_745 = and(io.i0_brp.valid, _T_744) @[el2_ifu_aln_ctl.scala 358:87] - node _T_746 = and(_T_745, first4B) @[el2_ifu_aln_ctl.scala 358:101] - node _T_747 = or(_T_743, _T_746) @[el2_ifu_aln_ctl.scala 358:68] - io.i0_brp.br_error <= _T_747 @[el2_ifu_aln_ctl.scala 358:22] - node _T_748 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 361:50] - node _T_749 = or(first2B, _T_748) @[el2_ifu_aln_ctl.scala 361:38] - node _T_750 = bits(_T_749, 0, 0) @[el2_ifu_aln_ctl.scala 361:55] - node _T_751 = mux(_T_750, firstpc_hash, secondpc_hash) @[el2_ifu_aln_ctl.scala 361:28] - io.ifu_i0_bp_index <= _T_751 @[el2_ifu_aln_ctl.scala 361:22] - node _T_752 = and(first4B, alignfromf1) @[el2_ifu_aln_ctl.scala 363:37] - node _T_753 = bits(_T_752, 0, 0) @[el2_ifu_aln_ctl.scala 363:52] - node _T_754 = mux(_T_753, f1fghr, f0fghr) @[el2_ifu_aln_ctl.scala 363:27] - io.ifu_i0_bp_fghr <= _T_754 @[el2_ifu_aln_ctl.scala 363:21] - node _T_755 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 365:49] - node _T_756 = or(first2B, _T_755) @[el2_ifu_aln_ctl.scala 365:37] - node _T_757 = bits(_T_756, 0, 0) @[el2_ifu_aln_ctl.scala 365:54] - node _T_758 = mux(_T_757, firstbrtag_hash, secondbrtag_hash) @[el2_ifu_aln_ctl.scala 365:27] - io.ifu_i0_bp_btag <= _T_758 @[el2_ifu_aln_ctl.scala 365:21] - node _T_759 = bits(qwen, 2, 2) @[el2_ifu_aln_ctl.scala 367:44] + io.i0_brp.hist <= _T_721 @[el2_ifu_aln_ctl.scala 346:18] + node _T_722 = and(first4B, alignfromf1) @[el2_ifu_aln_ctl.scala 349:37] + node _T_723 = bits(_T_722, 0, 0) @[el2_ifu_aln_ctl.scala 349:52] + node _T_724 = mux(_T_723, f1poffset, f0poffset) @[el2_ifu_aln_ctl.scala 349:27] + io.i0_brp.toffset <= _T_724 @[el2_ifu_aln_ctl.scala 349:21] + node _T_725 = and(first4B, alignfromf1) @[el2_ifu_aln_ctl.scala 351:35] + node _T_726 = bits(_T_725, 0, 0) @[el2_ifu_aln_ctl.scala 351:50] + node _T_727 = mux(_T_726, f1prett, f0prett) @[el2_ifu_aln_ctl.scala 351:25] + io.i0_brp.prett <= _T_727 @[el2_ifu_aln_ctl.scala 351:19] + node _T_728 = bits(alignval, 1, 1) @[el2_ifu_aln_ctl.scala 353:51] + node _T_729 = and(first4B, _T_728) @[el2_ifu_aln_ctl.scala 353:41] + node _T_730 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 353:67] + node _T_731 = and(_T_729, _T_730) @[el2_ifu_aln_ctl.scala 353:55] + io.i0_brp.br_start_error <= _T_731 @[el2_ifu_aln_ctl.scala 353:29] + node _T_732 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 355:57] + node _T_733 = or(first2B, _T_732) @[el2_ifu_aln_ctl.scala 355:45] + node _T_734 = bits(_T_733, 0, 0) @[el2_ifu_aln_ctl.scala 355:62] + node _T_735 = bits(f0pc, 1, 1) @[el2_ifu_aln_ctl.scala 355:77] + node _T_736 = bits(secondpc, 1, 1) @[el2_ifu_aln_ctl.scala 355:90] + node _T_737 = mux(_T_734, _T_735, _T_736) @[el2_ifu_aln_ctl.scala 355:35] + io.i0_brp.bank <= _T_737 @[el2_ifu_aln_ctl.scala 355:29] + node _T_738 = bits(alignpc4, 0, 0) @[el2_ifu_aln_ctl.scala 357:39] + node _T_739 = and(first2B, _T_738) @[el2_ifu_aln_ctl.scala 357:29] + node _T_740 = bits(alignpc4, 1, 1) @[el2_ifu_aln_ctl.scala 357:65] + node _T_741 = and(first4B, _T_740) @[el2_ifu_aln_ctl.scala 357:55] + node i0_brp_pc4 = or(_T_739, _T_741) @[el2_ifu_aln_ctl.scala 357:44] + node _T_742 = and(io.i0_brp.valid, i0_brp_pc4) @[el2_ifu_aln_ctl.scala 359:42] + node _T_743 = and(_T_742, first2B) @[el2_ifu_aln_ctl.scala 359:56] + node _T_744 = not(i0_brp_pc4) @[el2_ifu_aln_ctl.scala 359:89] + node _T_745 = and(io.i0_brp.valid, _T_744) @[el2_ifu_aln_ctl.scala 359:87] + node _T_746 = and(_T_745, first4B) @[el2_ifu_aln_ctl.scala 359:101] + node _T_747 = or(_T_743, _T_746) @[el2_ifu_aln_ctl.scala 359:68] + io.i0_brp.br_error <= _T_747 @[el2_ifu_aln_ctl.scala 359:22] + node _T_748 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 362:50] + node _T_749 = or(first2B, _T_748) @[el2_ifu_aln_ctl.scala 362:38] + node _T_750 = bits(_T_749, 0, 0) @[el2_ifu_aln_ctl.scala 362:55] + node _T_751 = mux(_T_750, firstpc_hash, secondpc_hash) @[el2_ifu_aln_ctl.scala 362:28] + io.ifu_i0_bp_index <= _T_751 @[el2_ifu_aln_ctl.scala 362:22] + node _T_752 = and(first4B, alignfromf1) @[el2_ifu_aln_ctl.scala 364:37] + node _T_753 = bits(_T_752, 0, 0) @[el2_ifu_aln_ctl.scala 364:52] + node _T_754 = mux(_T_753, f1fghr, f0fghr) @[el2_ifu_aln_ctl.scala 364:27] + io.ifu_i0_bp_fghr <= _T_754 @[el2_ifu_aln_ctl.scala 364:21] + node _T_755 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 366:49] + node _T_756 = or(first2B, _T_755) @[el2_ifu_aln_ctl.scala 366:37] + node _T_757 = bits(_T_756, 0, 0) @[el2_ifu_aln_ctl.scala 366:54] + node _T_758 = mux(_T_757, firstbrtag_hash, secondbrtag_hash) @[el2_ifu_aln_ctl.scala 366:27] + io.ifu_i0_bp_btag <= _T_758 @[el2_ifu_aln_ctl.scala 366:21] + node _T_759 = bits(qwen, 2, 2) @[el2_ifu_aln_ctl.scala 368:44] reg _T_760 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_759 : @[Reg.scala 28:19] _T_760 <= brdata_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - brdata2 <= _T_760 @[el2_ifu_aln_ctl.scala 367:11] - node _T_761 = bits(qwen, 1, 1) @[el2_ifu_aln_ctl.scala 368:44] + brdata2 <= _T_760 @[el2_ifu_aln_ctl.scala 368:11] + node _T_761 = bits(qwen, 1, 1) @[el2_ifu_aln_ctl.scala 369:44] reg _T_762 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_761 : @[Reg.scala 28:19] _T_762 <= brdata_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - brdata1 <= _T_762 @[el2_ifu_aln_ctl.scala 368:11] - node _T_763 = bits(qwen, 0, 0) @[el2_ifu_aln_ctl.scala 369:44] + brdata1 <= _T_762 @[el2_ifu_aln_ctl.scala 369:11] + node _T_763 = bits(qwen, 0, 0) @[el2_ifu_aln_ctl.scala 370:44] reg _T_764 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_763 : @[Reg.scala 28:19] _T_764 <= brdata_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - brdata0 <= _T_764 @[el2_ifu_aln_ctl.scala 369:11] - node _T_765 = bits(qwen, 2, 2) @[el2_ifu_aln_ctl.scala 371:45] + brdata0 <= _T_764 @[el2_ifu_aln_ctl.scala 370:11] + node _T_765 = bits(qwen, 2, 2) @[el2_ifu_aln_ctl.scala 372:45] reg _T_766 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_765 : @[Reg.scala 28:19] _T_766 <= misc_data_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - misc2 <= _T_766 @[el2_ifu_aln_ctl.scala 371:9] - node _T_767 = bits(qwen, 1, 1) @[el2_ifu_aln_ctl.scala 372:45] + misc2 <= _T_766 @[el2_ifu_aln_ctl.scala 372:9] + node _T_767 = bits(qwen, 1, 1) @[el2_ifu_aln_ctl.scala 373:45] reg _T_768 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_767 : @[Reg.scala 28:19] _T_768 <= misc_data_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - misc1 <= _T_768 @[el2_ifu_aln_ctl.scala 372:9] - node _T_769 = bits(qwen, 0, 0) @[el2_ifu_aln_ctl.scala 373:45] + misc1 <= _T_768 @[el2_ifu_aln_ctl.scala 373:9] + node _T_769 = bits(qwen, 0, 0) @[el2_ifu_aln_ctl.scala 374:45] reg _T_770 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_769 : @[Reg.scala 28:19] _T_770 <= misc_data_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - misc0 <= _T_770 @[el2_ifu_aln_ctl.scala 373:9] - node _T_771 = bits(qwen, 2, 2) @[el2_ifu_aln_ctl.scala 375:49] + misc0 <= _T_770 @[el2_ifu_aln_ctl.scala 374:9] + node _T_771 = bits(qwen, 2, 2) @[el2_ifu_aln_ctl.scala 376:49] reg _T_772 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_771 : @[Reg.scala 28:19] _T_772 <= io.ifu_fetch_data_f @[Reg.scala 28:23] skip @[Reg.scala 28:19] - q2 <= _T_772 @[el2_ifu_aln_ctl.scala 375:6] - node _T_773 = bits(qwen, 1, 1) @[el2_ifu_aln_ctl.scala 376:49] + q2 <= _T_772 @[el2_ifu_aln_ctl.scala 376:6] + node _T_773 = bits(qwen, 1, 1) @[el2_ifu_aln_ctl.scala 377:49] reg _T_774 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_773 : @[Reg.scala 28:19] _T_774 <= io.ifu_fetch_data_f @[Reg.scala 28:23] skip @[Reg.scala 28:19] - q1 <= _T_774 @[el2_ifu_aln_ctl.scala 376:6] - node _T_775 = bits(qwen, 0, 0) @[el2_ifu_aln_ctl.scala 377:49] + q1 <= _T_774 @[el2_ifu_aln_ctl.scala 377:6] + node _T_775 = bits(qwen, 0, 0) @[el2_ifu_aln_ctl.scala 378:49] reg _T_776 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_775 : @[Reg.scala 28:19] _T_776 <= io.ifu_fetch_data_f @[Reg.scala 28:23] skip @[Reg.scala 28:19] - q0 <= _T_776 @[el2_ifu_aln_ctl.scala 377:6] - node _T_777 = bits(f2_wr_en, 0, 0) @[el2_ifu_aln_ctl.scala 379:52] + q0 <= _T_776 @[el2_ifu_aln_ctl.scala 378:6] + node _T_777 = bits(f2_wr_en, 0, 0) @[el2_ifu_aln_ctl.scala 380:52] reg _T_778 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_777 : @[Reg.scala 28:19] _T_778 <= io.ifu_fetch_pc @[Reg.scala 28:23] skip @[Reg.scala 28:19] - f2pc <= _T_778 @[el2_ifu_aln_ctl.scala 379:8] - node _T_779 = bits(f1_shift_wr_en, 0, 0) @[el2_ifu_aln_ctl.scala 380:50] + f2pc <= _T_778 @[el2_ifu_aln_ctl.scala 380:8] + node _T_779 = bits(f1_shift_wr_en, 0, 0) @[el2_ifu_aln_ctl.scala 381:50] reg _T_780 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_779 : @[Reg.scala 28:19] _T_780 <= f1pc_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - f2pc <= _T_780 @[el2_ifu_aln_ctl.scala 380:8] - node _T_781 = bits(f0_shift_wr_en, 0, 0) @[el2_ifu_aln_ctl.scala 381:50] + f2pc <= _T_780 @[el2_ifu_aln_ctl.scala 381:8] + node _T_781 = bits(f0_shift_wr_en, 0, 0) @[el2_ifu_aln_ctl.scala 382:50] reg _T_782 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_781 : @[Reg.scala 28:19] _T_782 <= f0pc_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - f2pc <= _T_782 @[el2_ifu_aln_ctl.scala 381:8] + f2pc <= _T_782 @[el2_ifu_aln_ctl.scala 382:8] diff --git a/el2_ifu_aln_ctl.v b/el2_ifu_aln_ctl.v index 9aedf7e8..c1e438a1 100644 --- a/el2_ifu_aln_ctl.v +++ b/el2_ifu_aln_ctl.v @@ -1,7 +1,518 @@ +module el2_ifu_compress_ctl( + input [15:0] io_din, + output [31:0] io_dout +); + wire _T_2 = ~io_din[14]; // @[el2_ifu_compress_ctl.scala 12:83] + wire _T_4 = ~io_din[13]; // @[el2_ifu_compress_ctl.scala 12:83] + wire _T_7 = ~io_din[6]; // @[el2_ifu_compress_ctl.scala 12:83] + wire _T_9 = ~io_din[5]; // @[el2_ifu_compress_ctl.scala 12:83] + wire _T_11 = io_din[15] & _T_2; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_12 = _T_11 & _T_4; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_13 = _T_12 & io_din[10]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_14 = _T_13 & _T_7; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_15 = _T_14 & _T_9; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_16 = _T_15 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_23 = ~io_din[11]; // @[el2_ifu_compress_ctl.scala 12:83] + wire _T_28 = _T_12 & _T_23; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_29 = _T_28 & io_din[10]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_30 = _T_29 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire out_30 = _T_16 | _T_30; // @[el2_ifu_compress_ctl.scala 16:53] + wire _T_38 = ~io_din[10]; // @[el2_ifu_compress_ctl.scala 12:83] + wire _T_40 = ~io_din[9]; // @[el2_ifu_compress_ctl.scala 12:83] + wire _T_42 = ~io_din[8]; // @[el2_ifu_compress_ctl.scala 12:83] + wire _T_44 = ~io_din[7]; // @[el2_ifu_compress_ctl.scala 12:83] + wire _T_50 = ~io_din[4]; // @[el2_ifu_compress_ctl.scala 12:83] + wire _T_52 = ~io_din[3]; // @[el2_ifu_compress_ctl.scala 12:83] + wire _T_54 = ~io_din[2]; // @[el2_ifu_compress_ctl.scala 12:83] + wire _T_56 = _T_2 & io_din[12]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_57 = _T_56 & _T_23; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_58 = _T_57 & _T_38; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_59 = _T_58 & _T_40; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_60 = _T_59 & _T_42; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_61 = _T_60 & _T_44; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_62 = _T_61 & _T_7; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_63 = _T_62 & _T_9; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_64 = _T_63 & _T_50; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_65 = _T_64 & _T_52; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_66 = _T_65 & _T_54; // @[el2_ifu_compress_ctl.scala 12:110] + wire out_20 = _T_66 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_79 = _T_28 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_90 = _T_12 & _T_38; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_91 = _T_90 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_92 = _T_79 | _T_91; // @[el2_ifu_compress_ctl.scala 20:46] + wire _T_102 = _T_12 & io_din[6]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_103 = _T_102 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_104 = _T_92 | _T_103; // @[el2_ifu_compress_ctl.scala 20:80] + wire _T_114 = _T_12 & io_din[5]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_115 = _T_114 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire out_14 = _T_104 | _T_115; // @[el2_ifu_compress_ctl.scala 20:113] + wire _T_128 = _T_12 & io_din[11]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_129 = _T_128 & _T_38; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_130 = _T_129 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_142 = _T_128 & io_din[6]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_143 = _T_142 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_144 = _T_130 | _T_143; // @[el2_ifu_compress_ctl.scala 22:50] + wire _T_147 = ~io_din[0]; // @[el2_ifu_compress_ctl.scala 22:101] + wire _T_148 = io_din[14] & _T_147; // @[el2_ifu_compress_ctl.scala 22:99] + wire out_13 = _T_144 | _T_148; // @[el2_ifu_compress_ctl.scala 22:86] + wire _T_161 = _T_102 & io_din[5]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_162 = _T_161 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_175 = _T_162 | _T_79; // @[el2_ifu_compress_ctl.scala 24:47] + wire _T_188 = _T_175 | _T_91; // @[el2_ifu_compress_ctl.scala 24:81] + wire _T_190 = ~io_din[15]; // @[el2_ifu_compress_ctl.scala 12:83] + wire _T_194 = _T_190 & _T_2; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_195 = _T_194 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_196 = _T_188 | _T_195; // @[el2_ifu_compress_ctl.scala 24:115] + wire _T_200 = io_din[15] & io_din[14]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_201 = _T_200 & io_din[13]; // @[el2_ifu_compress_ctl.scala 12:110] + wire out_12 = _T_196 | _T_201; // @[el2_ifu_compress_ctl.scala 25:26] + wire _T_217 = _T_11 & _T_7; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_218 = _T_217 & _T_9; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_219 = _T_218 & _T_50; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_220 = _T_219 & _T_52; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_221 = _T_220 & _T_54; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_224 = _T_221 & _T_147; // @[el2_ifu_compress_ctl.scala 27:53] + wire _T_228 = _T_2 & io_din[13]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_229 = _T_224 | _T_228; // @[el2_ifu_compress_ctl.scala 27:67] + wire _T_234 = _T_200 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire out_6 = _T_229 | _T_234; // @[el2_ifu_compress_ctl.scala 27:88] + wire _T_239 = io_din[15] & _T_147; // @[el2_ifu_compress_ctl.scala 29:24] + wire _T_243 = io_din[15] & io_din[11]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_244 = _T_243 & io_din[10]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_245 = _T_239 | _T_244; // @[el2_ifu_compress_ctl.scala 29:39] + wire _T_249 = io_din[13] & _T_42; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_250 = _T_245 | _T_249; // @[el2_ifu_compress_ctl.scala 29:63] + wire _T_253 = io_din[13] & io_din[7]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_254 = _T_250 | _T_253; // @[el2_ifu_compress_ctl.scala 29:83] + wire _T_257 = io_din[13] & io_din[9]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_258 = _T_254 | _T_257; // @[el2_ifu_compress_ctl.scala 29:102] + wire _T_261 = io_din[13] & io_din[10]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_262 = _T_258 | _T_261; // @[el2_ifu_compress_ctl.scala 30:22] + wire _T_265 = io_din[13] & io_din[11]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_266 = _T_262 | _T_265; // @[el2_ifu_compress_ctl.scala 30:42] + wire _T_271 = _T_266 | _T_228; // @[el2_ifu_compress_ctl.scala 30:62] + wire out_5 = _T_271 | _T_200; // @[el2_ifu_compress_ctl.scala 30:83] + wire _T_288 = _T_2 & _T_23; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_289 = _T_288 & _T_38; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_290 = _T_289 & _T_40; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_291 = _T_290 & _T_42; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_292 = _T_291 & _T_44; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_295 = _T_292 & _T_147; // @[el2_ifu_compress_ctl.scala 32:50] + wire _T_303 = _T_194 & _T_147; // @[el2_ifu_compress_ctl.scala 32:87] + wire _T_304 = _T_295 | _T_303; // @[el2_ifu_compress_ctl.scala 32:65] + wire _T_308 = _T_2 & io_din[6]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_311 = _T_308 & _T_147; // @[el2_ifu_compress_ctl.scala 33:23] + wire _T_312 = _T_304 | _T_311; // @[el2_ifu_compress_ctl.scala 32:102] + wire _T_317 = _T_190 & io_din[14]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_318 = _T_317 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_319 = _T_312 | _T_318; // @[el2_ifu_compress_ctl.scala 33:38] + wire _T_323 = _T_2 & io_din[5]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_326 = _T_323 & _T_147; // @[el2_ifu_compress_ctl.scala 33:82] + wire _T_327 = _T_319 | _T_326; // @[el2_ifu_compress_ctl.scala 33:62] + wire _T_331 = _T_2 & io_din[4]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_334 = _T_331 & _T_147; // @[el2_ifu_compress_ctl.scala 34:23] + wire _T_335 = _T_327 | _T_334; // @[el2_ifu_compress_ctl.scala 33:97] + wire _T_339 = _T_2 & io_din[3]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_342 = _T_339 & _T_147; // @[el2_ifu_compress_ctl.scala 34:58] + wire _T_343 = _T_335 | _T_342; // @[el2_ifu_compress_ctl.scala 34:38] + wire _T_347 = _T_2 & io_din[2]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_350 = _T_347 & _T_147; // @[el2_ifu_compress_ctl.scala 34:93] + wire _T_351 = _T_343 | _T_350; // @[el2_ifu_compress_ctl.scala 34:73] + wire _T_357 = _T_2 & _T_4; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_358 = _T_357 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire out_4 = _T_351 | _T_358; // @[el2_ifu_compress_ctl.scala 34:108] + wire _T_380 = _T_56 & io_din[11]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_381 = _T_380 & _T_7; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_382 = _T_381 & _T_9; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_383 = _T_382 & _T_50; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_384 = _T_383 & _T_52; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_385 = _T_384 & _T_54; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_386 = _T_385 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_403 = _T_56 & io_din[10]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_404 = _T_403 & _T_7; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_405 = _T_404 & _T_9; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_406 = _T_405 & _T_50; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_407 = _T_406 & _T_52; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_408 = _T_407 & _T_54; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_409 = _T_408 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_410 = _T_386 | _T_409; // @[el2_ifu_compress_ctl.scala 39:59] + wire _T_427 = _T_56 & io_din[9]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_428 = _T_427 & _T_7; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_429 = _T_428 & _T_9; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_430 = _T_429 & _T_50; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_431 = _T_430 & _T_52; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_432 = _T_431 & _T_54; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_433 = _T_432 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_434 = _T_410 | _T_433; // @[el2_ifu_compress_ctl.scala 39:107] + wire _T_451 = _T_56 & io_din[8]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_452 = _T_451 & _T_7; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_453 = _T_452 & _T_9; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_454 = _T_453 & _T_50; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_455 = _T_454 & _T_52; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_456 = _T_455 & _T_54; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_457 = _T_456 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_458 = _T_434 | _T_457; // @[el2_ifu_compress_ctl.scala 40:50] + wire _T_475 = _T_56 & io_din[7]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_476 = _T_475 & _T_7; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_477 = _T_476 & _T_9; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_478 = _T_477 & _T_50; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_479 = _T_478 & _T_52; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_480 = _T_479 & _T_54; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_481 = _T_480 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_482 = _T_458 | _T_481; // @[el2_ifu_compress_ctl.scala 40:94] + wire _T_487 = ~io_din[12]; // @[el2_ifu_compress_ctl.scala 12:83] + wire _T_499 = _T_11 & _T_487; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_500 = _T_499 & _T_7; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_501 = _T_500 & _T_9; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_502 = _T_501 & _T_50; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_503 = _T_502 & _T_52; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_504 = _T_503 & _T_54; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_507 = _T_504 & _T_147; // @[el2_ifu_compress_ctl.scala 41:94] + wire _T_508 = _T_482 | _T_507; // @[el2_ifu_compress_ctl.scala 41:49] + wire _T_514 = _T_190 & io_din[13]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_515 = _T_514 & _T_42; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_516 = _T_508 | _T_515; // @[el2_ifu_compress_ctl.scala 41:109] + wire _T_522 = _T_514 & io_din[7]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_523 = _T_516 | _T_522; // @[el2_ifu_compress_ctl.scala 42:26] + wire _T_529 = _T_514 & io_din[9]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_530 = _T_523 | _T_529; // @[el2_ifu_compress_ctl.scala 42:48] + wire _T_536 = _T_514 & io_din[10]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_537 = _T_530 | _T_536; // @[el2_ifu_compress_ctl.scala 42:70] + wire _T_543 = _T_514 & io_din[11]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_544 = _T_537 | _T_543; // @[el2_ifu_compress_ctl.scala 42:93] + wire out_2 = _T_544 | _T_228; // @[el2_ifu_compress_ctl.scala 43:26] + wire [4:0] rs2d = io_din[6:2]; // @[el2_ifu_compress_ctl.scala 49:20] + wire [4:0] rdd = io_din[11:7]; // @[el2_ifu_compress_ctl.scala 50:19] + wire [4:0] rdpd = {2'h1,io_din[9:7]}; // @[Cat.scala 29:58] + wire [4:0] rs2pd = {2'h1,io_din[4:2]}; // @[Cat.scala 29:58] + wire _T_557 = _T_308 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_564 = _T_317 & io_din[11]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_565 = _T_564 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_566 = _T_557 | _T_565; // @[el2_ifu_compress_ctl.scala 54:33] + wire _T_572 = _T_323 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_573 = _T_566 | _T_572; // @[el2_ifu_compress_ctl.scala 54:58] + wire _T_580 = _T_317 & io_din[10]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_581 = _T_580 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_582 = _T_573 | _T_581; // @[el2_ifu_compress_ctl.scala 54:79] + wire _T_588 = _T_331 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_589 = _T_582 | _T_588; // @[el2_ifu_compress_ctl.scala 54:104] + wire _T_596 = _T_317 & io_din[9]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_597 = _T_596 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_598 = _T_589 | _T_597; // @[el2_ifu_compress_ctl.scala 55:24] + wire _T_604 = _T_339 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_605 = _T_598 | _T_604; // @[el2_ifu_compress_ctl.scala 55:48] + wire _T_613 = _T_317 & _T_42; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_614 = _T_613 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_615 = _T_605 | _T_614; // @[el2_ifu_compress_ctl.scala 55:69] + wire _T_621 = _T_347 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_622 = _T_615 | _T_621; // @[el2_ifu_compress_ctl.scala 55:94] + wire _T_629 = _T_317 & io_din[7]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_630 = _T_629 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_631 = _T_622 | _T_630; // @[el2_ifu_compress_ctl.scala 56:22] + wire _T_635 = _T_190 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_636 = _T_631 | _T_635; // @[el2_ifu_compress_ctl.scala 56:46] + wire _T_642 = _T_190 & _T_4; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_643 = _T_642 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire rdrd = _T_636 | _T_643; // @[el2_ifu_compress_ctl.scala 56:65] + wire _T_651 = _T_380 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_659 = _T_403 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_660 = _T_651 | _T_659; // @[el2_ifu_compress_ctl.scala 58:38] + wire _T_668 = _T_427 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_669 = _T_660 | _T_668; // @[el2_ifu_compress_ctl.scala 58:63] + wire _T_677 = _T_451 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_678 = _T_669 | _T_677; // @[el2_ifu_compress_ctl.scala 58:87] + wire _T_686 = _T_475 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_687 = _T_678 | _T_686; // @[el2_ifu_compress_ctl.scala 59:27] + wire _T_703 = _T_2 & _T_487; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_704 = _T_703 & _T_7; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_705 = _T_704 & _T_9; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_706 = _T_705 & _T_50; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_707 = _T_706 & _T_52; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_708 = _T_707 & _T_54; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_709 = _T_708 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_710 = _T_687 | _T_709; // @[el2_ifu_compress_ctl.scala 59:51] + wire _T_717 = _T_56 & io_din[6]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_718 = _T_717 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_719 = _T_710 | _T_718; // @[el2_ifu_compress_ctl.scala 59:89] + wire _T_726 = _T_56 & io_din[5]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_727 = _T_726 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_728 = _T_719 | _T_727; // @[el2_ifu_compress_ctl.scala 60:27] + wire _T_735 = _T_56 & io_din[4]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_736 = _T_735 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_737 = _T_728 | _T_736; // @[el2_ifu_compress_ctl.scala 60:51] + wire _T_744 = _T_56 & io_din[3]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_745 = _T_744 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_746 = _T_737 | _T_745; // @[el2_ifu_compress_ctl.scala 60:75] + wire _T_753 = _T_56 & io_din[2]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_754 = _T_753 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_755 = _T_746 | _T_754; // @[el2_ifu_compress_ctl.scala 60:99] + wire _T_764 = _T_194 & _T_4; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_765 = _T_764 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_766 = _T_755 | _T_765; // @[el2_ifu_compress_ctl.scala 61:27] + wire rdrs1 = _T_766 | _T_195; // @[el2_ifu_compress_ctl.scala 61:54] + wire _T_777 = io_din[15] & io_din[6]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_778 = _T_777 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_782 = io_din[15] & io_din[5]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_783 = _T_782 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_784 = _T_778 | _T_783; // @[el2_ifu_compress_ctl.scala 63:34] + wire _T_788 = io_din[15] & io_din[4]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_789 = _T_788 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_790 = _T_784 | _T_789; // @[el2_ifu_compress_ctl.scala 63:54] + wire _T_794 = io_din[15] & io_din[3]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_795 = _T_794 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_796 = _T_790 | _T_795; // @[el2_ifu_compress_ctl.scala 63:74] + wire _T_800 = io_din[15] & io_din[2]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_801 = _T_800 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_802 = _T_796 | _T_801; // @[el2_ifu_compress_ctl.scala 63:94] + wire _T_807 = _T_200 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire rs2rs2 = _T_802 | _T_807; // @[el2_ifu_compress_ctl.scala 63:114] + wire rdprd = _T_12 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_820 = io_din[15] & _T_4; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_821 = _T_820 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_827 = _T_821 | _T_234; // @[el2_ifu_compress_ctl.scala 67:36] + wire _T_830 = ~io_din[1]; // @[el2_ifu_compress_ctl.scala 12:83] + wire _T_831 = io_din[14] & _T_830; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_834 = _T_831 & _T_147; // @[el2_ifu_compress_ctl.scala 67:76] + wire rdprs1 = _T_827 | _T_834; // @[el2_ifu_compress_ctl.scala 67:57] + wire _T_846 = _T_128 & io_din[10]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_847 = _T_846 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_851 = io_din[15] & _T_830; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_854 = _T_851 & _T_147; // @[el2_ifu_compress_ctl.scala 69:66] + wire rs2prs2 = _T_847 | _T_854; // @[el2_ifu_compress_ctl.scala 69:47] + wire _T_859 = _T_190 & _T_830; // @[el2_ifu_compress_ctl.scala 12:110] + wire rs2prd = _T_859 & _T_147; // @[el2_ifu_compress_ctl.scala 71:33] + wire _T_866 = _T_2 & _T_830; // @[el2_ifu_compress_ctl.scala 12:110] + wire uimm9_2 = _T_866 & _T_147; // @[el2_ifu_compress_ctl.scala 73:34] + wire _T_875 = _T_317 & _T_830; // @[el2_ifu_compress_ctl.scala 12:110] + wire ulwimm6_2 = _T_875 & _T_147; // @[el2_ifu_compress_ctl.scala 75:39] + wire ulwspimm7_2 = _T_317 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_897 = _T_317 & io_din[13]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_898 = _T_897 & _T_23; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_899 = _T_898 & _T_38; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_900 = _T_899 & _T_40; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_901 = _T_900 & io_din[8]; // @[el2_ifu_compress_ctl.scala 12:110] + wire rdeq2 = _T_901 & _T_44; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1027 = _T_194 & io_din[13]; // @[el2_ifu_compress_ctl.scala 12:110] + wire rdeq1 = _T_482 | _T_1027; // @[el2_ifu_compress_ctl.scala 83:42] + wire _T_1050 = io_din[14] & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1051 = rdeq2 | _T_1050; // @[el2_ifu_compress_ctl.scala 85:53] + wire rs1eq2 = _T_1051 | uimm9_2; // @[el2_ifu_compress_ctl.scala 85:71] + wire _T_1092 = _T_357 & io_din[11]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1093 = _T_1092 & _T_38; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1094 = _T_1093 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire simm5_0 = _T_1094 | _T_643; // @[el2_ifu_compress_ctl.scala 91:45] + wire _T_1112 = _T_897 & io_din[7]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1121 = _T_897 & _T_42; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1122 = _T_1112 | _T_1121; // @[el2_ifu_compress_ctl.scala 95:44] + wire _T_1130 = _T_897 & io_din[9]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1131 = _T_1122 | _T_1130; // @[el2_ifu_compress_ctl.scala 95:70] + wire _T_1139 = _T_897 & io_din[10]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1140 = _T_1131 | _T_1139; // @[el2_ifu_compress_ctl.scala 95:95] + wire _T_1148 = _T_897 & io_din[11]; // @[el2_ifu_compress_ctl.scala 12:110] + wire sluimm17_12 = _T_1140 | _T_1148; // @[el2_ifu_compress_ctl.scala 95:121] + wire uimm5_0 = _T_79 | _T_195; // @[el2_ifu_compress_ctl.scala 97:45] + wire [6:0] l1_6 = {out_6,out_5,out_4,_T_228,out_2,1'h1,1'h1}; // @[Cat.scala 29:58] + wire [4:0] _T_1192 = rdrd ? rdd : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1193 = rdprd ? rdpd : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1194 = rs2prd ? rs2pd : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1195 = rdeq1 ? 5'h1 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1196 = rdeq2 ? 5'h2 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1197 = _T_1192 | _T_1193; // @[Mux.scala 27:72] + wire [4:0] _T_1198 = _T_1197 | _T_1194; // @[Mux.scala 27:72] + wire [4:0] _T_1199 = _T_1198 | _T_1195; // @[Mux.scala 27:72] + wire [4:0] l1_11 = _T_1199 | _T_1196; // @[Mux.scala 27:72] + wire [4:0] _T_1210 = rdrs1 ? rdd : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1211 = rdprs1 ? rdpd : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1212 = rs1eq2 ? 5'h2 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1213 = _T_1210 | _T_1211; // @[Mux.scala 27:72] + wire [4:0] l1_19 = _T_1213 | _T_1212; // @[Mux.scala 27:72] + wire [4:0] _T_1219 = {3'h0,1'h0,out_20}; // @[Cat.scala 29:58] + wire [4:0] _T_1222 = rs2rs2 ? rs2d : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1223 = rs2prs2 ? rs2pd : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1224 = _T_1222 | _T_1223; // @[Mux.scala 27:72] + wire [4:0] l1_24 = _T_1219 | _T_1224; // @[el2_ifu_compress_ctl.scala 113:67] + wire [14:0] _T_1232 = {out_14,out_13,out_12,l1_11,l1_6}; // @[Cat.scala 29:58] + wire [31:0] l1 = {1'h0,out_30,2'h0,3'h0,l1_24,l1_19,_T_1232}; // @[Cat.scala 29:58] + wire [5:0] simm5d = {io_din[12],rs2d}; // @[Cat.scala 29:58] + wire [5:0] simm9d = {io_din[12],io_din[4:3],io_din[5],io_din[2],io_din[6]}; // @[Cat.scala 29:58] + wire [8:0] sjald_12 = io_din[12] ? 9'h1ff : 9'h0; // @[Bitwise.scala 72:12] + wire [19:0] sjald = {sjald_12,io_din[12],io_din[8],io_din[10:9],io_din[6],io_din[7],io_din[2],io_din[11],io_din[5:4],io_din[3]}; // @[Cat.scala 29:58] + wire [14:0] _T_1281 = io_din[12] ? 15'h7fff : 15'h0; // @[Bitwise.scala 72:12] + wire [19:0] sluimmd = {_T_1281,rs2d}; // @[Cat.scala 29:58] + wire [6:0] _T_1287 = simm5d[5] ? 7'h7f : 7'h0; // @[Bitwise.scala 72:12] + wire [11:0] _T_1289 = {_T_1287,simm5d[4:0]}; // @[Cat.scala 29:58] + wire [11:0] _T_1292 = {2'h0,io_din[10:7],io_din[12:11],io_din[5],io_din[6],2'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_1296 = simm9d[5] ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [11:0] _T_1299 = {_T_1296,simm9d[4:0],4'h0}; // @[Cat.scala 29:58] + wire [11:0] _T_1302 = {5'h0,io_din[5],io_din[12:10],io_din[6],2'h0}; // @[Cat.scala 29:58] + wire [11:0] _T_1305 = {4'h0,io_din[3:2],io_din[12],io_din[6:4],2'h0}; // @[Cat.scala 29:58] + wire [11:0] _T_1307 = {6'h0,io_din[12],rs2d}; // @[Cat.scala 29:58] + wire [11:0] _T_1313 = {sjald[19],sjald[9:0],sjald[10]}; // @[Cat.scala 29:58] + wire [11:0] _T_1316 = simm5_0 ? _T_1289 : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1317 = uimm9_2 ? _T_1292 : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1318 = rdeq2 ? _T_1299 : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1319 = ulwimm6_2 ? _T_1302 : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1320 = ulwspimm7_2 ? _T_1305 : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1321 = uimm5_0 ? _T_1307 : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1322 = _T_228 ? _T_1313 : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1323 = sluimm17_12 ? sluimmd[19:8] : 12'h0; // @[Mux.scala 27:72] + wire [11:0] _T_1324 = _T_1316 | _T_1317; // @[Mux.scala 27:72] + wire [11:0] _T_1325 = _T_1324 | _T_1318; // @[Mux.scala 27:72] + wire [11:0] _T_1326 = _T_1325 | _T_1319; // @[Mux.scala 27:72] + wire [11:0] _T_1327 = _T_1326 | _T_1320; // @[Mux.scala 27:72] + wire [11:0] _T_1328 = _T_1327 | _T_1321; // @[Mux.scala 27:72] + wire [11:0] _T_1329 = _T_1328 | _T_1322; // @[Mux.scala 27:72] + wire [11:0] _T_1330 = _T_1329 | _T_1323; // @[Mux.scala 27:72] + wire [11:0] l2_31 = l1[31:20] | _T_1330; // @[el2_ifu_compress_ctl.scala 132:25] + wire [7:0] _T_1337 = _T_228 ? sjald[19:12] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_1338 = sluimm17_12 ? sluimmd[7:0] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_1339 = _T_1337 | _T_1338; // @[Mux.scala 27:72] + wire [7:0] l2_19 = l1[19:12] | _T_1339; // @[el2_ifu_compress_ctl.scala 142:25] + wire [31:0] l2 = {l2_31,l2_19,l1[11:0]}; // @[Cat.scala 29:58] + wire [8:0] sbr8d = {io_din[12],io_din[6],io_din[5],io_din[2],io_din[11],io_din[10],io_din[4],io_din[3],1'h0}; // @[Cat.scala 29:58] + wire [6:0] uswimm6d = {io_din[5],io_din[12:10],io_din[6],2'h0}; // @[Cat.scala 29:58] + wire [7:0] uswspimm7d = {io_din[8:7],io_din[12:9],2'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_1370 = sbr8d[8] ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [6:0] _T_1372 = {_T_1370,sbr8d[7:5]}; // @[Cat.scala 29:58] + wire [6:0] _T_1375 = {5'h0,uswimm6d[6:5]}; // @[Cat.scala 29:58] + wire [6:0] _T_1378 = {4'h0,uswspimm7d[7:5]}; // @[Cat.scala 29:58] + wire [6:0] _T_1379 = _T_234 ? _T_1372 : 7'h0; // @[Mux.scala 27:72] + wire [6:0] _T_1380 = _T_854 ? _T_1375 : 7'h0; // @[Mux.scala 27:72] + wire [6:0] _T_1381 = _T_807 ? _T_1378 : 7'h0; // @[Mux.scala 27:72] + wire [6:0] _T_1382 = _T_1379 | _T_1380; // @[Mux.scala 27:72] + wire [6:0] _T_1383 = _T_1382 | _T_1381; // @[Mux.scala 27:72] + wire [6:0] l3_31 = l2[31:25] | _T_1383; // @[el2_ifu_compress_ctl.scala 150:25] + wire [12:0] l3_24 = l2[24:12]; // @[el2_ifu_compress_ctl.scala 153:17] + wire [4:0] _T_1389 = {sbr8d[4:1],sbr8d[8]}; // @[Cat.scala 29:58] + wire [4:0] _T_1394 = _T_234 ? _T_1389 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1395 = _T_854 ? uswimm6d[4:0] : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1396 = _T_807 ? uswspimm7d[4:0] : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1397 = _T_1394 | _T_1395; // @[Mux.scala 27:72] + wire [4:0] _T_1398 = _T_1397 | _T_1396; // @[Mux.scala 27:72] + wire [4:0] l3_11 = l2[11:7] | _T_1398; // @[el2_ifu_compress_ctl.scala 155:24] + wire [31:0] l3 = {l3_31,l3_24,l3_11,l2[6:0]}; // @[Cat.scala 29:58] + wire _T_1409 = _T_4 & _T_487; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1410 = _T_1409 & io_din[11]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1411 = _T_1410 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1414 = _T_1411 & _T_147; // @[el2_ifu_compress_ctl.scala 161:39] + wire _T_1422 = _T_1409 & io_din[6]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1423 = _T_1422 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1426 = _T_1423 & _T_147; // @[el2_ifu_compress_ctl.scala 161:79] + wire _T_1427 = _T_1414 | _T_1426; // @[el2_ifu_compress_ctl.scala 161:54] + wire _T_1436 = _T_642 & io_din[11]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1437 = _T_1436 & _T_830; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1438 = _T_1427 | _T_1437; // @[el2_ifu_compress_ctl.scala 161:94] + wire _T_1446 = _T_1409 & io_din[5]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1447 = _T_1446 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1450 = _T_1447 & _T_147; // @[el2_ifu_compress_ctl.scala 162:55] + wire _T_1451 = _T_1438 | _T_1450; // @[el2_ifu_compress_ctl.scala 162:30] + wire _T_1459 = _T_1409 & io_din[10]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1460 = _T_1459 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1463 = _T_1460 & _T_147; // @[el2_ifu_compress_ctl.scala 162:96] + wire _T_1464 = _T_1451 | _T_1463; // @[el2_ifu_compress_ctl.scala 162:70] + wire _T_1473 = _T_642 & io_din[6]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1474 = _T_1473 & _T_830; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1475 = _T_1464 | _T_1474; // @[el2_ifu_compress_ctl.scala 162:111] + wire _T_1482 = io_din[15] & _T_487; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1483 = _T_1482 & _T_830; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1484 = _T_1483 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1485 = _T_1475 | _T_1484; // @[el2_ifu_compress_ctl.scala 163:29] + wire _T_1493 = _T_1409 & io_din[9]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1494 = _T_1493 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1497 = _T_1494 & _T_147; // @[el2_ifu_compress_ctl.scala 163:79] + wire _T_1498 = _T_1485 | _T_1497; // @[el2_ifu_compress_ctl.scala 163:54] + wire _T_1505 = _T_487 & io_din[6]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1506 = _T_1505 & _T_830; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1507 = _T_1506 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1508 = _T_1498 | _T_1507; // @[el2_ifu_compress_ctl.scala 163:94] + wire _T_1517 = _T_642 & io_din[5]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1518 = _T_1517 & _T_830; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1519 = _T_1508 | _T_1518; // @[el2_ifu_compress_ctl.scala 163:118] + wire _T_1527 = _T_1409 & io_din[8]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1528 = _T_1527 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1531 = _T_1528 & _T_147; // @[el2_ifu_compress_ctl.scala 164:28] + wire _T_1532 = _T_1519 | _T_1531; // @[el2_ifu_compress_ctl.scala 163:144] + wire _T_1539 = _T_487 & io_din[5]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1540 = _T_1539 & _T_830; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1541 = _T_1540 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1542 = _T_1532 | _T_1541; // @[el2_ifu_compress_ctl.scala 164:43] + wire _T_1551 = _T_642 & io_din[10]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1552 = _T_1551 & _T_830; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1553 = _T_1542 | _T_1552; // @[el2_ifu_compress_ctl.scala 164:67] + wire _T_1561 = _T_1409 & io_din[7]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1562 = _T_1561 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1565 = _T_1562 & _T_147; // @[el2_ifu_compress_ctl.scala 165:28] + wire _T_1566 = _T_1553 | _T_1565; // @[el2_ifu_compress_ctl.scala 164:94] + wire _T_1574 = io_din[12] & io_din[11]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1575 = _T_1574 & _T_38; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1576 = _T_1575 & _T_830; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1577 = _T_1576 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1578 = _T_1566 | _T_1577; // @[el2_ifu_compress_ctl.scala 165:43] + wire _T_1587 = _T_642 & io_din[9]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1588 = _T_1587 & _T_830; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1589 = _T_1578 | _T_1588; // @[el2_ifu_compress_ctl.scala 165:71] + wire _T_1597 = _T_1409 & io_din[4]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1598 = _T_1597 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1601 = _T_1598 & _T_147; // @[el2_ifu_compress_ctl.scala 166:28] + wire _T_1602 = _T_1589 | _T_1601; // @[el2_ifu_compress_ctl.scala 165:97] + wire _T_1608 = io_din[13] & io_din[12]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1609 = _T_1608 & _T_830; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1610 = _T_1609 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1611 = _T_1602 | _T_1610; // @[el2_ifu_compress_ctl.scala 166:43] + wire _T_1620 = _T_642 & io_din[8]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1621 = _T_1620 & _T_830; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1622 = _T_1611 | _T_1621; // @[el2_ifu_compress_ctl.scala 166:67] + wire _T_1630 = _T_1409 & io_din[3]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1631 = _T_1630 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1634 = _T_1631 & _T_147; // @[el2_ifu_compress_ctl.scala 167:28] + wire _T_1635 = _T_1622 | _T_1634; // @[el2_ifu_compress_ctl.scala 166:93] + wire _T_1641 = io_din[13] & io_din[4]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1642 = _T_1641 & _T_830; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1643 = _T_1642 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1644 = _T_1635 | _T_1643; // @[el2_ifu_compress_ctl.scala 167:43] + wire _T_1652 = _T_1409 & io_din[2]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1653 = _T_1652 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1656 = _T_1653 & _T_147; // @[el2_ifu_compress_ctl.scala 167:91] + wire _T_1657 = _T_1644 | _T_1656; // @[el2_ifu_compress_ctl.scala 167:66] + wire _T_1666 = _T_642 & io_din[7]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1667 = _T_1666 & _T_830; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1668 = _T_1657 | _T_1667; // @[el2_ifu_compress_ctl.scala 167:106] + wire _T_1674 = io_din[13] & io_din[3]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1675 = _T_1674 & _T_830; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1676 = _T_1675 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1677 = _T_1668 | _T_1676; // @[el2_ifu_compress_ctl.scala 168:29] + wire _T_1683 = io_din[13] & io_din[2]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1684 = _T_1683 & _T_830; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1685 = _T_1684 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1686 = _T_1677 | _T_1685; // @[el2_ifu_compress_ctl.scala 168:52] + wire _T_1692 = io_din[14] & _T_4; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1693 = _T_1692 & _T_830; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1694 = _T_1686 | _T_1693; // @[el2_ifu_compress_ctl.scala 168:75] + wire _T_1703 = _T_703 & _T_830; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1704 = _T_1703 & io_din[0]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1705 = _T_1694 | _T_1704; // @[el2_ifu_compress_ctl.scala 168:98] + wire _T_1712 = _T_820 & io_din[12]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1713 = _T_1712 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1716 = _T_1713 & _T_147; // @[el2_ifu_compress_ctl.scala 169:54] + wire _T_1717 = _T_1705 | _T_1716; // @[el2_ifu_compress_ctl.scala 169:29] + wire _T_1726 = _T_642 & _T_487; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1727 = _T_1726 & io_din[1]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1730 = _T_1727 & _T_147; // @[el2_ifu_compress_ctl.scala 169:96] + wire _T_1731 = _T_1717 | _T_1730; // @[el2_ifu_compress_ctl.scala 169:69] + wire _T_1740 = _T_642 & io_din[12]; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1741 = _T_1740 & _T_830; // @[el2_ifu_compress_ctl.scala 12:110] + wire _T_1742 = _T_1731 | _T_1741; // @[el2_ifu_compress_ctl.scala 169:111] + wire _T_1749 = _T_1692 & _T_147; // @[el2_ifu_compress_ctl.scala 170:50] + wire legal = _T_1742 | _T_1749; // @[el2_ifu_compress_ctl.scala 170:30] + wire [31:0] _T_1751 = legal ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + assign io_dout = l3 & _T_1751; // @[el2_ifu_compress_ctl.scala 172:10] +endmodule module el2_ifu_aln_ctl( input clock, input reset, input io_scan_mode, + input io_free_clk, + input io_active_clk, input io_ifu_async_error_start, input io_iccm_rd_ecc_double_err, input io_ic_access_fault_f, @@ -65,28 +576,30 @@ module el2_ifu_aln_ctl( reg [31:0] _RAND_16; reg [31:0] _RAND_17; `endif // RANDOMIZE_REG_INIT - reg error_stall; // @[el2_ifu_aln_ctl.scala 88:28] - reg [1:0] f0val; // @[el2_ifu_aln_ctl.scala 89:22] - wire _T = error_stall | io_ifu_async_error_start; // @[el2_ifu_aln_ctl.scala 90:34] - wire _T_1 = ~io_exu_flush_final; // @[el2_ifu_aln_ctl.scala 90:64] - wire error_stall_in = _T & _T_1; // @[el2_ifu_aln_ctl.scala 90:62] - wire _T_3 = ~error_stall; // @[el2_ifu_aln_ctl.scala 92:39] - wire i0_shift = io_dec_i0_decode_d & _T_3; // @[el2_ifu_aln_ctl.scala 92:37] - wire _T_7 = ~f0val[1]; // @[el2_ifu_aln_ctl.scala 96:58] - wire _T_9 = _T_7 & f0val[0]; // @[el2_ifu_aln_ctl.scala 96:68] - reg [1:0] rdptr; // @[el2_ifu_aln_ctl.scala 125:22] - wire _T_252 = rdptr == 2'h0; // @[el2_ifu_aln_ctl.scala 178:32] - reg q1off; // @[el2_ifu_aln_ctl.scala 132:22] + wire [15:0] decompressed_io_din; // @[el2_ifu_aln_ctl.scala 100:28] + wire [31:0] decompressed_io_dout; // @[el2_ifu_aln_ctl.scala 100:28] + reg error_stall; // @[el2_ifu_aln_ctl.scala 90:54] + reg [1:0] f0val; // @[el2_ifu_aln_ctl.scala 91:48] + wire _T = error_stall | io_ifu_async_error_start; // @[el2_ifu_aln_ctl.scala 92:34] + wire _T_1 = ~io_exu_flush_final; // @[el2_ifu_aln_ctl.scala 92:64] + wire error_stall_in = _T & _T_1; // @[el2_ifu_aln_ctl.scala 92:62] + wire _T_3 = ~error_stall; // @[el2_ifu_aln_ctl.scala 94:39] + wire i0_shift = io_dec_i0_decode_d & _T_3; // @[el2_ifu_aln_ctl.scala 94:37] + wire _T_7 = ~f0val[1]; // @[el2_ifu_aln_ctl.scala 98:58] + wire _T_9 = _T_7 & f0val[0]; // @[el2_ifu_aln_ctl.scala 98:68] + reg [1:0] rdptr; // @[el2_ifu_aln_ctl.scala 125:48] + wire _T_252 = rdptr == 2'h0; // @[el2_ifu_aln_ctl.scala 179:32] + reg q1off; // @[el2_ifu_aln_ctl.scala 132:48] wire _T_255 = _T_252 & q1off; // @[Mux.scala 27:72] - wire _T_253 = rdptr == 2'h1; // @[el2_ifu_aln_ctl.scala 178:57] - reg q2off; // @[el2_ifu_aln_ctl.scala 131:22] + wire _T_253 = rdptr == 2'h1; // @[el2_ifu_aln_ctl.scala 179:57] + reg q2off; // @[el2_ifu_aln_ctl.scala 131:48] wire _T_256 = _T_253 & q2off; // @[Mux.scala 27:72] wire _T_258 = _T_255 | _T_256; // @[Mux.scala 27:72] - wire _T_254 = rdptr == 2'h2; // @[el2_ifu_aln_ctl.scala 178:83] - reg q0off; // @[el2_ifu_aln_ctl.scala 133:22] + wire _T_254 = rdptr == 2'h2; // @[el2_ifu_aln_ctl.scala 179:83] + reg q0off; // @[el2_ifu_aln_ctl.scala 133:48] wire _T_257 = _T_254 & q0off; // @[Mux.scala 27:72] wire q1ptr = _T_258 | _T_257; // @[Mux.scala 27:72] - wire _T_261 = ~q1ptr; // @[el2_ifu_aln_ctl.scala 182:26] + wire _T_261 = ~q1ptr; // @[el2_ifu_aln_ctl.scala 183:26] wire [1:0] q1sel = {q1ptr,_T_261}; // @[Cat.scala 29:58] wire [2:0] qren = {_T_254,_T_253,_T_252}; // @[Cat.scala 29:58] reg [31:0] q1; // @[Reg.scala 27:20] @@ -100,7 +613,7 @@ module el2_ifu_aln_ctl( wire [63:0] _T_327 = {q0,q2}; // @[Cat.scala 29:58] wire [63:0] _T_330 = qren[2] ? _T_327 : 64'h0; // @[Mux.scala 27:72] wire [63:0] qeff = _T_331 | _T_330; // @[Mux.scala 27:72] - wire [31:0] q1eff = qeff[63:32]; // @[el2_ifu_aln_ctl.scala 225:29] + wire [31:0] q1eff = qeff[63:32]; // @[el2_ifu_aln_ctl.scala 226:29] wire [15:0] _T_527 = q1sel[0] ? q1eff[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_528 = q1sel[1] ? q1eff[31:16] : 16'h0; // @[Mux.scala 27:72] wire [15:0] q1final = _T_527 | _T_528; // @[Mux.scala 27:72] @@ -109,111 +622,111 @@ module el2_ifu_aln_ctl( wire _T_250 = _T_247 | _T_248; // @[Mux.scala 27:72] wire _T_249 = _T_254 & q2off; // @[Mux.scala 27:72] wire q0ptr = _T_250 | _T_249; // @[Mux.scala 27:72] - wire _T_260 = ~q0ptr; // @[el2_ifu_aln_ctl.scala 180:26] + wire _T_260 = ~q0ptr; // @[el2_ifu_aln_ctl.scala 181:26] wire [1:0] q0sel = {q0ptr,_T_260}; // @[Cat.scala 29:58] - wire [31:0] q0eff = qeff[31:0]; // @[el2_ifu_aln_ctl.scala 225:42] + wire [31:0] q0eff = qeff[31:0]; // @[el2_ifu_aln_ctl.scala 226:42] wire [31:0] _T_517 = q0sel[0] ? q0eff : 32'h0; // @[Mux.scala 27:72] wire [15:0] _T_518 = q0sel[1] ? q0eff[31:16] : 16'h0; // @[Mux.scala 27:72] wire [31:0] _GEN_12 = {{16'd0}, _T_518}; // @[Mux.scala 27:72] wire [31:0] _T_519 = _T_517 | _GEN_12; // @[Mux.scala 27:72] - wire [15:0] q0final = _T_519[15:0]; // @[el2_ifu_aln_ctl.scala 294:11] + wire [15:0] q0final = _T_519[15:0]; // @[el2_ifu_aln_ctl.scala 295:11] wire [31:0] _T_11 = {q1final,q0final}; // @[Cat.scala 29:58] wire [15:0] _T_12 = f0val[0] ? q0final : 16'h0; // @[Mux.scala 27:72] wire [31:0] _T_13 = _T_9 ? _T_11 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _GEN_13 = {{16'd0}, _T_12}; // @[Mux.scala 27:72] wire [31:0] aligndata = _GEN_13 | _T_13; // @[Mux.scala 27:72] reg [54:0] _T_768; // @[Reg.scala 27:20] - wire [53:0] misc1 = _T_768[53:0]; // @[el2_ifu_aln_ctl.scala 372:9] + wire [53:0] misc1 = _T_768[53:0]; // @[el2_ifu_aln_ctl.scala 373:9] reg [54:0] _T_770; // @[Reg.scala 27:20] - wire [53:0] misc0 = _T_770[53:0]; // @[el2_ifu_aln_ctl.scala 373:9] + wire [53:0] misc0 = _T_770[53:0]; // @[el2_ifu_aln_ctl.scala 374:9] wire [107:0] _T_269 = {misc1,misc0}; // @[Cat.scala 29:58] wire [107:0] _T_276 = qren[0] ? _T_269 : 108'h0; // @[Mux.scala 27:72] reg [54:0] _T_766; // @[Reg.scala 27:20] - wire [53:0] misc2 = _T_766[53:0]; // @[el2_ifu_aln_ctl.scala 371:9] + wire [53:0] misc2 = _T_766[53:0]; // @[el2_ifu_aln_ctl.scala 372:9] wire [107:0] _T_272 = {misc2,misc1}; // @[Cat.scala 29:58] wire [107:0] _T_277 = qren[1] ? _T_272 : 108'h0; // @[Mux.scala 27:72] wire [107:0] _T_279 = _T_276 | _T_277; // @[Mux.scala 27:72] wire [107:0] _T_275 = {misc0,misc2}; // @[Cat.scala 29:58] wire [107:0] _T_278 = qren[2] ? _T_275 : 108'h0; // @[Mux.scala 27:72] wire [107:0] misceff = _T_279 | _T_278; // @[Mux.scala 27:72] - wire [52:0] misc1eff = misceff[107:55]; // @[el2_ifu_aln_ctl.scala 191:25] - wire f1icaf = misc1eff[51]; // @[el2_ifu_aln_ctl.scala 195:21] - wire [54:0] misc0eff = misceff[54:0]; // @[el2_ifu_aln_ctl.scala 192:25] - wire f0icaf = misc0eff[53]; // @[el2_ifu_aln_ctl.scala 202:21] + wire [52:0] misc1eff = misceff[107:55]; // @[el2_ifu_aln_ctl.scala 192:25] + wire f1icaf = misc1eff[51]; // @[el2_ifu_aln_ctl.scala 196:21] + wire [54:0] misc0eff = misceff[54:0]; // @[el2_ifu_aln_ctl.scala 193:25] + wire f0icaf = misc0eff[53]; // @[el2_ifu_aln_ctl.scala 203:21] wire [1:0] _T_23 = {f1icaf,f0icaf}; // @[Cat.scala 29:58] wire _T_24 = f0val[1] & f0icaf; // @[Mux.scala 27:72] wire [1:0] _T_25 = _T_9 ? _T_23 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _GEN_14 = {{1'd0}, _T_24}; // @[Mux.scala 27:72] wire [1:0] alignicaf = _GEN_14 | _T_25; // @[Mux.scala 27:72] wire [1:0] _T_539 = f0val[1] ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - reg [1:0] f1val; // @[el2_ifu_aln_ctl.scala 128:22] + reg [1:0] f1val; // @[el2_ifu_aln_ctl.scala 128:48] wire [1:0] _T_538 = {f1val[0],1'h1}; // @[Cat.scala 29:58] wire [1:0] _T_540 = _T_9 ? _T_538 : 2'h0; // @[Mux.scala 27:72] wire [1:0] alignval = _T_539 | _T_540; // @[Mux.scala 27:72] wire f0_shift_2B = i0_shift & f0val[0]; // @[Mux.scala 27:72] - reg [1:0] wrptr; // @[el2_ifu_aln_ctl.scala 124:22] - reg [1:0] f2val; // @[el2_ifu_aln_ctl.scala 127:22] + reg [1:0] wrptr; // @[el2_ifu_aln_ctl.scala 124:48] + reg [1:0] f2val; // @[el2_ifu_aln_ctl.scala 127:48] wire _T_58 = ~f1val[0]; // @[el2_ifu_aln_ctl.scala 135:42] - wire f2_valid = f2val[0]; // @[el2_ifu_aln_ctl.scala 246:20] + wire f2_valid = f2val[0]; // @[el2_ifu_aln_ctl.scala 247:20] wire _T_60 = ~f2_valid; // @[el2_ifu_aln_ctl.scala 135:55] - wire ifvalid = io_ifu_fetch_val[0]; // @[el2_ifu_aln_ctl.scala 256:30] + wire ifvalid = io_ifu_fetch_val[0]; // @[el2_ifu_aln_ctl.scala 257:30] wire _T_65 = _T_58 & f2_valid; // @[el2_ifu_aln_ctl.scala 136:53] wire _T_66 = _T_65 & ifvalid; // @[el2_ifu_aln_ctl.scala 136:65] - wire _T_70 = f1val[0] & _T_60; // @[el2_ifu_aln_ctl.scala 137:30] - wire _T_71 = _T_70 & ifvalid; // @[el2_ifu_aln_ctl.scala 137:42] + wire _T_70 = f1val[0] & _T_60; // @[el2_ifu_aln_ctl.scala 137:53] + wire _T_71 = _T_70 & ifvalid; // @[el2_ifu_aln_ctl.scala 137:65] wire fetch_to_f1 = _T_66 | _T_71; // @[el2_ifu_aln_ctl.scala 136:77] - wire _T_80 = f1val[0] & f2_valid; // @[el2_ifu_aln_ctl.scala 139:53] - wire f2_wr_en = _T_80 & ifvalid; // @[el2_ifu_aln_ctl.scala 139:65] - wire _T_94 = wrptr == 2'h2; // @[el2_ifu_aln_ctl.scala 147:24] - wire _T_95 = _T_94 & ifvalid; // @[el2_ifu_aln_ctl.scala 147:32] - wire _T_96 = wrptr == 2'h1; // @[el2_ifu_aln_ctl.scala 147:49] - wire _T_97 = _T_96 & ifvalid; // @[el2_ifu_aln_ctl.scala 147:57] - wire _T_98 = wrptr == 2'h0; // @[el2_ifu_aln_ctl.scala 147:74] - wire _T_99 = _T_98 & ifvalid; // @[el2_ifu_aln_ctl.scala 147:82] + wire _T_80 = f1val[0] & f2_valid; // @[el2_ifu_aln_ctl.scala 140:53] + wire f2_wr_en = _T_80 & ifvalid; // @[el2_ifu_aln_ctl.scala 140:65] + wire _T_94 = wrptr == 2'h2; // @[el2_ifu_aln_ctl.scala 148:24] + wire _T_95 = _T_94 & ifvalid; // @[el2_ifu_aln_ctl.scala 148:32] + wire _T_96 = wrptr == 2'h1; // @[el2_ifu_aln_ctl.scala 148:49] + wire _T_97 = _T_96 & ifvalid; // @[el2_ifu_aln_ctl.scala 148:57] + wire _T_98 = wrptr == 2'h0; // @[el2_ifu_aln_ctl.scala 148:74] + wire _T_99 = _T_98 & ifvalid; // @[el2_ifu_aln_ctl.scala 148:82] wire [2:0] qwen = {_T_95,_T_97,_T_99}; // @[Cat.scala 29:58] - wire _T_153 = qwen[0] & _T_1; // @[el2_ifu_aln_ctl.scala 157:34] - wire _T_157 = qwen[1] & _T_1; // @[el2_ifu_aln_ctl.scala 158:34] - wire _T_163 = ~ifvalid; // @[el2_ifu_aln_ctl.scala 160:26] - wire _T_165 = _T_163 & _T_1; // @[el2_ifu_aln_ctl.scala 160:35] + wire _T_153 = qwen[0] & _T_1; // @[el2_ifu_aln_ctl.scala 158:34] + wire _T_157 = qwen[1] & _T_1; // @[el2_ifu_aln_ctl.scala 159:34] + wire _T_163 = ~ifvalid; // @[el2_ifu_aln_ctl.scala 161:26] + wire _T_165 = _T_163 & _T_1; // @[el2_ifu_aln_ctl.scala 161:35] wire [1:0] _T_168 = _T_157 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_170 = _T_165 ? wrptr : 2'h0; // @[Mux.scala 27:72] wire [1:0] _GEN_17 = {{1'd0}, _T_153}; // @[Mux.scala 27:72] wire [1:0] _T_171 = _GEN_17 | _T_168; // @[Mux.scala 27:72] wire [1:0] wrptr_in = _T_171 | _T_170; // @[Mux.scala 27:72] - wire _T_176 = ~qwen[2]; // @[el2_ifu_aln_ctl.scala 162:26] - wire _T_178 = _T_176 & _T_254; // @[el2_ifu_aln_ctl.scala 162:35] - wire _T_180 = q2off | f0_shift_2B; // @[el2_ifu_aln_ctl.scala 162:74] - wire _T_184 = _T_176 & _T_253; // @[el2_ifu_aln_ctl.scala 163:35] - wire _T_190 = _T_176 & _T_252; // @[el2_ifu_aln_ctl.scala 164:35] + wire _T_176 = ~qwen[2]; // @[el2_ifu_aln_ctl.scala 163:26] + wire _T_178 = _T_176 & _T_254; // @[el2_ifu_aln_ctl.scala 163:35] + wire _T_180 = q2off | f0_shift_2B; // @[el2_ifu_aln_ctl.scala 163:74] + wire _T_184 = _T_176 & _T_253; // @[el2_ifu_aln_ctl.scala 164:35] + wire _T_190 = _T_176 & _T_252; // @[el2_ifu_aln_ctl.scala 165:35] wire _T_192 = _T_178 & _T_180; // @[Mux.scala 27:72] wire _T_193 = _T_184 & q2off; // @[Mux.scala 27:72] wire _T_194 = _T_190 & q2off; // @[Mux.scala 27:72] wire _T_195 = _T_192 | _T_193; // @[Mux.scala 27:72] wire q2off_in = _T_195 | _T_194; // @[Mux.scala 27:72] - wire _T_199 = ~qwen[1]; // @[el2_ifu_aln_ctl.scala 166:26] - wire _T_201 = _T_199 & _T_253; // @[el2_ifu_aln_ctl.scala 166:35] - wire _T_203 = q1off | f0_shift_2B; // @[el2_ifu_aln_ctl.scala 166:74] - wire _T_207 = _T_199 & _T_252; // @[el2_ifu_aln_ctl.scala 167:35] - wire _T_213 = _T_199 & _T_254; // @[el2_ifu_aln_ctl.scala 168:35] + wire _T_199 = ~qwen[1]; // @[el2_ifu_aln_ctl.scala 167:26] + wire _T_201 = _T_199 & _T_253; // @[el2_ifu_aln_ctl.scala 167:35] + wire _T_203 = q1off | f0_shift_2B; // @[el2_ifu_aln_ctl.scala 167:74] + wire _T_207 = _T_199 & _T_252; // @[el2_ifu_aln_ctl.scala 168:35] + wire _T_213 = _T_199 & _T_254; // @[el2_ifu_aln_ctl.scala 169:35] wire _T_215 = _T_201 & _T_203; // @[Mux.scala 27:72] wire _T_216 = _T_207 & q1off; // @[Mux.scala 27:72] wire _T_217 = _T_213 & q1off; // @[Mux.scala 27:72] wire _T_218 = _T_215 | _T_216; // @[Mux.scala 27:72] wire q1off_in = _T_218 | _T_217; // @[Mux.scala 27:72] - wire _T_222 = ~qwen[0]; // @[el2_ifu_aln_ctl.scala 170:26] - wire _T_224 = _T_222 & _T_252; // @[el2_ifu_aln_ctl.scala 170:35] - wire _T_226 = q0off | f0_shift_2B; // @[el2_ifu_aln_ctl.scala 170:76] - wire _T_230 = _T_222 & _T_254; // @[el2_ifu_aln_ctl.scala 171:35] - wire _T_236 = _T_222 & _T_253; // @[el2_ifu_aln_ctl.scala 172:35] + wire _T_222 = ~qwen[0]; // @[el2_ifu_aln_ctl.scala 171:26] + wire _T_224 = _T_222 & _T_252; // @[el2_ifu_aln_ctl.scala 171:35] + wire _T_226 = q0off | f0_shift_2B; // @[el2_ifu_aln_ctl.scala 171:76] + wire _T_230 = _T_222 & _T_254; // @[el2_ifu_aln_ctl.scala 172:35] + wire _T_236 = _T_222 & _T_253; // @[el2_ifu_aln_ctl.scala 173:35] wire _T_238 = _T_224 & _T_226; // @[Mux.scala 27:72] wire _T_239 = _T_230 & q0off; // @[Mux.scala 27:72] wire _T_240 = _T_236 & q0off; // @[Mux.scala 27:72] wire _T_241 = _T_238 | _T_239; // @[Mux.scala 27:72] wire q0off_in = _T_241 | _T_240; // @[Mux.scala 27:72] wire [54:0] misc_data_in = {io_iccm_rd_ecc_double_err,io_ic_access_fault_f,io_ic_access_fault_type_f,io_ifu_bp_btb_target_f[31:1],io_ifu_bp_poffset_f,io_ifu_bp_fghr_f}; // @[Cat.scala 29:58] - wire f1dbecc = misc1eff[52]; // @[el2_ifu_aln_ctl.scala 194:25] - wire f0dbecc = misc0eff[54]; // @[el2_ifu_aln_ctl.scala 201:25] - wire [30:0] f0prett = misc0eff[50:20]; // @[el2_ifu_aln_ctl.scala 204:25] + wire f1dbecc = misc1eff[52]; // @[el2_ifu_aln_ctl.scala 195:25] + wire f0dbecc = misc0eff[54]; // @[el2_ifu_aln_ctl.scala 202:25] + wire [30:0] f0prett = misc0eff[50:20]; // @[el2_ifu_aln_ctl.scala 205:25] wire [5:0] _T_299 = {io_ifu_bp_hist1_f[0],io_ifu_bp_hist0_f[0],io_ifu_bp_pc4_f[0],io_ifu_bp_way_f[0],io_ifu_bp_valid_f[0],io_ifu_bp_ret_f[0]}; // @[Cat.scala 29:58] wire [11:0] brdata_in = {io_ifu_bp_hist1_f[1],io_ifu_bp_hist0_f[1],io_ifu_bp_pc4_f[1],io_ifu_bp_way_f[1],io_ifu_bp_valid_f[1],io_ifu_bp_ret_f[1],_T_299}; // @[Cat.scala 29:58] reg [11:0] brdata1; // @[Reg.scala 27:20] @@ -227,8 +740,8 @@ module el2_ifu_aln_ctl( wire [23:0] _T_316 = qren[2] ? _T_313 : 24'h0; // @[Mux.scala 27:72] wire [23:0] _T_317 = _T_314 | _T_315; // @[Mux.scala 27:72] wire [23:0] brdataeff = _T_317 | _T_316; // @[Mux.scala 27:72] - wire [11:0] brdata0eff = brdataeff[11:0]; // @[el2_ifu_aln_ctl.scala 216:43] - wire [11:0] brdata1eff = brdataeff[23:12]; // @[el2_ifu_aln_ctl.scala 216:61] + wire [11:0] brdata0eff = brdataeff[11:0]; // @[el2_ifu_aln_ctl.scala 217:43] + wire [11:0] brdata1eff = brdataeff[23:12]; // @[el2_ifu_aln_ctl.scala 217:61] wire [11:0] _T_338 = q0sel[0] ? brdata0eff : 12'h0; // @[Mux.scala 27:72] wire [5:0] _T_339 = q0sel[1] ? brdata0eff[11:6] : 6'h0; // @[Mux.scala 27:72] wire [11:0] _GEN_18 = {{6'd0}, _T_339}; // @[Mux.scala 27:72] @@ -249,32 +762,32 @@ module el2_ifu_aln_ctl( wire [1:0] f1pc4 = {brdata1final[9],brdata1final[3]}; // @[Cat.scala 29:58] wire [1:0] f1hist0 = {brdata1final[10],brdata1final[4]}; // @[Cat.scala 29:58] wire [1:0] f1hist1 = {brdata1final[11],brdata1final[5]}; // @[Cat.scala 29:58] - wire consume_fb1 = _T_58 & f1val[0]; // @[el2_ifu_aln_ctl.scala 251:32] - wire _T_382 = ~consume_fb1; // @[el2_ifu_aln_ctl.scala 253:39] - wire _T_383 = f0val[0] & _T_382; // @[el2_ifu_aln_ctl.scala 253:37] - wire _T_386 = f0val[0] & consume_fb1; // @[el2_ifu_aln_ctl.scala 254:37] - wire _T_409 = ~fetch_to_f1; // @[el2_ifu_aln_ctl.scala 271:28] - wire _T_410 = ~_T_80; // @[el2_ifu_aln_ctl.scala 271:43] - wire _T_411 = _T_409 & _T_410; // @[el2_ifu_aln_ctl.scala 271:41] - wire _T_422 = ~_T_65; // @[el2_ifu_aln_ctl.scala 276:43] - wire _T_435 = f2_wr_en & _T_1; // @[el2_ifu_aln_ctl.scala 278:38] - wire _T_437 = ~f2_wr_en; // @[el2_ifu_aln_ctl.scala 279:6] - wire _T_439 = _T_437 & _T_410; // @[el2_ifu_aln_ctl.scala 279:19] - wire _T_441 = _T_439 & _T_422; // @[el2_ifu_aln_ctl.scala 279:34] - wire _T_443 = _T_441 & _T_1; // @[el2_ifu_aln_ctl.scala 279:49] + wire consume_fb1 = _T_58 & f1val[0]; // @[el2_ifu_aln_ctl.scala 252:32] + wire _T_382 = ~consume_fb1; // @[el2_ifu_aln_ctl.scala 254:39] + wire _T_383 = f0val[0] & _T_382; // @[el2_ifu_aln_ctl.scala 254:37] + wire _T_386 = f0val[0] & consume_fb1; // @[el2_ifu_aln_ctl.scala 255:37] + wire _T_409 = ~fetch_to_f1; // @[el2_ifu_aln_ctl.scala 272:28] + wire _T_410 = ~_T_80; // @[el2_ifu_aln_ctl.scala 272:43] + wire _T_411 = _T_409 & _T_410; // @[el2_ifu_aln_ctl.scala 272:41] + wire _T_422 = ~_T_65; // @[el2_ifu_aln_ctl.scala 277:43] + wire _T_435 = f2_wr_en & _T_1; // @[el2_ifu_aln_ctl.scala 279:38] + wire _T_437 = ~f2_wr_en; // @[el2_ifu_aln_ctl.scala 280:6] + wire _T_439 = _T_437 & _T_410; // @[el2_ifu_aln_ctl.scala 280:19] + wire _T_441 = _T_439 & _T_422; // @[el2_ifu_aln_ctl.scala 280:34] + wire _T_443 = _T_441 & _T_1; // @[el2_ifu_aln_ctl.scala 280:49] wire [1:0] _T_445 = _T_435 ? io_ifu_fetch_val : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_446 = _T_443 ? f2val : 2'h0; // @[Mux.scala 27:72] wire [1:0] f2val_in = _T_445 | _T_446; // @[Mux.scala 27:72] - wire _T_458 = fetch_to_f1 & _T_1; // @[el2_ifu_aln_ctl.scala 283:38] - wire _T_461 = _T_80 & _T_1; // @[el2_ifu_aln_ctl.scala 284:38] - wire _T_467 = _T_411 & _T_58; // @[el2_ifu_aln_ctl.scala 285:54] - wire _T_469 = _T_467 & _T_1; // @[el2_ifu_aln_ctl.scala 285:69] + wire _T_458 = fetch_to_f1 & _T_1; // @[el2_ifu_aln_ctl.scala 284:38] + wire _T_461 = _T_80 & _T_1; // @[el2_ifu_aln_ctl.scala 285:38] + wire _T_467 = _T_411 & _T_58; // @[el2_ifu_aln_ctl.scala 286:54] + wire _T_469 = _T_467 & _T_1; // @[el2_ifu_aln_ctl.scala 286:69] wire [1:0] _T_471 = _T_458 ? io_ifu_fetch_val : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_472 = _T_461 ? f2val : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_473 = _T_469 ? f1val : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_474 = _T_471 | _T_472; // @[Mux.scala 27:72] wire [1:0] f1val_in = _T_474 | _T_473; // @[Mux.scala 27:72] - wire _T_479 = ~i0_shift; // @[el2_ifu_aln_ctl.scala 287:52] + wire _T_479 = ~i0_shift; // @[el2_ifu_aln_ctl.scala 288:52] wire _T_483 = i0_shift & f0val[1]; // @[Mux.scala 27:72] wire [1:0] _T_484 = _T_479 ? f0val : 2'h0; // @[Mux.scala 27:72] wire [1:0] _GEN_23 = {{1'd0}, _T_483}; // @[Mux.scala 27:72] @@ -308,31 +821,36 @@ module el2_ifu_aln_ctl( wire [1:0] _T_631 = f0val[1] ? f0hist0 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_632 = _T_9 ? _T_630 : 2'h0; // @[Mux.scala 27:72] wire [1:0] alignhist0 = _T_631 | _T_632; // @[Mux.scala 27:72] - wire i0_brp_pc4 = alignpc4[0]; // @[el2_ifu_aln_ctl.scala 356:39] + wire i0_brp_pc4 = alignpc4[0]; // @[el2_ifu_aln_ctl.scala 357:39] + el2_ifu_compress_ctl decompressed ( // @[el2_ifu_aln_ctl.scala 100:28] + .io_din(decompressed_io_din), + .io_dout(decompressed_io_dout) + ); assign io_ifu_i0_valid = alignval[0]; // @[el2_ifu_aln_ctl.scala 116:19] assign io_ifu_i0_icaf = alignicaf[0]; // @[el2_ifu_aln_ctl.scala 115:18] - assign io_ifu_i0_icaf_type = misc0eff[52:51]; // @[el2_ifu_aln_ctl.scala 324:23] - assign io_ifu_i0_icaf_f1 = 1'h0; // @[el2_ifu_aln_ctl.scala 328:21] - assign io_ifu_i0_dbecc = aligndbecc[0]; // @[el2_ifu_aln_ctl.scala 330:19] - assign io_ifu_i0_instr = 32'h0; // @[el2_ifu_aln_ctl.scala 104:19] - assign io_ifu_i0_pc = 32'h0; // @[el2_ifu_aln_ctl.scala 320:16] + assign io_ifu_i0_icaf_type = misc0eff[52:51]; // @[el2_ifu_aln_ctl.scala 325:23] + assign io_ifu_i0_icaf_f1 = 1'h0; // @[el2_ifu_aln_ctl.scala 329:21] + assign io_ifu_i0_dbecc = aligndbecc[0]; // @[el2_ifu_aln_ctl.scala 331:19] + assign io_ifu_i0_instr = decompressed_io_dout; // @[el2_ifu_aln_ctl.scala 104:20] + assign io_ifu_i0_pc = 32'h0; // @[el2_ifu_aln_ctl.scala 321:16] assign io_ifu_i0_pc4 = 1'h0; // @[el2_ifu_aln_ctl.scala 117:17] - assign io_ifu_fb_consume1 = _T_383 & _T_1; // @[el2_ifu_aln_ctl.scala 253:22] - assign io_ifu_fb_consume2 = _T_386 & _T_1; // @[el2_ifu_aln_ctl.scala 254:22] - assign io_ifu_i0_bp_index = 7'h0; // @[el2_ifu_aln_ctl.scala 361:22] - assign io_ifu_i0_bp_fghr = misc0eff[7:0]; // @[el2_ifu_aln_ctl.scala 363:21] - assign io_ifu_i0_bp_btag = 5'h0; // @[el2_ifu_aln_ctl.scala 365:21] - assign io_ifu_pmu_instr_aligned = io_dec_i0_decode_d & _T_3; // @[el2_ifu_aln_ctl.scala 94:28] + assign io_ifu_fb_consume1 = _T_383 & _T_1; // @[el2_ifu_aln_ctl.scala 254:22] + assign io_ifu_fb_consume2 = _T_386 & _T_1; // @[el2_ifu_aln_ctl.scala 255:22] + assign io_ifu_i0_bp_index = 7'h0; // @[el2_ifu_aln_ctl.scala 362:22] + assign io_ifu_i0_bp_fghr = misc0eff[7:0]; // @[el2_ifu_aln_ctl.scala 364:21] + assign io_ifu_i0_bp_btag = 5'h0; // @[el2_ifu_aln_ctl.scala 366:21] + assign io_ifu_pmu_instr_aligned = io_dec_i0_decode_d & _T_3; // @[el2_ifu_aln_ctl.scala 96:28] assign io_ifu_i0_cinst = aligndata[15:0]; // @[el2_ifu_aln_ctl.scala 107:19] - assign io_i0_brp_valid = alignbrend[0]; // @[el2_ifu_aln_ctl.scala 340:19] - assign io_i0_brp_toffset = misc0eff[19:8]; // @[el2_ifu_aln_ctl.scala 348:21] - assign io_i0_brp_hist = {alignhist1[0],alignhist0[0]}; // @[el2_ifu_aln_ctl.scala 345:18] - assign io_i0_brp_br_error = io_i0_brp_valid & i0_brp_pc4; // @[el2_ifu_aln_ctl.scala 358:22] - assign io_i0_brp_br_start_error = 1'h0; // @[el2_ifu_aln_ctl.scala 352:29] - assign io_i0_brp_bank = 1'h0; // @[el2_ifu_aln_ctl.scala 354:29] - assign io_i0_brp_prett = {{1'd0}, f0prett}; // @[el2_ifu_aln_ctl.scala 350:19] - assign io_i0_brp_way = alignway[0]; // @[el2_ifu_aln_ctl.scala 344:17] - assign io_i0_brp_ret = alignret[0]; // @[el2_ifu_aln_ctl.scala 342:17] + assign io_i0_brp_valid = alignbrend[0]; // @[el2_ifu_aln_ctl.scala 341:19] + assign io_i0_brp_toffset = misc0eff[19:8]; // @[el2_ifu_aln_ctl.scala 349:21] + assign io_i0_brp_hist = {alignhist1[0],alignhist0[0]}; // @[el2_ifu_aln_ctl.scala 346:18] + assign io_i0_brp_br_error = io_i0_brp_valid & i0_brp_pc4; // @[el2_ifu_aln_ctl.scala 359:22] + assign io_i0_brp_br_start_error = 1'h0; // @[el2_ifu_aln_ctl.scala 353:29] + assign io_i0_brp_bank = 1'h0; // @[el2_ifu_aln_ctl.scala 355:29] + assign io_i0_brp_prett = {{1'd0}, f0prett}; // @[el2_ifu_aln_ctl.scala 351:19] + assign io_i0_brp_way = alignway[0]; // @[el2_ifu_aln_ctl.scala 345:17] + assign io_i0_brp_ret = alignret[0]; // @[el2_ifu_aln_ctl.scala 343:17] + assign decompressed_io_din = aligndata[15:0]; // @[el2_ifu_aln_ctl.scala 102:23] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -411,7 +929,7 @@ end // initial `FIRRTL_AFTER_INITIAL `endif `endif // SYNTHESIS - always @(posedge clock) begin + always @(posedge io_active_clk) begin if (reset) begin error_stall <= 1'h0; end else begin @@ -442,6 +960,23 @@ end // initial end else begin q0off <= q0off_in; end + if (reset) begin + f1val <= 2'h0; + end else begin + f1val <= f1val_in; + end + if (reset) begin + wrptr <= 2'h0; + end else begin + wrptr <= wrptr_in; + end + if (reset) begin + f2val <= 2'h0; + end else begin + f2val <= f2val_in; + end + end + always @(posedge clock) begin if (reset) begin q1 <= 32'h0; end else if (qwen[1]) begin @@ -472,21 +1007,6 @@ end // initial end else if (qwen[2]) begin _T_766 <= misc_data_in; end - if (reset) begin - f1val <= 2'h0; - end else begin - f1val <= f1val_in; - end - if (reset) begin - wrptr <= 2'h0; - end else begin - wrptr <= wrptr_in; - end - if (reset) begin - f2val <= 2'h0; - end else begin - f2val <= f2val_in; - end if (reset) begin brdata1 <= 12'h0; end else if (qwen[1]) begin diff --git a/src/main/scala/ifu/el2_ifu_aln_ctl.scala b/src/main/scala/ifu/el2_ifu_aln_ctl.scala index 0211d404..aae5fac6 100644 --- a/src/main/scala/ifu/el2_ifu_aln_ctl.scala +++ b/src/main/scala/ifu/el2_ifu_aln_ctl.scala @@ -7,6 +7,8 @@ import include._ class el2_ifu_aln_ctl extends Module with el2_lib { val io = IO(new Bundle{ val scan_mode = Input(Bool()) + val free_clk = Input(Clock()) + val active_clk = Input(Clock()) val ifu_async_error_start = Input(Bool()) val iccm_rd_ecc_double_err = Input(Bool()) val ic_access_fault_f = Input(Bool()) @@ -85,9 +87,9 @@ class el2_ifu_aln_ctl extends Module with el2_lib { - val error_stall = RegNext(error_stall_in, init = 0.U) - val f0val = RegNext(f0val_in, init = 0.U) - error_stall_in := (error_stall | io.ifu_async_error_start) & ~io.exu_flush_final + val error_stall = withClock(io.active_clk) {RegNext(error_stall_in, init = 0.U)} + val f0val = withClock(io.active_clk) {RegNext(f0val_in, init = 0.U)} + error_stall_in := (error_stall | io.ifu_async_error_start) & !io.exu_flush_final val i0_shift = io.dec_i0_decode_d & ~error_stall @@ -95,13 +97,11 @@ class el2_ifu_aln_ctl extends Module with el2_lib { val aligndata = Mux1H(Seq(f0val(0).asBool -> q0final, (~f0val(1) & f0val(0)).asBool -> Cat(q1final,q0final))) -// val decompressed = Module(new el2_ifu_compress_ctl(32, true)) + val decompressed = Module(new el2_ifu_compress_ctl()) - //decompressed.io.in := aligndata + decompressed.io.din := aligndata - //decompressed.io.out <> io.ifu_i0_instr - - io.ifu_i0_instr := 0.U + io.ifu_i0_instr := decompressed.io.dout // 16-bit compressed instruction from the aligner to the dec for tracer io.ifu_i0_cinst := aligndata(15,0) @@ -118,26 +118,27 @@ class el2_ifu_aln_ctl extends Module with el2_lib { val shift_2B = i0_shift & first2B val shift_4B = i0_shift & first4B - val f0_shift_2B = Mux1H(Seq(shift_2B.asBool -> f0val(0), shift_4B.asBool -> (~f0val(0) & f0val(0)))) - val f1_shift_2B = f0val(0) & ~f0val(1) & shift_4B + val f0_shift_2B = Mux1H(Seq(shift_2B.asBool -> f0val(0), shift_4B.asBool -> (!f0val(0) & f0val(0)))) + val f1_shift_2B = f0val(0) & !f0val(1) & shift_4B - val wrptr = RegNext(wrptr_in, init = 0.U) - val rdptr = RegNext(wrptr_in, init = 0.U) + val wrptr = withClock(io.active_clk) {RegNext(wrptr_in, init = 0.U)} + val rdptr = withClock(io.active_clk) {RegNext(wrptr_in, init = 0.U)} - val f2val = RegNext(f2val_in, init = 0.U) - val f1val = RegNext(f1val_in, init = 0.U) + val f2val = withClock(io.active_clk) {RegNext(f2val_in, init = 0.U)} + val f1val = withClock(io.active_clk) {RegNext(f1val_in, init = 0.U)} - val q2off = RegNext(q2off_in, init = 0.U) - val q1off = RegNext(q1off_in, init = 0.U) - val q0off = RegNext(q0off_in, init = 0.U) + val q2off = withClock(io.active_clk) {RegNext(q2off_in, init = 0.U)} + val q1off = withClock(io.active_clk) {RegNext(q1off_in, init = 0.U)} + val q0off = withClock(io.active_clk) {RegNext(q0off_in, init = 0.U)} - val fetch_to_f0 = ~sf0_valid & ~sf1_valid & ~f2_valid & ifvalid - val fetch_to_f1 = (~sf0_valid & ~sf1_valid & f2_valid & ifvalid) | - (~sf0_valid & sf1_valid & ~f2_valid & ifvalid) | - ( sf0_valid & ~sf1_valid & ~f2_valid & ifvalid) - val fetch_to_f2 = (~sf0_valid & sf1_valid & f2_valid & ifvalid) | - ( sf0_valid & sf1_valid & ~f2_valid & ifvalid) + val fetch_to_f0 = !sf0_valid & !sf1_valid & !f2_valid & ifvalid + val fetch_to_f1 = (!sf0_valid & !sf1_valid & f2_valid & ifvalid) | + (!sf0_valid & sf1_valid & !f2_valid & ifvalid) | + ( sf0_valid & !sf1_valid & !f2_valid & ifvalid) + + val fetch_to_f2 = (!sf0_valid & sf1_valid & f2_valid & ifvalid) | + ( sf0_valid & sf1_valid & !f2_valid & ifvalid) val f2_wr_en = fetch_to_f2 val f1_shift_wr_en = fetch_to_f1 | shift_f2_f1 | f1_shift_2B @@ -146,30 +147,30 @@ class el2_ifu_aln_ctl extends Module with el2_lib { val qren = Cat(rdptr === 2.U, rdptr === 1.U, rdptr === 0.U) val qwen = Cat(wrptr === 2.U & ifvalid, wrptr === 1.U & ifvalid, wrptr === 0.U & ifvalid) - rdptr_in := Mux1H(Seq((qren(0) & io.ifu_fb_consume1 & ~io.exu_flush_final).asBool -> 1.U, - (qren(1) & io.ifu_fb_consume1 & ~io.exu_flush_final).asBool -> 2.U, - (qren(2) & io.ifu_fb_consume1 & ~io.exu_flush_final).asBool -> 0.U, - (qren(0) & io.ifu_fb_consume2 & ~io.exu_flush_final).asBool -> 2.U, - (qren(1) & io.ifu_fb_consume2 & ~io.exu_flush_final).asBool -> 0.U, - (qren(2) & io.ifu_fb_consume2 & ~io.exu_flush_final).asBool -> 1.U, - (~io.ifu_fb_consume1 & ~io.ifu_fb_consume2 & ~io.exu_flush_final).asBool -> rdptr)) + rdptr_in := Mux1H(Seq((qren(0) & io.ifu_fb_consume1 & !io.exu_flush_final).asBool -> 1.U, + (qren(1) & io.ifu_fb_consume1 & !io.exu_flush_final).asBool -> 2.U, + (qren(2) & io.ifu_fb_consume1 & !io.exu_flush_final).asBool -> 0.U, + (qren(0) & io.ifu_fb_consume2 & !io.exu_flush_final).asBool -> 2.U, + (qren(1) & io.ifu_fb_consume2 & !io.exu_flush_final).asBool -> 0.U, + (qren(2) & io.ifu_fb_consume2 & !io.exu_flush_final).asBool -> 1.U, + (!io.ifu_fb_consume1 & !io.ifu_fb_consume2 & !io.exu_flush_final).asBool -> rdptr)) - wrptr_in := Mux1H(Seq((qwen(0) & ~io.exu_flush_final).asBool -> 1.U, - (qwen(1) & ~io.exu_flush_final).asBool -> 2.U, - (qwen(2) & ~io.exu_flush_final).asBool -> 0.U, - (~ifvalid & ~io.exu_flush_final).asBool->wrptr)) + wrptr_in := Mux1H(Seq((qwen(0) & !io.exu_flush_final).asBool -> 1.U, + (qwen(1) & !io.exu_flush_final).asBool -> 2.U, + (qwen(2) & !io.exu_flush_final).asBool -> 0.U, + (!ifvalid & !io.exu_flush_final).asBool->wrptr)) - q2off_in := Mux1H(Seq((~qwen(2) & (rdptr===2.U)).asBool->(q2off.asUInt | f0_shift_2B), - (~qwen(2) & (rdptr===1.U)).asBool->(q2off.asUInt | f1_shift_2B), - (~qwen(2) & (rdptr===0.U)).asBool->q2off)) + q2off_in := Mux1H(Seq((!qwen(2) & (rdptr===2.U)).asBool->(q2off.asUInt | f0_shift_2B), + (!qwen(2) & (rdptr===1.U)).asBool->(q2off.asUInt | f1_shift_2B), + (!qwen(2) & (rdptr===0.U)).asBool->q2off)) - q1off_in := Mux1H(Seq((~qwen(1) & (rdptr===1.U)).asBool->(q1off.asUInt | f0_shift_2B), - (~qwen(1) & (rdptr===0.U)).asBool->(q1off.asUInt | f1_shift_2B), - (~qwen(1) & (rdptr===2.U)).asBool->q1off)) + q1off_in := Mux1H(Seq((!qwen(1) & (rdptr===1.U)).asBool->(q1off.asUInt | f0_shift_2B), + (!qwen(1) & (rdptr===0.U)).asBool->(q1off.asUInt | f1_shift_2B), + (!qwen(1) & (rdptr===2.U)).asBool->q1off)) - q0off_in := Mux1H(Seq((~qwen(0) & (rdptr===0.U)).asBool -> (q0off.asUInt | f0_shift_2B), - (~qwen(0) & (rdptr===2.U)).asBool -> (q0off.asUInt | f1_shift_2B), - (~qwen(0) & (rdptr===1.U)).asBool -> q0off)) + q0off_in := Mux1H(Seq((!qwen(0) & (rdptr===0.U)).asBool -> (q0off.asUInt | f0_shift_2B), + (!qwen(0) & (rdptr===2.U)).asBool -> (q0off.asUInt | f1_shift_2B), + (!qwen(0) & (rdptr===1.U)).asBool -> q0off)) val q0ptr = Mux1H(Seq((rdptr===0.U)->q0off, (rdptr===1.U)->q1off, @@ -177,12 +178,12 @@ class el2_ifu_aln_ctl extends Module with el2_lib { val q1ptr = Mux1H(Seq((rdptr===0.U) -> q1off, (rdptr === 1.U) -> q2off, (rdptr === 2.U) -> q0off)) - val q0sel = Cat(q0ptr, ~q0ptr) + val q0sel = Cat(q0ptr, !q0ptr) - val q1sel = Cat(q1ptr, ~q1ptr) + val q1sel = Cat(q1ptr, !q1ptr) val misc_data_in = Cat(io.iccm_rd_ecc_double_err, io.ic_access_fault_f, io.ic_access_fault_type_f, - io.ifu_bp_btb_target_f(31,1), io.ifu_bp_poffset_f, io.ifu_bp_fghr_f) + io.ifu_bp_btb_target_f(31,1), io.ifu_bp_poffset_f, io.ifu_bp_fghr_f) val misceff = Mux1H(Seq(qren(0).asBool() -> Cat(misc1, misc0), qren(1).asBool()->Cat(misc2, misc1), @@ -247,81 +248,81 @@ class el2_ifu_aln_ctl extends Module with el2_lib { sf1_valid := sf1val(0) sf0_valid := sf0val(0) - val consume_fb0 = ~sf0val(0) & f0val(0) - val consume_fb1 = ~sf1val(0) & f1val(0) + val consume_fb0 = !sf0val(0) & f0val(0) + val consume_fb1 = !sf1val(0) & f1val(0) - io.ifu_fb_consume1 := consume_fb0 & ~consume_fb1 & ~io.exu_flush_final - io.ifu_fb_consume2 := consume_fb0 & consume_fb1 & ~io.exu_flush_final + io.ifu_fb_consume1 := consume_fb0 & !consume_fb1 & !io.exu_flush_final + io.ifu_fb_consume2 := consume_fb0 & consume_fb1 & !io.exu_flush_final ifvalid := io.ifu_fetch_val(0) - shift_f1_f0 := ~sf0_valid & sf1_valid - shift_f2_f0 := ~sf0_valid & ~sf1_valid & f2_valid - shift_f2_f1 := ~sf0_valid & sf1_valid & f2_valid + shift_f1_f0 := !sf0_valid & sf1_valid + shift_f2_f0 := !sf0_valid & !sf1_valid & f2_valid + shift_f2_f1 := !sf0_valid & sf1_valid & f2_valid val f0pc = WireInit(UInt(31.W), 0.U) val f2pc = WireInit(UInt(31.W), 0.U) val f0pc_plus1 = f0pc + 1.U - val sf1pc = (Fill(31, f1_shift_2B) & f0pc_plus1) | (Fill(31, ~f1_shift_2B) & f0pc) + val sf1pc = (Fill(31, f1_shift_2B) & f0pc_plus1) | (Fill(31, !f1_shift_2B) & f0pc) val f1pc_in = Mux1H(Seq(fetch_to_f1.asBool->io.ifu_fetch_pc, shift_f2_f1.asBool->f2pc, - (~fetch_to_f1 & ~shift_f2_f1).asBool -> sf1pc)) + (!fetch_to_f1 & !shift_f2_f1).asBool -> sf1pc)) val f0pc_in = Mux1H(Seq(fetch_to_f0.asBool->io.ifu_fetch_pc, shift_f2_f0.asBool->f2pc, shift_f1_f0.asBool->sf1pc, - (~fetch_to_f0 & ~shift_f2_f0 & ~shift_f1_f0).asBool->f0pc_plus1)) + (!fetch_to_f0 & !shift_f2_f0 & !shift_f1_f0).asBool->f0pc_plus1)) - f2val_in := Mux1H(Seq((fetch_to_f2 & ~io.exu_flush_final).asBool->io.ifu_fetch_val, - (~fetch_to_f2 & ~shift_f2_f1 & ~shift_f2_f0 & ~io.exu_flush_final).asBool->f2val)) + f2val_in := Mux1H(Seq((fetch_to_f2 & !io.exu_flush_final).asBool->io.ifu_fetch_val, + (!fetch_to_f2 & !shift_f2_f1 & !shift_f2_f0 & !io.exu_flush_final).asBool->f2val)) - sf1val := Mux1H(Seq(f1_shift_2B.asBool->f1val(1), ~f1_shift_2B.asBool->f1val)) + sf1val := Mux1H(Seq(f1_shift_2B.asBool->f1val(1), !f1_shift_2B.asBool->f1val)) - f1val_in := Mux1H(Seq((fetch_to_f1 & ~io.exu_flush_final).asBool -> io.ifu_fetch_val, - (shift_f2_f1 & ~io.exu_flush_final).asBool->f2val, - (~fetch_to_f1 & ~shift_f2_f1 & ~shift_f1_f0 & ~io.exu_flush_final).asBool->sf1val)) + f1val_in := Mux1H(Seq((fetch_to_f1 & !io.exu_flush_final).asBool -> io.ifu_fetch_val, + (shift_f2_f1 & !io.exu_flush_final).asBool->f2val, + (!fetch_to_f1 & !shift_f2_f1 & !shift_f1_f0 & !io.exu_flush_final).asBool->sf1val)) - f0val := Mux1H(Seq(shift_2B.asBool -> f0val(1), (~shift_2B & ~shift_4B).asBool -> f0val)) + f0val := Mux1H(Seq(shift_2B.asBool -> f0val(1), (!shift_2B & !shift_4B).asBool -> f0val)) - f0val_in := Mux1H(Seq((fetch_to_f0 & ~io.exu_flush_final).asBool->io.ifu_fetch_val, - (shift_f2_f0 & ~io.exu_flush_final).asBool->f2val, - (shift_f1_f0 & ~io.exu_flush_final).asBool()->sf1val, - (~fetch_to_f0 & ~shift_f2_f0 & ~shift_f1_f0 & ~io.exu_flush_final).asBool->sf0val)) + f0val_in := Mux1H(Seq((fetch_to_f0 & !io.exu_flush_final).asBool->io.ifu_fetch_val, + (shift_f2_f0 & !io.exu_flush_final).asBool->f2val, + (shift_f1_f0 & !io.exu_flush_final).asBool()->sf1val, + (!fetch_to_f0 & !shift_f2_f0 & !shift_f1_f0 & !io.exu_flush_final).asBool->sf0val)) q0final := Mux1H(Seq(q0sel(0).asBool->q0eff, q0sel(1).asBool->q0eff(31,16))) q1final := Mux1H(Seq(q1sel(0).asBool->q1eff(15,0), q1sel(1).asBool->q1eff(31,16))) - alignval := Mux1H(Seq(f0val(1).asBool->3.U, (~f0val(1) & f0val(0)) -> Cat(f1val(0),1.U))) + alignval := Mux1H(Seq(f0val(1).asBool->3.U, (!f0val(1) & f0val(0)) -> Cat(f1val(0),1.U))) - val aligndbecc = Mux1H(Seq(f0val(1).asBool -> Fill(2,f0dbecc), (~f0val(1) & f0val(0)).asBool -> Cat(f1dbecc,f0dbecc))) + val aligndbecc = Mux1H(Seq(f0val(1).asBool -> Fill(2,f0dbecc), (!f0val(1) & f0val(0)).asBool -> Cat(f1dbecc,f0dbecc))) - val alignbrend = Mux1H(Seq(f0val(1).asBool()->f0brend, (~f0val(1) & f0val(0)).asBool->Cat(f1brend(0),f0brend(0)))) + val alignbrend = Mux1H(Seq(f0val(1).asBool()->f0brend, (!f0val(1) & f0val(0)).asBool->Cat(f1brend(0),f0brend(0)))) - val alignpc4 = Mux1H(Seq(f0val(1).asBool()->f0pc4, (~f0val(1) & f0val(0)).asBool->Cat(f1pc4(0),f0pc4(0)))) + val alignpc4 = Mux1H(Seq(f0val(1).asBool()->f0pc4, (!f0val(1) & f0val(0)).asBool->Cat(f1pc4(0),f0pc4(0)))) - val alignret = Mux1H(Seq(f0val(1).asBool()->f0ret, (~f0val(1) & f0val(0)).asBool->Cat(f1ret(0),f0ret(0)))) + val alignret = Mux1H(Seq(f0val(1).asBool()->f0ret, (!f0val(1) & f0val(0)).asBool->Cat(f1ret(0),f0ret(0)))) - val alignway = Mux1H(Seq(f0val(1).asBool()->f0way, (~f0val(1) & f0val(0)).asBool->Cat(f1way(0),f0way(0)))) + val alignway = Mux1H(Seq(f0val(1).asBool()->f0way, (!f0val(1) & f0val(0)).asBool->Cat(f1way(0),f0way(0)))) - val alignhist1 = Mux1H(Seq(f0val(1).asBool()->f0hist1, (~f0val(1) & f0val(0)).asBool->Cat(f1hist1(0),f0hist1(0)))) + val alignhist1 = Mux1H(Seq(f0val(1).asBool()->f0hist1, (!f0val(1) & f0val(0)).asBool->Cat(f1hist1(0),f0hist1(0)))) - val alignhist0 = Mux1H(Seq(f0val(1).asBool()->f0hist0, (~f0val(1) & f0val(0)).asBool->Cat(f1hist0(0),f0hist0(0)))) + val alignhist0 = Mux1H(Seq(f0val(1).asBool()->f0hist0, (!f0val(1) & f0val(0)).asBool->Cat(f1hist0(0),f0hist0(0)))) - val alignfromf1 = ~f0val(1) & f0val(0) + val alignfromf1 = !f0val(1) & f0val(0) val f1pc = WireInit(UInt(31.W), init = 0.U) - val secondpc = Mux1H(Seq(f0val(1).asBool()->f0pc_plus1 , (~f0val(1) & f0val(0)).asBool->f1pc)) + val secondpc = Mux1H(Seq(f0val(1).asBool()->f0pc_plus1 , (!f0val(1) & f0val(0)).asBool->f1pc)) io.ifu_i0_pc := f0pc val firstpc = f0pc - io.ifu_i0_icaf_type := Mux((first4B & ~f0val(1) & f0val(0) & ~alignicaf(0) & ~aligndbecc(0)).asBool, f1ictype, f0ictype) + io.ifu_i0_icaf_type := Mux((first4B & !f0val(1) & f0val(0) & !alignicaf(0) & !aligndbecc(0)).asBool, f1ictype, f0ictype) val icaf_eff = alignicaf(1) | aligndbecc(1) diff --git a/src/main/scala/ifu/el2_ifu_ic_mem.scala b/src/main/scala/ifu/el2_ifu_ic_mem.scala index 73144981..958b0548 100644 --- a/src/main/scala/ifu/el2_ifu_ic_mem.scala +++ b/src/main/scala/ifu/el2_ifu_ic_mem.scala @@ -270,8 +270,8 @@ class EL2_IC_DATA extends Module with el2_lib { val ic_debug_rd_data = Mux1H((0 until ICACHE_NUM_WAYS).map(i=>ic_rd_hit_q(i).asBool->wb_dout_way_pre(i)(70,0))) val wb_dout_ecc = Mux1H((0 until ICACHE_NUM_WAYS).map(i=>ic_rd_hit_q(i).asBool->wb_dout_way_pre(i))) - val bank_check_en = for(i<-0 until ICACHE_BANKS_WAY) yield(io.ic_rd_hit.orR & ((i.U==0.U).asBool | (!ic_cacheline_wrap_ff & (ic_b_rden_ff(ICACHE_BANKS_WAY-1,0) === Fill(ICACHE_BANKS_WAY,1.U))))) -// val wb_dout_ecc_bank = (0 until ICACHE_BANKS_WAY).map(i=> wb_dout_ecc((71*i)+70,71*i))) + val bank_check_en = for(i<-0 until ICACHE_BANKS_WAY) yield io.ic_rd_hit.orR & ((i.U==0.U).asBool | (!ic_cacheline_wrap_ff & (ic_b_rden_ff(ICACHE_BANKS_WAY-1,0) === Fill(ICACHE_BANKS_WAY,1.U)))) + val wb_dout_ecc_bank = (0 until ICACHE_BANKS_WAY).map(i=> wb_dout_ecc((71*i)+70,71*i)) } object ifu_ic extends App { diff --git a/target/scala-2.12/classes/ifu/EL2_IC_DATA.class b/target/scala-2.12/classes/ifu/EL2_IC_DATA.class index f41421ef..052e7d0a 100644 Binary files a/target/scala-2.12/classes/ifu/EL2_IC_DATA.class and b/target/scala-2.12/classes/ifu/EL2_IC_DATA.class differ diff --git a/target/scala-2.12/classes/ifu/el2_ifu_aln_ctl$$anon$1.class b/target/scala-2.12/classes/ifu/el2_ifu_aln_ctl$$anon$1.class index 4d865d8b..349904f8 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_aln_ctl$$anon$1.class and b/target/scala-2.12/classes/ifu/el2_ifu_aln_ctl$$anon$1.class differ diff --git a/target/scala-2.12/classes/ifu/el2_ifu_aln_ctl.class b/target/scala-2.12/classes/ifu/el2_ifu_aln_ctl.class index 01103266..562da640 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_aln_ctl.class and b/target/scala-2.12/classes/ifu/el2_ifu_aln_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_aln$.class b/target/scala-2.12/classes/ifu/ifu_aln$.class index 10da7d7e..5e23da6c 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_aln$.class and b/target/scala-2.12/classes/ifu/ifu_aln$.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_aln$delayedInit$body.class b/target/scala-2.12/classes/ifu/ifu_aln$delayedInit$body.class index 09e1fb3f..eb5686bd 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_aln$delayedInit$body.class and b/target/scala-2.12/classes/ifu/ifu_aln$delayedInit$body.class differ