From 17fe416e15bb5b3aa528fba2bff420332bc33463 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?=E2=80=8BLaraib=20Khan?= <​laraib.khan@lampromellon.com> Date: Mon, 28 Dec 2020 10:41:40 +0500 Subject: [PATCH] bus buffer with reg_fpga updated --- lsu_bus_buffer.fir | 7299 +++++++++-------- lsu_bus_buffer.v | 3625 ++++---- src/main/scala/lib/lib.scala | 9 +- src/main/scala/lib/param.scala | 2 +- .../classes/lib/lib$rvdff_fpga$.class | Bin 3282 -> 3060 bytes .../scala-2.12/classes/lib/lib$rvdffe$.class | Bin 10998 -> 10996 bytes .../classes/lib/lib$rvdffs_fpga$.class | Bin 3579 -> 3314 bytes target/scala-2.12/classes/lib/lib.class | Bin 56240 -> 56240 bytes target/scala-2.12/classes/lib/param.class | Bin 23491 -> 23491 bytes 9 files changed, 5479 insertions(+), 5456 deletions(-) diff --git a/lsu_bus_buffer.fir b/lsu_bus_buffer.fir index 06a7b752..ed24c927 100644 --- a/lsu_bus_buffer.fir +++ b/lsu_bus_buffer.fir @@ -1584,28 +1584,28 @@ circuit lsu_bus_buffer : when ibuf_wr_en : @[Reg.scala 28:19] ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - inst rvclkhdr of rvclkhdr @[lib.scala 390:23] + inst rvclkhdr of rvclkhdr @[lib.scala 391:23] rvclkhdr.clock <= clock rvclkhdr.reset <= reset - rvclkhdr.io.clk <= clock @[lib.scala 392:18] - rvclkhdr.io.en <= ibuf_wr_en @[lib.scala 393:17] - rvclkhdr.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_1012 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 396:16] - _T_1012 <= ibuf_addr_in @[lib.scala 396:16] + rvclkhdr.io.clk <= clock @[lib.scala 393:18] + rvclkhdr.io.en <= ibuf_wr_en @[lib.scala 394:17] + rvclkhdr.io.scan_mode <= UInt<1>("h00") @[lib.scala 395:24] + reg _T_1012 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 397:16] + _T_1012 <= ibuf_addr_in @[lib.scala 397:16] ibuf_addr <= _T_1012 @[lsu_bus_buffer.scala 253:13] reg _T_1013 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] _T_1013 <= ibuf_byteen_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] ibuf_byteen <= _T_1013 @[lsu_bus_buffer.scala 254:15] - inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 390:23] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 391:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset - rvclkhdr_1.io.clk <= clock @[lib.scala 392:18] - rvclkhdr_1.io.en <= ibuf_wr_en @[lib.scala 393:17] - rvclkhdr_1.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_1014 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 396:16] - _T_1014 <= ibuf_data_in @[lib.scala 396:16] + rvclkhdr_1.io.clk <= clock @[lib.scala 393:18] + rvclkhdr_1.io.en <= ibuf_wr_en @[lib.scala 394:17] + rvclkhdr_1.io.scan_mode <= UInt<1>("h00") @[lib.scala 395:24] + reg _T_1014 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 397:16] + _T_1014 <= ibuf_data_in @[lib.scala 397:16] ibuf_data <= _T_1014 @[lsu_bus_buffer.scala 255:13] reg _T_1015 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 256:55] _T_1015 <= ibuf_timer_in @[lsu_bus_buffer.scala 256:55] @@ -2513,8 +2513,10 @@ circuit lsu_bus_buffer : node _T_1769 = and(_T_1768, io.ldst_dual_r) @[lsu_bus_buffer.scala 345:35] node _T_1770 = or(_T_1767, _T_1769) @[lsu_bus_buffer.scala 344:250] obuf_merge_en <= _T_1770 @[lsu_bus_buffer.scala 342:17] - reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 377:33] - obuf_wr_enQ <= obuf_wr_en @[lib.scala 377:33] + reg obuf_wr_enQ : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when io.lsu_busm_clken : @[Reg.scala 28:19] + obuf_wr_enQ <= obuf_wr_en @[Reg.scala 28:23] + skip @[Reg.scala 28:19] node _T_1771 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 348:58] node _T_1772 = eq(obuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 348:93] node _T_1773 = and(_T_1771, _T_1772) @[lsu_bus_buffer.scala 348:91] @@ -2531,367 +2533,382 @@ circuit lsu_bus_buffer : _T_1776 <= obuf_rdrsp_pend_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] obuf_rdrsp_pend <= _T_1776 @[lsu_bus_buffer.scala 350:19] - reg _T_1777 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 377:33] - _T_1777 <= obuf_cmd_done_in @[lib.scala 377:33] - obuf_cmd_done <= _T_1777 @[lsu_bus_buffer.scala 351:17] - reg _T_1778 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 377:33] - _T_1778 <= obuf_data_done_in @[lib.scala 377:33] - obuf_data_done <= _T_1778 @[lsu_bus_buffer.scala 352:18] - reg _T_1779 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 377:33] - _T_1779 <= obuf_rdrsp_tag_in @[lib.scala 377:33] - obuf_rdrsp_tag <= _T_1779 @[lsu_bus_buffer.scala 353:18] - reg _T_1780 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when obuf_wr_en : @[Reg.scala 28:19] - _T_1780 <= obuf_tag0_in @[Reg.scala 28:23] + reg _T_1777 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when io.lsu_busm_clken : @[Reg.scala 28:19] + _T_1777 <= obuf_cmd_done_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_tag0 <= _T_1780 @[lsu_bus_buffer.scala 354:13] - reg obuf_tag1 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when obuf_wr_en : @[Reg.scala 28:19] + obuf_cmd_done <= _T_1777 @[lsu_bus_buffer.scala 351:17] + reg _T_1778 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when io.lsu_busm_clken : @[Reg.scala 28:19] + _T_1778 <= obuf_data_done_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_data_done <= _T_1778 @[lsu_bus_buffer.scala 352:18] + reg _T_1779 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when io.lsu_busm_clken : @[Reg.scala 28:19] + _T_1779 <= obuf_rdrsp_tag_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_rdrsp_tag <= _T_1779 @[lsu_bus_buffer.scala 353:18] + node _T_1780 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 384:57] + reg _T_1781 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1780 : @[Reg.scala 28:19] + _T_1781 <= obuf_tag0_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_tag0 <= _T_1781 @[lsu_bus_buffer.scala 354:13] + node _T_1782 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 384:57] + reg obuf_tag1 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1782 : @[Reg.scala 28:19] obuf_tag1 <= obuf_tag1_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - reg obuf_merge : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when obuf_wr_en : @[Reg.scala 28:19] + node _T_1783 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 384:57] + reg obuf_merge : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1783 : @[Reg.scala 28:19] obuf_merge <= obuf_merge_en @[Reg.scala 28:23] skip @[Reg.scala 28:19] - reg _T_1781 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when obuf_wr_en : @[Reg.scala 28:19] - _T_1781 <= obuf_write_in @[Reg.scala 28:23] + node _T_1784 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 384:57] + reg _T_1785 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1784 : @[Reg.scala 28:19] + _T_1785 <= obuf_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_write <= _T_1781 @[lsu_bus_buffer.scala 357:14] - reg _T_1782 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when obuf_wr_en : @[Reg.scala 28:19] - _T_1782 <= obuf_sideeffect_in @[Reg.scala 28:23] + obuf_write <= _T_1785 @[lsu_bus_buffer.scala 357:14] + node _T_1786 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 384:57] + reg _T_1787 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1786 : @[Reg.scala 28:19] + _T_1787 <= obuf_sideeffect_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_sideeffect <= _T_1782 @[lsu_bus_buffer.scala 358:19] - reg obuf_sz : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when obuf_wr_en : @[Reg.scala 28:19] + obuf_sideeffect <= _T_1787 @[lsu_bus_buffer.scala 358:19] + node _T_1788 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 384:57] + reg obuf_sz : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1788 : @[Reg.scala 28:19] obuf_sz <= obuf_sz_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - reg obuf_byteen : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when obuf_wr_en : @[Reg.scala 28:19] + node _T_1789 = and(io.lsu_bus_obuf_c1_clken, obuf_wr_en) @[lib.scala 384:57] + reg obuf_byteen : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1789 : @[Reg.scala 28:19] obuf_byteen <= obuf_byteen_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 390:23] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 391:23] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset - rvclkhdr_2.io.clk <= clock @[lib.scala 392:18] - rvclkhdr_2.io.en <= obuf_wr_en @[lib.scala 393:17] - rvclkhdr_2.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_1783 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 396:16] - _T_1783 <= obuf_addr_in @[lib.scala 396:16] - obuf_addr <= _T_1783 @[lsu_bus_buffer.scala 361:13] - inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 390:23] + rvclkhdr_2.io.clk <= clock @[lib.scala 393:18] + rvclkhdr_2.io.en <= obuf_wr_en @[lib.scala 394:17] + rvclkhdr_2.io.scan_mode <= UInt<1>("h00") @[lib.scala 395:24] + reg _T_1790 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 397:16] + _T_1790 <= obuf_addr_in @[lib.scala 397:16] + obuf_addr <= _T_1790 @[lsu_bus_buffer.scala 361:13] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 391:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset - rvclkhdr_3.io.clk <= clock @[lib.scala 392:18] - rvclkhdr_3.io.en <= obuf_wr_en @[lib.scala 393:17] - rvclkhdr_3.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 396:16] - obuf_data <= obuf_data_in @[lib.scala 396:16] - reg _T_1784 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 377:33] - _T_1784 <= obuf_data_done_in @[lib.scala 377:33] - obuf_wr_timer <= _T_1784 @[lsu_bus_buffer.scala 363:17] + rvclkhdr_3.io.clk <= clock @[lib.scala 393:18] + rvclkhdr_3.io.en <= obuf_wr_en @[lib.scala 394:17] + rvclkhdr_3.io.scan_mode <= UInt<1>("h00") @[lib.scala 395:24] + reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 397:16] + obuf_data <= obuf_data_in @[lib.scala 397:16] + reg _T_1791 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1791 <= obuf_data_done_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_wr_timer <= _T_1791 @[lsu_bus_buffer.scala 363:17] wire WrPtr0_m : UInt<2> WrPtr0_m <= UInt<1>("h00") - node _T_1785 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 366:65] - node _T_1786 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 367:30] - node _T_1787 = and(ibuf_valid, _T_1786) @[lsu_bus_buffer.scala 367:19] - node _T_1788 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 368:18] - node _T_1789 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 368:57] - node _T_1790 = and(io.ldst_dual_r, _T_1789) @[lsu_bus_buffer.scala 368:45] - node _T_1791 = or(_T_1788, _T_1790) @[lsu_bus_buffer.scala 368:27] - node _T_1792 = and(io.lsu_busreq_r, _T_1791) @[lsu_bus_buffer.scala 367:58] - node _T_1793 = or(_T_1787, _T_1792) @[lsu_bus_buffer.scala 367:39] - node _T_1794 = eq(_T_1793, UInt<1>("h00")) @[lsu_bus_buffer.scala 367:5] - node _T_1795 = and(_T_1785, _T_1794) @[lsu_bus_buffer.scala 366:76] - node _T_1796 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 366:65] - node _T_1797 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 367:30] - node _T_1798 = and(ibuf_valid, _T_1797) @[lsu_bus_buffer.scala 367:19] - node _T_1799 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 368:18] - node _T_1800 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 368:57] - node _T_1801 = and(io.ldst_dual_r, _T_1800) @[lsu_bus_buffer.scala 368:45] - node _T_1802 = or(_T_1799, _T_1801) @[lsu_bus_buffer.scala 368:27] - node _T_1803 = and(io.lsu_busreq_r, _T_1802) @[lsu_bus_buffer.scala 367:58] - node _T_1804 = or(_T_1798, _T_1803) @[lsu_bus_buffer.scala 367:39] - node _T_1805 = eq(_T_1804, UInt<1>("h00")) @[lsu_bus_buffer.scala 367:5] - node _T_1806 = and(_T_1796, _T_1805) @[lsu_bus_buffer.scala 366:76] - node _T_1807 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 366:65] - node _T_1808 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 367:30] - node _T_1809 = and(ibuf_valid, _T_1808) @[lsu_bus_buffer.scala 367:19] - node _T_1810 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 368:18] - node _T_1811 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 368:57] - node _T_1812 = and(io.ldst_dual_r, _T_1811) @[lsu_bus_buffer.scala 368:45] - node _T_1813 = or(_T_1810, _T_1812) @[lsu_bus_buffer.scala 368:27] - node _T_1814 = and(io.lsu_busreq_r, _T_1813) @[lsu_bus_buffer.scala 367:58] - node _T_1815 = or(_T_1809, _T_1814) @[lsu_bus_buffer.scala 367:39] - node _T_1816 = eq(_T_1815, UInt<1>("h00")) @[lsu_bus_buffer.scala 367:5] - node _T_1817 = and(_T_1807, _T_1816) @[lsu_bus_buffer.scala 366:76] - node _T_1818 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 366:65] - node _T_1819 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 367:30] - node _T_1820 = and(ibuf_valid, _T_1819) @[lsu_bus_buffer.scala 367:19] - node _T_1821 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 368:18] - node _T_1822 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 368:57] - node _T_1823 = and(io.ldst_dual_r, _T_1822) @[lsu_bus_buffer.scala 368:45] - node _T_1824 = or(_T_1821, _T_1823) @[lsu_bus_buffer.scala 368:27] - node _T_1825 = and(io.lsu_busreq_r, _T_1824) @[lsu_bus_buffer.scala 367:58] - node _T_1826 = or(_T_1820, _T_1825) @[lsu_bus_buffer.scala 367:39] - node _T_1827 = eq(_T_1826, UInt<1>("h00")) @[lsu_bus_buffer.scala 367:5] - node _T_1828 = and(_T_1818, _T_1827) @[lsu_bus_buffer.scala 366:76] - node _T_1829 = mux(_T_1828, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] - node _T_1830 = mux(_T_1817, UInt<2>("h02"), _T_1829) @[Mux.scala 98:16] - node _T_1831 = mux(_T_1806, UInt<1>("h01"), _T_1830) @[Mux.scala 98:16] - node _T_1832 = mux(_T_1795, UInt<1>("h00"), _T_1831) @[Mux.scala 98:16] - WrPtr0_m <= _T_1832 @[lsu_bus_buffer.scala 366:12] + node _T_1792 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 366:65] + node _T_1793 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 367:30] + node _T_1794 = and(ibuf_valid, _T_1793) @[lsu_bus_buffer.scala 367:19] + node _T_1795 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 368:18] + node _T_1796 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 368:57] + node _T_1797 = and(io.ldst_dual_r, _T_1796) @[lsu_bus_buffer.scala 368:45] + node _T_1798 = or(_T_1795, _T_1797) @[lsu_bus_buffer.scala 368:27] + node _T_1799 = and(io.lsu_busreq_r, _T_1798) @[lsu_bus_buffer.scala 367:58] + node _T_1800 = or(_T_1794, _T_1799) @[lsu_bus_buffer.scala 367:39] + node _T_1801 = eq(_T_1800, UInt<1>("h00")) @[lsu_bus_buffer.scala 367:5] + node _T_1802 = and(_T_1792, _T_1801) @[lsu_bus_buffer.scala 366:76] + node _T_1803 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 366:65] + node _T_1804 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 367:30] + node _T_1805 = and(ibuf_valid, _T_1804) @[lsu_bus_buffer.scala 367:19] + node _T_1806 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 368:18] + node _T_1807 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 368:57] + node _T_1808 = and(io.ldst_dual_r, _T_1807) @[lsu_bus_buffer.scala 368:45] + node _T_1809 = or(_T_1806, _T_1808) @[lsu_bus_buffer.scala 368:27] + node _T_1810 = and(io.lsu_busreq_r, _T_1809) @[lsu_bus_buffer.scala 367:58] + node _T_1811 = or(_T_1805, _T_1810) @[lsu_bus_buffer.scala 367:39] + node _T_1812 = eq(_T_1811, UInt<1>("h00")) @[lsu_bus_buffer.scala 367:5] + node _T_1813 = and(_T_1803, _T_1812) @[lsu_bus_buffer.scala 366:76] + node _T_1814 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 366:65] + node _T_1815 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 367:30] + node _T_1816 = and(ibuf_valid, _T_1815) @[lsu_bus_buffer.scala 367:19] + node _T_1817 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 368:18] + node _T_1818 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 368:57] + node _T_1819 = and(io.ldst_dual_r, _T_1818) @[lsu_bus_buffer.scala 368:45] + node _T_1820 = or(_T_1817, _T_1819) @[lsu_bus_buffer.scala 368:27] + node _T_1821 = and(io.lsu_busreq_r, _T_1820) @[lsu_bus_buffer.scala 367:58] + node _T_1822 = or(_T_1816, _T_1821) @[lsu_bus_buffer.scala 367:39] + node _T_1823 = eq(_T_1822, UInt<1>("h00")) @[lsu_bus_buffer.scala 367:5] + node _T_1824 = and(_T_1814, _T_1823) @[lsu_bus_buffer.scala 366:76] + node _T_1825 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 366:65] + node _T_1826 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 367:30] + node _T_1827 = and(ibuf_valid, _T_1826) @[lsu_bus_buffer.scala 367:19] + node _T_1828 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 368:18] + node _T_1829 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 368:57] + node _T_1830 = and(io.ldst_dual_r, _T_1829) @[lsu_bus_buffer.scala 368:45] + node _T_1831 = or(_T_1828, _T_1830) @[lsu_bus_buffer.scala 368:27] + node _T_1832 = and(io.lsu_busreq_r, _T_1831) @[lsu_bus_buffer.scala 367:58] + node _T_1833 = or(_T_1827, _T_1832) @[lsu_bus_buffer.scala 367:39] + node _T_1834 = eq(_T_1833, UInt<1>("h00")) @[lsu_bus_buffer.scala 367:5] + node _T_1835 = and(_T_1825, _T_1834) @[lsu_bus_buffer.scala 366:76] + node _T_1836 = mux(_T_1835, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] + node _T_1837 = mux(_T_1824, UInt<2>("h02"), _T_1836) @[Mux.scala 98:16] + node _T_1838 = mux(_T_1813, UInt<1>("h01"), _T_1837) @[Mux.scala 98:16] + node _T_1839 = mux(_T_1802, UInt<1>("h00"), _T_1838) @[Mux.scala 98:16] + WrPtr0_m <= _T_1839 @[lsu_bus_buffer.scala 366:12] wire WrPtr1_m : UInt<2> WrPtr1_m <= UInt<1>("h00") - node _T_1833 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 372:65] - node _T_1834 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:103] - node _T_1835 = and(ibuf_valid, _T_1834) @[lsu_bus_buffer.scala 372:92] - node _T_1836 = eq(WrPtr0_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 373:33] - node _T_1837 = and(io.lsu_busreq_m, _T_1836) @[lsu_bus_buffer.scala 373:22] - node _T_1838 = or(_T_1835, _T_1837) @[lsu_bus_buffer.scala 372:112] - node _T_1839 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 374:36] - node _T_1840 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 375:34] - node _T_1841 = and(io.ldst_dual_r, _T_1840) @[lsu_bus_buffer.scala 375:23] - node _T_1842 = or(_T_1839, _T_1841) @[lsu_bus_buffer.scala 374:46] - node _T_1843 = and(io.lsu_busreq_r, _T_1842) @[lsu_bus_buffer.scala 374:22] - node _T_1844 = or(_T_1838, _T_1843) @[lsu_bus_buffer.scala 373:42] - node _T_1845 = eq(_T_1844, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:78] - node _T_1846 = and(_T_1833, _T_1845) @[lsu_bus_buffer.scala 372:76] - node _T_1847 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 372:65] - node _T_1848 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 372:103] - node _T_1849 = and(ibuf_valid, _T_1848) @[lsu_bus_buffer.scala 372:92] - node _T_1850 = eq(WrPtr0_m, UInt<1>("h01")) @[lsu_bus_buffer.scala 373:33] - node _T_1851 = and(io.lsu_busreq_m, _T_1850) @[lsu_bus_buffer.scala 373:22] - node _T_1852 = or(_T_1849, _T_1851) @[lsu_bus_buffer.scala 372:112] - node _T_1853 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 374:36] - node _T_1854 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 375:34] - node _T_1855 = and(io.ldst_dual_r, _T_1854) @[lsu_bus_buffer.scala 375:23] - node _T_1856 = or(_T_1853, _T_1855) @[lsu_bus_buffer.scala 374:46] - node _T_1857 = and(io.lsu_busreq_r, _T_1856) @[lsu_bus_buffer.scala 374:22] - node _T_1858 = or(_T_1852, _T_1857) @[lsu_bus_buffer.scala 373:42] - node _T_1859 = eq(_T_1858, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:78] - node _T_1860 = and(_T_1847, _T_1859) @[lsu_bus_buffer.scala 372:76] - node _T_1861 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 372:65] - node _T_1862 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 372:103] - node _T_1863 = and(ibuf_valid, _T_1862) @[lsu_bus_buffer.scala 372:92] - node _T_1864 = eq(WrPtr0_m, UInt<2>("h02")) @[lsu_bus_buffer.scala 373:33] - node _T_1865 = and(io.lsu_busreq_m, _T_1864) @[lsu_bus_buffer.scala 373:22] - node _T_1866 = or(_T_1863, _T_1865) @[lsu_bus_buffer.scala 372:112] - node _T_1867 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 374:36] - node _T_1868 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 375:34] - node _T_1869 = and(io.ldst_dual_r, _T_1868) @[lsu_bus_buffer.scala 375:23] - node _T_1870 = or(_T_1867, _T_1869) @[lsu_bus_buffer.scala 374:46] - node _T_1871 = and(io.lsu_busreq_r, _T_1870) @[lsu_bus_buffer.scala 374:22] - node _T_1872 = or(_T_1866, _T_1871) @[lsu_bus_buffer.scala 373:42] - node _T_1873 = eq(_T_1872, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:78] - node _T_1874 = and(_T_1861, _T_1873) @[lsu_bus_buffer.scala 372:76] - node _T_1875 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 372:65] - node _T_1876 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 372:103] - node _T_1877 = and(ibuf_valid, _T_1876) @[lsu_bus_buffer.scala 372:92] - node _T_1878 = eq(WrPtr0_m, UInt<2>("h03")) @[lsu_bus_buffer.scala 373:33] - node _T_1879 = and(io.lsu_busreq_m, _T_1878) @[lsu_bus_buffer.scala 373:22] - node _T_1880 = or(_T_1877, _T_1879) @[lsu_bus_buffer.scala 372:112] - node _T_1881 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 374:36] - node _T_1882 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 375:34] - node _T_1883 = and(io.ldst_dual_r, _T_1882) @[lsu_bus_buffer.scala 375:23] - node _T_1884 = or(_T_1881, _T_1883) @[lsu_bus_buffer.scala 374:46] - node _T_1885 = and(io.lsu_busreq_r, _T_1884) @[lsu_bus_buffer.scala 374:22] - node _T_1886 = or(_T_1880, _T_1885) @[lsu_bus_buffer.scala 373:42] - node _T_1887 = eq(_T_1886, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:78] - node _T_1888 = and(_T_1875, _T_1887) @[lsu_bus_buffer.scala 372:76] - node _T_1889 = mux(_T_1888, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] - node _T_1890 = mux(_T_1874, UInt<2>("h02"), _T_1889) @[Mux.scala 98:16] - node _T_1891 = mux(_T_1860, UInt<1>("h01"), _T_1890) @[Mux.scala 98:16] - node _T_1892 = mux(_T_1846, UInt<1>("h00"), _T_1891) @[Mux.scala 98:16] - WrPtr1_m <= _T_1892 @[lsu_bus_buffer.scala 372:12] + node _T_1840 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 372:65] + node _T_1841 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:103] + node _T_1842 = and(ibuf_valid, _T_1841) @[lsu_bus_buffer.scala 372:92] + node _T_1843 = eq(WrPtr0_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 373:33] + node _T_1844 = and(io.lsu_busreq_m, _T_1843) @[lsu_bus_buffer.scala 373:22] + node _T_1845 = or(_T_1842, _T_1844) @[lsu_bus_buffer.scala 372:112] + node _T_1846 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 374:36] + node _T_1847 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 375:34] + node _T_1848 = and(io.ldst_dual_r, _T_1847) @[lsu_bus_buffer.scala 375:23] + node _T_1849 = or(_T_1846, _T_1848) @[lsu_bus_buffer.scala 374:46] + node _T_1850 = and(io.lsu_busreq_r, _T_1849) @[lsu_bus_buffer.scala 374:22] + node _T_1851 = or(_T_1845, _T_1850) @[lsu_bus_buffer.scala 373:42] + node _T_1852 = eq(_T_1851, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:78] + node _T_1853 = and(_T_1840, _T_1852) @[lsu_bus_buffer.scala 372:76] + node _T_1854 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 372:65] + node _T_1855 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 372:103] + node _T_1856 = and(ibuf_valid, _T_1855) @[lsu_bus_buffer.scala 372:92] + node _T_1857 = eq(WrPtr0_m, UInt<1>("h01")) @[lsu_bus_buffer.scala 373:33] + node _T_1858 = and(io.lsu_busreq_m, _T_1857) @[lsu_bus_buffer.scala 373:22] + node _T_1859 = or(_T_1856, _T_1858) @[lsu_bus_buffer.scala 372:112] + node _T_1860 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 374:36] + node _T_1861 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 375:34] + node _T_1862 = and(io.ldst_dual_r, _T_1861) @[lsu_bus_buffer.scala 375:23] + node _T_1863 = or(_T_1860, _T_1862) @[lsu_bus_buffer.scala 374:46] + node _T_1864 = and(io.lsu_busreq_r, _T_1863) @[lsu_bus_buffer.scala 374:22] + node _T_1865 = or(_T_1859, _T_1864) @[lsu_bus_buffer.scala 373:42] + node _T_1866 = eq(_T_1865, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:78] + node _T_1867 = and(_T_1854, _T_1866) @[lsu_bus_buffer.scala 372:76] + node _T_1868 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 372:65] + node _T_1869 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 372:103] + node _T_1870 = and(ibuf_valid, _T_1869) @[lsu_bus_buffer.scala 372:92] + node _T_1871 = eq(WrPtr0_m, UInt<2>("h02")) @[lsu_bus_buffer.scala 373:33] + node _T_1872 = and(io.lsu_busreq_m, _T_1871) @[lsu_bus_buffer.scala 373:22] + node _T_1873 = or(_T_1870, _T_1872) @[lsu_bus_buffer.scala 372:112] + node _T_1874 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 374:36] + node _T_1875 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 375:34] + node _T_1876 = and(io.ldst_dual_r, _T_1875) @[lsu_bus_buffer.scala 375:23] + node _T_1877 = or(_T_1874, _T_1876) @[lsu_bus_buffer.scala 374:46] + node _T_1878 = and(io.lsu_busreq_r, _T_1877) @[lsu_bus_buffer.scala 374:22] + node _T_1879 = or(_T_1873, _T_1878) @[lsu_bus_buffer.scala 373:42] + node _T_1880 = eq(_T_1879, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:78] + node _T_1881 = and(_T_1868, _T_1880) @[lsu_bus_buffer.scala 372:76] + node _T_1882 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 372:65] + node _T_1883 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 372:103] + node _T_1884 = and(ibuf_valid, _T_1883) @[lsu_bus_buffer.scala 372:92] + node _T_1885 = eq(WrPtr0_m, UInt<2>("h03")) @[lsu_bus_buffer.scala 373:33] + node _T_1886 = and(io.lsu_busreq_m, _T_1885) @[lsu_bus_buffer.scala 373:22] + node _T_1887 = or(_T_1884, _T_1886) @[lsu_bus_buffer.scala 372:112] + node _T_1888 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 374:36] + node _T_1889 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 375:34] + node _T_1890 = and(io.ldst_dual_r, _T_1889) @[lsu_bus_buffer.scala 375:23] + node _T_1891 = or(_T_1888, _T_1890) @[lsu_bus_buffer.scala 374:46] + node _T_1892 = and(io.lsu_busreq_r, _T_1891) @[lsu_bus_buffer.scala 374:22] + node _T_1893 = or(_T_1887, _T_1892) @[lsu_bus_buffer.scala 373:42] + node _T_1894 = eq(_T_1893, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:78] + node _T_1895 = and(_T_1882, _T_1894) @[lsu_bus_buffer.scala 372:76] + node _T_1896 = mux(_T_1895, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] + node _T_1897 = mux(_T_1881, UInt<2>("h02"), _T_1896) @[Mux.scala 98:16] + node _T_1898 = mux(_T_1867, UInt<1>("h01"), _T_1897) @[Mux.scala 98:16] + node _T_1899 = mux(_T_1853, UInt<1>("h00"), _T_1898) @[Mux.scala 98:16] + WrPtr1_m <= _T_1899 @[lsu_bus_buffer.scala 372:12] wire buf_age : UInt<4>[4] @[lsu_bus_buffer.scala 377:21] buf_age[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 378:11] buf_age[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 378:11] buf_age[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 378:11] buf_age[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 378:11] - node _T_1893 = orr(buf_age[0]) @[lsu_bus_buffer.scala 380:58] - node _T_1894 = eq(_T_1893, UInt<1>("h00")) @[lsu_bus_buffer.scala 380:45] - node _T_1895 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 380:78] - node _T_1896 = and(_T_1894, _T_1895) @[lsu_bus_buffer.scala 380:63] - node _T_1897 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 380:90] - node _T_1898 = and(_T_1896, _T_1897) @[lsu_bus_buffer.scala 380:88] - node _T_1899 = orr(buf_age[1]) @[lsu_bus_buffer.scala 380:58] - node _T_1900 = eq(_T_1899, UInt<1>("h00")) @[lsu_bus_buffer.scala 380:45] - node _T_1901 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 380:78] - node _T_1902 = and(_T_1900, _T_1901) @[lsu_bus_buffer.scala 380:63] - node _T_1903 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 380:90] - node _T_1904 = and(_T_1902, _T_1903) @[lsu_bus_buffer.scala 380:88] - node _T_1905 = orr(buf_age[2]) @[lsu_bus_buffer.scala 380:58] - node _T_1906 = eq(_T_1905, UInt<1>("h00")) @[lsu_bus_buffer.scala 380:45] - node _T_1907 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 380:78] - node _T_1908 = and(_T_1906, _T_1907) @[lsu_bus_buffer.scala 380:63] - node _T_1909 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 380:90] - node _T_1910 = and(_T_1908, _T_1909) @[lsu_bus_buffer.scala 380:88] - node _T_1911 = orr(buf_age[3]) @[lsu_bus_buffer.scala 380:58] - node _T_1912 = eq(_T_1911, UInt<1>("h00")) @[lsu_bus_buffer.scala 380:45] - node _T_1913 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 380:78] - node _T_1914 = and(_T_1912, _T_1913) @[lsu_bus_buffer.scala 380:63] - node _T_1915 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 380:90] - node _T_1916 = and(_T_1914, _T_1915) @[lsu_bus_buffer.scala 380:88] - node _T_1917 = cat(_T_1916, _T_1910) @[Cat.scala 29:58] - node _T_1918 = cat(_T_1917, _T_1904) @[Cat.scala 29:58] - node CmdPtr0Dec = cat(_T_1918, _T_1898) @[Cat.scala 29:58] - node _T_1919 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 381:62] - node _T_1920 = and(buf_age[0], _T_1919) @[lsu_bus_buffer.scala 381:59] - node _T_1921 = orr(_T_1920) @[lsu_bus_buffer.scala 381:76] - node _T_1922 = eq(_T_1921, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:45] - node _T_1923 = bits(CmdPtr0Dec, 0, 0) @[lsu_bus_buffer.scala 381:94] - node _T_1924 = eq(_T_1923, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:83] - node _T_1925 = and(_T_1922, _T_1924) @[lsu_bus_buffer.scala 381:81] - node _T_1926 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 381:113] - node _T_1927 = and(_T_1925, _T_1926) @[lsu_bus_buffer.scala 381:98] - node _T_1928 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 381:125] - node _T_1929 = and(_T_1927, _T_1928) @[lsu_bus_buffer.scala 381:123] - node _T_1930 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 381:62] - node _T_1931 = and(buf_age[1], _T_1930) @[lsu_bus_buffer.scala 381:59] - node _T_1932 = orr(_T_1931) @[lsu_bus_buffer.scala 381:76] - node _T_1933 = eq(_T_1932, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:45] - node _T_1934 = bits(CmdPtr0Dec, 1, 1) @[lsu_bus_buffer.scala 381:94] - node _T_1935 = eq(_T_1934, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:83] - node _T_1936 = and(_T_1933, _T_1935) @[lsu_bus_buffer.scala 381:81] - node _T_1937 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 381:113] - node _T_1938 = and(_T_1936, _T_1937) @[lsu_bus_buffer.scala 381:98] - node _T_1939 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 381:125] - node _T_1940 = and(_T_1938, _T_1939) @[lsu_bus_buffer.scala 381:123] - node _T_1941 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 381:62] - node _T_1942 = and(buf_age[2], _T_1941) @[lsu_bus_buffer.scala 381:59] - node _T_1943 = orr(_T_1942) @[lsu_bus_buffer.scala 381:76] - node _T_1944 = eq(_T_1943, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:45] - node _T_1945 = bits(CmdPtr0Dec, 2, 2) @[lsu_bus_buffer.scala 381:94] - node _T_1946 = eq(_T_1945, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:83] - node _T_1947 = and(_T_1944, _T_1946) @[lsu_bus_buffer.scala 381:81] - node _T_1948 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 381:113] - node _T_1949 = and(_T_1947, _T_1948) @[lsu_bus_buffer.scala 381:98] - node _T_1950 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 381:125] - node _T_1951 = and(_T_1949, _T_1950) @[lsu_bus_buffer.scala 381:123] - node _T_1952 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 381:62] - node _T_1953 = and(buf_age[3], _T_1952) @[lsu_bus_buffer.scala 381:59] - node _T_1954 = orr(_T_1953) @[lsu_bus_buffer.scala 381:76] - node _T_1955 = eq(_T_1954, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:45] - node _T_1956 = bits(CmdPtr0Dec, 3, 3) @[lsu_bus_buffer.scala 381:94] - node _T_1957 = eq(_T_1956, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:83] - node _T_1958 = and(_T_1955, _T_1957) @[lsu_bus_buffer.scala 381:81] - node _T_1959 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 381:113] - node _T_1960 = and(_T_1958, _T_1959) @[lsu_bus_buffer.scala 381:98] - node _T_1961 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 381:125] - node _T_1962 = and(_T_1960, _T_1961) @[lsu_bus_buffer.scala 381:123] - node _T_1963 = cat(_T_1962, _T_1951) @[Cat.scala 29:58] - node _T_1964 = cat(_T_1963, _T_1940) @[Cat.scala 29:58] - node CmdPtr1Dec = cat(_T_1964, _T_1929) @[Cat.scala 29:58] + node _T_1900 = orr(buf_age[0]) @[lsu_bus_buffer.scala 380:58] + node _T_1901 = eq(_T_1900, UInt<1>("h00")) @[lsu_bus_buffer.scala 380:45] + node _T_1902 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 380:78] + node _T_1903 = and(_T_1901, _T_1902) @[lsu_bus_buffer.scala 380:63] + node _T_1904 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 380:90] + node _T_1905 = and(_T_1903, _T_1904) @[lsu_bus_buffer.scala 380:88] + node _T_1906 = orr(buf_age[1]) @[lsu_bus_buffer.scala 380:58] + node _T_1907 = eq(_T_1906, UInt<1>("h00")) @[lsu_bus_buffer.scala 380:45] + node _T_1908 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 380:78] + node _T_1909 = and(_T_1907, _T_1908) @[lsu_bus_buffer.scala 380:63] + node _T_1910 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 380:90] + node _T_1911 = and(_T_1909, _T_1910) @[lsu_bus_buffer.scala 380:88] + node _T_1912 = orr(buf_age[2]) @[lsu_bus_buffer.scala 380:58] + node _T_1913 = eq(_T_1912, UInt<1>("h00")) @[lsu_bus_buffer.scala 380:45] + node _T_1914 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 380:78] + node _T_1915 = and(_T_1913, _T_1914) @[lsu_bus_buffer.scala 380:63] + node _T_1916 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 380:90] + node _T_1917 = and(_T_1915, _T_1916) @[lsu_bus_buffer.scala 380:88] + node _T_1918 = orr(buf_age[3]) @[lsu_bus_buffer.scala 380:58] + node _T_1919 = eq(_T_1918, UInt<1>("h00")) @[lsu_bus_buffer.scala 380:45] + node _T_1920 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 380:78] + node _T_1921 = and(_T_1919, _T_1920) @[lsu_bus_buffer.scala 380:63] + node _T_1922 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 380:90] + node _T_1923 = and(_T_1921, _T_1922) @[lsu_bus_buffer.scala 380:88] + node _T_1924 = cat(_T_1923, _T_1917) @[Cat.scala 29:58] + node _T_1925 = cat(_T_1924, _T_1911) @[Cat.scala 29:58] + node CmdPtr0Dec = cat(_T_1925, _T_1905) @[Cat.scala 29:58] + node _T_1926 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 381:62] + node _T_1927 = and(buf_age[0], _T_1926) @[lsu_bus_buffer.scala 381:59] + node _T_1928 = orr(_T_1927) @[lsu_bus_buffer.scala 381:76] + node _T_1929 = eq(_T_1928, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:45] + node _T_1930 = bits(CmdPtr0Dec, 0, 0) @[lsu_bus_buffer.scala 381:94] + node _T_1931 = eq(_T_1930, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:83] + node _T_1932 = and(_T_1929, _T_1931) @[lsu_bus_buffer.scala 381:81] + node _T_1933 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 381:113] + node _T_1934 = and(_T_1932, _T_1933) @[lsu_bus_buffer.scala 381:98] + node _T_1935 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 381:125] + node _T_1936 = and(_T_1934, _T_1935) @[lsu_bus_buffer.scala 381:123] + node _T_1937 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 381:62] + node _T_1938 = and(buf_age[1], _T_1937) @[lsu_bus_buffer.scala 381:59] + node _T_1939 = orr(_T_1938) @[lsu_bus_buffer.scala 381:76] + node _T_1940 = eq(_T_1939, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:45] + node _T_1941 = bits(CmdPtr0Dec, 1, 1) @[lsu_bus_buffer.scala 381:94] + node _T_1942 = eq(_T_1941, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:83] + node _T_1943 = and(_T_1940, _T_1942) @[lsu_bus_buffer.scala 381:81] + node _T_1944 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 381:113] + node _T_1945 = and(_T_1943, _T_1944) @[lsu_bus_buffer.scala 381:98] + node _T_1946 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 381:125] + node _T_1947 = and(_T_1945, _T_1946) @[lsu_bus_buffer.scala 381:123] + node _T_1948 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 381:62] + node _T_1949 = and(buf_age[2], _T_1948) @[lsu_bus_buffer.scala 381:59] + node _T_1950 = orr(_T_1949) @[lsu_bus_buffer.scala 381:76] + node _T_1951 = eq(_T_1950, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:45] + node _T_1952 = bits(CmdPtr0Dec, 2, 2) @[lsu_bus_buffer.scala 381:94] + node _T_1953 = eq(_T_1952, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:83] + node _T_1954 = and(_T_1951, _T_1953) @[lsu_bus_buffer.scala 381:81] + node _T_1955 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 381:113] + node _T_1956 = and(_T_1954, _T_1955) @[lsu_bus_buffer.scala 381:98] + node _T_1957 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 381:125] + node _T_1958 = and(_T_1956, _T_1957) @[lsu_bus_buffer.scala 381:123] + node _T_1959 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 381:62] + node _T_1960 = and(buf_age[3], _T_1959) @[lsu_bus_buffer.scala 381:59] + node _T_1961 = orr(_T_1960) @[lsu_bus_buffer.scala 381:76] + node _T_1962 = eq(_T_1961, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:45] + node _T_1963 = bits(CmdPtr0Dec, 3, 3) @[lsu_bus_buffer.scala 381:94] + node _T_1964 = eq(_T_1963, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:83] + node _T_1965 = and(_T_1962, _T_1964) @[lsu_bus_buffer.scala 381:81] + node _T_1966 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 381:113] + node _T_1967 = and(_T_1965, _T_1966) @[lsu_bus_buffer.scala 381:98] + node _T_1968 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 381:125] + node _T_1969 = and(_T_1967, _T_1968) @[lsu_bus_buffer.scala 381:123] + node _T_1970 = cat(_T_1969, _T_1958) @[Cat.scala 29:58] + node _T_1971 = cat(_T_1970, _T_1947) @[Cat.scala 29:58] + node CmdPtr1Dec = cat(_T_1971, _T_1936) @[Cat.scala 29:58] wire buf_rsp_pickage : UInt<4>[4] @[lsu_bus_buffer.scala 382:29] buf_rsp_pickage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 383:19] buf_rsp_pickage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 383:19] buf_rsp_pickage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 383:19] buf_rsp_pickage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 383:19] - node _T_1965 = orr(buf_rsp_pickage[0]) @[lsu_bus_buffer.scala 384:65] - node _T_1966 = eq(_T_1965, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:44] - node _T_1967 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 384:85] - node _T_1968 = and(_T_1966, _T_1967) @[lsu_bus_buffer.scala 384:70] - node _T_1969 = orr(buf_rsp_pickage[1]) @[lsu_bus_buffer.scala 384:65] - node _T_1970 = eq(_T_1969, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:44] - node _T_1971 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 384:85] - node _T_1972 = and(_T_1970, _T_1971) @[lsu_bus_buffer.scala 384:70] - node _T_1973 = orr(buf_rsp_pickage[2]) @[lsu_bus_buffer.scala 384:65] - node _T_1974 = eq(_T_1973, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:44] - node _T_1975 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 384:85] - node _T_1976 = and(_T_1974, _T_1975) @[lsu_bus_buffer.scala 384:70] - node _T_1977 = orr(buf_rsp_pickage[3]) @[lsu_bus_buffer.scala 384:65] - node _T_1978 = eq(_T_1977, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:44] - node _T_1979 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 384:85] - node _T_1980 = and(_T_1978, _T_1979) @[lsu_bus_buffer.scala 384:70] - node _T_1981 = cat(_T_1980, _T_1976) @[Cat.scala 29:58] - node _T_1982 = cat(_T_1981, _T_1972) @[Cat.scala 29:58] - node RspPtrDec = cat(_T_1982, _T_1968) @[Cat.scala 29:58] - node _T_1983 = orr(CmdPtr0Dec) @[lsu_bus_buffer.scala 385:31] - found_cmdptr0 <= _T_1983 @[lsu_bus_buffer.scala 385:17] - node _T_1984 = orr(CmdPtr1Dec) @[lsu_bus_buffer.scala 386:31] - found_cmdptr1 <= _T_1984 @[lsu_bus_buffer.scala 386:17] + node _T_1972 = orr(buf_rsp_pickage[0]) @[lsu_bus_buffer.scala 384:65] + node _T_1973 = eq(_T_1972, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:44] + node _T_1974 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 384:85] + node _T_1975 = and(_T_1973, _T_1974) @[lsu_bus_buffer.scala 384:70] + node _T_1976 = orr(buf_rsp_pickage[1]) @[lsu_bus_buffer.scala 384:65] + node _T_1977 = eq(_T_1976, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:44] + node _T_1978 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 384:85] + node _T_1979 = and(_T_1977, _T_1978) @[lsu_bus_buffer.scala 384:70] + node _T_1980 = orr(buf_rsp_pickage[2]) @[lsu_bus_buffer.scala 384:65] + node _T_1981 = eq(_T_1980, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:44] + node _T_1982 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 384:85] + node _T_1983 = and(_T_1981, _T_1982) @[lsu_bus_buffer.scala 384:70] + node _T_1984 = orr(buf_rsp_pickage[3]) @[lsu_bus_buffer.scala 384:65] + node _T_1985 = eq(_T_1984, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:44] + node _T_1986 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 384:85] + node _T_1987 = and(_T_1985, _T_1986) @[lsu_bus_buffer.scala 384:70] + node _T_1988 = cat(_T_1987, _T_1983) @[Cat.scala 29:58] + node _T_1989 = cat(_T_1988, _T_1979) @[Cat.scala 29:58] + node RspPtrDec = cat(_T_1989, _T_1975) @[Cat.scala 29:58] + node _T_1990 = orr(CmdPtr0Dec) @[lsu_bus_buffer.scala 385:31] + found_cmdptr0 <= _T_1990 @[lsu_bus_buffer.scala 385:17] + node _T_1991 = orr(CmdPtr1Dec) @[lsu_bus_buffer.scala 386:31] + found_cmdptr1 <= _T_1991 @[lsu_bus_buffer.scala 386:17] wire RspPtr : UInt<2> RspPtr <= UInt<1>("h00") - node _T_1985 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_1986 = cat(_T_1985, CmdPtr0Dec) @[Cat.scala 29:58] - node _T_1987 = bits(_T_1986, 4, 4) @[lsu_bus_buffer.scala 388:39] - node _T_1988 = bits(_T_1986, 5, 5) @[lsu_bus_buffer.scala 388:45] - node _T_1989 = or(_T_1987, _T_1988) @[lsu_bus_buffer.scala 388:42] - node _T_1990 = bits(_T_1986, 6, 6) @[lsu_bus_buffer.scala 388:51] - node _T_1991 = or(_T_1989, _T_1990) @[lsu_bus_buffer.scala 388:48] - node _T_1992 = bits(_T_1986, 7, 7) @[lsu_bus_buffer.scala 388:57] - node _T_1993 = or(_T_1991, _T_1992) @[lsu_bus_buffer.scala 388:54] - node _T_1994 = bits(_T_1986, 2, 2) @[lsu_bus_buffer.scala 388:64] - node _T_1995 = bits(_T_1986, 3, 3) @[lsu_bus_buffer.scala 388:70] - node _T_1996 = or(_T_1994, _T_1995) @[lsu_bus_buffer.scala 388:67] - node _T_1997 = bits(_T_1986, 6, 6) @[lsu_bus_buffer.scala 388:76] - node _T_1998 = or(_T_1996, _T_1997) @[lsu_bus_buffer.scala 388:73] - node _T_1999 = bits(_T_1986, 7, 7) @[lsu_bus_buffer.scala 388:82] - node _T_2000 = or(_T_1998, _T_1999) @[lsu_bus_buffer.scala 388:79] - node _T_2001 = bits(_T_1986, 1, 1) @[lsu_bus_buffer.scala 388:89] - node _T_2002 = bits(_T_1986, 3, 3) @[lsu_bus_buffer.scala 388:95] - node _T_2003 = or(_T_2001, _T_2002) @[lsu_bus_buffer.scala 388:92] - node _T_2004 = bits(_T_1986, 5, 5) @[lsu_bus_buffer.scala 388:101] - node _T_2005 = or(_T_2003, _T_2004) @[lsu_bus_buffer.scala 388:98] - node _T_2006 = bits(_T_1986, 7, 7) @[lsu_bus_buffer.scala 388:107] - node _T_2007 = or(_T_2005, _T_2006) @[lsu_bus_buffer.scala 388:104] - node _T_2008 = cat(_T_1993, _T_2000) @[Cat.scala 29:58] - node _T_2009 = cat(_T_2008, _T_2007) @[Cat.scala 29:58] - CmdPtr0 <= _T_2009 @[lsu_bus_buffer.scala 393:11] - node _T_2010 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2011 = cat(_T_2010, CmdPtr1Dec) @[Cat.scala 29:58] - node _T_2012 = bits(_T_2011, 4, 4) @[lsu_bus_buffer.scala 388:39] - node _T_2013 = bits(_T_2011, 5, 5) @[lsu_bus_buffer.scala 388:45] - node _T_2014 = or(_T_2012, _T_2013) @[lsu_bus_buffer.scala 388:42] - node _T_2015 = bits(_T_2011, 6, 6) @[lsu_bus_buffer.scala 388:51] - node _T_2016 = or(_T_2014, _T_2015) @[lsu_bus_buffer.scala 388:48] - node _T_2017 = bits(_T_2011, 7, 7) @[lsu_bus_buffer.scala 388:57] - node _T_2018 = or(_T_2016, _T_2017) @[lsu_bus_buffer.scala 388:54] - node _T_2019 = bits(_T_2011, 2, 2) @[lsu_bus_buffer.scala 388:64] - node _T_2020 = bits(_T_2011, 3, 3) @[lsu_bus_buffer.scala 388:70] - node _T_2021 = or(_T_2019, _T_2020) @[lsu_bus_buffer.scala 388:67] - node _T_2022 = bits(_T_2011, 6, 6) @[lsu_bus_buffer.scala 388:76] - node _T_2023 = or(_T_2021, _T_2022) @[lsu_bus_buffer.scala 388:73] - node _T_2024 = bits(_T_2011, 7, 7) @[lsu_bus_buffer.scala 388:82] - node _T_2025 = or(_T_2023, _T_2024) @[lsu_bus_buffer.scala 388:79] - node _T_2026 = bits(_T_2011, 1, 1) @[lsu_bus_buffer.scala 388:89] - node _T_2027 = bits(_T_2011, 3, 3) @[lsu_bus_buffer.scala 388:95] - node _T_2028 = or(_T_2026, _T_2027) @[lsu_bus_buffer.scala 388:92] - node _T_2029 = bits(_T_2011, 5, 5) @[lsu_bus_buffer.scala 388:101] - node _T_2030 = or(_T_2028, _T_2029) @[lsu_bus_buffer.scala 388:98] - node _T_2031 = bits(_T_2011, 7, 7) @[lsu_bus_buffer.scala 388:107] - node _T_2032 = or(_T_2030, _T_2031) @[lsu_bus_buffer.scala 388:104] - node _T_2033 = cat(_T_2018, _T_2025) @[Cat.scala 29:58] - node _T_2034 = cat(_T_2033, _T_2032) @[Cat.scala 29:58] - CmdPtr1 <= _T_2034 @[lsu_bus_buffer.scala 395:11] - node _T_2035 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2036 = cat(_T_2035, RspPtrDec) @[Cat.scala 29:58] - node _T_2037 = bits(_T_2036, 4, 4) @[lsu_bus_buffer.scala 388:39] - node _T_2038 = bits(_T_2036, 5, 5) @[lsu_bus_buffer.scala 388:45] - node _T_2039 = or(_T_2037, _T_2038) @[lsu_bus_buffer.scala 388:42] - node _T_2040 = bits(_T_2036, 6, 6) @[lsu_bus_buffer.scala 388:51] - node _T_2041 = or(_T_2039, _T_2040) @[lsu_bus_buffer.scala 388:48] - node _T_2042 = bits(_T_2036, 7, 7) @[lsu_bus_buffer.scala 388:57] - node _T_2043 = or(_T_2041, _T_2042) @[lsu_bus_buffer.scala 388:54] - node _T_2044 = bits(_T_2036, 2, 2) @[lsu_bus_buffer.scala 388:64] - node _T_2045 = bits(_T_2036, 3, 3) @[lsu_bus_buffer.scala 388:70] - node _T_2046 = or(_T_2044, _T_2045) @[lsu_bus_buffer.scala 388:67] - node _T_2047 = bits(_T_2036, 6, 6) @[lsu_bus_buffer.scala 388:76] - node _T_2048 = or(_T_2046, _T_2047) @[lsu_bus_buffer.scala 388:73] - node _T_2049 = bits(_T_2036, 7, 7) @[lsu_bus_buffer.scala 388:82] - node _T_2050 = or(_T_2048, _T_2049) @[lsu_bus_buffer.scala 388:79] - node _T_2051 = bits(_T_2036, 1, 1) @[lsu_bus_buffer.scala 388:89] - node _T_2052 = bits(_T_2036, 3, 3) @[lsu_bus_buffer.scala 388:95] - node _T_2053 = or(_T_2051, _T_2052) @[lsu_bus_buffer.scala 388:92] - node _T_2054 = bits(_T_2036, 5, 5) @[lsu_bus_buffer.scala 388:101] - node _T_2055 = or(_T_2053, _T_2054) @[lsu_bus_buffer.scala 388:98] - node _T_2056 = bits(_T_2036, 7, 7) @[lsu_bus_buffer.scala 388:107] - node _T_2057 = or(_T_2055, _T_2056) @[lsu_bus_buffer.scala 388:104] - node _T_2058 = cat(_T_2043, _T_2050) @[Cat.scala 29:58] - node _T_2059 = cat(_T_2058, _T_2057) @[Cat.scala 29:58] - RspPtr <= _T_2059 @[lsu_bus_buffer.scala 396:10] + node _T_1992 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1993 = cat(_T_1992, CmdPtr0Dec) @[Cat.scala 29:58] + node _T_1994 = bits(_T_1993, 4, 4) @[lsu_bus_buffer.scala 388:39] + node _T_1995 = bits(_T_1993, 5, 5) @[lsu_bus_buffer.scala 388:45] + node _T_1996 = or(_T_1994, _T_1995) @[lsu_bus_buffer.scala 388:42] + node _T_1997 = bits(_T_1993, 6, 6) @[lsu_bus_buffer.scala 388:51] + node _T_1998 = or(_T_1996, _T_1997) @[lsu_bus_buffer.scala 388:48] + node _T_1999 = bits(_T_1993, 7, 7) @[lsu_bus_buffer.scala 388:57] + node _T_2000 = or(_T_1998, _T_1999) @[lsu_bus_buffer.scala 388:54] + node _T_2001 = bits(_T_1993, 2, 2) @[lsu_bus_buffer.scala 388:64] + node _T_2002 = bits(_T_1993, 3, 3) @[lsu_bus_buffer.scala 388:70] + node _T_2003 = or(_T_2001, _T_2002) @[lsu_bus_buffer.scala 388:67] + node _T_2004 = bits(_T_1993, 6, 6) @[lsu_bus_buffer.scala 388:76] + node _T_2005 = or(_T_2003, _T_2004) @[lsu_bus_buffer.scala 388:73] + node _T_2006 = bits(_T_1993, 7, 7) @[lsu_bus_buffer.scala 388:82] + node _T_2007 = or(_T_2005, _T_2006) @[lsu_bus_buffer.scala 388:79] + node _T_2008 = bits(_T_1993, 1, 1) @[lsu_bus_buffer.scala 388:89] + node _T_2009 = bits(_T_1993, 3, 3) @[lsu_bus_buffer.scala 388:95] + node _T_2010 = or(_T_2008, _T_2009) @[lsu_bus_buffer.scala 388:92] + node _T_2011 = bits(_T_1993, 5, 5) @[lsu_bus_buffer.scala 388:101] + node _T_2012 = or(_T_2010, _T_2011) @[lsu_bus_buffer.scala 388:98] + node _T_2013 = bits(_T_1993, 7, 7) @[lsu_bus_buffer.scala 388:107] + node _T_2014 = or(_T_2012, _T_2013) @[lsu_bus_buffer.scala 388:104] + node _T_2015 = cat(_T_2000, _T_2007) @[Cat.scala 29:58] + node _T_2016 = cat(_T_2015, _T_2014) @[Cat.scala 29:58] + CmdPtr0 <= _T_2016 @[lsu_bus_buffer.scala 393:11] + node _T_2017 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2018 = cat(_T_2017, CmdPtr1Dec) @[Cat.scala 29:58] + node _T_2019 = bits(_T_2018, 4, 4) @[lsu_bus_buffer.scala 388:39] + node _T_2020 = bits(_T_2018, 5, 5) @[lsu_bus_buffer.scala 388:45] + node _T_2021 = or(_T_2019, _T_2020) @[lsu_bus_buffer.scala 388:42] + node _T_2022 = bits(_T_2018, 6, 6) @[lsu_bus_buffer.scala 388:51] + node _T_2023 = or(_T_2021, _T_2022) @[lsu_bus_buffer.scala 388:48] + node _T_2024 = bits(_T_2018, 7, 7) @[lsu_bus_buffer.scala 388:57] + node _T_2025 = or(_T_2023, _T_2024) @[lsu_bus_buffer.scala 388:54] + node _T_2026 = bits(_T_2018, 2, 2) @[lsu_bus_buffer.scala 388:64] + node _T_2027 = bits(_T_2018, 3, 3) @[lsu_bus_buffer.scala 388:70] + node _T_2028 = or(_T_2026, _T_2027) @[lsu_bus_buffer.scala 388:67] + node _T_2029 = bits(_T_2018, 6, 6) @[lsu_bus_buffer.scala 388:76] + node _T_2030 = or(_T_2028, _T_2029) @[lsu_bus_buffer.scala 388:73] + node _T_2031 = bits(_T_2018, 7, 7) @[lsu_bus_buffer.scala 388:82] + node _T_2032 = or(_T_2030, _T_2031) @[lsu_bus_buffer.scala 388:79] + node _T_2033 = bits(_T_2018, 1, 1) @[lsu_bus_buffer.scala 388:89] + node _T_2034 = bits(_T_2018, 3, 3) @[lsu_bus_buffer.scala 388:95] + node _T_2035 = or(_T_2033, _T_2034) @[lsu_bus_buffer.scala 388:92] + node _T_2036 = bits(_T_2018, 5, 5) @[lsu_bus_buffer.scala 388:101] + node _T_2037 = or(_T_2035, _T_2036) @[lsu_bus_buffer.scala 388:98] + node _T_2038 = bits(_T_2018, 7, 7) @[lsu_bus_buffer.scala 388:107] + node _T_2039 = or(_T_2037, _T_2038) @[lsu_bus_buffer.scala 388:104] + node _T_2040 = cat(_T_2025, _T_2032) @[Cat.scala 29:58] + node _T_2041 = cat(_T_2040, _T_2039) @[Cat.scala 29:58] + CmdPtr1 <= _T_2041 @[lsu_bus_buffer.scala 395:11] + node _T_2042 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2043 = cat(_T_2042, RspPtrDec) @[Cat.scala 29:58] + node _T_2044 = bits(_T_2043, 4, 4) @[lsu_bus_buffer.scala 388:39] + node _T_2045 = bits(_T_2043, 5, 5) @[lsu_bus_buffer.scala 388:45] + node _T_2046 = or(_T_2044, _T_2045) @[lsu_bus_buffer.scala 388:42] + node _T_2047 = bits(_T_2043, 6, 6) @[lsu_bus_buffer.scala 388:51] + node _T_2048 = or(_T_2046, _T_2047) @[lsu_bus_buffer.scala 388:48] + node _T_2049 = bits(_T_2043, 7, 7) @[lsu_bus_buffer.scala 388:57] + node _T_2050 = or(_T_2048, _T_2049) @[lsu_bus_buffer.scala 388:54] + node _T_2051 = bits(_T_2043, 2, 2) @[lsu_bus_buffer.scala 388:64] + node _T_2052 = bits(_T_2043, 3, 3) @[lsu_bus_buffer.scala 388:70] + node _T_2053 = or(_T_2051, _T_2052) @[lsu_bus_buffer.scala 388:67] + node _T_2054 = bits(_T_2043, 6, 6) @[lsu_bus_buffer.scala 388:76] + node _T_2055 = or(_T_2053, _T_2054) @[lsu_bus_buffer.scala 388:73] + node _T_2056 = bits(_T_2043, 7, 7) @[lsu_bus_buffer.scala 388:82] + node _T_2057 = or(_T_2055, _T_2056) @[lsu_bus_buffer.scala 388:79] + node _T_2058 = bits(_T_2043, 1, 1) @[lsu_bus_buffer.scala 388:89] + node _T_2059 = bits(_T_2043, 3, 3) @[lsu_bus_buffer.scala 388:95] + node _T_2060 = or(_T_2058, _T_2059) @[lsu_bus_buffer.scala 388:92] + node _T_2061 = bits(_T_2043, 5, 5) @[lsu_bus_buffer.scala 388:101] + node _T_2062 = or(_T_2060, _T_2061) @[lsu_bus_buffer.scala 388:98] + node _T_2063 = bits(_T_2043, 7, 7) @[lsu_bus_buffer.scala 388:107] + node _T_2064 = or(_T_2062, _T_2063) @[lsu_bus_buffer.scala 388:104] + node _T_2065 = cat(_T_2050, _T_2057) @[Cat.scala 29:58] + node _T_2066 = cat(_T_2065, _T_2064) @[Cat.scala 29:58] + RspPtr <= _T_2066 @[lsu_bus_buffer.scala 396:10] wire buf_state_en : UInt<1>[4] @[lsu_bus_buffer.scala 397:26] buf_state_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:16] buf_state_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:16] @@ -2917,1753 +2934,1753 @@ circuit lsu_bus_buffer : buf_rspage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 406:14] buf_rspage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 406:14] buf_rspage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 406:14] - node _T_2060 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] - node _T_2061 = and(_T_2060, buf_state_en[0]) @[lsu_bus_buffer.scala 408:94] - node _T_2062 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] - node _T_2063 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] - node _T_2064 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] - node _T_2065 = and(_T_2063, _T_2064) @[lsu_bus_buffer.scala 409:57] - node _T_2066 = or(_T_2062, _T_2065) @[lsu_bus_buffer.scala 409:31] - node _T_2067 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] - node _T_2068 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] - node _T_2069 = and(_T_2067, _T_2068) @[lsu_bus_buffer.scala 410:41] - node _T_2070 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:83] - node _T_2071 = and(_T_2069, _T_2070) @[lsu_bus_buffer.scala 410:71] - node _T_2072 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:104] - node _T_2073 = and(_T_2071, _T_2072) @[lsu_bus_buffer.scala 410:92] - node _T_2074 = or(_T_2066, _T_2073) @[lsu_bus_buffer.scala 409:86] - node _T_2075 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] - node _T_2076 = and(_T_2075, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] - node _T_2077 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:64] - node _T_2078 = and(_T_2076, _T_2077) @[lsu_bus_buffer.scala 411:52] - node _T_2079 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:85] - node _T_2080 = and(_T_2078, _T_2079) @[lsu_bus_buffer.scala 411:73] - node _T_2081 = or(_T_2074, _T_2080) @[lsu_bus_buffer.scala 410:114] - node _T_2082 = and(_T_2061, _T_2081) @[lsu_bus_buffer.scala 408:113] - node _T_2083 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 411:109] - node _T_2084 = or(_T_2082, _T_2083) @[lsu_bus_buffer.scala 411:97] - node _T_2085 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] - node _T_2086 = and(_T_2085, buf_state_en[0]) @[lsu_bus_buffer.scala 408:94] - node _T_2087 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] - node _T_2088 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] - node _T_2089 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] - node _T_2090 = and(_T_2088, _T_2089) @[lsu_bus_buffer.scala 409:57] - node _T_2091 = or(_T_2087, _T_2090) @[lsu_bus_buffer.scala 409:31] - node _T_2092 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] - node _T_2093 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] - node _T_2094 = and(_T_2092, _T_2093) @[lsu_bus_buffer.scala 410:41] - node _T_2095 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:83] - node _T_2096 = and(_T_2094, _T_2095) @[lsu_bus_buffer.scala 410:71] - node _T_2097 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:104] - node _T_2098 = and(_T_2096, _T_2097) @[lsu_bus_buffer.scala 410:92] - node _T_2099 = or(_T_2091, _T_2098) @[lsu_bus_buffer.scala 409:86] - node _T_2100 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] - node _T_2101 = and(_T_2100, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] - node _T_2102 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:64] - node _T_2103 = and(_T_2101, _T_2102) @[lsu_bus_buffer.scala 411:52] - node _T_2104 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:85] - node _T_2105 = and(_T_2103, _T_2104) @[lsu_bus_buffer.scala 411:73] - node _T_2106 = or(_T_2099, _T_2105) @[lsu_bus_buffer.scala 410:114] - node _T_2107 = and(_T_2086, _T_2106) @[lsu_bus_buffer.scala 408:113] - node _T_2108 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 411:109] - node _T_2109 = or(_T_2107, _T_2108) @[lsu_bus_buffer.scala 411:97] - node _T_2110 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] - node _T_2111 = and(_T_2110, buf_state_en[0]) @[lsu_bus_buffer.scala 408:94] - node _T_2112 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] - node _T_2113 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] - node _T_2114 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] - node _T_2115 = and(_T_2113, _T_2114) @[lsu_bus_buffer.scala 409:57] - node _T_2116 = or(_T_2112, _T_2115) @[lsu_bus_buffer.scala 409:31] - node _T_2117 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] - node _T_2118 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] - node _T_2119 = and(_T_2117, _T_2118) @[lsu_bus_buffer.scala 410:41] - node _T_2120 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:83] - node _T_2121 = and(_T_2119, _T_2120) @[lsu_bus_buffer.scala 410:71] - node _T_2122 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:104] - node _T_2123 = and(_T_2121, _T_2122) @[lsu_bus_buffer.scala 410:92] - node _T_2124 = or(_T_2116, _T_2123) @[lsu_bus_buffer.scala 409:86] - node _T_2125 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] - node _T_2126 = and(_T_2125, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] - node _T_2127 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:64] - node _T_2128 = and(_T_2126, _T_2127) @[lsu_bus_buffer.scala 411:52] - node _T_2129 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:85] - node _T_2130 = and(_T_2128, _T_2129) @[lsu_bus_buffer.scala 411:73] - node _T_2131 = or(_T_2124, _T_2130) @[lsu_bus_buffer.scala 410:114] - node _T_2132 = and(_T_2111, _T_2131) @[lsu_bus_buffer.scala 408:113] - node _T_2133 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 411:109] - node _T_2134 = or(_T_2132, _T_2133) @[lsu_bus_buffer.scala 411:97] - node _T_2135 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] - node _T_2136 = and(_T_2135, buf_state_en[0]) @[lsu_bus_buffer.scala 408:94] - node _T_2137 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] - node _T_2138 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] - node _T_2139 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] - node _T_2140 = and(_T_2138, _T_2139) @[lsu_bus_buffer.scala 409:57] - node _T_2141 = or(_T_2137, _T_2140) @[lsu_bus_buffer.scala 409:31] - node _T_2142 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] - node _T_2143 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] - node _T_2144 = and(_T_2142, _T_2143) @[lsu_bus_buffer.scala 410:41] - node _T_2145 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:83] - node _T_2146 = and(_T_2144, _T_2145) @[lsu_bus_buffer.scala 410:71] - node _T_2147 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:104] - node _T_2148 = and(_T_2146, _T_2147) @[lsu_bus_buffer.scala 410:92] - node _T_2149 = or(_T_2141, _T_2148) @[lsu_bus_buffer.scala 409:86] - node _T_2150 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] - node _T_2151 = and(_T_2150, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] - node _T_2152 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:64] - node _T_2153 = and(_T_2151, _T_2152) @[lsu_bus_buffer.scala 411:52] - node _T_2154 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:85] - node _T_2155 = and(_T_2153, _T_2154) @[lsu_bus_buffer.scala 411:73] - node _T_2156 = or(_T_2149, _T_2155) @[lsu_bus_buffer.scala 410:114] - node _T_2157 = and(_T_2136, _T_2156) @[lsu_bus_buffer.scala 408:113] - node _T_2158 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 411:109] - node _T_2159 = or(_T_2157, _T_2158) @[lsu_bus_buffer.scala 411:97] - node _T_2160 = cat(_T_2159, _T_2134) @[Cat.scala 29:58] - node _T_2161 = cat(_T_2160, _T_2109) @[Cat.scala 29:58] - node buf_age_in_0 = cat(_T_2161, _T_2084) @[Cat.scala 29:58] - node _T_2162 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] - node _T_2163 = and(_T_2162, buf_state_en[1]) @[lsu_bus_buffer.scala 408:94] - node _T_2164 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] - node _T_2165 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] - node _T_2166 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] - node _T_2167 = and(_T_2165, _T_2166) @[lsu_bus_buffer.scala 409:57] - node _T_2168 = or(_T_2164, _T_2167) @[lsu_bus_buffer.scala 409:31] - node _T_2169 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] - node _T_2170 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] - node _T_2171 = and(_T_2169, _T_2170) @[lsu_bus_buffer.scala 410:41] - node _T_2172 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:83] - node _T_2173 = and(_T_2171, _T_2172) @[lsu_bus_buffer.scala 410:71] - node _T_2174 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:104] - node _T_2175 = and(_T_2173, _T_2174) @[lsu_bus_buffer.scala 410:92] - node _T_2176 = or(_T_2168, _T_2175) @[lsu_bus_buffer.scala 409:86] - node _T_2177 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] - node _T_2178 = and(_T_2177, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] - node _T_2179 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:64] - node _T_2180 = and(_T_2178, _T_2179) @[lsu_bus_buffer.scala 411:52] - node _T_2181 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:85] - node _T_2182 = and(_T_2180, _T_2181) @[lsu_bus_buffer.scala 411:73] - node _T_2183 = or(_T_2176, _T_2182) @[lsu_bus_buffer.scala 410:114] - node _T_2184 = and(_T_2163, _T_2183) @[lsu_bus_buffer.scala 408:113] - node _T_2185 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 411:109] - node _T_2186 = or(_T_2184, _T_2185) @[lsu_bus_buffer.scala 411:97] - node _T_2187 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] - node _T_2188 = and(_T_2187, buf_state_en[1]) @[lsu_bus_buffer.scala 408:94] - node _T_2189 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] - node _T_2190 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] - node _T_2191 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] - node _T_2192 = and(_T_2190, _T_2191) @[lsu_bus_buffer.scala 409:57] - node _T_2193 = or(_T_2189, _T_2192) @[lsu_bus_buffer.scala 409:31] - node _T_2194 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] - node _T_2195 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] - node _T_2196 = and(_T_2194, _T_2195) @[lsu_bus_buffer.scala 410:41] - node _T_2197 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:83] - node _T_2198 = and(_T_2196, _T_2197) @[lsu_bus_buffer.scala 410:71] - node _T_2199 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:104] - node _T_2200 = and(_T_2198, _T_2199) @[lsu_bus_buffer.scala 410:92] - node _T_2201 = or(_T_2193, _T_2200) @[lsu_bus_buffer.scala 409:86] - node _T_2202 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] - node _T_2203 = and(_T_2202, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] - node _T_2204 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:64] - node _T_2205 = and(_T_2203, _T_2204) @[lsu_bus_buffer.scala 411:52] - node _T_2206 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:85] - node _T_2207 = and(_T_2205, _T_2206) @[lsu_bus_buffer.scala 411:73] - node _T_2208 = or(_T_2201, _T_2207) @[lsu_bus_buffer.scala 410:114] - node _T_2209 = and(_T_2188, _T_2208) @[lsu_bus_buffer.scala 408:113] - node _T_2210 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 411:109] - node _T_2211 = or(_T_2209, _T_2210) @[lsu_bus_buffer.scala 411:97] - node _T_2212 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] - node _T_2213 = and(_T_2212, buf_state_en[1]) @[lsu_bus_buffer.scala 408:94] - node _T_2214 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] - node _T_2215 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] - node _T_2216 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] - node _T_2217 = and(_T_2215, _T_2216) @[lsu_bus_buffer.scala 409:57] - node _T_2218 = or(_T_2214, _T_2217) @[lsu_bus_buffer.scala 409:31] - node _T_2219 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] - node _T_2220 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] - node _T_2221 = and(_T_2219, _T_2220) @[lsu_bus_buffer.scala 410:41] - node _T_2222 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:83] - node _T_2223 = and(_T_2221, _T_2222) @[lsu_bus_buffer.scala 410:71] - node _T_2224 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:104] - node _T_2225 = and(_T_2223, _T_2224) @[lsu_bus_buffer.scala 410:92] - node _T_2226 = or(_T_2218, _T_2225) @[lsu_bus_buffer.scala 409:86] - node _T_2227 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] - node _T_2228 = and(_T_2227, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] - node _T_2229 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:64] - node _T_2230 = and(_T_2228, _T_2229) @[lsu_bus_buffer.scala 411:52] - node _T_2231 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:85] - node _T_2232 = and(_T_2230, _T_2231) @[lsu_bus_buffer.scala 411:73] - node _T_2233 = or(_T_2226, _T_2232) @[lsu_bus_buffer.scala 410:114] - node _T_2234 = and(_T_2213, _T_2233) @[lsu_bus_buffer.scala 408:113] - node _T_2235 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 411:109] - node _T_2236 = or(_T_2234, _T_2235) @[lsu_bus_buffer.scala 411:97] - node _T_2237 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] - node _T_2238 = and(_T_2237, buf_state_en[1]) @[lsu_bus_buffer.scala 408:94] - node _T_2239 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] - node _T_2240 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] - node _T_2241 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] - node _T_2242 = and(_T_2240, _T_2241) @[lsu_bus_buffer.scala 409:57] - node _T_2243 = or(_T_2239, _T_2242) @[lsu_bus_buffer.scala 409:31] - node _T_2244 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] - node _T_2245 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] - node _T_2246 = and(_T_2244, _T_2245) @[lsu_bus_buffer.scala 410:41] - node _T_2247 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:83] - node _T_2248 = and(_T_2246, _T_2247) @[lsu_bus_buffer.scala 410:71] - node _T_2249 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:104] - node _T_2250 = and(_T_2248, _T_2249) @[lsu_bus_buffer.scala 410:92] - node _T_2251 = or(_T_2243, _T_2250) @[lsu_bus_buffer.scala 409:86] - node _T_2252 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] - node _T_2253 = and(_T_2252, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] - node _T_2254 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:64] - node _T_2255 = and(_T_2253, _T_2254) @[lsu_bus_buffer.scala 411:52] - node _T_2256 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:85] - node _T_2257 = and(_T_2255, _T_2256) @[lsu_bus_buffer.scala 411:73] - node _T_2258 = or(_T_2251, _T_2257) @[lsu_bus_buffer.scala 410:114] - node _T_2259 = and(_T_2238, _T_2258) @[lsu_bus_buffer.scala 408:113] - node _T_2260 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 411:109] - node _T_2261 = or(_T_2259, _T_2260) @[lsu_bus_buffer.scala 411:97] - node _T_2262 = cat(_T_2261, _T_2236) @[Cat.scala 29:58] - node _T_2263 = cat(_T_2262, _T_2211) @[Cat.scala 29:58] - node buf_age_in_1 = cat(_T_2263, _T_2186) @[Cat.scala 29:58] - node _T_2264 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] - node _T_2265 = and(_T_2264, buf_state_en[2]) @[lsu_bus_buffer.scala 408:94] - node _T_2266 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] - node _T_2267 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] - node _T_2268 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] - node _T_2269 = and(_T_2267, _T_2268) @[lsu_bus_buffer.scala 409:57] - node _T_2270 = or(_T_2266, _T_2269) @[lsu_bus_buffer.scala 409:31] - node _T_2271 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] - node _T_2272 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] - node _T_2273 = and(_T_2271, _T_2272) @[lsu_bus_buffer.scala 410:41] - node _T_2274 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:83] - node _T_2275 = and(_T_2273, _T_2274) @[lsu_bus_buffer.scala 410:71] - node _T_2276 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:104] - node _T_2277 = and(_T_2275, _T_2276) @[lsu_bus_buffer.scala 410:92] - node _T_2278 = or(_T_2270, _T_2277) @[lsu_bus_buffer.scala 409:86] - node _T_2279 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] - node _T_2280 = and(_T_2279, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] - node _T_2281 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:64] - node _T_2282 = and(_T_2280, _T_2281) @[lsu_bus_buffer.scala 411:52] - node _T_2283 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:85] - node _T_2284 = and(_T_2282, _T_2283) @[lsu_bus_buffer.scala 411:73] - node _T_2285 = or(_T_2278, _T_2284) @[lsu_bus_buffer.scala 410:114] - node _T_2286 = and(_T_2265, _T_2285) @[lsu_bus_buffer.scala 408:113] - node _T_2287 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 411:109] - node _T_2288 = or(_T_2286, _T_2287) @[lsu_bus_buffer.scala 411:97] - node _T_2289 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] - node _T_2290 = and(_T_2289, buf_state_en[2]) @[lsu_bus_buffer.scala 408:94] - node _T_2291 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] - node _T_2292 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] - node _T_2293 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] - node _T_2294 = and(_T_2292, _T_2293) @[lsu_bus_buffer.scala 409:57] - node _T_2295 = or(_T_2291, _T_2294) @[lsu_bus_buffer.scala 409:31] - node _T_2296 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] - node _T_2297 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] - node _T_2298 = and(_T_2296, _T_2297) @[lsu_bus_buffer.scala 410:41] - node _T_2299 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:83] - node _T_2300 = and(_T_2298, _T_2299) @[lsu_bus_buffer.scala 410:71] - node _T_2301 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:104] - node _T_2302 = and(_T_2300, _T_2301) @[lsu_bus_buffer.scala 410:92] - node _T_2303 = or(_T_2295, _T_2302) @[lsu_bus_buffer.scala 409:86] - node _T_2304 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] - node _T_2305 = and(_T_2304, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] - node _T_2306 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:64] - node _T_2307 = and(_T_2305, _T_2306) @[lsu_bus_buffer.scala 411:52] - node _T_2308 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:85] - node _T_2309 = and(_T_2307, _T_2308) @[lsu_bus_buffer.scala 411:73] - node _T_2310 = or(_T_2303, _T_2309) @[lsu_bus_buffer.scala 410:114] - node _T_2311 = and(_T_2290, _T_2310) @[lsu_bus_buffer.scala 408:113] - node _T_2312 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 411:109] - node _T_2313 = or(_T_2311, _T_2312) @[lsu_bus_buffer.scala 411:97] - node _T_2314 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] - node _T_2315 = and(_T_2314, buf_state_en[2]) @[lsu_bus_buffer.scala 408:94] - node _T_2316 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] - node _T_2317 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] - node _T_2318 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] - node _T_2319 = and(_T_2317, _T_2318) @[lsu_bus_buffer.scala 409:57] - node _T_2320 = or(_T_2316, _T_2319) @[lsu_bus_buffer.scala 409:31] - node _T_2321 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] - node _T_2322 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] - node _T_2323 = and(_T_2321, _T_2322) @[lsu_bus_buffer.scala 410:41] - node _T_2324 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:83] - node _T_2325 = and(_T_2323, _T_2324) @[lsu_bus_buffer.scala 410:71] - node _T_2326 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:104] - node _T_2327 = and(_T_2325, _T_2326) @[lsu_bus_buffer.scala 410:92] - node _T_2328 = or(_T_2320, _T_2327) @[lsu_bus_buffer.scala 409:86] - node _T_2329 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] - node _T_2330 = and(_T_2329, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] - node _T_2331 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:64] - node _T_2332 = and(_T_2330, _T_2331) @[lsu_bus_buffer.scala 411:52] - node _T_2333 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:85] - node _T_2334 = and(_T_2332, _T_2333) @[lsu_bus_buffer.scala 411:73] - node _T_2335 = or(_T_2328, _T_2334) @[lsu_bus_buffer.scala 410:114] - node _T_2336 = and(_T_2315, _T_2335) @[lsu_bus_buffer.scala 408:113] - node _T_2337 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 411:109] - node _T_2338 = or(_T_2336, _T_2337) @[lsu_bus_buffer.scala 411:97] - node _T_2339 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] - node _T_2340 = and(_T_2339, buf_state_en[2]) @[lsu_bus_buffer.scala 408:94] - node _T_2341 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] - node _T_2342 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] - node _T_2343 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] - node _T_2344 = and(_T_2342, _T_2343) @[lsu_bus_buffer.scala 409:57] - node _T_2345 = or(_T_2341, _T_2344) @[lsu_bus_buffer.scala 409:31] - node _T_2346 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] - node _T_2347 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] - node _T_2348 = and(_T_2346, _T_2347) @[lsu_bus_buffer.scala 410:41] - node _T_2349 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:83] - node _T_2350 = and(_T_2348, _T_2349) @[lsu_bus_buffer.scala 410:71] - node _T_2351 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:104] - node _T_2352 = and(_T_2350, _T_2351) @[lsu_bus_buffer.scala 410:92] - node _T_2353 = or(_T_2345, _T_2352) @[lsu_bus_buffer.scala 409:86] - node _T_2354 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] - node _T_2355 = and(_T_2354, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] - node _T_2356 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:64] - node _T_2357 = and(_T_2355, _T_2356) @[lsu_bus_buffer.scala 411:52] - node _T_2358 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:85] - node _T_2359 = and(_T_2357, _T_2358) @[lsu_bus_buffer.scala 411:73] - node _T_2360 = or(_T_2353, _T_2359) @[lsu_bus_buffer.scala 410:114] - node _T_2361 = and(_T_2340, _T_2360) @[lsu_bus_buffer.scala 408:113] - node _T_2362 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 411:109] - node _T_2363 = or(_T_2361, _T_2362) @[lsu_bus_buffer.scala 411:97] - node _T_2364 = cat(_T_2363, _T_2338) @[Cat.scala 29:58] - node _T_2365 = cat(_T_2364, _T_2313) @[Cat.scala 29:58] - node buf_age_in_2 = cat(_T_2365, _T_2288) @[Cat.scala 29:58] - node _T_2366 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] - node _T_2367 = and(_T_2366, buf_state_en[3]) @[lsu_bus_buffer.scala 408:94] - node _T_2368 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] - node _T_2369 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] - node _T_2370 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] - node _T_2371 = and(_T_2369, _T_2370) @[lsu_bus_buffer.scala 409:57] - node _T_2372 = or(_T_2368, _T_2371) @[lsu_bus_buffer.scala 409:31] - node _T_2373 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] - node _T_2374 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] - node _T_2375 = and(_T_2373, _T_2374) @[lsu_bus_buffer.scala 410:41] - node _T_2376 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:83] - node _T_2377 = and(_T_2375, _T_2376) @[lsu_bus_buffer.scala 410:71] - node _T_2378 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:104] - node _T_2379 = and(_T_2377, _T_2378) @[lsu_bus_buffer.scala 410:92] - node _T_2380 = or(_T_2372, _T_2379) @[lsu_bus_buffer.scala 409:86] - node _T_2381 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] - node _T_2382 = and(_T_2381, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] - node _T_2383 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:64] - node _T_2384 = and(_T_2382, _T_2383) @[lsu_bus_buffer.scala 411:52] - node _T_2385 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:85] - node _T_2386 = and(_T_2384, _T_2385) @[lsu_bus_buffer.scala 411:73] - node _T_2387 = or(_T_2380, _T_2386) @[lsu_bus_buffer.scala 410:114] - node _T_2388 = and(_T_2367, _T_2387) @[lsu_bus_buffer.scala 408:113] - node _T_2389 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 411:109] - node _T_2390 = or(_T_2388, _T_2389) @[lsu_bus_buffer.scala 411:97] - node _T_2391 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] - node _T_2392 = and(_T_2391, buf_state_en[3]) @[lsu_bus_buffer.scala 408:94] - node _T_2393 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] - node _T_2394 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] - node _T_2395 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] - node _T_2396 = and(_T_2394, _T_2395) @[lsu_bus_buffer.scala 409:57] - node _T_2397 = or(_T_2393, _T_2396) @[lsu_bus_buffer.scala 409:31] - node _T_2398 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] - node _T_2399 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] - node _T_2400 = and(_T_2398, _T_2399) @[lsu_bus_buffer.scala 410:41] - node _T_2401 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:83] - node _T_2402 = and(_T_2400, _T_2401) @[lsu_bus_buffer.scala 410:71] - node _T_2403 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:104] - node _T_2404 = and(_T_2402, _T_2403) @[lsu_bus_buffer.scala 410:92] - node _T_2405 = or(_T_2397, _T_2404) @[lsu_bus_buffer.scala 409:86] - node _T_2406 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] - node _T_2407 = and(_T_2406, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] - node _T_2408 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:64] - node _T_2409 = and(_T_2407, _T_2408) @[lsu_bus_buffer.scala 411:52] - node _T_2410 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:85] - node _T_2411 = and(_T_2409, _T_2410) @[lsu_bus_buffer.scala 411:73] - node _T_2412 = or(_T_2405, _T_2411) @[lsu_bus_buffer.scala 410:114] - node _T_2413 = and(_T_2392, _T_2412) @[lsu_bus_buffer.scala 408:113] - node _T_2414 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 411:109] - node _T_2415 = or(_T_2413, _T_2414) @[lsu_bus_buffer.scala 411:97] - node _T_2416 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] - node _T_2417 = and(_T_2416, buf_state_en[3]) @[lsu_bus_buffer.scala 408:94] - node _T_2418 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] - node _T_2419 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] - node _T_2420 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] - node _T_2421 = and(_T_2419, _T_2420) @[lsu_bus_buffer.scala 409:57] - node _T_2422 = or(_T_2418, _T_2421) @[lsu_bus_buffer.scala 409:31] - node _T_2423 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] - node _T_2424 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] - node _T_2425 = and(_T_2423, _T_2424) @[lsu_bus_buffer.scala 410:41] - node _T_2426 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:83] - node _T_2427 = and(_T_2425, _T_2426) @[lsu_bus_buffer.scala 410:71] - node _T_2428 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:104] - node _T_2429 = and(_T_2427, _T_2428) @[lsu_bus_buffer.scala 410:92] - node _T_2430 = or(_T_2422, _T_2429) @[lsu_bus_buffer.scala 409:86] - node _T_2431 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] - node _T_2432 = and(_T_2431, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] - node _T_2433 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:64] - node _T_2434 = and(_T_2432, _T_2433) @[lsu_bus_buffer.scala 411:52] - node _T_2435 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:85] - node _T_2436 = and(_T_2434, _T_2435) @[lsu_bus_buffer.scala 411:73] - node _T_2437 = or(_T_2430, _T_2436) @[lsu_bus_buffer.scala 410:114] - node _T_2438 = and(_T_2417, _T_2437) @[lsu_bus_buffer.scala 408:113] - node _T_2439 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 411:109] - node _T_2440 = or(_T_2438, _T_2439) @[lsu_bus_buffer.scala 411:97] - node _T_2441 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] - node _T_2442 = and(_T_2441, buf_state_en[3]) @[lsu_bus_buffer.scala 408:94] - node _T_2443 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] - node _T_2444 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] - node _T_2445 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] - node _T_2446 = and(_T_2444, _T_2445) @[lsu_bus_buffer.scala 409:57] - node _T_2447 = or(_T_2443, _T_2446) @[lsu_bus_buffer.scala 409:31] - node _T_2448 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] - node _T_2449 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] - node _T_2450 = and(_T_2448, _T_2449) @[lsu_bus_buffer.scala 410:41] - node _T_2451 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:83] - node _T_2452 = and(_T_2450, _T_2451) @[lsu_bus_buffer.scala 410:71] - node _T_2453 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:104] - node _T_2454 = and(_T_2452, _T_2453) @[lsu_bus_buffer.scala 410:92] - node _T_2455 = or(_T_2447, _T_2454) @[lsu_bus_buffer.scala 409:86] - node _T_2456 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] - node _T_2457 = and(_T_2456, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] - node _T_2458 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:64] - node _T_2459 = and(_T_2457, _T_2458) @[lsu_bus_buffer.scala 411:52] - node _T_2460 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:85] - node _T_2461 = and(_T_2459, _T_2460) @[lsu_bus_buffer.scala 411:73] - node _T_2462 = or(_T_2455, _T_2461) @[lsu_bus_buffer.scala 410:114] - node _T_2463 = and(_T_2442, _T_2462) @[lsu_bus_buffer.scala 408:113] - node _T_2464 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 411:109] - node _T_2465 = or(_T_2463, _T_2464) @[lsu_bus_buffer.scala 411:97] - node _T_2466 = cat(_T_2465, _T_2440) @[Cat.scala 29:58] - node _T_2467 = cat(_T_2466, _T_2415) @[Cat.scala 29:58] - node buf_age_in_3 = cat(_T_2467, _T_2390) @[Cat.scala 29:58] + node _T_2067 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2068 = and(_T_2067, buf_state_en[0]) @[lsu_bus_buffer.scala 408:94] + node _T_2069 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2070 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2071 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2072 = and(_T_2070, _T_2071) @[lsu_bus_buffer.scala 409:57] + node _T_2073 = or(_T_2069, _T_2072) @[lsu_bus_buffer.scala 409:31] + node _T_2074 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2075 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2076 = and(_T_2074, _T_2075) @[lsu_bus_buffer.scala 410:41] + node _T_2077 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:83] + node _T_2078 = and(_T_2076, _T_2077) @[lsu_bus_buffer.scala 410:71] + node _T_2079 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:104] + node _T_2080 = and(_T_2078, _T_2079) @[lsu_bus_buffer.scala 410:92] + node _T_2081 = or(_T_2073, _T_2080) @[lsu_bus_buffer.scala 409:86] + node _T_2082 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2083 = and(_T_2082, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2084 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:64] + node _T_2085 = and(_T_2083, _T_2084) @[lsu_bus_buffer.scala 411:52] + node _T_2086 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:85] + node _T_2087 = and(_T_2085, _T_2086) @[lsu_bus_buffer.scala 411:73] + node _T_2088 = or(_T_2081, _T_2087) @[lsu_bus_buffer.scala 410:114] + node _T_2089 = and(_T_2068, _T_2088) @[lsu_bus_buffer.scala 408:113] + node _T_2090 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 411:109] + node _T_2091 = or(_T_2089, _T_2090) @[lsu_bus_buffer.scala 411:97] + node _T_2092 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2093 = and(_T_2092, buf_state_en[0]) @[lsu_bus_buffer.scala 408:94] + node _T_2094 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2095 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2096 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2097 = and(_T_2095, _T_2096) @[lsu_bus_buffer.scala 409:57] + node _T_2098 = or(_T_2094, _T_2097) @[lsu_bus_buffer.scala 409:31] + node _T_2099 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2100 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2101 = and(_T_2099, _T_2100) @[lsu_bus_buffer.scala 410:41] + node _T_2102 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:83] + node _T_2103 = and(_T_2101, _T_2102) @[lsu_bus_buffer.scala 410:71] + node _T_2104 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:104] + node _T_2105 = and(_T_2103, _T_2104) @[lsu_bus_buffer.scala 410:92] + node _T_2106 = or(_T_2098, _T_2105) @[lsu_bus_buffer.scala 409:86] + node _T_2107 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2108 = and(_T_2107, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2109 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:64] + node _T_2110 = and(_T_2108, _T_2109) @[lsu_bus_buffer.scala 411:52] + node _T_2111 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:85] + node _T_2112 = and(_T_2110, _T_2111) @[lsu_bus_buffer.scala 411:73] + node _T_2113 = or(_T_2106, _T_2112) @[lsu_bus_buffer.scala 410:114] + node _T_2114 = and(_T_2093, _T_2113) @[lsu_bus_buffer.scala 408:113] + node _T_2115 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 411:109] + node _T_2116 = or(_T_2114, _T_2115) @[lsu_bus_buffer.scala 411:97] + node _T_2117 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2118 = and(_T_2117, buf_state_en[0]) @[lsu_bus_buffer.scala 408:94] + node _T_2119 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2120 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2121 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2122 = and(_T_2120, _T_2121) @[lsu_bus_buffer.scala 409:57] + node _T_2123 = or(_T_2119, _T_2122) @[lsu_bus_buffer.scala 409:31] + node _T_2124 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2125 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2126 = and(_T_2124, _T_2125) @[lsu_bus_buffer.scala 410:41] + node _T_2127 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:83] + node _T_2128 = and(_T_2126, _T_2127) @[lsu_bus_buffer.scala 410:71] + node _T_2129 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:104] + node _T_2130 = and(_T_2128, _T_2129) @[lsu_bus_buffer.scala 410:92] + node _T_2131 = or(_T_2123, _T_2130) @[lsu_bus_buffer.scala 409:86] + node _T_2132 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2133 = and(_T_2132, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2134 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:64] + node _T_2135 = and(_T_2133, _T_2134) @[lsu_bus_buffer.scala 411:52] + node _T_2136 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:85] + node _T_2137 = and(_T_2135, _T_2136) @[lsu_bus_buffer.scala 411:73] + node _T_2138 = or(_T_2131, _T_2137) @[lsu_bus_buffer.scala 410:114] + node _T_2139 = and(_T_2118, _T_2138) @[lsu_bus_buffer.scala 408:113] + node _T_2140 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 411:109] + node _T_2141 = or(_T_2139, _T_2140) @[lsu_bus_buffer.scala 411:97] + node _T_2142 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2143 = and(_T_2142, buf_state_en[0]) @[lsu_bus_buffer.scala 408:94] + node _T_2144 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2145 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2146 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2147 = and(_T_2145, _T_2146) @[lsu_bus_buffer.scala 409:57] + node _T_2148 = or(_T_2144, _T_2147) @[lsu_bus_buffer.scala 409:31] + node _T_2149 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2150 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2151 = and(_T_2149, _T_2150) @[lsu_bus_buffer.scala 410:41] + node _T_2152 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:83] + node _T_2153 = and(_T_2151, _T_2152) @[lsu_bus_buffer.scala 410:71] + node _T_2154 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:104] + node _T_2155 = and(_T_2153, _T_2154) @[lsu_bus_buffer.scala 410:92] + node _T_2156 = or(_T_2148, _T_2155) @[lsu_bus_buffer.scala 409:86] + node _T_2157 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2158 = and(_T_2157, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2159 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:64] + node _T_2160 = and(_T_2158, _T_2159) @[lsu_bus_buffer.scala 411:52] + node _T_2161 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:85] + node _T_2162 = and(_T_2160, _T_2161) @[lsu_bus_buffer.scala 411:73] + node _T_2163 = or(_T_2156, _T_2162) @[lsu_bus_buffer.scala 410:114] + node _T_2164 = and(_T_2143, _T_2163) @[lsu_bus_buffer.scala 408:113] + node _T_2165 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 411:109] + node _T_2166 = or(_T_2164, _T_2165) @[lsu_bus_buffer.scala 411:97] + node _T_2167 = cat(_T_2166, _T_2141) @[Cat.scala 29:58] + node _T_2168 = cat(_T_2167, _T_2116) @[Cat.scala 29:58] + node buf_age_in_0 = cat(_T_2168, _T_2091) @[Cat.scala 29:58] + node _T_2169 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2170 = and(_T_2169, buf_state_en[1]) @[lsu_bus_buffer.scala 408:94] + node _T_2171 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2172 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2173 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2174 = and(_T_2172, _T_2173) @[lsu_bus_buffer.scala 409:57] + node _T_2175 = or(_T_2171, _T_2174) @[lsu_bus_buffer.scala 409:31] + node _T_2176 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2177 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2178 = and(_T_2176, _T_2177) @[lsu_bus_buffer.scala 410:41] + node _T_2179 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:83] + node _T_2180 = and(_T_2178, _T_2179) @[lsu_bus_buffer.scala 410:71] + node _T_2181 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:104] + node _T_2182 = and(_T_2180, _T_2181) @[lsu_bus_buffer.scala 410:92] + node _T_2183 = or(_T_2175, _T_2182) @[lsu_bus_buffer.scala 409:86] + node _T_2184 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2185 = and(_T_2184, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2186 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:64] + node _T_2187 = and(_T_2185, _T_2186) @[lsu_bus_buffer.scala 411:52] + node _T_2188 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:85] + node _T_2189 = and(_T_2187, _T_2188) @[lsu_bus_buffer.scala 411:73] + node _T_2190 = or(_T_2183, _T_2189) @[lsu_bus_buffer.scala 410:114] + node _T_2191 = and(_T_2170, _T_2190) @[lsu_bus_buffer.scala 408:113] + node _T_2192 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 411:109] + node _T_2193 = or(_T_2191, _T_2192) @[lsu_bus_buffer.scala 411:97] + node _T_2194 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2195 = and(_T_2194, buf_state_en[1]) @[lsu_bus_buffer.scala 408:94] + node _T_2196 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2197 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2198 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2199 = and(_T_2197, _T_2198) @[lsu_bus_buffer.scala 409:57] + node _T_2200 = or(_T_2196, _T_2199) @[lsu_bus_buffer.scala 409:31] + node _T_2201 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2202 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2203 = and(_T_2201, _T_2202) @[lsu_bus_buffer.scala 410:41] + node _T_2204 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:83] + node _T_2205 = and(_T_2203, _T_2204) @[lsu_bus_buffer.scala 410:71] + node _T_2206 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:104] + node _T_2207 = and(_T_2205, _T_2206) @[lsu_bus_buffer.scala 410:92] + node _T_2208 = or(_T_2200, _T_2207) @[lsu_bus_buffer.scala 409:86] + node _T_2209 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2210 = and(_T_2209, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2211 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:64] + node _T_2212 = and(_T_2210, _T_2211) @[lsu_bus_buffer.scala 411:52] + node _T_2213 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:85] + node _T_2214 = and(_T_2212, _T_2213) @[lsu_bus_buffer.scala 411:73] + node _T_2215 = or(_T_2208, _T_2214) @[lsu_bus_buffer.scala 410:114] + node _T_2216 = and(_T_2195, _T_2215) @[lsu_bus_buffer.scala 408:113] + node _T_2217 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 411:109] + node _T_2218 = or(_T_2216, _T_2217) @[lsu_bus_buffer.scala 411:97] + node _T_2219 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2220 = and(_T_2219, buf_state_en[1]) @[lsu_bus_buffer.scala 408:94] + node _T_2221 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2222 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2223 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2224 = and(_T_2222, _T_2223) @[lsu_bus_buffer.scala 409:57] + node _T_2225 = or(_T_2221, _T_2224) @[lsu_bus_buffer.scala 409:31] + node _T_2226 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2227 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2228 = and(_T_2226, _T_2227) @[lsu_bus_buffer.scala 410:41] + node _T_2229 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:83] + node _T_2230 = and(_T_2228, _T_2229) @[lsu_bus_buffer.scala 410:71] + node _T_2231 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:104] + node _T_2232 = and(_T_2230, _T_2231) @[lsu_bus_buffer.scala 410:92] + node _T_2233 = or(_T_2225, _T_2232) @[lsu_bus_buffer.scala 409:86] + node _T_2234 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2235 = and(_T_2234, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2236 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:64] + node _T_2237 = and(_T_2235, _T_2236) @[lsu_bus_buffer.scala 411:52] + node _T_2238 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:85] + node _T_2239 = and(_T_2237, _T_2238) @[lsu_bus_buffer.scala 411:73] + node _T_2240 = or(_T_2233, _T_2239) @[lsu_bus_buffer.scala 410:114] + node _T_2241 = and(_T_2220, _T_2240) @[lsu_bus_buffer.scala 408:113] + node _T_2242 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 411:109] + node _T_2243 = or(_T_2241, _T_2242) @[lsu_bus_buffer.scala 411:97] + node _T_2244 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2245 = and(_T_2244, buf_state_en[1]) @[lsu_bus_buffer.scala 408:94] + node _T_2246 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2247 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2248 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2249 = and(_T_2247, _T_2248) @[lsu_bus_buffer.scala 409:57] + node _T_2250 = or(_T_2246, _T_2249) @[lsu_bus_buffer.scala 409:31] + node _T_2251 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2252 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2253 = and(_T_2251, _T_2252) @[lsu_bus_buffer.scala 410:41] + node _T_2254 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:83] + node _T_2255 = and(_T_2253, _T_2254) @[lsu_bus_buffer.scala 410:71] + node _T_2256 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:104] + node _T_2257 = and(_T_2255, _T_2256) @[lsu_bus_buffer.scala 410:92] + node _T_2258 = or(_T_2250, _T_2257) @[lsu_bus_buffer.scala 409:86] + node _T_2259 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2260 = and(_T_2259, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2261 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:64] + node _T_2262 = and(_T_2260, _T_2261) @[lsu_bus_buffer.scala 411:52] + node _T_2263 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:85] + node _T_2264 = and(_T_2262, _T_2263) @[lsu_bus_buffer.scala 411:73] + node _T_2265 = or(_T_2258, _T_2264) @[lsu_bus_buffer.scala 410:114] + node _T_2266 = and(_T_2245, _T_2265) @[lsu_bus_buffer.scala 408:113] + node _T_2267 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 411:109] + node _T_2268 = or(_T_2266, _T_2267) @[lsu_bus_buffer.scala 411:97] + node _T_2269 = cat(_T_2268, _T_2243) @[Cat.scala 29:58] + node _T_2270 = cat(_T_2269, _T_2218) @[Cat.scala 29:58] + node buf_age_in_1 = cat(_T_2270, _T_2193) @[Cat.scala 29:58] + node _T_2271 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2272 = and(_T_2271, buf_state_en[2]) @[lsu_bus_buffer.scala 408:94] + node _T_2273 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2274 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2275 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2276 = and(_T_2274, _T_2275) @[lsu_bus_buffer.scala 409:57] + node _T_2277 = or(_T_2273, _T_2276) @[lsu_bus_buffer.scala 409:31] + node _T_2278 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2279 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2280 = and(_T_2278, _T_2279) @[lsu_bus_buffer.scala 410:41] + node _T_2281 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:83] + node _T_2282 = and(_T_2280, _T_2281) @[lsu_bus_buffer.scala 410:71] + node _T_2283 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:104] + node _T_2284 = and(_T_2282, _T_2283) @[lsu_bus_buffer.scala 410:92] + node _T_2285 = or(_T_2277, _T_2284) @[lsu_bus_buffer.scala 409:86] + node _T_2286 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2287 = and(_T_2286, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2288 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:64] + node _T_2289 = and(_T_2287, _T_2288) @[lsu_bus_buffer.scala 411:52] + node _T_2290 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:85] + node _T_2291 = and(_T_2289, _T_2290) @[lsu_bus_buffer.scala 411:73] + node _T_2292 = or(_T_2285, _T_2291) @[lsu_bus_buffer.scala 410:114] + node _T_2293 = and(_T_2272, _T_2292) @[lsu_bus_buffer.scala 408:113] + node _T_2294 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 411:109] + node _T_2295 = or(_T_2293, _T_2294) @[lsu_bus_buffer.scala 411:97] + node _T_2296 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2297 = and(_T_2296, buf_state_en[2]) @[lsu_bus_buffer.scala 408:94] + node _T_2298 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2299 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2300 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2301 = and(_T_2299, _T_2300) @[lsu_bus_buffer.scala 409:57] + node _T_2302 = or(_T_2298, _T_2301) @[lsu_bus_buffer.scala 409:31] + node _T_2303 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2304 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2305 = and(_T_2303, _T_2304) @[lsu_bus_buffer.scala 410:41] + node _T_2306 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:83] + node _T_2307 = and(_T_2305, _T_2306) @[lsu_bus_buffer.scala 410:71] + node _T_2308 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:104] + node _T_2309 = and(_T_2307, _T_2308) @[lsu_bus_buffer.scala 410:92] + node _T_2310 = or(_T_2302, _T_2309) @[lsu_bus_buffer.scala 409:86] + node _T_2311 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2312 = and(_T_2311, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2313 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:64] + node _T_2314 = and(_T_2312, _T_2313) @[lsu_bus_buffer.scala 411:52] + node _T_2315 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:85] + node _T_2316 = and(_T_2314, _T_2315) @[lsu_bus_buffer.scala 411:73] + node _T_2317 = or(_T_2310, _T_2316) @[lsu_bus_buffer.scala 410:114] + node _T_2318 = and(_T_2297, _T_2317) @[lsu_bus_buffer.scala 408:113] + node _T_2319 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 411:109] + node _T_2320 = or(_T_2318, _T_2319) @[lsu_bus_buffer.scala 411:97] + node _T_2321 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2322 = and(_T_2321, buf_state_en[2]) @[lsu_bus_buffer.scala 408:94] + node _T_2323 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2324 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2325 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2326 = and(_T_2324, _T_2325) @[lsu_bus_buffer.scala 409:57] + node _T_2327 = or(_T_2323, _T_2326) @[lsu_bus_buffer.scala 409:31] + node _T_2328 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2329 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2330 = and(_T_2328, _T_2329) @[lsu_bus_buffer.scala 410:41] + node _T_2331 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:83] + node _T_2332 = and(_T_2330, _T_2331) @[lsu_bus_buffer.scala 410:71] + node _T_2333 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:104] + node _T_2334 = and(_T_2332, _T_2333) @[lsu_bus_buffer.scala 410:92] + node _T_2335 = or(_T_2327, _T_2334) @[lsu_bus_buffer.scala 409:86] + node _T_2336 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2337 = and(_T_2336, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2338 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:64] + node _T_2339 = and(_T_2337, _T_2338) @[lsu_bus_buffer.scala 411:52] + node _T_2340 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:85] + node _T_2341 = and(_T_2339, _T_2340) @[lsu_bus_buffer.scala 411:73] + node _T_2342 = or(_T_2335, _T_2341) @[lsu_bus_buffer.scala 410:114] + node _T_2343 = and(_T_2322, _T_2342) @[lsu_bus_buffer.scala 408:113] + node _T_2344 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 411:109] + node _T_2345 = or(_T_2343, _T_2344) @[lsu_bus_buffer.scala 411:97] + node _T_2346 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2347 = and(_T_2346, buf_state_en[2]) @[lsu_bus_buffer.scala 408:94] + node _T_2348 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2349 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2350 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2351 = and(_T_2349, _T_2350) @[lsu_bus_buffer.scala 409:57] + node _T_2352 = or(_T_2348, _T_2351) @[lsu_bus_buffer.scala 409:31] + node _T_2353 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2354 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2355 = and(_T_2353, _T_2354) @[lsu_bus_buffer.scala 410:41] + node _T_2356 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:83] + node _T_2357 = and(_T_2355, _T_2356) @[lsu_bus_buffer.scala 410:71] + node _T_2358 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:104] + node _T_2359 = and(_T_2357, _T_2358) @[lsu_bus_buffer.scala 410:92] + node _T_2360 = or(_T_2352, _T_2359) @[lsu_bus_buffer.scala 409:86] + node _T_2361 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2362 = and(_T_2361, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2363 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:64] + node _T_2364 = and(_T_2362, _T_2363) @[lsu_bus_buffer.scala 411:52] + node _T_2365 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:85] + node _T_2366 = and(_T_2364, _T_2365) @[lsu_bus_buffer.scala 411:73] + node _T_2367 = or(_T_2360, _T_2366) @[lsu_bus_buffer.scala 410:114] + node _T_2368 = and(_T_2347, _T_2367) @[lsu_bus_buffer.scala 408:113] + node _T_2369 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 411:109] + node _T_2370 = or(_T_2368, _T_2369) @[lsu_bus_buffer.scala 411:97] + node _T_2371 = cat(_T_2370, _T_2345) @[Cat.scala 29:58] + node _T_2372 = cat(_T_2371, _T_2320) @[Cat.scala 29:58] + node buf_age_in_2 = cat(_T_2372, _T_2295) @[Cat.scala 29:58] + node _T_2373 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2374 = and(_T_2373, buf_state_en[3]) @[lsu_bus_buffer.scala 408:94] + node _T_2375 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2376 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2377 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2378 = and(_T_2376, _T_2377) @[lsu_bus_buffer.scala 409:57] + node _T_2379 = or(_T_2375, _T_2378) @[lsu_bus_buffer.scala 409:31] + node _T_2380 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2381 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2382 = and(_T_2380, _T_2381) @[lsu_bus_buffer.scala 410:41] + node _T_2383 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:83] + node _T_2384 = and(_T_2382, _T_2383) @[lsu_bus_buffer.scala 410:71] + node _T_2385 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:104] + node _T_2386 = and(_T_2384, _T_2385) @[lsu_bus_buffer.scala 410:92] + node _T_2387 = or(_T_2379, _T_2386) @[lsu_bus_buffer.scala 409:86] + node _T_2388 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2389 = and(_T_2388, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2390 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:64] + node _T_2391 = and(_T_2389, _T_2390) @[lsu_bus_buffer.scala 411:52] + node _T_2392 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:85] + node _T_2393 = and(_T_2391, _T_2392) @[lsu_bus_buffer.scala 411:73] + node _T_2394 = or(_T_2387, _T_2393) @[lsu_bus_buffer.scala 410:114] + node _T_2395 = and(_T_2374, _T_2394) @[lsu_bus_buffer.scala 408:113] + node _T_2396 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 411:109] + node _T_2397 = or(_T_2395, _T_2396) @[lsu_bus_buffer.scala 411:97] + node _T_2398 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2399 = and(_T_2398, buf_state_en[3]) @[lsu_bus_buffer.scala 408:94] + node _T_2400 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2401 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2402 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2403 = and(_T_2401, _T_2402) @[lsu_bus_buffer.scala 409:57] + node _T_2404 = or(_T_2400, _T_2403) @[lsu_bus_buffer.scala 409:31] + node _T_2405 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2406 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2407 = and(_T_2405, _T_2406) @[lsu_bus_buffer.scala 410:41] + node _T_2408 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:83] + node _T_2409 = and(_T_2407, _T_2408) @[lsu_bus_buffer.scala 410:71] + node _T_2410 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:104] + node _T_2411 = and(_T_2409, _T_2410) @[lsu_bus_buffer.scala 410:92] + node _T_2412 = or(_T_2404, _T_2411) @[lsu_bus_buffer.scala 409:86] + node _T_2413 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2414 = and(_T_2413, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2415 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:64] + node _T_2416 = and(_T_2414, _T_2415) @[lsu_bus_buffer.scala 411:52] + node _T_2417 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:85] + node _T_2418 = and(_T_2416, _T_2417) @[lsu_bus_buffer.scala 411:73] + node _T_2419 = or(_T_2412, _T_2418) @[lsu_bus_buffer.scala 410:114] + node _T_2420 = and(_T_2399, _T_2419) @[lsu_bus_buffer.scala 408:113] + node _T_2421 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 411:109] + node _T_2422 = or(_T_2420, _T_2421) @[lsu_bus_buffer.scala 411:97] + node _T_2423 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2424 = and(_T_2423, buf_state_en[3]) @[lsu_bus_buffer.scala 408:94] + node _T_2425 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2426 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2427 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2428 = and(_T_2426, _T_2427) @[lsu_bus_buffer.scala 409:57] + node _T_2429 = or(_T_2425, _T_2428) @[lsu_bus_buffer.scala 409:31] + node _T_2430 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2431 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2432 = and(_T_2430, _T_2431) @[lsu_bus_buffer.scala 410:41] + node _T_2433 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:83] + node _T_2434 = and(_T_2432, _T_2433) @[lsu_bus_buffer.scala 410:71] + node _T_2435 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:104] + node _T_2436 = and(_T_2434, _T_2435) @[lsu_bus_buffer.scala 410:92] + node _T_2437 = or(_T_2429, _T_2436) @[lsu_bus_buffer.scala 409:86] + node _T_2438 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2439 = and(_T_2438, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2440 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:64] + node _T_2441 = and(_T_2439, _T_2440) @[lsu_bus_buffer.scala 411:52] + node _T_2442 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:85] + node _T_2443 = and(_T_2441, _T_2442) @[lsu_bus_buffer.scala 411:73] + node _T_2444 = or(_T_2437, _T_2443) @[lsu_bus_buffer.scala 410:114] + node _T_2445 = and(_T_2424, _T_2444) @[lsu_bus_buffer.scala 408:113] + node _T_2446 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 411:109] + node _T_2447 = or(_T_2445, _T_2446) @[lsu_bus_buffer.scala 411:97] + node _T_2448 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2449 = and(_T_2448, buf_state_en[3]) @[lsu_bus_buffer.scala 408:94] + node _T_2450 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2451 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2452 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2453 = and(_T_2451, _T_2452) @[lsu_bus_buffer.scala 409:57] + node _T_2454 = or(_T_2450, _T_2453) @[lsu_bus_buffer.scala 409:31] + node _T_2455 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2456 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2457 = and(_T_2455, _T_2456) @[lsu_bus_buffer.scala 410:41] + node _T_2458 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:83] + node _T_2459 = and(_T_2457, _T_2458) @[lsu_bus_buffer.scala 410:71] + node _T_2460 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:104] + node _T_2461 = and(_T_2459, _T_2460) @[lsu_bus_buffer.scala 410:92] + node _T_2462 = or(_T_2454, _T_2461) @[lsu_bus_buffer.scala 409:86] + node _T_2463 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2464 = and(_T_2463, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2465 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:64] + node _T_2466 = and(_T_2464, _T_2465) @[lsu_bus_buffer.scala 411:52] + node _T_2467 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:85] + node _T_2468 = and(_T_2466, _T_2467) @[lsu_bus_buffer.scala 411:73] + node _T_2469 = or(_T_2462, _T_2468) @[lsu_bus_buffer.scala 410:114] + node _T_2470 = and(_T_2449, _T_2469) @[lsu_bus_buffer.scala 408:113] + node _T_2471 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 411:109] + node _T_2472 = or(_T_2470, _T_2471) @[lsu_bus_buffer.scala 411:97] + node _T_2473 = cat(_T_2472, _T_2447) @[Cat.scala 29:58] + node _T_2474 = cat(_T_2473, _T_2422) @[Cat.scala 29:58] + node buf_age_in_3 = cat(_T_2474, _T_2397) @[Cat.scala 29:58] wire buf_ageQ : UInt<4>[4] @[lsu_bus_buffer.scala 412:22] buf_ageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 413:12] buf_ageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 413:12] buf_ageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 413:12] buf_ageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 413:12] - node _T_2468 = bits(buf_ageQ[0], 0, 0) @[lsu_bus_buffer.scala 414:72] - node _T_2469 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] - node _T_2470 = and(_T_2469, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 414:103] - node _T_2471 = eq(_T_2470, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] - node _T_2472 = and(_T_2468, _T_2471) @[lsu_bus_buffer.scala 414:76] - node _T_2473 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] - node _T_2474 = and(_T_2472, _T_2473) @[lsu_bus_buffer.scala 414:138] - node _T_2475 = bits(buf_ageQ[0], 1, 1) @[lsu_bus_buffer.scala 414:72] - node _T_2476 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] - node _T_2477 = and(_T_2476, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 414:103] + node _T_2475 = bits(buf_ageQ[0], 0, 0) @[lsu_bus_buffer.scala 414:72] + node _T_2476 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2477 = and(_T_2476, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 414:103] node _T_2478 = eq(_T_2477, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] node _T_2479 = and(_T_2475, _T_2478) @[lsu_bus_buffer.scala 414:76] node _T_2480 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] node _T_2481 = and(_T_2479, _T_2480) @[lsu_bus_buffer.scala 414:138] - node _T_2482 = bits(buf_ageQ[0], 2, 2) @[lsu_bus_buffer.scala 414:72] - node _T_2483 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] - node _T_2484 = and(_T_2483, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 414:103] + node _T_2482 = bits(buf_ageQ[0], 1, 1) @[lsu_bus_buffer.scala 414:72] + node _T_2483 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2484 = and(_T_2483, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 414:103] node _T_2485 = eq(_T_2484, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] node _T_2486 = and(_T_2482, _T_2485) @[lsu_bus_buffer.scala 414:76] node _T_2487 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] node _T_2488 = and(_T_2486, _T_2487) @[lsu_bus_buffer.scala 414:138] - node _T_2489 = bits(buf_ageQ[0], 3, 3) @[lsu_bus_buffer.scala 414:72] - node _T_2490 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] - node _T_2491 = and(_T_2490, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 414:103] + node _T_2489 = bits(buf_ageQ[0], 2, 2) @[lsu_bus_buffer.scala 414:72] + node _T_2490 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2491 = and(_T_2490, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 414:103] node _T_2492 = eq(_T_2491, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] node _T_2493 = and(_T_2489, _T_2492) @[lsu_bus_buffer.scala 414:76] node _T_2494 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] node _T_2495 = and(_T_2493, _T_2494) @[lsu_bus_buffer.scala 414:138] - node _T_2496 = cat(_T_2495, _T_2488) @[Cat.scala 29:58] - node _T_2497 = cat(_T_2496, _T_2481) @[Cat.scala 29:58] - node _T_2498 = cat(_T_2497, _T_2474) @[Cat.scala 29:58] - node _T_2499 = bits(buf_ageQ[1], 0, 0) @[lsu_bus_buffer.scala 414:72] - node _T_2500 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] - node _T_2501 = and(_T_2500, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 414:103] - node _T_2502 = eq(_T_2501, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] - node _T_2503 = and(_T_2499, _T_2502) @[lsu_bus_buffer.scala 414:76] - node _T_2504 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] - node _T_2505 = and(_T_2503, _T_2504) @[lsu_bus_buffer.scala 414:138] - node _T_2506 = bits(buf_ageQ[1], 1, 1) @[lsu_bus_buffer.scala 414:72] - node _T_2507 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] - node _T_2508 = and(_T_2507, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 414:103] + node _T_2496 = bits(buf_ageQ[0], 3, 3) @[lsu_bus_buffer.scala 414:72] + node _T_2497 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2498 = and(_T_2497, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 414:103] + node _T_2499 = eq(_T_2498, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2500 = and(_T_2496, _T_2499) @[lsu_bus_buffer.scala 414:76] + node _T_2501 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2502 = and(_T_2500, _T_2501) @[lsu_bus_buffer.scala 414:138] + node _T_2503 = cat(_T_2502, _T_2495) @[Cat.scala 29:58] + node _T_2504 = cat(_T_2503, _T_2488) @[Cat.scala 29:58] + node _T_2505 = cat(_T_2504, _T_2481) @[Cat.scala 29:58] + node _T_2506 = bits(buf_ageQ[1], 0, 0) @[lsu_bus_buffer.scala 414:72] + node _T_2507 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2508 = and(_T_2507, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 414:103] node _T_2509 = eq(_T_2508, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] node _T_2510 = and(_T_2506, _T_2509) @[lsu_bus_buffer.scala 414:76] node _T_2511 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] node _T_2512 = and(_T_2510, _T_2511) @[lsu_bus_buffer.scala 414:138] - node _T_2513 = bits(buf_ageQ[1], 2, 2) @[lsu_bus_buffer.scala 414:72] - node _T_2514 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] - node _T_2515 = and(_T_2514, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 414:103] + node _T_2513 = bits(buf_ageQ[1], 1, 1) @[lsu_bus_buffer.scala 414:72] + node _T_2514 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2515 = and(_T_2514, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 414:103] node _T_2516 = eq(_T_2515, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] node _T_2517 = and(_T_2513, _T_2516) @[lsu_bus_buffer.scala 414:76] node _T_2518 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] node _T_2519 = and(_T_2517, _T_2518) @[lsu_bus_buffer.scala 414:138] - node _T_2520 = bits(buf_ageQ[1], 3, 3) @[lsu_bus_buffer.scala 414:72] - node _T_2521 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] - node _T_2522 = and(_T_2521, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 414:103] + node _T_2520 = bits(buf_ageQ[1], 2, 2) @[lsu_bus_buffer.scala 414:72] + node _T_2521 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2522 = and(_T_2521, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 414:103] node _T_2523 = eq(_T_2522, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] node _T_2524 = and(_T_2520, _T_2523) @[lsu_bus_buffer.scala 414:76] node _T_2525 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] node _T_2526 = and(_T_2524, _T_2525) @[lsu_bus_buffer.scala 414:138] - node _T_2527 = cat(_T_2526, _T_2519) @[Cat.scala 29:58] - node _T_2528 = cat(_T_2527, _T_2512) @[Cat.scala 29:58] - node _T_2529 = cat(_T_2528, _T_2505) @[Cat.scala 29:58] - node _T_2530 = bits(buf_ageQ[2], 0, 0) @[lsu_bus_buffer.scala 414:72] - node _T_2531 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] - node _T_2532 = and(_T_2531, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 414:103] - node _T_2533 = eq(_T_2532, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] - node _T_2534 = and(_T_2530, _T_2533) @[lsu_bus_buffer.scala 414:76] - node _T_2535 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] - node _T_2536 = and(_T_2534, _T_2535) @[lsu_bus_buffer.scala 414:138] - node _T_2537 = bits(buf_ageQ[2], 1, 1) @[lsu_bus_buffer.scala 414:72] - node _T_2538 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] - node _T_2539 = and(_T_2538, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 414:103] + node _T_2527 = bits(buf_ageQ[1], 3, 3) @[lsu_bus_buffer.scala 414:72] + node _T_2528 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2529 = and(_T_2528, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 414:103] + node _T_2530 = eq(_T_2529, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2531 = and(_T_2527, _T_2530) @[lsu_bus_buffer.scala 414:76] + node _T_2532 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2533 = and(_T_2531, _T_2532) @[lsu_bus_buffer.scala 414:138] + node _T_2534 = cat(_T_2533, _T_2526) @[Cat.scala 29:58] + node _T_2535 = cat(_T_2534, _T_2519) @[Cat.scala 29:58] + node _T_2536 = cat(_T_2535, _T_2512) @[Cat.scala 29:58] + node _T_2537 = bits(buf_ageQ[2], 0, 0) @[lsu_bus_buffer.scala 414:72] + node _T_2538 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2539 = and(_T_2538, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 414:103] node _T_2540 = eq(_T_2539, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] node _T_2541 = and(_T_2537, _T_2540) @[lsu_bus_buffer.scala 414:76] node _T_2542 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] node _T_2543 = and(_T_2541, _T_2542) @[lsu_bus_buffer.scala 414:138] - node _T_2544 = bits(buf_ageQ[2], 2, 2) @[lsu_bus_buffer.scala 414:72] - node _T_2545 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] - node _T_2546 = and(_T_2545, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 414:103] + node _T_2544 = bits(buf_ageQ[2], 1, 1) @[lsu_bus_buffer.scala 414:72] + node _T_2545 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2546 = and(_T_2545, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 414:103] node _T_2547 = eq(_T_2546, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] node _T_2548 = and(_T_2544, _T_2547) @[lsu_bus_buffer.scala 414:76] node _T_2549 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] node _T_2550 = and(_T_2548, _T_2549) @[lsu_bus_buffer.scala 414:138] - node _T_2551 = bits(buf_ageQ[2], 3, 3) @[lsu_bus_buffer.scala 414:72] - node _T_2552 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] - node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 414:103] + node _T_2551 = bits(buf_ageQ[2], 2, 2) @[lsu_bus_buffer.scala 414:72] + node _T_2552 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 414:103] node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] node _T_2555 = and(_T_2551, _T_2554) @[lsu_bus_buffer.scala 414:76] node _T_2556 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] node _T_2557 = and(_T_2555, _T_2556) @[lsu_bus_buffer.scala 414:138] - node _T_2558 = cat(_T_2557, _T_2550) @[Cat.scala 29:58] - node _T_2559 = cat(_T_2558, _T_2543) @[Cat.scala 29:58] - node _T_2560 = cat(_T_2559, _T_2536) @[Cat.scala 29:58] - node _T_2561 = bits(buf_ageQ[3], 0, 0) @[lsu_bus_buffer.scala 414:72] - node _T_2562 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] - node _T_2563 = and(_T_2562, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 414:103] - node _T_2564 = eq(_T_2563, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] - node _T_2565 = and(_T_2561, _T_2564) @[lsu_bus_buffer.scala 414:76] - node _T_2566 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] - node _T_2567 = and(_T_2565, _T_2566) @[lsu_bus_buffer.scala 414:138] - node _T_2568 = bits(buf_ageQ[3], 1, 1) @[lsu_bus_buffer.scala 414:72] - node _T_2569 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] - node _T_2570 = and(_T_2569, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 414:103] + node _T_2558 = bits(buf_ageQ[2], 3, 3) @[lsu_bus_buffer.scala 414:72] + node _T_2559 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2560 = and(_T_2559, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 414:103] + node _T_2561 = eq(_T_2560, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2562 = and(_T_2558, _T_2561) @[lsu_bus_buffer.scala 414:76] + node _T_2563 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2564 = and(_T_2562, _T_2563) @[lsu_bus_buffer.scala 414:138] + node _T_2565 = cat(_T_2564, _T_2557) @[Cat.scala 29:58] + node _T_2566 = cat(_T_2565, _T_2550) @[Cat.scala 29:58] + node _T_2567 = cat(_T_2566, _T_2543) @[Cat.scala 29:58] + node _T_2568 = bits(buf_ageQ[3], 0, 0) @[lsu_bus_buffer.scala 414:72] + node _T_2569 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2570 = and(_T_2569, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 414:103] node _T_2571 = eq(_T_2570, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] node _T_2572 = and(_T_2568, _T_2571) @[lsu_bus_buffer.scala 414:76] node _T_2573 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] node _T_2574 = and(_T_2572, _T_2573) @[lsu_bus_buffer.scala 414:138] - node _T_2575 = bits(buf_ageQ[3], 2, 2) @[lsu_bus_buffer.scala 414:72] - node _T_2576 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] - node _T_2577 = and(_T_2576, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 414:103] + node _T_2575 = bits(buf_ageQ[3], 1, 1) @[lsu_bus_buffer.scala 414:72] + node _T_2576 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2577 = and(_T_2576, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 414:103] node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] node _T_2579 = and(_T_2575, _T_2578) @[lsu_bus_buffer.scala 414:76] node _T_2580 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] node _T_2581 = and(_T_2579, _T_2580) @[lsu_bus_buffer.scala 414:138] - node _T_2582 = bits(buf_ageQ[3], 3, 3) @[lsu_bus_buffer.scala 414:72] - node _T_2583 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] - node _T_2584 = and(_T_2583, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 414:103] + node _T_2582 = bits(buf_ageQ[3], 2, 2) @[lsu_bus_buffer.scala 414:72] + node _T_2583 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2584 = and(_T_2583, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 414:103] node _T_2585 = eq(_T_2584, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] node _T_2586 = and(_T_2582, _T_2585) @[lsu_bus_buffer.scala 414:76] node _T_2587 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] node _T_2588 = and(_T_2586, _T_2587) @[lsu_bus_buffer.scala 414:138] - node _T_2589 = cat(_T_2588, _T_2581) @[Cat.scala 29:58] - node _T_2590 = cat(_T_2589, _T_2574) @[Cat.scala 29:58] - node _T_2591 = cat(_T_2590, _T_2567) @[Cat.scala 29:58] - buf_age[0] <= _T_2498 @[lsu_bus_buffer.scala 414:11] - buf_age[1] <= _T_2529 @[lsu_bus_buffer.scala 414:11] - buf_age[2] <= _T_2560 @[lsu_bus_buffer.scala 414:11] - buf_age[3] <= _T_2591 @[lsu_bus_buffer.scala 414:11] - node _T_2592 = eq(UInt<1>("h00"), UInt<1>("h00")) @[lsu_bus_buffer.scala 415:76] - node _T_2593 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 415:100] - node _T_2594 = eq(_T_2593, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] - node _T_2595 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] - node _T_2596 = and(_T_2594, _T_2595) @[lsu_bus_buffer.scala 415:104] - node _T_2597 = mux(_T_2592, UInt<1>("h00"), _T_2596) @[lsu_bus_buffer.scala 415:72] - node _T_2598 = eq(UInt<1>("h00"), UInt<1>("h01")) @[lsu_bus_buffer.scala 415:76] - node _T_2599 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 415:100] - node _T_2600 = eq(_T_2599, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] - node _T_2601 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] - node _T_2602 = and(_T_2600, _T_2601) @[lsu_bus_buffer.scala 415:104] - node _T_2603 = mux(_T_2598, UInt<1>("h00"), _T_2602) @[lsu_bus_buffer.scala 415:72] - node _T_2604 = eq(UInt<1>("h00"), UInt<2>("h02")) @[lsu_bus_buffer.scala 415:76] - node _T_2605 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 415:100] - node _T_2606 = eq(_T_2605, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] - node _T_2607 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] - node _T_2608 = and(_T_2606, _T_2607) @[lsu_bus_buffer.scala 415:104] - node _T_2609 = mux(_T_2604, UInt<1>("h00"), _T_2608) @[lsu_bus_buffer.scala 415:72] - node _T_2610 = eq(UInt<1>("h00"), UInt<2>("h03")) @[lsu_bus_buffer.scala 415:76] - node _T_2611 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 415:100] - node _T_2612 = eq(_T_2611, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] - node _T_2613 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] - node _T_2614 = and(_T_2612, _T_2613) @[lsu_bus_buffer.scala 415:104] - node _T_2615 = mux(_T_2610, UInt<1>("h00"), _T_2614) @[lsu_bus_buffer.scala 415:72] - node _T_2616 = cat(_T_2615, _T_2609) @[Cat.scala 29:58] - node _T_2617 = cat(_T_2616, _T_2603) @[Cat.scala 29:58] - node _T_2618 = cat(_T_2617, _T_2597) @[Cat.scala 29:58] - node _T_2619 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 415:76] - node _T_2620 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 415:100] - node _T_2621 = eq(_T_2620, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] - node _T_2622 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] - node _T_2623 = and(_T_2621, _T_2622) @[lsu_bus_buffer.scala 415:104] - node _T_2624 = mux(_T_2619, UInt<1>("h00"), _T_2623) @[lsu_bus_buffer.scala 415:72] - node _T_2625 = eq(UInt<1>("h01"), UInt<1>("h01")) @[lsu_bus_buffer.scala 415:76] - node _T_2626 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 415:100] - node _T_2627 = eq(_T_2626, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] - node _T_2628 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] - node _T_2629 = and(_T_2627, _T_2628) @[lsu_bus_buffer.scala 415:104] - node _T_2630 = mux(_T_2625, UInt<1>("h00"), _T_2629) @[lsu_bus_buffer.scala 415:72] - node _T_2631 = eq(UInt<1>("h01"), UInt<2>("h02")) @[lsu_bus_buffer.scala 415:76] - node _T_2632 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 415:100] - node _T_2633 = eq(_T_2632, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] - node _T_2634 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] - node _T_2635 = and(_T_2633, _T_2634) @[lsu_bus_buffer.scala 415:104] - node _T_2636 = mux(_T_2631, UInt<1>("h00"), _T_2635) @[lsu_bus_buffer.scala 415:72] - node _T_2637 = eq(UInt<1>("h01"), UInt<2>("h03")) @[lsu_bus_buffer.scala 415:76] - node _T_2638 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 415:100] - node _T_2639 = eq(_T_2638, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] - node _T_2640 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] - node _T_2641 = and(_T_2639, _T_2640) @[lsu_bus_buffer.scala 415:104] - node _T_2642 = mux(_T_2637, UInt<1>("h00"), _T_2641) @[lsu_bus_buffer.scala 415:72] - node _T_2643 = cat(_T_2642, _T_2636) @[Cat.scala 29:58] - node _T_2644 = cat(_T_2643, _T_2630) @[Cat.scala 29:58] - node _T_2645 = cat(_T_2644, _T_2624) @[Cat.scala 29:58] - node _T_2646 = eq(UInt<2>("h02"), UInt<1>("h00")) @[lsu_bus_buffer.scala 415:76] - node _T_2647 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 415:100] - node _T_2648 = eq(_T_2647, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] - node _T_2649 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] - node _T_2650 = and(_T_2648, _T_2649) @[lsu_bus_buffer.scala 415:104] - node _T_2651 = mux(_T_2646, UInt<1>("h00"), _T_2650) @[lsu_bus_buffer.scala 415:72] - node _T_2652 = eq(UInt<2>("h02"), UInt<1>("h01")) @[lsu_bus_buffer.scala 415:76] - node _T_2653 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 415:100] - node _T_2654 = eq(_T_2653, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] - node _T_2655 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] - node _T_2656 = and(_T_2654, _T_2655) @[lsu_bus_buffer.scala 415:104] - node _T_2657 = mux(_T_2652, UInt<1>("h00"), _T_2656) @[lsu_bus_buffer.scala 415:72] - node _T_2658 = eq(UInt<2>("h02"), UInt<2>("h02")) @[lsu_bus_buffer.scala 415:76] - node _T_2659 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 415:100] - node _T_2660 = eq(_T_2659, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] - node _T_2661 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] - node _T_2662 = and(_T_2660, _T_2661) @[lsu_bus_buffer.scala 415:104] - node _T_2663 = mux(_T_2658, UInt<1>("h00"), _T_2662) @[lsu_bus_buffer.scala 415:72] - node _T_2664 = eq(UInt<2>("h02"), UInt<2>("h03")) @[lsu_bus_buffer.scala 415:76] - node _T_2665 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 415:100] - node _T_2666 = eq(_T_2665, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] - node _T_2667 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] - node _T_2668 = and(_T_2666, _T_2667) @[lsu_bus_buffer.scala 415:104] - node _T_2669 = mux(_T_2664, UInt<1>("h00"), _T_2668) @[lsu_bus_buffer.scala 415:72] - node _T_2670 = cat(_T_2669, _T_2663) @[Cat.scala 29:58] - node _T_2671 = cat(_T_2670, _T_2657) @[Cat.scala 29:58] - node _T_2672 = cat(_T_2671, _T_2651) @[Cat.scala 29:58] - node _T_2673 = eq(UInt<2>("h03"), UInt<1>("h00")) @[lsu_bus_buffer.scala 415:76] - node _T_2674 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 415:100] - node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] - node _T_2676 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] - node _T_2677 = and(_T_2675, _T_2676) @[lsu_bus_buffer.scala 415:104] - node _T_2678 = mux(_T_2673, UInt<1>("h00"), _T_2677) @[lsu_bus_buffer.scala 415:72] - node _T_2679 = eq(UInt<2>("h03"), UInt<1>("h01")) @[lsu_bus_buffer.scala 415:76] - node _T_2680 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 415:100] - node _T_2681 = eq(_T_2680, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] - node _T_2682 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] - node _T_2683 = and(_T_2681, _T_2682) @[lsu_bus_buffer.scala 415:104] - node _T_2684 = mux(_T_2679, UInt<1>("h00"), _T_2683) @[lsu_bus_buffer.scala 415:72] - node _T_2685 = eq(UInt<2>("h03"), UInt<2>("h02")) @[lsu_bus_buffer.scala 415:76] - node _T_2686 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 415:100] - node _T_2687 = eq(_T_2686, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] - node _T_2688 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] - node _T_2689 = and(_T_2687, _T_2688) @[lsu_bus_buffer.scala 415:104] - node _T_2690 = mux(_T_2685, UInt<1>("h00"), _T_2689) @[lsu_bus_buffer.scala 415:72] - node _T_2691 = eq(UInt<2>("h03"), UInt<2>("h03")) @[lsu_bus_buffer.scala 415:76] - node _T_2692 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 415:100] - node _T_2693 = eq(_T_2692, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] - node _T_2694 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] - node _T_2695 = and(_T_2693, _T_2694) @[lsu_bus_buffer.scala 415:104] - node _T_2696 = mux(_T_2691, UInt<1>("h00"), _T_2695) @[lsu_bus_buffer.scala 415:72] - node _T_2697 = cat(_T_2696, _T_2690) @[Cat.scala 29:58] - node _T_2698 = cat(_T_2697, _T_2684) @[Cat.scala 29:58] - node _T_2699 = cat(_T_2698, _T_2678) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2618 @[lsu_bus_buffer.scala 415:19] - buf_age_younger[1] <= _T_2645 @[lsu_bus_buffer.scala 415:19] - buf_age_younger[2] <= _T_2672 @[lsu_bus_buffer.scala 415:19] - buf_age_younger[3] <= _T_2699 @[lsu_bus_buffer.scala 415:19] - node _T_2700 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 416:83] - node _T_2701 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] - node _T_2702 = and(_T_2700, _T_2701) @[lsu_bus_buffer.scala 416:87] - node _T_2703 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 416:83] - node _T_2704 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] - node _T_2705 = and(_T_2703, _T_2704) @[lsu_bus_buffer.scala 416:87] - node _T_2706 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 416:83] - node _T_2707 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] - node _T_2708 = and(_T_2706, _T_2707) @[lsu_bus_buffer.scala 416:87] - node _T_2709 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 416:83] - node _T_2710 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] - node _T_2711 = and(_T_2709, _T_2710) @[lsu_bus_buffer.scala 416:87] - node _T_2712 = cat(_T_2711, _T_2708) @[Cat.scala 29:58] - node _T_2713 = cat(_T_2712, _T_2705) @[Cat.scala 29:58] - node _T_2714 = cat(_T_2713, _T_2702) @[Cat.scala 29:58] - node _T_2715 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 416:83] - node _T_2716 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] - node _T_2717 = and(_T_2715, _T_2716) @[lsu_bus_buffer.scala 416:87] - node _T_2718 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 416:83] - node _T_2719 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] - node _T_2720 = and(_T_2718, _T_2719) @[lsu_bus_buffer.scala 416:87] - node _T_2721 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 416:83] - node _T_2722 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] - node _T_2723 = and(_T_2721, _T_2722) @[lsu_bus_buffer.scala 416:87] - node _T_2724 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 416:83] - node _T_2725 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] - node _T_2726 = and(_T_2724, _T_2725) @[lsu_bus_buffer.scala 416:87] - node _T_2727 = cat(_T_2726, _T_2723) @[Cat.scala 29:58] - node _T_2728 = cat(_T_2727, _T_2720) @[Cat.scala 29:58] - node _T_2729 = cat(_T_2728, _T_2717) @[Cat.scala 29:58] - node _T_2730 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 416:83] - node _T_2731 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] - node _T_2732 = and(_T_2730, _T_2731) @[lsu_bus_buffer.scala 416:87] - node _T_2733 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 416:83] - node _T_2734 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] - node _T_2735 = and(_T_2733, _T_2734) @[lsu_bus_buffer.scala 416:87] - node _T_2736 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 416:83] - node _T_2737 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] - node _T_2738 = and(_T_2736, _T_2737) @[lsu_bus_buffer.scala 416:87] - node _T_2739 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 416:83] - node _T_2740 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] - node _T_2741 = and(_T_2739, _T_2740) @[lsu_bus_buffer.scala 416:87] - node _T_2742 = cat(_T_2741, _T_2738) @[Cat.scala 29:58] - node _T_2743 = cat(_T_2742, _T_2735) @[Cat.scala 29:58] - node _T_2744 = cat(_T_2743, _T_2732) @[Cat.scala 29:58] - node _T_2745 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 416:83] - node _T_2746 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] - node _T_2747 = and(_T_2745, _T_2746) @[lsu_bus_buffer.scala 416:87] - node _T_2748 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 416:83] - node _T_2749 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] - node _T_2750 = and(_T_2748, _T_2749) @[lsu_bus_buffer.scala 416:87] - node _T_2751 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 416:83] - node _T_2752 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] - node _T_2753 = and(_T_2751, _T_2752) @[lsu_bus_buffer.scala 416:87] - node _T_2754 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 416:83] - node _T_2755 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] - node _T_2756 = and(_T_2754, _T_2755) @[lsu_bus_buffer.scala 416:87] - node _T_2757 = cat(_T_2756, _T_2753) @[Cat.scala 29:58] - node _T_2758 = cat(_T_2757, _T_2750) @[Cat.scala 29:58] - node _T_2759 = cat(_T_2758, _T_2747) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2714 @[lsu_bus_buffer.scala 416:19] - buf_rsp_pickage[1] <= _T_2729 @[lsu_bus_buffer.scala 416:19] - buf_rsp_pickage[2] <= _T_2744 @[lsu_bus_buffer.scala 416:19] - buf_rsp_pickage[3] <= _T_2759 @[lsu_bus_buffer.scala 416:19] - node _T_2760 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] - node _T_2761 = and(_T_2760, buf_state_en[0]) @[lsu_bus_buffer.scala 418:93] - node _T_2762 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] - node _T_2763 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] - node _T_2764 = or(_T_2762, _T_2763) @[lsu_bus_buffer.scala 419:32] - node _T_2765 = eq(_T_2764, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] - node _T_2766 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] - node _T_2767 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] - node _T_2768 = and(_T_2766, _T_2767) @[lsu_bus_buffer.scala 420:41] - node _T_2769 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:82] - node _T_2770 = and(_T_2768, _T_2769) @[lsu_bus_buffer.scala 420:71] - node _T_2771 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:101] - node _T_2772 = and(_T_2770, _T_2771) @[lsu_bus_buffer.scala 420:90] - node _T_2773 = or(_T_2765, _T_2772) @[lsu_bus_buffer.scala 419:59] - node _T_2774 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] - node _T_2775 = and(_T_2774, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] - node _T_2776 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:63] - node _T_2777 = and(_T_2775, _T_2776) @[lsu_bus_buffer.scala 421:52] - node _T_2778 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_2779 = and(_T_2777, _T_2778) @[lsu_bus_buffer.scala 421:71] - node _T_2780 = or(_T_2773, _T_2779) @[lsu_bus_buffer.scala 420:110] - node _T_2781 = and(_T_2761, _T_2780) @[lsu_bus_buffer.scala 418:112] - node _T_2782 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] - node _T_2783 = and(_T_2782, buf_state_en[0]) @[lsu_bus_buffer.scala 418:93] - node _T_2784 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] - node _T_2785 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] - node _T_2786 = or(_T_2784, _T_2785) @[lsu_bus_buffer.scala 419:32] - node _T_2787 = eq(_T_2786, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] - node _T_2788 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] - node _T_2789 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] - node _T_2790 = and(_T_2788, _T_2789) @[lsu_bus_buffer.scala 420:41] - node _T_2791 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:82] - node _T_2792 = and(_T_2790, _T_2791) @[lsu_bus_buffer.scala 420:71] - node _T_2793 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:101] - node _T_2794 = and(_T_2792, _T_2793) @[lsu_bus_buffer.scala 420:90] - node _T_2795 = or(_T_2787, _T_2794) @[lsu_bus_buffer.scala 419:59] - node _T_2796 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] - node _T_2797 = and(_T_2796, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] - node _T_2798 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:63] - node _T_2799 = and(_T_2797, _T_2798) @[lsu_bus_buffer.scala 421:52] - node _T_2800 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:82] - node _T_2801 = and(_T_2799, _T_2800) @[lsu_bus_buffer.scala 421:71] - node _T_2802 = or(_T_2795, _T_2801) @[lsu_bus_buffer.scala 420:110] - node _T_2803 = and(_T_2783, _T_2802) @[lsu_bus_buffer.scala 418:112] - node _T_2804 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] - node _T_2805 = and(_T_2804, buf_state_en[0]) @[lsu_bus_buffer.scala 418:93] - node _T_2806 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] - node _T_2807 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] - node _T_2808 = or(_T_2806, _T_2807) @[lsu_bus_buffer.scala 419:32] - node _T_2809 = eq(_T_2808, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] - node _T_2810 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] - node _T_2811 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] - node _T_2812 = and(_T_2810, _T_2811) @[lsu_bus_buffer.scala 420:41] - node _T_2813 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:82] - node _T_2814 = and(_T_2812, _T_2813) @[lsu_bus_buffer.scala 420:71] - node _T_2815 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:101] - node _T_2816 = and(_T_2814, _T_2815) @[lsu_bus_buffer.scala 420:90] - node _T_2817 = or(_T_2809, _T_2816) @[lsu_bus_buffer.scala 419:59] - node _T_2818 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] - node _T_2819 = and(_T_2818, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] - node _T_2820 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:63] - node _T_2821 = and(_T_2819, _T_2820) @[lsu_bus_buffer.scala 421:52] - node _T_2822 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:82] - node _T_2823 = and(_T_2821, _T_2822) @[lsu_bus_buffer.scala 421:71] - node _T_2824 = or(_T_2817, _T_2823) @[lsu_bus_buffer.scala 420:110] - node _T_2825 = and(_T_2805, _T_2824) @[lsu_bus_buffer.scala 418:112] - node _T_2826 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] - node _T_2827 = and(_T_2826, buf_state_en[0]) @[lsu_bus_buffer.scala 418:93] - node _T_2828 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] - node _T_2829 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] - node _T_2830 = or(_T_2828, _T_2829) @[lsu_bus_buffer.scala 419:32] - node _T_2831 = eq(_T_2830, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] - node _T_2832 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] - node _T_2833 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] - node _T_2834 = and(_T_2832, _T_2833) @[lsu_bus_buffer.scala 420:41] - node _T_2835 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:82] - node _T_2836 = and(_T_2834, _T_2835) @[lsu_bus_buffer.scala 420:71] - node _T_2837 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:101] - node _T_2838 = and(_T_2836, _T_2837) @[lsu_bus_buffer.scala 420:90] - node _T_2839 = or(_T_2831, _T_2838) @[lsu_bus_buffer.scala 419:59] - node _T_2840 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] - node _T_2841 = and(_T_2840, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] - node _T_2842 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:63] - node _T_2843 = and(_T_2841, _T_2842) @[lsu_bus_buffer.scala 421:52] - node _T_2844 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:82] - node _T_2845 = and(_T_2843, _T_2844) @[lsu_bus_buffer.scala 421:71] - node _T_2846 = or(_T_2839, _T_2845) @[lsu_bus_buffer.scala 420:110] - node _T_2847 = and(_T_2827, _T_2846) @[lsu_bus_buffer.scala 418:112] - node _T_2848 = cat(_T_2847, _T_2825) @[Cat.scala 29:58] - node _T_2849 = cat(_T_2848, _T_2803) @[Cat.scala 29:58] - node _T_2850 = cat(_T_2849, _T_2781) @[Cat.scala 29:58] - node _T_2851 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] - node _T_2852 = and(_T_2851, buf_state_en[1]) @[lsu_bus_buffer.scala 418:93] - node _T_2853 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] - node _T_2854 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] - node _T_2855 = or(_T_2853, _T_2854) @[lsu_bus_buffer.scala 419:32] - node _T_2856 = eq(_T_2855, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] - node _T_2857 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] - node _T_2858 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] - node _T_2859 = and(_T_2857, _T_2858) @[lsu_bus_buffer.scala 420:41] - node _T_2860 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:82] - node _T_2861 = and(_T_2859, _T_2860) @[lsu_bus_buffer.scala 420:71] - node _T_2862 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:101] - node _T_2863 = and(_T_2861, _T_2862) @[lsu_bus_buffer.scala 420:90] - node _T_2864 = or(_T_2856, _T_2863) @[lsu_bus_buffer.scala 419:59] - node _T_2865 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] - node _T_2866 = and(_T_2865, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] - node _T_2867 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:63] - node _T_2868 = and(_T_2866, _T_2867) @[lsu_bus_buffer.scala 421:52] - node _T_2869 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_2870 = and(_T_2868, _T_2869) @[lsu_bus_buffer.scala 421:71] - node _T_2871 = or(_T_2864, _T_2870) @[lsu_bus_buffer.scala 420:110] - node _T_2872 = and(_T_2852, _T_2871) @[lsu_bus_buffer.scala 418:112] - node _T_2873 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] - node _T_2874 = and(_T_2873, buf_state_en[1]) @[lsu_bus_buffer.scala 418:93] - node _T_2875 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] - node _T_2876 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] - node _T_2877 = or(_T_2875, _T_2876) @[lsu_bus_buffer.scala 419:32] - node _T_2878 = eq(_T_2877, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] - node _T_2879 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] - node _T_2880 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] - node _T_2881 = and(_T_2879, _T_2880) @[lsu_bus_buffer.scala 420:41] - node _T_2882 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:82] - node _T_2883 = and(_T_2881, _T_2882) @[lsu_bus_buffer.scala 420:71] - node _T_2884 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:101] - node _T_2885 = and(_T_2883, _T_2884) @[lsu_bus_buffer.scala 420:90] - node _T_2886 = or(_T_2878, _T_2885) @[lsu_bus_buffer.scala 419:59] - node _T_2887 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] - node _T_2888 = and(_T_2887, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] - node _T_2889 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:63] - node _T_2890 = and(_T_2888, _T_2889) @[lsu_bus_buffer.scala 421:52] - node _T_2891 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:82] - node _T_2892 = and(_T_2890, _T_2891) @[lsu_bus_buffer.scala 421:71] - node _T_2893 = or(_T_2886, _T_2892) @[lsu_bus_buffer.scala 420:110] - node _T_2894 = and(_T_2874, _T_2893) @[lsu_bus_buffer.scala 418:112] - node _T_2895 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] - node _T_2896 = and(_T_2895, buf_state_en[1]) @[lsu_bus_buffer.scala 418:93] - node _T_2897 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] - node _T_2898 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] - node _T_2899 = or(_T_2897, _T_2898) @[lsu_bus_buffer.scala 419:32] - node _T_2900 = eq(_T_2899, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] - node _T_2901 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] - node _T_2902 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] - node _T_2903 = and(_T_2901, _T_2902) @[lsu_bus_buffer.scala 420:41] - node _T_2904 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:82] - node _T_2905 = and(_T_2903, _T_2904) @[lsu_bus_buffer.scala 420:71] - node _T_2906 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:101] - node _T_2907 = and(_T_2905, _T_2906) @[lsu_bus_buffer.scala 420:90] - node _T_2908 = or(_T_2900, _T_2907) @[lsu_bus_buffer.scala 419:59] - node _T_2909 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] - node _T_2910 = and(_T_2909, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] - node _T_2911 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:63] - node _T_2912 = and(_T_2910, _T_2911) @[lsu_bus_buffer.scala 421:52] - node _T_2913 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:82] - node _T_2914 = and(_T_2912, _T_2913) @[lsu_bus_buffer.scala 421:71] - node _T_2915 = or(_T_2908, _T_2914) @[lsu_bus_buffer.scala 420:110] - node _T_2916 = and(_T_2896, _T_2915) @[lsu_bus_buffer.scala 418:112] - node _T_2917 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] - node _T_2918 = and(_T_2917, buf_state_en[1]) @[lsu_bus_buffer.scala 418:93] - node _T_2919 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] - node _T_2920 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] - node _T_2921 = or(_T_2919, _T_2920) @[lsu_bus_buffer.scala 419:32] - node _T_2922 = eq(_T_2921, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] - node _T_2923 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] - node _T_2924 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] - node _T_2925 = and(_T_2923, _T_2924) @[lsu_bus_buffer.scala 420:41] - node _T_2926 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:82] - node _T_2927 = and(_T_2925, _T_2926) @[lsu_bus_buffer.scala 420:71] - node _T_2928 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:101] - node _T_2929 = and(_T_2927, _T_2928) @[lsu_bus_buffer.scala 420:90] - node _T_2930 = or(_T_2922, _T_2929) @[lsu_bus_buffer.scala 419:59] - node _T_2931 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] - node _T_2932 = and(_T_2931, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] - node _T_2933 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:63] - node _T_2934 = and(_T_2932, _T_2933) @[lsu_bus_buffer.scala 421:52] - node _T_2935 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:82] - node _T_2936 = and(_T_2934, _T_2935) @[lsu_bus_buffer.scala 421:71] - node _T_2937 = or(_T_2930, _T_2936) @[lsu_bus_buffer.scala 420:110] - node _T_2938 = and(_T_2918, _T_2937) @[lsu_bus_buffer.scala 418:112] - node _T_2939 = cat(_T_2938, _T_2916) @[Cat.scala 29:58] - node _T_2940 = cat(_T_2939, _T_2894) @[Cat.scala 29:58] - node _T_2941 = cat(_T_2940, _T_2872) @[Cat.scala 29:58] - node _T_2942 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] - node _T_2943 = and(_T_2942, buf_state_en[2]) @[lsu_bus_buffer.scala 418:93] - node _T_2944 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] - node _T_2945 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] - node _T_2946 = or(_T_2944, _T_2945) @[lsu_bus_buffer.scala 419:32] - node _T_2947 = eq(_T_2946, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] - node _T_2948 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] - node _T_2949 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] - node _T_2950 = and(_T_2948, _T_2949) @[lsu_bus_buffer.scala 420:41] - node _T_2951 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:82] - node _T_2952 = and(_T_2950, _T_2951) @[lsu_bus_buffer.scala 420:71] - node _T_2953 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:101] - node _T_2954 = and(_T_2952, _T_2953) @[lsu_bus_buffer.scala 420:90] - node _T_2955 = or(_T_2947, _T_2954) @[lsu_bus_buffer.scala 419:59] - node _T_2956 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] - node _T_2957 = and(_T_2956, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] - node _T_2958 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:63] - node _T_2959 = and(_T_2957, _T_2958) @[lsu_bus_buffer.scala 421:52] - node _T_2960 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_2961 = and(_T_2959, _T_2960) @[lsu_bus_buffer.scala 421:71] - node _T_2962 = or(_T_2955, _T_2961) @[lsu_bus_buffer.scala 420:110] - node _T_2963 = and(_T_2943, _T_2962) @[lsu_bus_buffer.scala 418:112] - node _T_2964 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] - node _T_2965 = and(_T_2964, buf_state_en[2]) @[lsu_bus_buffer.scala 418:93] - node _T_2966 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] - node _T_2967 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] - node _T_2968 = or(_T_2966, _T_2967) @[lsu_bus_buffer.scala 419:32] - node _T_2969 = eq(_T_2968, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] - node _T_2970 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] - node _T_2971 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] - node _T_2972 = and(_T_2970, _T_2971) @[lsu_bus_buffer.scala 420:41] - node _T_2973 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:82] - node _T_2974 = and(_T_2972, _T_2973) @[lsu_bus_buffer.scala 420:71] - node _T_2975 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:101] - node _T_2976 = and(_T_2974, _T_2975) @[lsu_bus_buffer.scala 420:90] - node _T_2977 = or(_T_2969, _T_2976) @[lsu_bus_buffer.scala 419:59] - node _T_2978 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] - node _T_2979 = and(_T_2978, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] - node _T_2980 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:63] - node _T_2981 = and(_T_2979, _T_2980) @[lsu_bus_buffer.scala 421:52] - node _T_2982 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:82] - node _T_2983 = and(_T_2981, _T_2982) @[lsu_bus_buffer.scala 421:71] - node _T_2984 = or(_T_2977, _T_2983) @[lsu_bus_buffer.scala 420:110] - node _T_2985 = and(_T_2965, _T_2984) @[lsu_bus_buffer.scala 418:112] - node _T_2986 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] - node _T_2987 = and(_T_2986, buf_state_en[2]) @[lsu_bus_buffer.scala 418:93] - node _T_2988 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] - node _T_2989 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] - node _T_2990 = or(_T_2988, _T_2989) @[lsu_bus_buffer.scala 419:32] - node _T_2991 = eq(_T_2990, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] - node _T_2992 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] - node _T_2993 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] - node _T_2994 = and(_T_2992, _T_2993) @[lsu_bus_buffer.scala 420:41] - node _T_2995 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:82] - node _T_2996 = and(_T_2994, _T_2995) @[lsu_bus_buffer.scala 420:71] - node _T_2997 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:101] - node _T_2998 = and(_T_2996, _T_2997) @[lsu_bus_buffer.scala 420:90] - node _T_2999 = or(_T_2991, _T_2998) @[lsu_bus_buffer.scala 419:59] - node _T_3000 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] - node _T_3001 = and(_T_3000, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] - node _T_3002 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:63] - node _T_3003 = and(_T_3001, _T_3002) @[lsu_bus_buffer.scala 421:52] - node _T_3004 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:82] - node _T_3005 = and(_T_3003, _T_3004) @[lsu_bus_buffer.scala 421:71] - node _T_3006 = or(_T_2999, _T_3005) @[lsu_bus_buffer.scala 420:110] - node _T_3007 = and(_T_2987, _T_3006) @[lsu_bus_buffer.scala 418:112] - node _T_3008 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] - node _T_3009 = and(_T_3008, buf_state_en[2]) @[lsu_bus_buffer.scala 418:93] - node _T_3010 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] - node _T_3011 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] - node _T_3012 = or(_T_3010, _T_3011) @[lsu_bus_buffer.scala 419:32] - node _T_3013 = eq(_T_3012, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] - node _T_3014 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] - node _T_3015 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] - node _T_3016 = and(_T_3014, _T_3015) @[lsu_bus_buffer.scala 420:41] - node _T_3017 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:82] - node _T_3018 = and(_T_3016, _T_3017) @[lsu_bus_buffer.scala 420:71] - node _T_3019 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:101] - node _T_3020 = and(_T_3018, _T_3019) @[lsu_bus_buffer.scala 420:90] - node _T_3021 = or(_T_3013, _T_3020) @[lsu_bus_buffer.scala 419:59] - node _T_3022 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] - node _T_3023 = and(_T_3022, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] - node _T_3024 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:63] - node _T_3025 = and(_T_3023, _T_3024) @[lsu_bus_buffer.scala 421:52] - node _T_3026 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:82] - node _T_3027 = and(_T_3025, _T_3026) @[lsu_bus_buffer.scala 421:71] - node _T_3028 = or(_T_3021, _T_3027) @[lsu_bus_buffer.scala 420:110] - node _T_3029 = and(_T_3009, _T_3028) @[lsu_bus_buffer.scala 418:112] - node _T_3030 = cat(_T_3029, _T_3007) @[Cat.scala 29:58] - node _T_3031 = cat(_T_3030, _T_2985) @[Cat.scala 29:58] - node _T_3032 = cat(_T_3031, _T_2963) @[Cat.scala 29:58] - node _T_3033 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] - node _T_3034 = and(_T_3033, buf_state_en[3]) @[lsu_bus_buffer.scala 418:93] - node _T_3035 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] - node _T_3036 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] - node _T_3037 = or(_T_3035, _T_3036) @[lsu_bus_buffer.scala 419:32] - node _T_3038 = eq(_T_3037, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] - node _T_3039 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] - node _T_3040 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] - node _T_3041 = and(_T_3039, _T_3040) @[lsu_bus_buffer.scala 420:41] - node _T_3042 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:82] - node _T_3043 = and(_T_3041, _T_3042) @[lsu_bus_buffer.scala 420:71] - node _T_3044 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:101] - node _T_3045 = and(_T_3043, _T_3044) @[lsu_bus_buffer.scala 420:90] - node _T_3046 = or(_T_3038, _T_3045) @[lsu_bus_buffer.scala 419:59] - node _T_3047 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] - node _T_3048 = and(_T_3047, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] - node _T_3049 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:63] - node _T_3050 = and(_T_3048, _T_3049) @[lsu_bus_buffer.scala 421:52] - node _T_3051 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:82] - node _T_3052 = and(_T_3050, _T_3051) @[lsu_bus_buffer.scala 421:71] - node _T_3053 = or(_T_3046, _T_3052) @[lsu_bus_buffer.scala 420:110] - node _T_3054 = and(_T_3034, _T_3053) @[lsu_bus_buffer.scala 418:112] - node _T_3055 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] - node _T_3056 = and(_T_3055, buf_state_en[3]) @[lsu_bus_buffer.scala 418:93] - node _T_3057 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] - node _T_3058 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] - node _T_3059 = or(_T_3057, _T_3058) @[lsu_bus_buffer.scala 419:32] - node _T_3060 = eq(_T_3059, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] - node _T_3061 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] - node _T_3062 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] - node _T_3063 = and(_T_3061, _T_3062) @[lsu_bus_buffer.scala 420:41] - node _T_3064 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:82] - node _T_3065 = and(_T_3063, _T_3064) @[lsu_bus_buffer.scala 420:71] - node _T_3066 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:101] - node _T_3067 = and(_T_3065, _T_3066) @[lsu_bus_buffer.scala 420:90] - node _T_3068 = or(_T_3060, _T_3067) @[lsu_bus_buffer.scala 419:59] - node _T_3069 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] - node _T_3070 = and(_T_3069, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] - node _T_3071 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:63] - node _T_3072 = and(_T_3070, _T_3071) @[lsu_bus_buffer.scala 421:52] - node _T_3073 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:82] - node _T_3074 = and(_T_3072, _T_3073) @[lsu_bus_buffer.scala 421:71] - node _T_3075 = or(_T_3068, _T_3074) @[lsu_bus_buffer.scala 420:110] - node _T_3076 = and(_T_3056, _T_3075) @[lsu_bus_buffer.scala 418:112] - node _T_3077 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] - node _T_3078 = and(_T_3077, buf_state_en[3]) @[lsu_bus_buffer.scala 418:93] - node _T_3079 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] - node _T_3080 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] - node _T_3081 = or(_T_3079, _T_3080) @[lsu_bus_buffer.scala 419:32] - node _T_3082 = eq(_T_3081, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] - node _T_3083 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] - node _T_3084 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] - node _T_3085 = and(_T_3083, _T_3084) @[lsu_bus_buffer.scala 420:41] - node _T_3086 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:82] - node _T_3087 = and(_T_3085, _T_3086) @[lsu_bus_buffer.scala 420:71] - node _T_3088 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:101] - node _T_3089 = and(_T_3087, _T_3088) @[lsu_bus_buffer.scala 420:90] - node _T_3090 = or(_T_3082, _T_3089) @[lsu_bus_buffer.scala 419:59] - node _T_3091 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] - node _T_3092 = and(_T_3091, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] - node _T_3093 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:63] - node _T_3094 = and(_T_3092, _T_3093) @[lsu_bus_buffer.scala 421:52] - node _T_3095 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:82] - node _T_3096 = and(_T_3094, _T_3095) @[lsu_bus_buffer.scala 421:71] - node _T_3097 = or(_T_3090, _T_3096) @[lsu_bus_buffer.scala 420:110] - node _T_3098 = and(_T_3078, _T_3097) @[lsu_bus_buffer.scala 418:112] - node _T_3099 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] - node _T_3100 = and(_T_3099, buf_state_en[3]) @[lsu_bus_buffer.scala 418:93] - node _T_3101 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] - node _T_3102 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] - node _T_3103 = or(_T_3101, _T_3102) @[lsu_bus_buffer.scala 419:32] - node _T_3104 = eq(_T_3103, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] - node _T_3105 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] - node _T_3106 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] - node _T_3107 = and(_T_3105, _T_3106) @[lsu_bus_buffer.scala 420:41] - node _T_3108 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:82] - node _T_3109 = and(_T_3107, _T_3108) @[lsu_bus_buffer.scala 420:71] - node _T_3110 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:101] - node _T_3111 = and(_T_3109, _T_3110) @[lsu_bus_buffer.scala 420:90] - node _T_3112 = or(_T_3104, _T_3111) @[lsu_bus_buffer.scala 419:59] - node _T_3113 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] - node _T_3114 = and(_T_3113, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] - node _T_3115 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:63] - node _T_3116 = and(_T_3114, _T_3115) @[lsu_bus_buffer.scala 421:52] - node _T_3117 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:82] - node _T_3118 = and(_T_3116, _T_3117) @[lsu_bus_buffer.scala 421:71] - node _T_3119 = or(_T_3112, _T_3118) @[lsu_bus_buffer.scala 420:110] - node _T_3120 = and(_T_3100, _T_3119) @[lsu_bus_buffer.scala 418:112] - node _T_3121 = cat(_T_3120, _T_3098) @[Cat.scala 29:58] - node _T_3122 = cat(_T_3121, _T_3076) @[Cat.scala 29:58] - node _T_3123 = cat(_T_3122, _T_3054) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2850 @[lsu_bus_buffer.scala 418:18] - buf_rspage_set[1] <= _T_2941 @[lsu_bus_buffer.scala 418:18] - buf_rspage_set[2] <= _T_3032 @[lsu_bus_buffer.scala 418:18] - buf_rspage_set[3] <= _T_3123 @[lsu_bus_buffer.scala 418:18] - node _T_3124 = bits(buf_rspage_set[0], 0, 0) @[lsu_bus_buffer.scala 422:84] - node _T_3125 = bits(buf_rspage[0], 0, 0) @[lsu_bus_buffer.scala 422:103] - node _T_3126 = or(_T_3124, _T_3125) @[lsu_bus_buffer.scala 422:88] - node _T_3127 = bits(buf_rspage_set[0], 1, 1) @[lsu_bus_buffer.scala 422:84] - node _T_3128 = bits(buf_rspage[0], 1, 1) @[lsu_bus_buffer.scala 422:103] - node _T_3129 = or(_T_3127, _T_3128) @[lsu_bus_buffer.scala 422:88] - node _T_3130 = bits(buf_rspage_set[0], 2, 2) @[lsu_bus_buffer.scala 422:84] - node _T_3131 = bits(buf_rspage[0], 2, 2) @[lsu_bus_buffer.scala 422:103] - node _T_3132 = or(_T_3130, _T_3131) @[lsu_bus_buffer.scala 422:88] - node _T_3133 = bits(buf_rspage_set[0], 3, 3) @[lsu_bus_buffer.scala 422:84] - node _T_3134 = bits(buf_rspage[0], 3, 3) @[lsu_bus_buffer.scala 422:103] - node _T_3135 = or(_T_3133, _T_3134) @[lsu_bus_buffer.scala 422:88] - node _T_3136 = cat(_T_3135, _T_3132) @[Cat.scala 29:58] - node _T_3137 = cat(_T_3136, _T_3129) @[Cat.scala 29:58] - node _T_3138 = cat(_T_3137, _T_3126) @[Cat.scala 29:58] - node _T_3139 = bits(buf_rspage_set[1], 0, 0) @[lsu_bus_buffer.scala 422:84] - node _T_3140 = bits(buf_rspage[1], 0, 0) @[lsu_bus_buffer.scala 422:103] - node _T_3141 = or(_T_3139, _T_3140) @[lsu_bus_buffer.scala 422:88] - node _T_3142 = bits(buf_rspage_set[1], 1, 1) @[lsu_bus_buffer.scala 422:84] - node _T_3143 = bits(buf_rspage[1], 1, 1) @[lsu_bus_buffer.scala 422:103] - node _T_3144 = or(_T_3142, _T_3143) @[lsu_bus_buffer.scala 422:88] - node _T_3145 = bits(buf_rspage_set[1], 2, 2) @[lsu_bus_buffer.scala 422:84] - node _T_3146 = bits(buf_rspage[1], 2, 2) @[lsu_bus_buffer.scala 422:103] - node _T_3147 = or(_T_3145, _T_3146) @[lsu_bus_buffer.scala 422:88] - node _T_3148 = bits(buf_rspage_set[1], 3, 3) @[lsu_bus_buffer.scala 422:84] - node _T_3149 = bits(buf_rspage[1], 3, 3) @[lsu_bus_buffer.scala 422:103] - node _T_3150 = or(_T_3148, _T_3149) @[lsu_bus_buffer.scala 422:88] - node _T_3151 = cat(_T_3150, _T_3147) @[Cat.scala 29:58] - node _T_3152 = cat(_T_3151, _T_3144) @[Cat.scala 29:58] - node _T_3153 = cat(_T_3152, _T_3141) @[Cat.scala 29:58] - node _T_3154 = bits(buf_rspage_set[2], 0, 0) @[lsu_bus_buffer.scala 422:84] - node _T_3155 = bits(buf_rspage[2], 0, 0) @[lsu_bus_buffer.scala 422:103] - node _T_3156 = or(_T_3154, _T_3155) @[lsu_bus_buffer.scala 422:88] - node _T_3157 = bits(buf_rspage_set[2], 1, 1) @[lsu_bus_buffer.scala 422:84] - node _T_3158 = bits(buf_rspage[2], 1, 1) @[lsu_bus_buffer.scala 422:103] - node _T_3159 = or(_T_3157, _T_3158) @[lsu_bus_buffer.scala 422:88] - node _T_3160 = bits(buf_rspage_set[2], 2, 2) @[lsu_bus_buffer.scala 422:84] - node _T_3161 = bits(buf_rspage[2], 2, 2) @[lsu_bus_buffer.scala 422:103] - node _T_3162 = or(_T_3160, _T_3161) @[lsu_bus_buffer.scala 422:88] - node _T_3163 = bits(buf_rspage_set[2], 3, 3) @[lsu_bus_buffer.scala 422:84] - node _T_3164 = bits(buf_rspage[2], 3, 3) @[lsu_bus_buffer.scala 422:103] - node _T_3165 = or(_T_3163, _T_3164) @[lsu_bus_buffer.scala 422:88] - node _T_3166 = cat(_T_3165, _T_3162) @[Cat.scala 29:58] - node _T_3167 = cat(_T_3166, _T_3159) @[Cat.scala 29:58] - node _T_3168 = cat(_T_3167, _T_3156) @[Cat.scala 29:58] - node _T_3169 = bits(buf_rspage_set[3], 0, 0) @[lsu_bus_buffer.scala 422:84] - node _T_3170 = bits(buf_rspage[3], 0, 0) @[lsu_bus_buffer.scala 422:103] - node _T_3171 = or(_T_3169, _T_3170) @[lsu_bus_buffer.scala 422:88] - node _T_3172 = bits(buf_rspage_set[3], 1, 1) @[lsu_bus_buffer.scala 422:84] - node _T_3173 = bits(buf_rspage[3], 1, 1) @[lsu_bus_buffer.scala 422:103] - node _T_3174 = or(_T_3172, _T_3173) @[lsu_bus_buffer.scala 422:88] - node _T_3175 = bits(buf_rspage_set[3], 2, 2) @[lsu_bus_buffer.scala 422:84] - node _T_3176 = bits(buf_rspage[3], 2, 2) @[lsu_bus_buffer.scala 422:103] - node _T_3177 = or(_T_3175, _T_3176) @[lsu_bus_buffer.scala 422:88] - node _T_3178 = bits(buf_rspage_set[3], 3, 3) @[lsu_bus_buffer.scala 422:84] - node _T_3179 = bits(buf_rspage[3], 3, 3) @[lsu_bus_buffer.scala 422:103] - node _T_3180 = or(_T_3178, _T_3179) @[lsu_bus_buffer.scala 422:88] - node _T_3181 = cat(_T_3180, _T_3177) @[Cat.scala 29:58] - node _T_3182 = cat(_T_3181, _T_3174) @[Cat.scala 29:58] - node _T_3183 = cat(_T_3182, _T_3171) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3138 @[lsu_bus_buffer.scala 422:17] - buf_rspage_in[1] <= _T_3153 @[lsu_bus_buffer.scala 422:17] - buf_rspage_in[2] <= _T_3168 @[lsu_bus_buffer.scala 422:17] - buf_rspage_in[3] <= _T_3183 @[lsu_bus_buffer.scala 422:17] - node _T_3184 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 423:78] - node _T_3185 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] - node _T_3186 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] - node _T_3187 = or(_T_3185, _T_3186) @[lsu_bus_buffer.scala 423:110] - node _T_3188 = eq(_T_3187, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] - node _T_3189 = and(_T_3184, _T_3188) @[lsu_bus_buffer.scala 423:82] - node _T_3190 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] - node _T_3191 = and(_T_3189, _T_3190) @[lsu_bus_buffer.scala 423:145] - node _T_3192 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 423:78] - node _T_3193 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] - node _T_3194 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] - node _T_3195 = or(_T_3193, _T_3194) @[lsu_bus_buffer.scala 423:110] - node _T_3196 = eq(_T_3195, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] - node _T_3197 = and(_T_3192, _T_3196) @[lsu_bus_buffer.scala 423:82] - node _T_3198 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] - node _T_3199 = and(_T_3197, _T_3198) @[lsu_bus_buffer.scala 423:145] - node _T_3200 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 423:78] - node _T_3201 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] - node _T_3202 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] - node _T_3203 = or(_T_3201, _T_3202) @[lsu_bus_buffer.scala 423:110] - node _T_3204 = eq(_T_3203, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] - node _T_3205 = and(_T_3200, _T_3204) @[lsu_bus_buffer.scala 423:82] - node _T_3206 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] - node _T_3207 = and(_T_3205, _T_3206) @[lsu_bus_buffer.scala 423:145] - node _T_3208 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 423:78] - node _T_3209 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] - node _T_3210 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] - node _T_3211 = or(_T_3209, _T_3210) @[lsu_bus_buffer.scala 423:110] - node _T_3212 = eq(_T_3211, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] - node _T_3213 = and(_T_3208, _T_3212) @[lsu_bus_buffer.scala 423:82] - node _T_3214 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] - node _T_3215 = and(_T_3213, _T_3214) @[lsu_bus_buffer.scala 423:145] - node _T_3216 = cat(_T_3215, _T_3207) @[Cat.scala 29:58] - node _T_3217 = cat(_T_3216, _T_3199) @[Cat.scala 29:58] - node _T_3218 = cat(_T_3217, _T_3191) @[Cat.scala 29:58] - node _T_3219 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 423:78] - node _T_3220 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] - node _T_3221 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] - node _T_3222 = or(_T_3220, _T_3221) @[lsu_bus_buffer.scala 423:110] - node _T_3223 = eq(_T_3222, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] - node _T_3224 = and(_T_3219, _T_3223) @[lsu_bus_buffer.scala 423:82] - node _T_3225 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] - node _T_3226 = and(_T_3224, _T_3225) @[lsu_bus_buffer.scala 423:145] - node _T_3227 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 423:78] - node _T_3228 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] - node _T_3229 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] - node _T_3230 = or(_T_3228, _T_3229) @[lsu_bus_buffer.scala 423:110] - node _T_3231 = eq(_T_3230, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] - node _T_3232 = and(_T_3227, _T_3231) @[lsu_bus_buffer.scala 423:82] - node _T_3233 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] - node _T_3234 = and(_T_3232, _T_3233) @[lsu_bus_buffer.scala 423:145] - node _T_3235 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 423:78] - node _T_3236 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] - node _T_3237 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] - node _T_3238 = or(_T_3236, _T_3237) @[lsu_bus_buffer.scala 423:110] - node _T_3239 = eq(_T_3238, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] - node _T_3240 = and(_T_3235, _T_3239) @[lsu_bus_buffer.scala 423:82] - node _T_3241 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] - node _T_3242 = and(_T_3240, _T_3241) @[lsu_bus_buffer.scala 423:145] - node _T_3243 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 423:78] - node _T_3244 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] - node _T_3245 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] - node _T_3246 = or(_T_3244, _T_3245) @[lsu_bus_buffer.scala 423:110] - node _T_3247 = eq(_T_3246, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] - node _T_3248 = and(_T_3243, _T_3247) @[lsu_bus_buffer.scala 423:82] - node _T_3249 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] - node _T_3250 = and(_T_3248, _T_3249) @[lsu_bus_buffer.scala 423:145] - node _T_3251 = cat(_T_3250, _T_3242) @[Cat.scala 29:58] - node _T_3252 = cat(_T_3251, _T_3234) @[Cat.scala 29:58] - node _T_3253 = cat(_T_3252, _T_3226) @[Cat.scala 29:58] - node _T_3254 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 423:78] - node _T_3255 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] - node _T_3256 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] - node _T_3257 = or(_T_3255, _T_3256) @[lsu_bus_buffer.scala 423:110] - node _T_3258 = eq(_T_3257, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] - node _T_3259 = and(_T_3254, _T_3258) @[lsu_bus_buffer.scala 423:82] - node _T_3260 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] - node _T_3261 = and(_T_3259, _T_3260) @[lsu_bus_buffer.scala 423:145] - node _T_3262 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 423:78] - node _T_3263 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] - node _T_3264 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] - node _T_3265 = or(_T_3263, _T_3264) @[lsu_bus_buffer.scala 423:110] - node _T_3266 = eq(_T_3265, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] - node _T_3267 = and(_T_3262, _T_3266) @[lsu_bus_buffer.scala 423:82] - node _T_3268 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] - node _T_3269 = and(_T_3267, _T_3268) @[lsu_bus_buffer.scala 423:145] - node _T_3270 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 423:78] - node _T_3271 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] - node _T_3272 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] - node _T_3273 = or(_T_3271, _T_3272) @[lsu_bus_buffer.scala 423:110] - node _T_3274 = eq(_T_3273, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] - node _T_3275 = and(_T_3270, _T_3274) @[lsu_bus_buffer.scala 423:82] - node _T_3276 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] - node _T_3277 = and(_T_3275, _T_3276) @[lsu_bus_buffer.scala 423:145] - node _T_3278 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 423:78] - node _T_3279 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] - node _T_3280 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] - node _T_3281 = or(_T_3279, _T_3280) @[lsu_bus_buffer.scala 423:110] - node _T_3282 = eq(_T_3281, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] - node _T_3283 = and(_T_3278, _T_3282) @[lsu_bus_buffer.scala 423:82] - node _T_3284 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] - node _T_3285 = and(_T_3283, _T_3284) @[lsu_bus_buffer.scala 423:145] - node _T_3286 = cat(_T_3285, _T_3277) @[Cat.scala 29:58] - node _T_3287 = cat(_T_3286, _T_3269) @[Cat.scala 29:58] - node _T_3288 = cat(_T_3287, _T_3261) @[Cat.scala 29:58] - node _T_3289 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 423:78] - node _T_3290 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] - node _T_3291 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] - node _T_3292 = or(_T_3290, _T_3291) @[lsu_bus_buffer.scala 423:110] - node _T_3293 = eq(_T_3292, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] - node _T_3294 = and(_T_3289, _T_3293) @[lsu_bus_buffer.scala 423:82] - node _T_3295 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] - node _T_3296 = and(_T_3294, _T_3295) @[lsu_bus_buffer.scala 423:145] - node _T_3297 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 423:78] - node _T_3298 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] - node _T_3299 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] - node _T_3300 = or(_T_3298, _T_3299) @[lsu_bus_buffer.scala 423:110] - node _T_3301 = eq(_T_3300, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] - node _T_3302 = and(_T_3297, _T_3301) @[lsu_bus_buffer.scala 423:82] - node _T_3303 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] - node _T_3304 = and(_T_3302, _T_3303) @[lsu_bus_buffer.scala 423:145] - node _T_3305 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 423:78] - node _T_3306 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] - node _T_3307 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] - node _T_3308 = or(_T_3306, _T_3307) @[lsu_bus_buffer.scala 423:110] - node _T_3309 = eq(_T_3308, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] - node _T_3310 = and(_T_3305, _T_3309) @[lsu_bus_buffer.scala 423:82] - node _T_3311 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] - node _T_3312 = and(_T_3310, _T_3311) @[lsu_bus_buffer.scala 423:145] - node _T_3313 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 423:78] - node _T_3314 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] - node _T_3315 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] - node _T_3316 = or(_T_3314, _T_3315) @[lsu_bus_buffer.scala 423:110] - node _T_3317 = eq(_T_3316, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] - node _T_3318 = and(_T_3313, _T_3317) @[lsu_bus_buffer.scala 423:82] - node _T_3319 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] - node _T_3320 = and(_T_3318, _T_3319) @[lsu_bus_buffer.scala 423:145] - node _T_3321 = cat(_T_3320, _T_3312) @[Cat.scala 29:58] - node _T_3322 = cat(_T_3321, _T_3304) @[Cat.scala 29:58] - node _T_3323 = cat(_T_3322, _T_3296) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3218 @[lsu_bus_buffer.scala 423:14] - buf_rspage[1] <= _T_3253 @[lsu_bus_buffer.scala 423:14] - buf_rspage[2] <= _T_3288 @[lsu_bus_buffer.scala 423:14] - buf_rspage[3] <= _T_3323 @[lsu_bus_buffer.scala 423:14] - node _T_3324 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:75] - node _T_3325 = and(ibuf_drain_vld, _T_3324) @[lsu_bus_buffer.scala 428:63] - node _T_3326 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 428:75] - node _T_3327 = and(ibuf_drain_vld, _T_3326) @[lsu_bus_buffer.scala 428:63] - node _T_3328 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 428:75] - node _T_3329 = and(ibuf_drain_vld, _T_3328) @[lsu_bus_buffer.scala 428:63] - node _T_3330 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 428:75] - node _T_3331 = and(ibuf_drain_vld, _T_3330) @[lsu_bus_buffer.scala 428:63] - node _T_3332 = cat(_T_3331, _T_3329) @[Cat.scala 29:58] - node _T_3333 = cat(_T_3332, _T_3327) @[Cat.scala 29:58] - node _T_3334 = cat(_T_3333, _T_3325) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3334 @[lsu_bus_buffer.scala 428:21] - node _T_3335 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 429:64] - node _T_3336 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 429:84] - node _T_3337 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:18] - node _T_3338 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 430:46] - node _T_3339 = and(_T_3337, _T_3338) @[lsu_bus_buffer.scala 430:35] - node _T_3340 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 430:71] - node _T_3341 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 430:94] - node _T_3342 = mux(_T_3339, _T_3340, _T_3341) @[lsu_bus_buffer.scala 430:8] - node _T_3343 = mux(_T_3335, _T_3336, _T_3342) @[lsu_bus_buffer.scala 429:46] - node _T_3344 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 429:64] - node _T_3345 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 429:84] - node _T_3346 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:18] - node _T_3347 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 430:46] - node _T_3348 = and(_T_3346, _T_3347) @[lsu_bus_buffer.scala 430:35] - node _T_3349 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 430:71] - node _T_3350 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 430:94] - node _T_3351 = mux(_T_3348, _T_3349, _T_3350) @[lsu_bus_buffer.scala 430:8] - node _T_3352 = mux(_T_3344, _T_3345, _T_3351) @[lsu_bus_buffer.scala 429:46] - node _T_3353 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 429:64] - node _T_3354 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 429:84] - node _T_3355 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:18] - node _T_3356 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 430:46] - node _T_3357 = and(_T_3355, _T_3356) @[lsu_bus_buffer.scala 430:35] - node _T_3358 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 430:71] - node _T_3359 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 430:94] - node _T_3360 = mux(_T_3357, _T_3358, _T_3359) @[lsu_bus_buffer.scala 430:8] - node _T_3361 = mux(_T_3353, _T_3354, _T_3360) @[lsu_bus_buffer.scala 429:46] - node _T_3362 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 429:64] - node _T_3363 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 429:84] - node _T_3364 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:18] - node _T_3365 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 430:46] - node _T_3366 = and(_T_3364, _T_3365) @[lsu_bus_buffer.scala 430:35] - node _T_3367 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 430:71] - node _T_3368 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 430:94] - node _T_3369 = mux(_T_3366, _T_3367, _T_3368) @[lsu_bus_buffer.scala 430:8] - node _T_3370 = mux(_T_3362, _T_3363, _T_3369) @[lsu_bus_buffer.scala 429:46] - buf_byteen_in[0] <= _T_3343 @[lsu_bus_buffer.scala 429:17] - buf_byteen_in[1] <= _T_3352 @[lsu_bus_buffer.scala 429:17] - buf_byteen_in[2] <= _T_3361 @[lsu_bus_buffer.scala 429:17] - buf_byteen_in[3] <= _T_3370 @[lsu_bus_buffer.scala 429:17] - node _T_3371 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 431:62] - node _T_3372 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:91] - node _T_3373 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 431:119] - node _T_3374 = and(_T_3372, _T_3373) @[lsu_bus_buffer.scala 431:108] - node _T_3375 = mux(_T_3374, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 431:81] - node _T_3376 = mux(_T_3371, ibuf_addr, _T_3375) @[lsu_bus_buffer.scala 431:44] - node _T_3377 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 431:62] - node _T_3378 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:91] - node _T_3379 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 431:119] - node _T_3380 = and(_T_3378, _T_3379) @[lsu_bus_buffer.scala 431:108] - node _T_3381 = mux(_T_3380, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 431:81] - node _T_3382 = mux(_T_3377, ibuf_addr, _T_3381) @[lsu_bus_buffer.scala 431:44] - node _T_3383 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 431:62] - node _T_3384 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:91] - node _T_3385 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 431:119] - node _T_3386 = and(_T_3384, _T_3385) @[lsu_bus_buffer.scala 431:108] - node _T_3387 = mux(_T_3386, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 431:81] - node _T_3388 = mux(_T_3383, ibuf_addr, _T_3387) @[lsu_bus_buffer.scala 431:44] - node _T_3389 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 431:62] - node _T_3390 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:91] - node _T_3391 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 431:119] - node _T_3392 = and(_T_3390, _T_3391) @[lsu_bus_buffer.scala 431:108] - node _T_3393 = mux(_T_3392, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 431:81] - node _T_3394 = mux(_T_3389, ibuf_addr, _T_3393) @[lsu_bus_buffer.scala 431:44] - buf_addr_in[0] <= _T_3376 @[lsu_bus_buffer.scala 431:15] - buf_addr_in[1] <= _T_3382 @[lsu_bus_buffer.scala 431:15] - buf_addr_in[2] <= _T_3388 @[lsu_bus_buffer.scala 431:15] - buf_addr_in[3] <= _T_3394 @[lsu_bus_buffer.scala 431:15] - node _T_3395 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 432:63] - node _T_3396 = mux(_T_3395, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:45] - node _T_3397 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 432:63] - node _T_3398 = mux(_T_3397, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:45] - node _T_3399 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 432:63] - node _T_3400 = mux(_T_3399, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:45] - node _T_3401 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 432:63] - node _T_3402 = mux(_T_3401, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:45] - node _T_3403 = cat(_T_3402, _T_3400) @[Cat.scala 29:58] - node _T_3404 = cat(_T_3403, _T_3398) @[Cat.scala 29:58] - node _T_3405 = cat(_T_3404, _T_3396) @[Cat.scala 29:58] - buf_dual_in <= _T_3405 @[lsu_bus_buffer.scala 432:15] - node _T_3406 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 433:65] - node _T_3407 = mux(_T_3406, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 433:47] - node _T_3408 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 433:65] - node _T_3409 = mux(_T_3408, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 433:47] - node _T_3410 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 433:65] - node _T_3411 = mux(_T_3410, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 433:47] - node _T_3412 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 433:65] - node _T_3413 = mux(_T_3412, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 433:47] - node _T_3414 = cat(_T_3413, _T_3411) @[Cat.scala 29:58] - node _T_3415 = cat(_T_3414, _T_3409) @[Cat.scala 29:58] - node _T_3416 = cat(_T_3415, _T_3407) @[Cat.scala 29:58] - buf_samedw_in <= _T_3416 @[lsu_bus_buffer.scala 433:17] - node _T_3417 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 434:66] - node _T_3418 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 434:84] - node _T_3419 = mux(_T_3417, _T_3418, io.no_dword_merge_r) @[lsu_bus_buffer.scala 434:48] - node _T_3420 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 434:66] - node _T_3421 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 434:84] - node _T_3422 = mux(_T_3420, _T_3421, io.no_dword_merge_r) @[lsu_bus_buffer.scala 434:48] - node _T_3423 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 434:66] - node _T_3424 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 434:84] - node _T_3425 = mux(_T_3423, _T_3424, io.no_dword_merge_r) @[lsu_bus_buffer.scala 434:48] - node _T_3426 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 434:66] - node _T_3427 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 434:84] - node _T_3428 = mux(_T_3426, _T_3427, io.no_dword_merge_r) @[lsu_bus_buffer.scala 434:48] - node _T_3429 = cat(_T_3428, _T_3425) @[Cat.scala 29:58] - node _T_3430 = cat(_T_3429, _T_3422) @[Cat.scala 29:58] - node _T_3431 = cat(_T_3430, _T_3419) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3431 @[lsu_bus_buffer.scala 434:18] - node _T_3432 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 435:65] - node _T_3433 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:90] - node _T_3434 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 435:118] - node _T_3435 = and(_T_3433, _T_3434) @[lsu_bus_buffer.scala 435:107] - node _T_3436 = mux(_T_3432, ibuf_dual, _T_3435) @[lsu_bus_buffer.scala 435:47] - node _T_3437 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 435:65] - node _T_3438 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:90] - node _T_3439 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 435:118] - node _T_3440 = and(_T_3438, _T_3439) @[lsu_bus_buffer.scala 435:107] - node _T_3441 = mux(_T_3437, ibuf_dual, _T_3440) @[lsu_bus_buffer.scala 435:47] - node _T_3442 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 435:65] - node _T_3443 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:90] - node _T_3444 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 435:118] - node _T_3445 = and(_T_3443, _T_3444) @[lsu_bus_buffer.scala 435:107] - node _T_3446 = mux(_T_3442, ibuf_dual, _T_3445) @[lsu_bus_buffer.scala 435:47] - node _T_3447 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 435:65] - node _T_3448 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:90] - node _T_3449 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 435:118] - node _T_3450 = and(_T_3448, _T_3449) @[lsu_bus_buffer.scala 435:107] - node _T_3451 = mux(_T_3447, ibuf_dual, _T_3450) @[lsu_bus_buffer.scala 435:47] - node _T_3452 = cat(_T_3451, _T_3446) @[Cat.scala 29:58] - node _T_3453 = cat(_T_3452, _T_3441) @[Cat.scala 29:58] - node _T_3454 = cat(_T_3453, _T_3436) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3454 @[lsu_bus_buffer.scala 435:17] - node _T_3455 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 436:65] - node _T_3456 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 436:97] - node _T_3457 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 436:125] - node _T_3458 = and(_T_3456, _T_3457) @[lsu_bus_buffer.scala 436:114] - node _T_3459 = mux(_T_3458, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 436:87] - node _T_3460 = mux(_T_3455, ibuf_dualtag, _T_3459) @[lsu_bus_buffer.scala 436:47] - node _T_3461 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 436:65] - node _T_3462 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 436:97] - node _T_3463 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 436:125] - node _T_3464 = and(_T_3462, _T_3463) @[lsu_bus_buffer.scala 436:114] - node _T_3465 = mux(_T_3464, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 436:87] - node _T_3466 = mux(_T_3461, ibuf_dualtag, _T_3465) @[lsu_bus_buffer.scala 436:47] - node _T_3467 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 436:65] - node _T_3468 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 436:97] - node _T_3469 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 436:125] - node _T_3470 = and(_T_3468, _T_3469) @[lsu_bus_buffer.scala 436:114] - node _T_3471 = mux(_T_3470, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 436:87] - node _T_3472 = mux(_T_3467, ibuf_dualtag, _T_3471) @[lsu_bus_buffer.scala 436:47] - node _T_3473 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 436:65] - node _T_3474 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 436:97] - node _T_3475 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 436:125] - node _T_3476 = and(_T_3474, _T_3475) @[lsu_bus_buffer.scala 436:114] - node _T_3477 = mux(_T_3476, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 436:87] - node _T_3478 = mux(_T_3473, ibuf_dualtag, _T_3477) @[lsu_bus_buffer.scala 436:47] - buf_dualtag_in[0] <= _T_3460 @[lsu_bus_buffer.scala 436:18] - buf_dualtag_in[1] <= _T_3466 @[lsu_bus_buffer.scala 436:18] - buf_dualtag_in[2] <= _T_3472 @[lsu_bus_buffer.scala 436:18] - buf_dualtag_in[3] <= _T_3478 @[lsu_bus_buffer.scala 436:18] - node _T_3479 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 437:69] - node _T_3480 = mux(_T_3479, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 437:51] - node _T_3481 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 437:69] - node _T_3482 = mux(_T_3481, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 437:51] - node _T_3483 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 437:69] - node _T_3484 = mux(_T_3483, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 437:51] - node _T_3485 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 437:69] - node _T_3486 = mux(_T_3485, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 437:51] - node _T_3487 = cat(_T_3486, _T_3484) @[Cat.scala 29:58] - node _T_3488 = cat(_T_3487, _T_3482) @[Cat.scala 29:58] - node _T_3489 = cat(_T_3488, _T_3480) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3489 @[lsu_bus_buffer.scala 437:21] - node _T_3490 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 438:65] - node _T_3491 = mux(_T_3490, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 438:47] - node _T_3492 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 438:65] - node _T_3493 = mux(_T_3492, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 438:47] - node _T_3494 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 438:65] - node _T_3495 = mux(_T_3494, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 438:47] - node _T_3496 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 438:65] - node _T_3497 = mux(_T_3496, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 438:47] - node _T_3498 = cat(_T_3497, _T_3495) @[Cat.scala 29:58] - node _T_3499 = cat(_T_3498, _T_3493) @[Cat.scala 29:58] - node _T_3500 = cat(_T_3499, _T_3491) @[Cat.scala 29:58] - buf_unsign_in <= _T_3500 @[lsu_bus_buffer.scala 438:17] - node _T_3501 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 439:60] - node _T_3502 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_3503 = mux(_T_3501, ibuf_sz, _T_3502) @[lsu_bus_buffer.scala 439:42] - node _T_3504 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 439:60] - node _T_3505 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_3506 = mux(_T_3504, ibuf_sz, _T_3505) @[lsu_bus_buffer.scala 439:42] - node _T_3507 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 439:60] - node _T_3508 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_3509 = mux(_T_3507, ibuf_sz, _T_3508) @[lsu_bus_buffer.scala 439:42] - node _T_3510 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 439:60] - node _T_3511 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_3512 = mux(_T_3510, ibuf_sz, _T_3511) @[lsu_bus_buffer.scala 439:42] - buf_sz_in[0] <= _T_3503 @[lsu_bus_buffer.scala 439:13] - buf_sz_in[1] <= _T_3506 @[lsu_bus_buffer.scala 439:13] - buf_sz_in[2] <= _T_3509 @[lsu_bus_buffer.scala 439:13] - buf_sz_in[3] <= _T_3512 @[lsu_bus_buffer.scala 439:13] - node _T_3513 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 440:64] - node _T_3514 = mux(_T_3513, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 440:46] - node _T_3515 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 440:64] - node _T_3516 = mux(_T_3515, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 440:46] - node _T_3517 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 440:64] - node _T_3518 = mux(_T_3517, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 440:46] - node _T_3519 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 440:64] - node _T_3520 = mux(_T_3519, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 440:46] - node _T_3521 = cat(_T_3520, _T_3518) @[Cat.scala 29:58] - node _T_3522 = cat(_T_3521, _T_3516) @[Cat.scala 29:58] - node _T_3523 = cat(_T_3522, _T_3514) @[Cat.scala 29:58] - buf_write_in <= _T_3523 @[lsu_bus_buffer.scala 440:16] - node _T_3524 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3524 : @[Conditional.scala 40:58] - node _T_3525 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 445:56] - node _T_3526 = mux(_T_3525, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 445:31] - buf_nxtstate[0] <= _T_3526 @[lsu_bus_buffer.scala 445:25] - node _T_3527 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 446:45] - node _T_3528 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:77] - node _T_3529 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 446:97] - node _T_3530 = and(_T_3528, _T_3529) @[lsu_bus_buffer.scala 446:95] - node _T_3531 = eq(UInt<1>("h00"), WrPtr0_r) @[lsu_bus_buffer.scala 446:117] - node _T_3532 = and(_T_3530, _T_3531) @[lsu_bus_buffer.scala 446:112] - node _T_3533 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:144] - node _T_3534 = eq(UInt<1>("h00"), WrPtr1_r) @[lsu_bus_buffer.scala 446:166] - node _T_3535 = and(_T_3533, _T_3534) @[lsu_bus_buffer.scala 446:161] - node _T_3536 = or(_T_3532, _T_3535) @[lsu_bus_buffer.scala 446:132] - node _T_3537 = and(_T_3527, _T_3536) @[lsu_bus_buffer.scala 446:63] - node _T_3538 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 446:206] - node _T_3539 = and(ibuf_drain_vld, _T_3538) @[lsu_bus_buffer.scala 446:201] - node _T_3540 = or(_T_3537, _T_3539) @[lsu_bus_buffer.scala 446:183] - buf_state_en[0] <= _T_3540 @[lsu_bus_buffer.scala 446:25] + node _T_2589 = bits(buf_ageQ[3], 3, 3) @[lsu_bus_buffer.scala 414:72] + node _T_2590 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2591 = and(_T_2590, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 414:103] + node _T_2592 = eq(_T_2591, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2593 = and(_T_2589, _T_2592) @[lsu_bus_buffer.scala 414:76] + node _T_2594 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2595 = and(_T_2593, _T_2594) @[lsu_bus_buffer.scala 414:138] + node _T_2596 = cat(_T_2595, _T_2588) @[Cat.scala 29:58] + node _T_2597 = cat(_T_2596, _T_2581) @[Cat.scala 29:58] + node _T_2598 = cat(_T_2597, _T_2574) @[Cat.scala 29:58] + buf_age[0] <= _T_2505 @[lsu_bus_buffer.scala 414:11] + buf_age[1] <= _T_2536 @[lsu_bus_buffer.scala 414:11] + buf_age[2] <= _T_2567 @[lsu_bus_buffer.scala 414:11] + buf_age[3] <= _T_2598 @[lsu_bus_buffer.scala 414:11] + node _T_2599 = eq(UInt<1>("h00"), UInt<1>("h00")) @[lsu_bus_buffer.scala 415:76] + node _T_2600 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 415:100] + node _T_2601 = eq(_T_2600, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2602 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2603 = and(_T_2601, _T_2602) @[lsu_bus_buffer.scala 415:104] + node _T_2604 = mux(_T_2599, UInt<1>("h00"), _T_2603) @[lsu_bus_buffer.scala 415:72] + node _T_2605 = eq(UInt<1>("h00"), UInt<1>("h01")) @[lsu_bus_buffer.scala 415:76] + node _T_2606 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 415:100] + node _T_2607 = eq(_T_2606, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2608 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2609 = and(_T_2607, _T_2608) @[lsu_bus_buffer.scala 415:104] + node _T_2610 = mux(_T_2605, UInt<1>("h00"), _T_2609) @[lsu_bus_buffer.scala 415:72] + node _T_2611 = eq(UInt<1>("h00"), UInt<2>("h02")) @[lsu_bus_buffer.scala 415:76] + node _T_2612 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 415:100] + node _T_2613 = eq(_T_2612, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2614 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2615 = and(_T_2613, _T_2614) @[lsu_bus_buffer.scala 415:104] + node _T_2616 = mux(_T_2611, UInt<1>("h00"), _T_2615) @[lsu_bus_buffer.scala 415:72] + node _T_2617 = eq(UInt<1>("h00"), UInt<2>("h03")) @[lsu_bus_buffer.scala 415:76] + node _T_2618 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 415:100] + node _T_2619 = eq(_T_2618, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2620 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2621 = and(_T_2619, _T_2620) @[lsu_bus_buffer.scala 415:104] + node _T_2622 = mux(_T_2617, UInt<1>("h00"), _T_2621) @[lsu_bus_buffer.scala 415:72] + node _T_2623 = cat(_T_2622, _T_2616) @[Cat.scala 29:58] + node _T_2624 = cat(_T_2623, _T_2610) @[Cat.scala 29:58] + node _T_2625 = cat(_T_2624, _T_2604) @[Cat.scala 29:58] + node _T_2626 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 415:76] + node _T_2627 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 415:100] + node _T_2628 = eq(_T_2627, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2629 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2630 = and(_T_2628, _T_2629) @[lsu_bus_buffer.scala 415:104] + node _T_2631 = mux(_T_2626, UInt<1>("h00"), _T_2630) @[lsu_bus_buffer.scala 415:72] + node _T_2632 = eq(UInt<1>("h01"), UInt<1>("h01")) @[lsu_bus_buffer.scala 415:76] + node _T_2633 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 415:100] + node _T_2634 = eq(_T_2633, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2635 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2636 = and(_T_2634, _T_2635) @[lsu_bus_buffer.scala 415:104] + node _T_2637 = mux(_T_2632, UInt<1>("h00"), _T_2636) @[lsu_bus_buffer.scala 415:72] + node _T_2638 = eq(UInt<1>("h01"), UInt<2>("h02")) @[lsu_bus_buffer.scala 415:76] + node _T_2639 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 415:100] + node _T_2640 = eq(_T_2639, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2641 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2642 = and(_T_2640, _T_2641) @[lsu_bus_buffer.scala 415:104] + node _T_2643 = mux(_T_2638, UInt<1>("h00"), _T_2642) @[lsu_bus_buffer.scala 415:72] + node _T_2644 = eq(UInt<1>("h01"), UInt<2>("h03")) @[lsu_bus_buffer.scala 415:76] + node _T_2645 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 415:100] + node _T_2646 = eq(_T_2645, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2647 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2648 = and(_T_2646, _T_2647) @[lsu_bus_buffer.scala 415:104] + node _T_2649 = mux(_T_2644, UInt<1>("h00"), _T_2648) @[lsu_bus_buffer.scala 415:72] + node _T_2650 = cat(_T_2649, _T_2643) @[Cat.scala 29:58] + node _T_2651 = cat(_T_2650, _T_2637) @[Cat.scala 29:58] + node _T_2652 = cat(_T_2651, _T_2631) @[Cat.scala 29:58] + node _T_2653 = eq(UInt<2>("h02"), UInt<1>("h00")) @[lsu_bus_buffer.scala 415:76] + node _T_2654 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 415:100] + node _T_2655 = eq(_T_2654, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2656 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2657 = and(_T_2655, _T_2656) @[lsu_bus_buffer.scala 415:104] + node _T_2658 = mux(_T_2653, UInt<1>("h00"), _T_2657) @[lsu_bus_buffer.scala 415:72] + node _T_2659 = eq(UInt<2>("h02"), UInt<1>("h01")) @[lsu_bus_buffer.scala 415:76] + node _T_2660 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 415:100] + node _T_2661 = eq(_T_2660, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2662 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2663 = and(_T_2661, _T_2662) @[lsu_bus_buffer.scala 415:104] + node _T_2664 = mux(_T_2659, UInt<1>("h00"), _T_2663) @[lsu_bus_buffer.scala 415:72] + node _T_2665 = eq(UInt<2>("h02"), UInt<2>("h02")) @[lsu_bus_buffer.scala 415:76] + node _T_2666 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 415:100] + node _T_2667 = eq(_T_2666, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2668 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2669 = and(_T_2667, _T_2668) @[lsu_bus_buffer.scala 415:104] + node _T_2670 = mux(_T_2665, UInt<1>("h00"), _T_2669) @[lsu_bus_buffer.scala 415:72] + node _T_2671 = eq(UInt<2>("h02"), UInt<2>("h03")) @[lsu_bus_buffer.scala 415:76] + node _T_2672 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 415:100] + node _T_2673 = eq(_T_2672, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2674 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2675 = and(_T_2673, _T_2674) @[lsu_bus_buffer.scala 415:104] + node _T_2676 = mux(_T_2671, UInt<1>("h00"), _T_2675) @[lsu_bus_buffer.scala 415:72] + node _T_2677 = cat(_T_2676, _T_2670) @[Cat.scala 29:58] + node _T_2678 = cat(_T_2677, _T_2664) @[Cat.scala 29:58] + node _T_2679 = cat(_T_2678, _T_2658) @[Cat.scala 29:58] + node _T_2680 = eq(UInt<2>("h03"), UInt<1>("h00")) @[lsu_bus_buffer.scala 415:76] + node _T_2681 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 415:100] + node _T_2682 = eq(_T_2681, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2683 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2684 = and(_T_2682, _T_2683) @[lsu_bus_buffer.scala 415:104] + node _T_2685 = mux(_T_2680, UInt<1>("h00"), _T_2684) @[lsu_bus_buffer.scala 415:72] + node _T_2686 = eq(UInt<2>("h03"), UInt<1>("h01")) @[lsu_bus_buffer.scala 415:76] + node _T_2687 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 415:100] + node _T_2688 = eq(_T_2687, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2689 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2690 = and(_T_2688, _T_2689) @[lsu_bus_buffer.scala 415:104] + node _T_2691 = mux(_T_2686, UInt<1>("h00"), _T_2690) @[lsu_bus_buffer.scala 415:72] + node _T_2692 = eq(UInt<2>("h03"), UInt<2>("h02")) @[lsu_bus_buffer.scala 415:76] + node _T_2693 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 415:100] + node _T_2694 = eq(_T_2693, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2695 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2696 = and(_T_2694, _T_2695) @[lsu_bus_buffer.scala 415:104] + node _T_2697 = mux(_T_2692, UInt<1>("h00"), _T_2696) @[lsu_bus_buffer.scala 415:72] + node _T_2698 = eq(UInt<2>("h03"), UInt<2>("h03")) @[lsu_bus_buffer.scala 415:76] + node _T_2699 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 415:100] + node _T_2700 = eq(_T_2699, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2701 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2702 = and(_T_2700, _T_2701) @[lsu_bus_buffer.scala 415:104] + node _T_2703 = mux(_T_2698, UInt<1>("h00"), _T_2702) @[lsu_bus_buffer.scala 415:72] + node _T_2704 = cat(_T_2703, _T_2697) @[Cat.scala 29:58] + node _T_2705 = cat(_T_2704, _T_2691) @[Cat.scala 29:58] + node _T_2706 = cat(_T_2705, _T_2685) @[Cat.scala 29:58] + buf_age_younger[0] <= _T_2625 @[lsu_bus_buffer.scala 415:19] + buf_age_younger[1] <= _T_2652 @[lsu_bus_buffer.scala 415:19] + buf_age_younger[2] <= _T_2679 @[lsu_bus_buffer.scala 415:19] + buf_age_younger[3] <= _T_2706 @[lsu_bus_buffer.scala 415:19] + node _T_2707 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 416:83] + node _T_2708 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2709 = and(_T_2707, _T_2708) @[lsu_bus_buffer.scala 416:87] + node _T_2710 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 416:83] + node _T_2711 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2712 = and(_T_2710, _T_2711) @[lsu_bus_buffer.scala 416:87] + node _T_2713 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 416:83] + node _T_2714 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2715 = and(_T_2713, _T_2714) @[lsu_bus_buffer.scala 416:87] + node _T_2716 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 416:83] + node _T_2717 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2718 = and(_T_2716, _T_2717) @[lsu_bus_buffer.scala 416:87] + node _T_2719 = cat(_T_2718, _T_2715) @[Cat.scala 29:58] + node _T_2720 = cat(_T_2719, _T_2712) @[Cat.scala 29:58] + node _T_2721 = cat(_T_2720, _T_2709) @[Cat.scala 29:58] + node _T_2722 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 416:83] + node _T_2723 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2724 = and(_T_2722, _T_2723) @[lsu_bus_buffer.scala 416:87] + node _T_2725 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 416:83] + node _T_2726 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2727 = and(_T_2725, _T_2726) @[lsu_bus_buffer.scala 416:87] + node _T_2728 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 416:83] + node _T_2729 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2730 = and(_T_2728, _T_2729) @[lsu_bus_buffer.scala 416:87] + node _T_2731 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 416:83] + node _T_2732 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2733 = and(_T_2731, _T_2732) @[lsu_bus_buffer.scala 416:87] + node _T_2734 = cat(_T_2733, _T_2730) @[Cat.scala 29:58] + node _T_2735 = cat(_T_2734, _T_2727) @[Cat.scala 29:58] + node _T_2736 = cat(_T_2735, _T_2724) @[Cat.scala 29:58] + node _T_2737 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 416:83] + node _T_2738 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2739 = and(_T_2737, _T_2738) @[lsu_bus_buffer.scala 416:87] + node _T_2740 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 416:83] + node _T_2741 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2742 = and(_T_2740, _T_2741) @[lsu_bus_buffer.scala 416:87] + node _T_2743 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 416:83] + node _T_2744 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2745 = and(_T_2743, _T_2744) @[lsu_bus_buffer.scala 416:87] + node _T_2746 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 416:83] + node _T_2747 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2748 = and(_T_2746, _T_2747) @[lsu_bus_buffer.scala 416:87] + node _T_2749 = cat(_T_2748, _T_2745) @[Cat.scala 29:58] + node _T_2750 = cat(_T_2749, _T_2742) @[Cat.scala 29:58] + node _T_2751 = cat(_T_2750, _T_2739) @[Cat.scala 29:58] + node _T_2752 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 416:83] + node _T_2753 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2754 = and(_T_2752, _T_2753) @[lsu_bus_buffer.scala 416:87] + node _T_2755 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 416:83] + node _T_2756 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2757 = and(_T_2755, _T_2756) @[lsu_bus_buffer.scala 416:87] + node _T_2758 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 416:83] + node _T_2759 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2760 = and(_T_2758, _T_2759) @[lsu_bus_buffer.scala 416:87] + node _T_2761 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 416:83] + node _T_2762 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2763 = and(_T_2761, _T_2762) @[lsu_bus_buffer.scala 416:87] + node _T_2764 = cat(_T_2763, _T_2760) @[Cat.scala 29:58] + node _T_2765 = cat(_T_2764, _T_2757) @[Cat.scala 29:58] + node _T_2766 = cat(_T_2765, _T_2754) @[Cat.scala 29:58] + buf_rsp_pickage[0] <= _T_2721 @[lsu_bus_buffer.scala 416:19] + buf_rsp_pickage[1] <= _T_2736 @[lsu_bus_buffer.scala 416:19] + buf_rsp_pickage[2] <= _T_2751 @[lsu_bus_buffer.scala 416:19] + buf_rsp_pickage[3] <= _T_2766 @[lsu_bus_buffer.scala 416:19] + node _T_2767 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2768 = and(_T_2767, buf_state_en[0]) @[lsu_bus_buffer.scala 418:93] + node _T_2769 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2770 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2771 = or(_T_2769, _T_2770) @[lsu_bus_buffer.scala 419:32] + node _T_2772 = eq(_T_2771, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2773 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2774 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2775 = and(_T_2773, _T_2774) @[lsu_bus_buffer.scala 420:41] + node _T_2776 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:82] + node _T_2777 = and(_T_2775, _T_2776) @[lsu_bus_buffer.scala 420:71] + node _T_2778 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:101] + node _T_2779 = and(_T_2777, _T_2778) @[lsu_bus_buffer.scala 420:90] + node _T_2780 = or(_T_2772, _T_2779) @[lsu_bus_buffer.scala 419:59] + node _T_2781 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2782 = and(_T_2781, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2783 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:63] + node _T_2784 = and(_T_2782, _T_2783) @[lsu_bus_buffer.scala 421:52] + node _T_2785 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2786 = and(_T_2784, _T_2785) @[lsu_bus_buffer.scala 421:71] + node _T_2787 = or(_T_2780, _T_2786) @[lsu_bus_buffer.scala 420:110] + node _T_2788 = and(_T_2768, _T_2787) @[lsu_bus_buffer.scala 418:112] + node _T_2789 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2790 = and(_T_2789, buf_state_en[0]) @[lsu_bus_buffer.scala 418:93] + node _T_2791 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2792 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2793 = or(_T_2791, _T_2792) @[lsu_bus_buffer.scala 419:32] + node _T_2794 = eq(_T_2793, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2795 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2796 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2797 = and(_T_2795, _T_2796) @[lsu_bus_buffer.scala 420:41] + node _T_2798 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:82] + node _T_2799 = and(_T_2797, _T_2798) @[lsu_bus_buffer.scala 420:71] + node _T_2800 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:101] + node _T_2801 = and(_T_2799, _T_2800) @[lsu_bus_buffer.scala 420:90] + node _T_2802 = or(_T_2794, _T_2801) @[lsu_bus_buffer.scala 419:59] + node _T_2803 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2804 = and(_T_2803, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2805 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:63] + node _T_2806 = and(_T_2804, _T_2805) @[lsu_bus_buffer.scala 421:52] + node _T_2807 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:82] + node _T_2808 = and(_T_2806, _T_2807) @[lsu_bus_buffer.scala 421:71] + node _T_2809 = or(_T_2802, _T_2808) @[lsu_bus_buffer.scala 420:110] + node _T_2810 = and(_T_2790, _T_2809) @[lsu_bus_buffer.scala 418:112] + node _T_2811 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2812 = and(_T_2811, buf_state_en[0]) @[lsu_bus_buffer.scala 418:93] + node _T_2813 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2814 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2815 = or(_T_2813, _T_2814) @[lsu_bus_buffer.scala 419:32] + node _T_2816 = eq(_T_2815, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2817 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2818 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2819 = and(_T_2817, _T_2818) @[lsu_bus_buffer.scala 420:41] + node _T_2820 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:82] + node _T_2821 = and(_T_2819, _T_2820) @[lsu_bus_buffer.scala 420:71] + node _T_2822 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:101] + node _T_2823 = and(_T_2821, _T_2822) @[lsu_bus_buffer.scala 420:90] + node _T_2824 = or(_T_2816, _T_2823) @[lsu_bus_buffer.scala 419:59] + node _T_2825 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2826 = and(_T_2825, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2827 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:63] + node _T_2828 = and(_T_2826, _T_2827) @[lsu_bus_buffer.scala 421:52] + node _T_2829 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:82] + node _T_2830 = and(_T_2828, _T_2829) @[lsu_bus_buffer.scala 421:71] + node _T_2831 = or(_T_2824, _T_2830) @[lsu_bus_buffer.scala 420:110] + node _T_2832 = and(_T_2812, _T_2831) @[lsu_bus_buffer.scala 418:112] + node _T_2833 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2834 = and(_T_2833, buf_state_en[0]) @[lsu_bus_buffer.scala 418:93] + node _T_2835 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2836 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2837 = or(_T_2835, _T_2836) @[lsu_bus_buffer.scala 419:32] + node _T_2838 = eq(_T_2837, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2839 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2840 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2841 = and(_T_2839, _T_2840) @[lsu_bus_buffer.scala 420:41] + node _T_2842 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:82] + node _T_2843 = and(_T_2841, _T_2842) @[lsu_bus_buffer.scala 420:71] + node _T_2844 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:101] + node _T_2845 = and(_T_2843, _T_2844) @[lsu_bus_buffer.scala 420:90] + node _T_2846 = or(_T_2838, _T_2845) @[lsu_bus_buffer.scala 419:59] + node _T_2847 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2848 = and(_T_2847, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2849 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:63] + node _T_2850 = and(_T_2848, _T_2849) @[lsu_bus_buffer.scala 421:52] + node _T_2851 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:82] + node _T_2852 = and(_T_2850, _T_2851) @[lsu_bus_buffer.scala 421:71] + node _T_2853 = or(_T_2846, _T_2852) @[lsu_bus_buffer.scala 420:110] + node _T_2854 = and(_T_2834, _T_2853) @[lsu_bus_buffer.scala 418:112] + node _T_2855 = cat(_T_2854, _T_2832) @[Cat.scala 29:58] + node _T_2856 = cat(_T_2855, _T_2810) @[Cat.scala 29:58] + node _T_2857 = cat(_T_2856, _T_2788) @[Cat.scala 29:58] + node _T_2858 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2859 = and(_T_2858, buf_state_en[1]) @[lsu_bus_buffer.scala 418:93] + node _T_2860 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2861 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2862 = or(_T_2860, _T_2861) @[lsu_bus_buffer.scala 419:32] + node _T_2863 = eq(_T_2862, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2864 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2865 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2866 = and(_T_2864, _T_2865) @[lsu_bus_buffer.scala 420:41] + node _T_2867 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:82] + node _T_2868 = and(_T_2866, _T_2867) @[lsu_bus_buffer.scala 420:71] + node _T_2869 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:101] + node _T_2870 = and(_T_2868, _T_2869) @[lsu_bus_buffer.scala 420:90] + node _T_2871 = or(_T_2863, _T_2870) @[lsu_bus_buffer.scala 419:59] + node _T_2872 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2873 = and(_T_2872, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2874 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:63] + node _T_2875 = and(_T_2873, _T_2874) @[lsu_bus_buffer.scala 421:52] + node _T_2876 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2877 = and(_T_2875, _T_2876) @[lsu_bus_buffer.scala 421:71] + node _T_2878 = or(_T_2871, _T_2877) @[lsu_bus_buffer.scala 420:110] + node _T_2879 = and(_T_2859, _T_2878) @[lsu_bus_buffer.scala 418:112] + node _T_2880 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2881 = and(_T_2880, buf_state_en[1]) @[lsu_bus_buffer.scala 418:93] + node _T_2882 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2883 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2884 = or(_T_2882, _T_2883) @[lsu_bus_buffer.scala 419:32] + node _T_2885 = eq(_T_2884, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2886 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2887 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2888 = and(_T_2886, _T_2887) @[lsu_bus_buffer.scala 420:41] + node _T_2889 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:82] + node _T_2890 = and(_T_2888, _T_2889) @[lsu_bus_buffer.scala 420:71] + node _T_2891 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:101] + node _T_2892 = and(_T_2890, _T_2891) @[lsu_bus_buffer.scala 420:90] + node _T_2893 = or(_T_2885, _T_2892) @[lsu_bus_buffer.scala 419:59] + node _T_2894 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2895 = and(_T_2894, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2896 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:63] + node _T_2897 = and(_T_2895, _T_2896) @[lsu_bus_buffer.scala 421:52] + node _T_2898 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:82] + node _T_2899 = and(_T_2897, _T_2898) @[lsu_bus_buffer.scala 421:71] + node _T_2900 = or(_T_2893, _T_2899) @[lsu_bus_buffer.scala 420:110] + node _T_2901 = and(_T_2881, _T_2900) @[lsu_bus_buffer.scala 418:112] + node _T_2902 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2903 = and(_T_2902, buf_state_en[1]) @[lsu_bus_buffer.scala 418:93] + node _T_2904 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2905 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2906 = or(_T_2904, _T_2905) @[lsu_bus_buffer.scala 419:32] + node _T_2907 = eq(_T_2906, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2908 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2909 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2910 = and(_T_2908, _T_2909) @[lsu_bus_buffer.scala 420:41] + node _T_2911 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:82] + node _T_2912 = and(_T_2910, _T_2911) @[lsu_bus_buffer.scala 420:71] + node _T_2913 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:101] + node _T_2914 = and(_T_2912, _T_2913) @[lsu_bus_buffer.scala 420:90] + node _T_2915 = or(_T_2907, _T_2914) @[lsu_bus_buffer.scala 419:59] + node _T_2916 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2917 = and(_T_2916, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2918 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:63] + node _T_2919 = and(_T_2917, _T_2918) @[lsu_bus_buffer.scala 421:52] + node _T_2920 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:82] + node _T_2921 = and(_T_2919, _T_2920) @[lsu_bus_buffer.scala 421:71] + node _T_2922 = or(_T_2915, _T_2921) @[lsu_bus_buffer.scala 420:110] + node _T_2923 = and(_T_2903, _T_2922) @[lsu_bus_buffer.scala 418:112] + node _T_2924 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2925 = and(_T_2924, buf_state_en[1]) @[lsu_bus_buffer.scala 418:93] + node _T_2926 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2927 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2928 = or(_T_2926, _T_2927) @[lsu_bus_buffer.scala 419:32] + node _T_2929 = eq(_T_2928, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2930 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2931 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2932 = and(_T_2930, _T_2931) @[lsu_bus_buffer.scala 420:41] + node _T_2933 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:82] + node _T_2934 = and(_T_2932, _T_2933) @[lsu_bus_buffer.scala 420:71] + node _T_2935 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:101] + node _T_2936 = and(_T_2934, _T_2935) @[lsu_bus_buffer.scala 420:90] + node _T_2937 = or(_T_2929, _T_2936) @[lsu_bus_buffer.scala 419:59] + node _T_2938 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2939 = and(_T_2938, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2940 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:63] + node _T_2941 = and(_T_2939, _T_2940) @[lsu_bus_buffer.scala 421:52] + node _T_2942 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:82] + node _T_2943 = and(_T_2941, _T_2942) @[lsu_bus_buffer.scala 421:71] + node _T_2944 = or(_T_2937, _T_2943) @[lsu_bus_buffer.scala 420:110] + node _T_2945 = and(_T_2925, _T_2944) @[lsu_bus_buffer.scala 418:112] + node _T_2946 = cat(_T_2945, _T_2923) @[Cat.scala 29:58] + node _T_2947 = cat(_T_2946, _T_2901) @[Cat.scala 29:58] + node _T_2948 = cat(_T_2947, _T_2879) @[Cat.scala 29:58] + node _T_2949 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2950 = and(_T_2949, buf_state_en[2]) @[lsu_bus_buffer.scala 418:93] + node _T_2951 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2952 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2953 = or(_T_2951, _T_2952) @[lsu_bus_buffer.scala 419:32] + node _T_2954 = eq(_T_2953, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2955 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2956 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2957 = and(_T_2955, _T_2956) @[lsu_bus_buffer.scala 420:41] + node _T_2958 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:82] + node _T_2959 = and(_T_2957, _T_2958) @[lsu_bus_buffer.scala 420:71] + node _T_2960 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:101] + node _T_2961 = and(_T_2959, _T_2960) @[lsu_bus_buffer.scala 420:90] + node _T_2962 = or(_T_2954, _T_2961) @[lsu_bus_buffer.scala 419:59] + node _T_2963 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2964 = and(_T_2963, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2965 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:63] + node _T_2966 = and(_T_2964, _T_2965) @[lsu_bus_buffer.scala 421:52] + node _T_2967 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2968 = and(_T_2966, _T_2967) @[lsu_bus_buffer.scala 421:71] + node _T_2969 = or(_T_2962, _T_2968) @[lsu_bus_buffer.scala 420:110] + node _T_2970 = and(_T_2950, _T_2969) @[lsu_bus_buffer.scala 418:112] + node _T_2971 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2972 = and(_T_2971, buf_state_en[2]) @[lsu_bus_buffer.scala 418:93] + node _T_2973 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2974 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2975 = or(_T_2973, _T_2974) @[lsu_bus_buffer.scala 419:32] + node _T_2976 = eq(_T_2975, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2977 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2978 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2979 = and(_T_2977, _T_2978) @[lsu_bus_buffer.scala 420:41] + node _T_2980 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:82] + node _T_2981 = and(_T_2979, _T_2980) @[lsu_bus_buffer.scala 420:71] + node _T_2982 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:101] + node _T_2983 = and(_T_2981, _T_2982) @[lsu_bus_buffer.scala 420:90] + node _T_2984 = or(_T_2976, _T_2983) @[lsu_bus_buffer.scala 419:59] + node _T_2985 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2986 = and(_T_2985, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2987 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:63] + node _T_2988 = and(_T_2986, _T_2987) @[lsu_bus_buffer.scala 421:52] + node _T_2989 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:82] + node _T_2990 = and(_T_2988, _T_2989) @[lsu_bus_buffer.scala 421:71] + node _T_2991 = or(_T_2984, _T_2990) @[lsu_bus_buffer.scala 420:110] + node _T_2992 = and(_T_2972, _T_2991) @[lsu_bus_buffer.scala 418:112] + node _T_2993 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2994 = and(_T_2993, buf_state_en[2]) @[lsu_bus_buffer.scala 418:93] + node _T_2995 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2996 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2997 = or(_T_2995, _T_2996) @[lsu_bus_buffer.scala 419:32] + node _T_2998 = eq(_T_2997, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2999 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_3000 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_3001 = and(_T_2999, _T_3000) @[lsu_bus_buffer.scala 420:41] + node _T_3002 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:82] + node _T_3003 = and(_T_3001, _T_3002) @[lsu_bus_buffer.scala 420:71] + node _T_3004 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:101] + node _T_3005 = and(_T_3003, _T_3004) @[lsu_bus_buffer.scala 420:90] + node _T_3006 = or(_T_2998, _T_3005) @[lsu_bus_buffer.scala 419:59] + node _T_3007 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_3008 = and(_T_3007, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_3009 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:63] + node _T_3010 = and(_T_3008, _T_3009) @[lsu_bus_buffer.scala 421:52] + node _T_3011 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:82] + node _T_3012 = and(_T_3010, _T_3011) @[lsu_bus_buffer.scala 421:71] + node _T_3013 = or(_T_3006, _T_3012) @[lsu_bus_buffer.scala 420:110] + node _T_3014 = and(_T_2994, _T_3013) @[lsu_bus_buffer.scala 418:112] + node _T_3015 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_3016 = and(_T_3015, buf_state_en[2]) @[lsu_bus_buffer.scala 418:93] + node _T_3017 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_3018 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_3019 = or(_T_3017, _T_3018) @[lsu_bus_buffer.scala 419:32] + node _T_3020 = eq(_T_3019, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_3021 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_3022 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_3023 = and(_T_3021, _T_3022) @[lsu_bus_buffer.scala 420:41] + node _T_3024 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:82] + node _T_3025 = and(_T_3023, _T_3024) @[lsu_bus_buffer.scala 420:71] + node _T_3026 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:101] + node _T_3027 = and(_T_3025, _T_3026) @[lsu_bus_buffer.scala 420:90] + node _T_3028 = or(_T_3020, _T_3027) @[lsu_bus_buffer.scala 419:59] + node _T_3029 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_3030 = and(_T_3029, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_3031 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:63] + node _T_3032 = and(_T_3030, _T_3031) @[lsu_bus_buffer.scala 421:52] + node _T_3033 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:82] + node _T_3034 = and(_T_3032, _T_3033) @[lsu_bus_buffer.scala 421:71] + node _T_3035 = or(_T_3028, _T_3034) @[lsu_bus_buffer.scala 420:110] + node _T_3036 = and(_T_3016, _T_3035) @[lsu_bus_buffer.scala 418:112] + node _T_3037 = cat(_T_3036, _T_3014) @[Cat.scala 29:58] + node _T_3038 = cat(_T_3037, _T_2992) @[Cat.scala 29:58] + node _T_3039 = cat(_T_3038, _T_2970) @[Cat.scala 29:58] + node _T_3040 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_3041 = and(_T_3040, buf_state_en[3]) @[lsu_bus_buffer.scala 418:93] + node _T_3042 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_3043 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_3044 = or(_T_3042, _T_3043) @[lsu_bus_buffer.scala 419:32] + node _T_3045 = eq(_T_3044, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_3046 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_3047 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_3048 = and(_T_3046, _T_3047) @[lsu_bus_buffer.scala 420:41] + node _T_3049 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:82] + node _T_3050 = and(_T_3048, _T_3049) @[lsu_bus_buffer.scala 420:71] + node _T_3051 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:101] + node _T_3052 = and(_T_3050, _T_3051) @[lsu_bus_buffer.scala 420:90] + node _T_3053 = or(_T_3045, _T_3052) @[lsu_bus_buffer.scala 419:59] + node _T_3054 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_3055 = and(_T_3054, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_3056 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:63] + node _T_3057 = and(_T_3055, _T_3056) @[lsu_bus_buffer.scala 421:52] + node _T_3058 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_3059 = and(_T_3057, _T_3058) @[lsu_bus_buffer.scala 421:71] + node _T_3060 = or(_T_3053, _T_3059) @[lsu_bus_buffer.scala 420:110] + node _T_3061 = and(_T_3041, _T_3060) @[lsu_bus_buffer.scala 418:112] + node _T_3062 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_3063 = and(_T_3062, buf_state_en[3]) @[lsu_bus_buffer.scala 418:93] + node _T_3064 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_3065 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_3066 = or(_T_3064, _T_3065) @[lsu_bus_buffer.scala 419:32] + node _T_3067 = eq(_T_3066, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_3068 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_3069 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_3070 = and(_T_3068, _T_3069) @[lsu_bus_buffer.scala 420:41] + node _T_3071 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:82] + node _T_3072 = and(_T_3070, _T_3071) @[lsu_bus_buffer.scala 420:71] + node _T_3073 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:101] + node _T_3074 = and(_T_3072, _T_3073) @[lsu_bus_buffer.scala 420:90] + node _T_3075 = or(_T_3067, _T_3074) @[lsu_bus_buffer.scala 419:59] + node _T_3076 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_3077 = and(_T_3076, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_3078 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:63] + node _T_3079 = and(_T_3077, _T_3078) @[lsu_bus_buffer.scala 421:52] + node _T_3080 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:82] + node _T_3081 = and(_T_3079, _T_3080) @[lsu_bus_buffer.scala 421:71] + node _T_3082 = or(_T_3075, _T_3081) @[lsu_bus_buffer.scala 420:110] + node _T_3083 = and(_T_3063, _T_3082) @[lsu_bus_buffer.scala 418:112] + node _T_3084 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_3085 = and(_T_3084, buf_state_en[3]) @[lsu_bus_buffer.scala 418:93] + node _T_3086 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_3087 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_3088 = or(_T_3086, _T_3087) @[lsu_bus_buffer.scala 419:32] + node _T_3089 = eq(_T_3088, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_3090 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_3091 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_3092 = and(_T_3090, _T_3091) @[lsu_bus_buffer.scala 420:41] + node _T_3093 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:82] + node _T_3094 = and(_T_3092, _T_3093) @[lsu_bus_buffer.scala 420:71] + node _T_3095 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:101] + node _T_3096 = and(_T_3094, _T_3095) @[lsu_bus_buffer.scala 420:90] + node _T_3097 = or(_T_3089, _T_3096) @[lsu_bus_buffer.scala 419:59] + node _T_3098 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_3099 = and(_T_3098, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_3100 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:63] + node _T_3101 = and(_T_3099, _T_3100) @[lsu_bus_buffer.scala 421:52] + node _T_3102 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:82] + node _T_3103 = and(_T_3101, _T_3102) @[lsu_bus_buffer.scala 421:71] + node _T_3104 = or(_T_3097, _T_3103) @[lsu_bus_buffer.scala 420:110] + node _T_3105 = and(_T_3085, _T_3104) @[lsu_bus_buffer.scala 418:112] + node _T_3106 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_3107 = and(_T_3106, buf_state_en[3]) @[lsu_bus_buffer.scala 418:93] + node _T_3108 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_3109 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_3110 = or(_T_3108, _T_3109) @[lsu_bus_buffer.scala 419:32] + node _T_3111 = eq(_T_3110, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_3112 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_3113 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_3114 = and(_T_3112, _T_3113) @[lsu_bus_buffer.scala 420:41] + node _T_3115 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:82] + node _T_3116 = and(_T_3114, _T_3115) @[lsu_bus_buffer.scala 420:71] + node _T_3117 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:101] + node _T_3118 = and(_T_3116, _T_3117) @[lsu_bus_buffer.scala 420:90] + node _T_3119 = or(_T_3111, _T_3118) @[lsu_bus_buffer.scala 419:59] + node _T_3120 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_3121 = and(_T_3120, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_3122 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:63] + node _T_3123 = and(_T_3121, _T_3122) @[lsu_bus_buffer.scala 421:52] + node _T_3124 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:82] + node _T_3125 = and(_T_3123, _T_3124) @[lsu_bus_buffer.scala 421:71] + node _T_3126 = or(_T_3119, _T_3125) @[lsu_bus_buffer.scala 420:110] + node _T_3127 = and(_T_3107, _T_3126) @[lsu_bus_buffer.scala 418:112] + node _T_3128 = cat(_T_3127, _T_3105) @[Cat.scala 29:58] + node _T_3129 = cat(_T_3128, _T_3083) @[Cat.scala 29:58] + node _T_3130 = cat(_T_3129, _T_3061) @[Cat.scala 29:58] + buf_rspage_set[0] <= _T_2857 @[lsu_bus_buffer.scala 418:18] + buf_rspage_set[1] <= _T_2948 @[lsu_bus_buffer.scala 418:18] + buf_rspage_set[2] <= _T_3039 @[lsu_bus_buffer.scala 418:18] + buf_rspage_set[3] <= _T_3130 @[lsu_bus_buffer.scala 418:18] + node _T_3131 = bits(buf_rspage_set[0], 0, 0) @[lsu_bus_buffer.scala 422:84] + node _T_3132 = bits(buf_rspage[0], 0, 0) @[lsu_bus_buffer.scala 422:103] + node _T_3133 = or(_T_3131, _T_3132) @[lsu_bus_buffer.scala 422:88] + node _T_3134 = bits(buf_rspage_set[0], 1, 1) @[lsu_bus_buffer.scala 422:84] + node _T_3135 = bits(buf_rspage[0], 1, 1) @[lsu_bus_buffer.scala 422:103] + node _T_3136 = or(_T_3134, _T_3135) @[lsu_bus_buffer.scala 422:88] + node _T_3137 = bits(buf_rspage_set[0], 2, 2) @[lsu_bus_buffer.scala 422:84] + node _T_3138 = bits(buf_rspage[0], 2, 2) @[lsu_bus_buffer.scala 422:103] + node _T_3139 = or(_T_3137, _T_3138) @[lsu_bus_buffer.scala 422:88] + node _T_3140 = bits(buf_rspage_set[0], 3, 3) @[lsu_bus_buffer.scala 422:84] + node _T_3141 = bits(buf_rspage[0], 3, 3) @[lsu_bus_buffer.scala 422:103] + node _T_3142 = or(_T_3140, _T_3141) @[lsu_bus_buffer.scala 422:88] + node _T_3143 = cat(_T_3142, _T_3139) @[Cat.scala 29:58] + node _T_3144 = cat(_T_3143, _T_3136) @[Cat.scala 29:58] + node _T_3145 = cat(_T_3144, _T_3133) @[Cat.scala 29:58] + node _T_3146 = bits(buf_rspage_set[1], 0, 0) @[lsu_bus_buffer.scala 422:84] + node _T_3147 = bits(buf_rspage[1], 0, 0) @[lsu_bus_buffer.scala 422:103] + node _T_3148 = or(_T_3146, _T_3147) @[lsu_bus_buffer.scala 422:88] + node _T_3149 = bits(buf_rspage_set[1], 1, 1) @[lsu_bus_buffer.scala 422:84] + node _T_3150 = bits(buf_rspage[1], 1, 1) @[lsu_bus_buffer.scala 422:103] + node _T_3151 = or(_T_3149, _T_3150) @[lsu_bus_buffer.scala 422:88] + node _T_3152 = bits(buf_rspage_set[1], 2, 2) @[lsu_bus_buffer.scala 422:84] + node _T_3153 = bits(buf_rspage[1], 2, 2) @[lsu_bus_buffer.scala 422:103] + node _T_3154 = or(_T_3152, _T_3153) @[lsu_bus_buffer.scala 422:88] + node _T_3155 = bits(buf_rspage_set[1], 3, 3) @[lsu_bus_buffer.scala 422:84] + node _T_3156 = bits(buf_rspage[1], 3, 3) @[lsu_bus_buffer.scala 422:103] + node _T_3157 = or(_T_3155, _T_3156) @[lsu_bus_buffer.scala 422:88] + node _T_3158 = cat(_T_3157, _T_3154) @[Cat.scala 29:58] + node _T_3159 = cat(_T_3158, _T_3151) @[Cat.scala 29:58] + node _T_3160 = cat(_T_3159, _T_3148) @[Cat.scala 29:58] + node _T_3161 = bits(buf_rspage_set[2], 0, 0) @[lsu_bus_buffer.scala 422:84] + node _T_3162 = bits(buf_rspage[2], 0, 0) @[lsu_bus_buffer.scala 422:103] + node _T_3163 = or(_T_3161, _T_3162) @[lsu_bus_buffer.scala 422:88] + node _T_3164 = bits(buf_rspage_set[2], 1, 1) @[lsu_bus_buffer.scala 422:84] + node _T_3165 = bits(buf_rspage[2], 1, 1) @[lsu_bus_buffer.scala 422:103] + node _T_3166 = or(_T_3164, _T_3165) @[lsu_bus_buffer.scala 422:88] + node _T_3167 = bits(buf_rspage_set[2], 2, 2) @[lsu_bus_buffer.scala 422:84] + node _T_3168 = bits(buf_rspage[2], 2, 2) @[lsu_bus_buffer.scala 422:103] + node _T_3169 = or(_T_3167, _T_3168) @[lsu_bus_buffer.scala 422:88] + node _T_3170 = bits(buf_rspage_set[2], 3, 3) @[lsu_bus_buffer.scala 422:84] + node _T_3171 = bits(buf_rspage[2], 3, 3) @[lsu_bus_buffer.scala 422:103] + node _T_3172 = or(_T_3170, _T_3171) @[lsu_bus_buffer.scala 422:88] + node _T_3173 = cat(_T_3172, _T_3169) @[Cat.scala 29:58] + node _T_3174 = cat(_T_3173, _T_3166) @[Cat.scala 29:58] + node _T_3175 = cat(_T_3174, _T_3163) @[Cat.scala 29:58] + node _T_3176 = bits(buf_rspage_set[3], 0, 0) @[lsu_bus_buffer.scala 422:84] + node _T_3177 = bits(buf_rspage[3], 0, 0) @[lsu_bus_buffer.scala 422:103] + node _T_3178 = or(_T_3176, _T_3177) @[lsu_bus_buffer.scala 422:88] + node _T_3179 = bits(buf_rspage_set[3], 1, 1) @[lsu_bus_buffer.scala 422:84] + node _T_3180 = bits(buf_rspage[3], 1, 1) @[lsu_bus_buffer.scala 422:103] + node _T_3181 = or(_T_3179, _T_3180) @[lsu_bus_buffer.scala 422:88] + node _T_3182 = bits(buf_rspage_set[3], 2, 2) @[lsu_bus_buffer.scala 422:84] + node _T_3183 = bits(buf_rspage[3], 2, 2) @[lsu_bus_buffer.scala 422:103] + node _T_3184 = or(_T_3182, _T_3183) @[lsu_bus_buffer.scala 422:88] + node _T_3185 = bits(buf_rspage_set[3], 3, 3) @[lsu_bus_buffer.scala 422:84] + node _T_3186 = bits(buf_rspage[3], 3, 3) @[lsu_bus_buffer.scala 422:103] + node _T_3187 = or(_T_3185, _T_3186) @[lsu_bus_buffer.scala 422:88] + node _T_3188 = cat(_T_3187, _T_3184) @[Cat.scala 29:58] + node _T_3189 = cat(_T_3188, _T_3181) @[Cat.scala 29:58] + node _T_3190 = cat(_T_3189, _T_3178) @[Cat.scala 29:58] + buf_rspage_in[0] <= _T_3145 @[lsu_bus_buffer.scala 422:17] + buf_rspage_in[1] <= _T_3160 @[lsu_bus_buffer.scala 422:17] + buf_rspage_in[2] <= _T_3175 @[lsu_bus_buffer.scala 422:17] + buf_rspage_in[3] <= _T_3190 @[lsu_bus_buffer.scala 422:17] + node _T_3191 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 423:78] + node _T_3192 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3193 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3194 = or(_T_3192, _T_3193) @[lsu_bus_buffer.scala 423:110] + node _T_3195 = eq(_T_3194, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3196 = and(_T_3191, _T_3195) @[lsu_bus_buffer.scala 423:82] + node _T_3197 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3198 = and(_T_3196, _T_3197) @[lsu_bus_buffer.scala 423:145] + node _T_3199 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 423:78] + node _T_3200 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3201 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3202 = or(_T_3200, _T_3201) @[lsu_bus_buffer.scala 423:110] + node _T_3203 = eq(_T_3202, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3204 = and(_T_3199, _T_3203) @[lsu_bus_buffer.scala 423:82] + node _T_3205 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3206 = and(_T_3204, _T_3205) @[lsu_bus_buffer.scala 423:145] + node _T_3207 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 423:78] + node _T_3208 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3209 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3210 = or(_T_3208, _T_3209) @[lsu_bus_buffer.scala 423:110] + node _T_3211 = eq(_T_3210, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3212 = and(_T_3207, _T_3211) @[lsu_bus_buffer.scala 423:82] + node _T_3213 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3214 = and(_T_3212, _T_3213) @[lsu_bus_buffer.scala 423:145] + node _T_3215 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 423:78] + node _T_3216 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3217 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3218 = or(_T_3216, _T_3217) @[lsu_bus_buffer.scala 423:110] + node _T_3219 = eq(_T_3218, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3220 = and(_T_3215, _T_3219) @[lsu_bus_buffer.scala 423:82] + node _T_3221 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3222 = and(_T_3220, _T_3221) @[lsu_bus_buffer.scala 423:145] + node _T_3223 = cat(_T_3222, _T_3214) @[Cat.scala 29:58] + node _T_3224 = cat(_T_3223, _T_3206) @[Cat.scala 29:58] + node _T_3225 = cat(_T_3224, _T_3198) @[Cat.scala 29:58] + node _T_3226 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 423:78] + node _T_3227 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3228 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3229 = or(_T_3227, _T_3228) @[lsu_bus_buffer.scala 423:110] + node _T_3230 = eq(_T_3229, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3231 = and(_T_3226, _T_3230) @[lsu_bus_buffer.scala 423:82] + node _T_3232 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3233 = and(_T_3231, _T_3232) @[lsu_bus_buffer.scala 423:145] + node _T_3234 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 423:78] + node _T_3235 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3236 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3237 = or(_T_3235, _T_3236) @[lsu_bus_buffer.scala 423:110] + node _T_3238 = eq(_T_3237, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3239 = and(_T_3234, _T_3238) @[lsu_bus_buffer.scala 423:82] + node _T_3240 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3241 = and(_T_3239, _T_3240) @[lsu_bus_buffer.scala 423:145] + node _T_3242 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 423:78] + node _T_3243 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3244 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3245 = or(_T_3243, _T_3244) @[lsu_bus_buffer.scala 423:110] + node _T_3246 = eq(_T_3245, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3247 = and(_T_3242, _T_3246) @[lsu_bus_buffer.scala 423:82] + node _T_3248 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3249 = and(_T_3247, _T_3248) @[lsu_bus_buffer.scala 423:145] + node _T_3250 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 423:78] + node _T_3251 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3252 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3253 = or(_T_3251, _T_3252) @[lsu_bus_buffer.scala 423:110] + node _T_3254 = eq(_T_3253, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3255 = and(_T_3250, _T_3254) @[lsu_bus_buffer.scala 423:82] + node _T_3256 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3257 = and(_T_3255, _T_3256) @[lsu_bus_buffer.scala 423:145] + node _T_3258 = cat(_T_3257, _T_3249) @[Cat.scala 29:58] + node _T_3259 = cat(_T_3258, _T_3241) @[Cat.scala 29:58] + node _T_3260 = cat(_T_3259, _T_3233) @[Cat.scala 29:58] + node _T_3261 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 423:78] + node _T_3262 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3263 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3264 = or(_T_3262, _T_3263) @[lsu_bus_buffer.scala 423:110] + node _T_3265 = eq(_T_3264, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3266 = and(_T_3261, _T_3265) @[lsu_bus_buffer.scala 423:82] + node _T_3267 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3268 = and(_T_3266, _T_3267) @[lsu_bus_buffer.scala 423:145] + node _T_3269 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 423:78] + node _T_3270 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3271 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3272 = or(_T_3270, _T_3271) @[lsu_bus_buffer.scala 423:110] + node _T_3273 = eq(_T_3272, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3274 = and(_T_3269, _T_3273) @[lsu_bus_buffer.scala 423:82] + node _T_3275 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3276 = and(_T_3274, _T_3275) @[lsu_bus_buffer.scala 423:145] + node _T_3277 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 423:78] + node _T_3278 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3279 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3280 = or(_T_3278, _T_3279) @[lsu_bus_buffer.scala 423:110] + node _T_3281 = eq(_T_3280, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3282 = and(_T_3277, _T_3281) @[lsu_bus_buffer.scala 423:82] + node _T_3283 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3284 = and(_T_3282, _T_3283) @[lsu_bus_buffer.scala 423:145] + node _T_3285 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 423:78] + node _T_3286 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3287 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3288 = or(_T_3286, _T_3287) @[lsu_bus_buffer.scala 423:110] + node _T_3289 = eq(_T_3288, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3290 = and(_T_3285, _T_3289) @[lsu_bus_buffer.scala 423:82] + node _T_3291 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3292 = and(_T_3290, _T_3291) @[lsu_bus_buffer.scala 423:145] + node _T_3293 = cat(_T_3292, _T_3284) @[Cat.scala 29:58] + node _T_3294 = cat(_T_3293, _T_3276) @[Cat.scala 29:58] + node _T_3295 = cat(_T_3294, _T_3268) @[Cat.scala 29:58] + node _T_3296 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 423:78] + node _T_3297 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3298 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3299 = or(_T_3297, _T_3298) @[lsu_bus_buffer.scala 423:110] + node _T_3300 = eq(_T_3299, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3301 = and(_T_3296, _T_3300) @[lsu_bus_buffer.scala 423:82] + node _T_3302 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3303 = and(_T_3301, _T_3302) @[lsu_bus_buffer.scala 423:145] + node _T_3304 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 423:78] + node _T_3305 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3306 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3307 = or(_T_3305, _T_3306) @[lsu_bus_buffer.scala 423:110] + node _T_3308 = eq(_T_3307, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3309 = and(_T_3304, _T_3308) @[lsu_bus_buffer.scala 423:82] + node _T_3310 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3311 = and(_T_3309, _T_3310) @[lsu_bus_buffer.scala 423:145] + node _T_3312 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 423:78] + node _T_3313 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3314 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3315 = or(_T_3313, _T_3314) @[lsu_bus_buffer.scala 423:110] + node _T_3316 = eq(_T_3315, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3317 = and(_T_3312, _T_3316) @[lsu_bus_buffer.scala 423:82] + node _T_3318 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3319 = and(_T_3317, _T_3318) @[lsu_bus_buffer.scala 423:145] + node _T_3320 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 423:78] + node _T_3321 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3322 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3323 = or(_T_3321, _T_3322) @[lsu_bus_buffer.scala 423:110] + node _T_3324 = eq(_T_3323, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3325 = and(_T_3320, _T_3324) @[lsu_bus_buffer.scala 423:82] + node _T_3326 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3327 = and(_T_3325, _T_3326) @[lsu_bus_buffer.scala 423:145] + node _T_3328 = cat(_T_3327, _T_3319) @[Cat.scala 29:58] + node _T_3329 = cat(_T_3328, _T_3311) @[Cat.scala 29:58] + node _T_3330 = cat(_T_3329, _T_3303) @[Cat.scala 29:58] + buf_rspage[0] <= _T_3225 @[lsu_bus_buffer.scala 423:14] + buf_rspage[1] <= _T_3260 @[lsu_bus_buffer.scala 423:14] + buf_rspage[2] <= _T_3295 @[lsu_bus_buffer.scala 423:14] + buf_rspage[3] <= _T_3330 @[lsu_bus_buffer.scala 423:14] + node _T_3331 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:75] + node _T_3332 = and(ibuf_drain_vld, _T_3331) @[lsu_bus_buffer.scala 428:63] + node _T_3333 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 428:75] + node _T_3334 = and(ibuf_drain_vld, _T_3333) @[lsu_bus_buffer.scala 428:63] + node _T_3335 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 428:75] + node _T_3336 = and(ibuf_drain_vld, _T_3335) @[lsu_bus_buffer.scala 428:63] + node _T_3337 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 428:75] + node _T_3338 = and(ibuf_drain_vld, _T_3337) @[lsu_bus_buffer.scala 428:63] + node _T_3339 = cat(_T_3338, _T_3336) @[Cat.scala 29:58] + node _T_3340 = cat(_T_3339, _T_3334) @[Cat.scala 29:58] + node _T_3341 = cat(_T_3340, _T_3332) @[Cat.scala 29:58] + ibuf_drainvec_vld <= _T_3341 @[lsu_bus_buffer.scala 428:21] + node _T_3342 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 429:64] + node _T_3343 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 429:84] + node _T_3344 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:18] + node _T_3345 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 430:46] + node _T_3346 = and(_T_3344, _T_3345) @[lsu_bus_buffer.scala 430:35] + node _T_3347 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 430:71] + node _T_3348 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 430:94] + node _T_3349 = mux(_T_3346, _T_3347, _T_3348) @[lsu_bus_buffer.scala 430:8] + node _T_3350 = mux(_T_3342, _T_3343, _T_3349) @[lsu_bus_buffer.scala 429:46] + node _T_3351 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 429:64] + node _T_3352 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 429:84] + node _T_3353 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:18] + node _T_3354 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 430:46] + node _T_3355 = and(_T_3353, _T_3354) @[lsu_bus_buffer.scala 430:35] + node _T_3356 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 430:71] + node _T_3357 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 430:94] + node _T_3358 = mux(_T_3355, _T_3356, _T_3357) @[lsu_bus_buffer.scala 430:8] + node _T_3359 = mux(_T_3351, _T_3352, _T_3358) @[lsu_bus_buffer.scala 429:46] + node _T_3360 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 429:64] + node _T_3361 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 429:84] + node _T_3362 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:18] + node _T_3363 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 430:46] + node _T_3364 = and(_T_3362, _T_3363) @[lsu_bus_buffer.scala 430:35] + node _T_3365 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 430:71] + node _T_3366 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 430:94] + node _T_3367 = mux(_T_3364, _T_3365, _T_3366) @[lsu_bus_buffer.scala 430:8] + node _T_3368 = mux(_T_3360, _T_3361, _T_3367) @[lsu_bus_buffer.scala 429:46] + node _T_3369 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 429:64] + node _T_3370 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 429:84] + node _T_3371 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:18] + node _T_3372 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 430:46] + node _T_3373 = and(_T_3371, _T_3372) @[lsu_bus_buffer.scala 430:35] + node _T_3374 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 430:71] + node _T_3375 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 430:94] + node _T_3376 = mux(_T_3373, _T_3374, _T_3375) @[lsu_bus_buffer.scala 430:8] + node _T_3377 = mux(_T_3369, _T_3370, _T_3376) @[lsu_bus_buffer.scala 429:46] + buf_byteen_in[0] <= _T_3350 @[lsu_bus_buffer.scala 429:17] + buf_byteen_in[1] <= _T_3359 @[lsu_bus_buffer.scala 429:17] + buf_byteen_in[2] <= _T_3368 @[lsu_bus_buffer.scala 429:17] + buf_byteen_in[3] <= _T_3377 @[lsu_bus_buffer.scala 429:17] + node _T_3378 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 431:62] + node _T_3379 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:91] + node _T_3380 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 431:119] + node _T_3381 = and(_T_3379, _T_3380) @[lsu_bus_buffer.scala 431:108] + node _T_3382 = mux(_T_3381, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 431:81] + node _T_3383 = mux(_T_3378, ibuf_addr, _T_3382) @[lsu_bus_buffer.scala 431:44] + node _T_3384 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 431:62] + node _T_3385 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:91] + node _T_3386 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 431:119] + node _T_3387 = and(_T_3385, _T_3386) @[lsu_bus_buffer.scala 431:108] + node _T_3388 = mux(_T_3387, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 431:81] + node _T_3389 = mux(_T_3384, ibuf_addr, _T_3388) @[lsu_bus_buffer.scala 431:44] + node _T_3390 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 431:62] + node _T_3391 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:91] + node _T_3392 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 431:119] + node _T_3393 = and(_T_3391, _T_3392) @[lsu_bus_buffer.scala 431:108] + node _T_3394 = mux(_T_3393, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 431:81] + node _T_3395 = mux(_T_3390, ibuf_addr, _T_3394) @[lsu_bus_buffer.scala 431:44] + node _T_3396 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 431:62] + node _T_3397 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:91] + node _T_3398 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 431:119] + node _T_3399 = and(_T_3397, _T_3398) @[lsu_bus_buffer.scala 431:108] + node _T_3400 = mux(_T_3399, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 431:81] + node _T_3401 = mux(_T_3396, ibuf_addr, _T_3400) @[lsu_bus_buffer.scala 431:44] + buf_addr_in[0] <= _T_3383 @[lsu_bus_buffer.scala 431:15] + buf_addr_in[1] <= _T_3389 @[lsu_bus_buffer.scala 431:15] + buf_addr_in[2] <= _T_3395 @[lsu_bus_buffer.scala 431:15] + buf_addr_in[3] <= _T_3401 @[lsu_bus_buffer.scala 431:15] + node _T_3402 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 432:63] + node _T_3403 = mux(_T_3402, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:45] + node _T_3404 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 432:63] + node _T_3405 = mux(_T_3404, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:45] + node _T_3406 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 432:63] + node _T_3407 = mux(_T_3406, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:45] + node _T_3408 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 432:63] + node _T_3409 = mux(_T_3408, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:45] + node _T_3410 = cat(_T_3409, _T_3407) @[Cat.scala 29:58] + node _T_3411 = cat(_T_3410, _T_3405) @[Cat.scala 29:58] + node _T_3412 = cat(_T_3411, _T_3403) @[Cat.scala 29:58] + buf_dual_in <= _T_3412 @[lsu_bus_buffer.scala 432:15] + node _T_3413 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 433:65] + node _T_3414 = mux(_T_3413, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 433:47] + node _T_3415 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 433:65] + node _T_3416 = mux(_T_3415, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 433:47] + node _T_3417 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 433:65] + node _T_3418 = mux(_T_3417, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 433:47] + node _T_3419 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 433:65] + node _T_3420 = mux(_T_3419, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 433:47] + node _T_3421 = cat(_T_3420, _T_3418) @[Cat.scala 29:58] + node _T_3422 = cat(_T_3421, _T_3416) @[Cat.scala 29:58] + node _T_3423 = cat(_T_3422, _T_3414) @[Cat.scala 29:58] + buf_samedw_in <= _T_3423 @[lsu_bus_buffer.scala 433:17] + node _T_3424 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 434:66] + node _T_3425 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 434:84] + node _T_3426 = mux(_T_3424, _T_3425, io.no_dword_merge_r) @[lsu_bus_buffer.scala 434:48] + node _T_3427 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 434:66] + node _T_3428 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 434:84] + node _T_3429 = mux(_T_3427, _T_3428, io.no_dword_merge_r) @[lsu_bus_buffer.scala 434:48] + node _T_3430 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 434:66] + node _T_3431 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 434:84] + node _T_3432 = mux(_T_3430, _T_3431, io.no_dword_merge_r) @[lsu_bus_buffer.scala 434:48] + node _T_3433 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 434:66] + node _T_3434 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 434:84] + node _T_3435 = mux(_T_3433, _T_3434, io.no_dword_merge_r) @[lsu_bus_buffer.scala 434:48] + node _T_3436 = cat(_T_3435, _T_3432) @[Cat.scala 29:58] + node _T_3437 = cat(_T_3436, _T_3429) @[Cat.scala 29:58] + node _T_3438 = cat(_T_3437, _T_3426) @[Cat.scala 29:58] + buf_nomerge_in <= _T_3438 @[lsu_bus_buffer.scala 434:18] + node _T_3439 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 435:65] + node _T_3440 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:90] + node _T_3441 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 435:118] + node _T_3442 = and(_T_3440, _T_3441) @[lsu_bus_buffer.scala 435:107] + node _T_3443 = mux(_T_3439, ibuf_dual, _T_3442) @[lsu_bus_buffer.scala 435:47] + node _T_3444 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 435:65] + node _T_3445 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:90] + node _T_3446 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 435:118] + node _T_3447 = and(_T_3445, _T_3446) @[lsu_bus_buffer.scala 435:107] + node _T_3448 = mux(_T_3444, ibuf_dual, _T_3447) @[lsu_bus_buffer.scala 435:47] + node _T_3449 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 435:65] + node _T_3450 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:90] + node _T_3451 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 435:118] + node _T_3452 = and(_T_3450, _T_3451) @[lsu_bus_buffer.scala 435:107] + node _T_3453 = mux(_T_3449, ibuf_dual, _T_3452) @[lsu_bus_buffer.scala 435:47] + node _T_3454 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 435:65] + node _T_3455 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:90] + node _T_3456 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 435:118] + node _T_3457 = and(_T_3455, _T_3456) @[lsu_bus_buffer.scala 435:107] + node _T_3458 = mux(_T_3454, ibuf_dual, _T_3457) @[lsu_bus_buffer.scala 435:47] + node _T_3459 = cat(_T_3458, _T_3453) @[Cat.scala 29:58] + node _T_3460 = cat(_T_3459, _T_3448) @[Cat.scala 29:58] + node _T_3461 = cat(_T_3460, _T_3443) @[Cat.scala 29:58] + buf_dualhi_in <= _T_3461 @[lsu_bus_buffer.scala 435:17] + node _T_3462 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 436:65] + node _T_3463 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 436:97] + node _T_3464 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 436:125] + node _T_3465 = and(_T_3463, _T_3464) @[lsu_bus_buffer.scala 436:114] + node _T_3466 = mux(_T_3465, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 436:87] + node _T_3467 = mux(_T_3462, ibuf_dualtag, _T_3466) @[lsu_bus_buffer.scala 436:47] + node _T_3468 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 436:65] + node _T_3469 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 436:97] + node _T_3470 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 436:125] + node _T_3471 = and(_T_3469, _T_3470) @[lsu_bus_buffer.scala 436:114] + node _T_3472 = mux(_T_3471, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 436:87] + node _T_3473 = mux(_T_3468, ibuf_dualtag, _T_3472) @[lsu_bus_buffer.scala 436:47] + node _T_3474 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 436:65] + node _T_3475 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 436:97] + node _T_3476 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 436:125] + node _T_3477 = and(_T_3475, _T_3476) @[lsu_bus_buffer.scala 436:114] + node _T_3478 = mux(_T_3477, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 436:87] + node _T_3479 = mux(_T_3474, ibuf_dualtag, _T_3478) @[lsu_bus_buffer.scala 436:47] + node _T_3480 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 436:65] + node _T_3481 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 436:97] + node _T_3482 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 436:125] + node _T_3483 = and(_T_3481, _T_3482) @[lsu_bus_buffer.scala 436:114] + node _T_3484 = mux(_T_3483, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 436:87] + node _T_3485 = mux(_T_3480, ibuf_dualtag, _T_3484) @[lsu_bus_buffer.scala 436:47] + buf_dualtag_in[0] <= _T_3467 @[lsu_bus_buffer.scala 436:18] + buf_dualtag_in[1] <= _T_3473 @[lsu_bus_buffer.scala 436:18] + buf_dualtag_in[2] <= _T_3479 @[lsu_bus_buffer.scala 436:18] + buf_dualtag_in[3] <= _T_3485 @[lsu_bus_buffer.scala 436:18] + node _T_3486 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 437:69] + node _T_3487 = mux(_T_3486, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 437:51] + node _T_3488 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 437:69] + node _T_3489 = mux(_T_3488, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 437:51] + node _T_3490 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 437:69] + node _T_3491 = mux(_T_3490, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 437:51] + node _T_3492 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 437:69] + node _T_3493 = mux(_T_3492, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 437:51] + node _T_3494 = cat(_T_3493, _T_3491) @[Cat.scala 29:58] + node _T_3495 = cat(_T_3494, _T_3489) @[Cat.scala 29:58] + node _T_3496 = cat(_T_3495, _T_3487) @[Cat.scala 29:58] + buf_sideeffect_in <= _T_3496 @[lsu_bus_buffer.scala 437:21] + node _T_3497 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 438:65] + node _T_3498 = mux(_T_3497, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 438:47] + node _T_3499 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 438:65] + node _T_3500 = mux(_T_3499, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 438:47] + node _T_3501 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 438:65] + node _T_3502 = mux(_T_3501, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 438:47] + node _T_3503 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 438:65] + node _T_3504 = mux(_T_3503, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 438:47] + node _T_3505 = cat(_T_3504, _T_3502) @[Cat.scala 29:58] + node _T_3506 = cat(_T_3505, _T_3500) @[Cat.scala 29:58] + node _T_3507 = cat(_T_3506, _T_3498) @[Cat.scala 29:58] + buf_unsign_in <= _T_3507 @[lsu_bus_buffer.scala 438:17] + node _T_3508 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 439:60] + node _T_3509 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3510 = mux(_T_3508, ibuf_sz, _T_3509) @[lsu_bus_buffer.scala 439:42] + node _T_3511 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 439:60] + node _T_3512 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3513 = mux(_T_3511, ibuf_sz, _T_3512) @[lsu_bus_buffer.scala 439:42] + node _T_3514 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 439:60] + node _T_3515 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3516 = mux(_T_3514, ibuf_sz, _T_3515) @[lsu_bus_buffer.scala 439:42] + node _T_3517 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 439:60] + node _T_3518 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3519 = mux(_T_3517, ibuf_sz, _T_3518) @[lsu_bus_buffer.scala 439:42] + buf_sz_in[0] <= _T_3510 @[lsu_bus_buffer.scala 439:13] + buf_sz_in[1] <= _T_3513 @[lsu_bus_buffer.scala 439:13] + buf_sz_in[2] <= _T_3516 @[lsu_bus_buffer.scala 439:13] + buf_sz_in[3] <= _T_3519 @[lsu_bus_buffer.scala 439:13] + node _T_3520 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 440:64] + node _T_3521 = mux(_T_3520, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 440:46] + node _T_3522 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 440:64] + node _T_3523 = mux(_T_3522, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 440:46] + node _T_3524 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 440:64] + node _T_3525 = mux(_T_3524, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 440:46] + node _T_3526 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 440:64] + node _T_3527 = mux(_T_3526, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 440:46] + node _T_3528 = cat(_T_3527, _T_3525) @[Cat.scala 29:58] + node _T_3529 = cat(_T_3528, _T_3523) @[Cat.scala 29:58] + node _T_3530 = cat(_T_3529, _T_3521) @[Cat.scala 29:58] + buf_write_in <= _T_3530 @[lsu_bus_buffer.scala 440:16] + node _T_3531 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3531 : @[Conditional.scala 40:58] + node _T_3532 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 445:56] + node _T_3533 = mux(_T_3532, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 445:31] + buf_nxtstate[0] <= _T_3533 @[lsu_bus_buffer.scala 445:25] + node _T_3534 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 446:45] + node _T_3535 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:77] + node _T_3536 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 446:97] + node _T_3537 = and(_T_3535, _T_3536) @[lsu_bus_buffer.scala 446:95] + node _T_3538 = eq(UInt<1>("h00"), WrPtr0_r) @[lsu_bus_buffer.scala 446:117] + node _T_3539 = and(_T_3537, _T_3538) @[lsu_bus_buffer.scala 446:112] + node _T_3540 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:144] + node _T_3541 = eq(UInt<1>("h00"), WrPtr1_r) @[lsu_bus_buffer.scala 446:166] + node _T_3542 = and(_T_3540, _T_3541) @[lsu_bus_buffer.scala 446:161] + node _T_3543 = or(_T_3539, _T_3542) @[lsu_bus_buffer.scala 446:132] + node _T_3544 = and(_T_3534, _T_3543) @[lsu_bus_buffer.scala 446:63] + node _T_3545 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 446:206] + node _T_3546 = and(ibuf_drain_vld, _T_3545) @[lsu_bus_buffer.scala 446:201] + node _T_3547 = or(_T_3544, _T_3546) @[lsu_bus_buffer.scala 446:183] + buf_state_en[0] <= _T_3547 @[lsu_bus_buffer.scala 446:25] buf_wr_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 447:22] buf_data_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 448:24] - node _T_3541 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 449:52] - node _T_3542 = and(ibuf_drain_vld, _T_3541) @[lsu_bus_buffer.scala 449:47] - node _T_3543 = bits(_T_3542, 0, 0) @[lsu_bus_buffer.scala 449:73] - node _T_3544 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 449:90] - node _T_3545 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 449:114] - node _T_3546 = mux(_T_3543, _T_3544, _T_3545) @[lsu_bus_buffer.scala 449:30] - buf_data_in[0] <= _T_3546 @[lsu_bus_buffer.scala 449:24] + node _T_3548 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 449:52] + node _T_3549 = and(ibuf_drain_vld, _T_3548) @[lsu_bus_buffer.scala 449:47] + node _T_3550 = bits(_T_3549, 0, 0) @[lsu_bus_buffer.scala 449:73] + node _T_3551 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 449:90] + node _T_3552 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 449:114] + node _T_3553 = mux(_T_3550, _T_3551, _T_3552) @[lsu_bus_buffer.scala 449:30] + buf_data_in[0] <= _T_3553 @[lsu_bus_buffer.scala 449:24] buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 450:34] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3547 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3547 : @[Conditional.scala 39:67] - node _T_3548 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] - node _T_3549 = mux(_T_3548, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 453:31] - buf_nxtstate[0] <= _T_3549 @[lsu_bus_buffer.scala 453:25] - node _T_3550 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 454:46] - buf_state_en[0] <= _T_3550 @[lsu_bus_buffer.scala 454:25] + node _T_3554 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3554 : @[Conditional.scala 39:67] + node _T_3555 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_3556 = mux(_T_3555, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[0] <= _T_3556 @[lsu_bus_buffer.scala 453:25] + node _T_3557 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 454:46] + buf_state_en[0] <= _T_3557 @[lsu_bus_buffer.scala 454:25] buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 455:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3551 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3551 : @[Conditional.scala 39:67] - node _T_3552 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 458:60] - node _T_3553 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 458:89] - node _T_3554 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 458:124] - node _T_3555 = and(_T_3553, _T_3554) @[lsu_bus_buffer.scala 458:104] - node _T_3556 = mux(_T_3555, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 458:75] - node _T_3557 = mux(_T_3552, UInt<3>("h00"), _T_3556) @[lsu_bus_buffer.scala 458:31] - buf_nxtstate[0] <= _T_3557 @[lsu_bus_buffer.scala 458:25] - node _T_3558 = eq(obuf_tag0, UInt<3>("h00")) @[lsu_bus_buffer.scala 459:48] - node _T_3559 = eq(obuf_tag1, UInt<3>("h00")) @[lsu_bus_buffer.scala 459:104] - node _T_3560 = and(obuf_merge, _T_3559) @[lsu_bus_buffer.scala 459:91] - node _T_3561 = or(_T_3558, _T_3560) @[lsu_bus_buffer.scala 459:77] - node _T_3562 = and(_T_3561, obuf_valid) @[lsu_bus_buffer.scala 459:135] - node _T_3563 = and(_T_3562, obuf_wr_enQ) @[lsu_bus_buffer.scala 459:148] - buf_cmd_state_bus_en[0] <= _T_3563 @[lsu_bus_buffer.scala 459:33] + node _T_3558 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3558 : @[Conditional.scala 39:67] + node _T_3559 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 458:60] + node _T_3560 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 458:89] + node _T_3561 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 458:124] + node _T_3562 = and(_T_3560, _T_3561) @[lsu_bus_buffer.scala 458:104] + node _T_3563 = mux(_T_3562, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 458:75] + node _T_3564 = mux(_T_3559, UInt<3>("h00"), _T_3563) @[lsu_bus_buffer.scala 458:31] + buf_nxtstate[0] <= _T_3564 @[lsu_bus_buffer.scala 458:25] + node _T_3565 = eq(obuf_tag0, UInt<3>("h00")) @[lsu_bus_buffer.scala 459:48] + node _T_3566 = eq(obuf_tag1, UInt<3>("h00")) @[lsu_bus_buffer.scala 459:104] + node _T_3567 = and(obuf_merge, _T_3566) @[lsu_bus_buffer.scala 459:91] + node _T_3568 = or(_T_3565, _T_3567) @[lsu_bus_buffer.scala 459:77] + node _T_3569 = and(_T_3568, obuf_valid) @[lsu_bus_buffer.scala 459:135] + node _T_3570 = and(_T_3569, obuf_wr_enQ) @[lsu_bus_buffer.scala 459:148] + buf_cmd_state_bus_en[0] <= _T_3570 @[lsu_bus_buffer.scala 459:33] buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[lsu_bus_buffer.scala 460:29] - node _T_3564 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:49] - node _T_3565 = or(_T_3564, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 461:70] - buf_state_en[0] <= _T_3565 @[lsu_bus_buffer.scala 461:25] + node _T_3571 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:49] + node _T_3572 = or(_T_3571, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 461:70] + buf_state_en[0] <= _T_3572 @[lsu_bus_buffer.scala 461:25] buf_ldfwd_in[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 462:25] - node _T_3566 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 463:56] - node _T_3567 = eq(_T_3566, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:46] - node _T_3568 = and(buf_state_en[0], _T_3567) @[lsu_bus_buffer.scala 463:44] - node _T_3569 = and(_T_3568, obuf_nosend) @[lsu_bus_buffer.scala 463:60] - node _T_3570 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:76] - node _T_3571 = and(_T_3569, _T_3570) @[lsu_bus_buffer.scala 463:74] - buf_ldfwd_en[0] <= _T_3571 @[lsu_bus_buffer.scala 463:25] - node _T_3572 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 464:46] - buf_ldfwdtag_in[0] <= _T_3572 @[lsu_bus_buffer.scala 464:28] - node _T_3573 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:47] - node _T_3574 = and(_T_3573, obuf_nosend) @[lsu_bus_buffer.scala 465:67] - node _T_3575 = and(_T_3574, bus_rsp_read) @[lsu_bus_buffer.scala 465:81] - buf_data_en[0] <= _T_3575 @[lsu_bus_buffer.scala 465:24] - node _T_3576 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 466:48] - node _T_3577 = and(_T_3576, obuf_nosend) @[lsu_bus_buffer.scala 466:68] - node _T_3578 = and(_T_3577, bus_rsp_read_error) @[lsu_bus_buffer.scala 466:82] - buf_error_en[0] <= _T_3578 @[lsu_bus_buffer.scala 466:25] - node _T_3579 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:61] - node _T_3580 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 467:85] - node _T_3581 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 467:103] - node _T_3582 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:126] - node _T_3583 = mux(_T_3580, _T_3581, _T_3582) @[lsu_bus_buffer.scala 467:73] - node _T_3584 = mux(buf_error_en[0], _T_3579, _T_3583) @[lsu_bus_buffer.scala 467:30] - buf_data_in[0] <= _T_3584 @[lsu_bus_buffer.scala 467:24] + node _T_3573 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 463:56] + node _T_3574 = eq(_T_3573, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:46] + node _T_3575 = and(buf_state_en[0], _T_3574) @[lsu_bus_buffer.scala 463:44] + node _T_3576 = and(_T_3575, obuf_nosend) @[lsu_bus_buffer.scala 463:60] + node _T_3577 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:76] + node _T_3578 = and(_T_3576, _T_3577) @[lsu_bus_buffer.scala 463:74] + buf_ldfwd_en[0] <= _T_3578 @[lsu_bus_buffer.scala 463:25] + node _T_3579 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 464:46] + buf_ldfwdtag_in[0] <= _T_3579 @[lsu_bus_buffer.scala 464:28] + node _T_3580 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:47] + node _T_3581 = and(_T_3580, obuf_nosend) @[lsu_bus_buffer.scala 465:67] + node _T_3582 = and(_T_3581, bus_rsp_read) @[lsu_bus_buffer.scala 465:81] + buf_data_en[0] <= _T_3582 @[lsu_bus_buffer.scala 465:24] + node _T_3583 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 466:48] + node _T_3584 = and(_T_3583, obuf_nosend) @[lsu_bus_buffer.scala 466:68] + node _T_3585 = and(_T_3584, bus_rsp_read_error) @[lsu_bus_buffer.scala 466:82] + buf_error_en[0] <= _T_3585 @[lsu_bus_buffer.scala 466:25] + node _T_3586 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:61] + node _T_3587 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 467:85] + node _T_3588 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 467:103] + node _T_3589 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:126] + node _T_3590 = mux(_T_3587, _T_3588, _T_3589) @[lsu_bus_buffer.scala 467:73] + node _T_3591 = mux(buf_error_en[0], _T_3586, _T_3590) @[lsu_bus_buffer.scala 467:30] + buf_data_in[0] <= _T_3591 @[lsu_bus_buffer.scala 467:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3585 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3585 : @[Conditional.scala 39:67] - node _T_3586 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 470:67] - node _T_3587 = and(_T_3586, bus_rsp_write_error) @[lsu_bus_buffer.scala 470:71] - node _T_3588 = or(io.dec_tlu_force_halt, _T_3587) @[lsu_bus_buffer.scala 470:55] - node _T_3589 = bits(_T_3588, 0, 0) @[lsu_bus_buffer.scala 470:101] - node _T_3590 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] - node _T_3591 = and(buf_dual[0], _T_3590) @[lsu_bus_buffer.scala 471:28] - node _T_3592 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 471:57] - node _T_3593 = eq(_T_3592, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] - node _T_3594 = and(_T_3591, _T_3593) @[lsu_bus_buffer.scala 471:45] - node _T_3595 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] - node _T_3596 = and(_T_3594, _T_3595) @[lsu_bus_buffer.scala 471:61] - node _T_3597 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 472:27] - node _T_3598 = or(_T_3597, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] - node _T_3599 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] - node _T_3600 = and(buf_dual[0], _T_3599) @[lsu_bus_buffer.scala 472:68] - node _T_3601 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 472:97] - node _T_3602 = eq(_T_3601, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] - node _T_3603 = and(_T_3600, _T_3602) @[lsu_bus_buffer.scala 472:85] - node _T_3604 = eq(buf_dualtag[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] - node _T_3605 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] - node _T_3606 = eq(buf_dualtag[0], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] - node _T_3607 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 60:129] - node _T_3608 = eq(buf_dualtag[0], UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] - node _T_3609 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 60:129] - node _T_3610 = eq(buf_dualtag[0], UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] - node _T_3611 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 60:129] - node _T_3612 = mux(_T_3604, _T_3605, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3613 = mux(_T_3606, _T_3607, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3614 = mux(_T_3608, _T_3609, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3615 = mux(_T_3610, _T_3611, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3616 = or(_T_3612, _T_3613) @[Mux.scala 27:72] - node _T_3617 = or(_T_3616, _T_3614) @[Mux.scala 27:72] - node _T_3618 = or(_T_3617, _T_3615) @[Mux.scala 27:72] - wire _T_3619 : UInt<1> @[Mux.scala 27:72] - _T_3619 <= _T_3618 @[Mux.scala 27:72] - node _T_3620 = and(_T_3603, _T_3619) @[lsu_bus_buffer.scala 472:101] - node _T_3621 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] - node _T_3622 = and(_T_3620, _T_3621) @[lsu_bus_buffer.scala 472:138] - node _T_3623 = and(_T_3622, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] - node _T_3624 = or(_T_3598, _T_3623) @[lsu_bus_buffer.scala 472:53] - node _T_3625 = mux(_T_3624, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] - node _T_3626 = mux(_T_3596, UInt<3>("h04"), _T_3625) @[lsu_bus_buffer.scala 471:14] - node _T_3627 = mux(_T_3589, UInt<3>("h00"), _T_3626) @[lsu_bus_buffer.scala 470:31] - buf_nxtstate[0] <= _T_3627 @[lsu_bus_buffer.scala 470:25] - node _T_3628 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 473:73] - node _T_3629 = and(bus_rsp_write, _T_3628) @[lsu_bus_buffer.scala 473:52] - node _T_3630 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 474:46] - node _T_3631 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 475:23] - node _T_3632 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 475:47] - node _T_3633 = and(_T_3631, _T_3632) @[lsu_bus_buffer.scala 475:27] - node _T_3634 = or(_T_3630, _T_3633) @[lsu_bus_buffer.scala 474:77] - node _T_3635 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 476:26] - node _T_3636 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 476:54] - node _T_3637 = not(_T_3636) @[lsu_bus_buffer.scala 476:44] - node _T_3638 = and(_T_3635, _T_3637) @[lsu_bus_buffer.scala 476:42] - node _T_3639 = and(_T_3638, buf_samedw[0]) @[lsu_bus_buffer.scala 476:58] - node _T_3640 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 476:94] - node _T_3641 = and(_T_3639, _T_3640) @[lsu_bus_buffer.scala 476:74] - node _T_3642 = or(_T_3634, _T_3641) @[lsu_bus_buffer.scala 475:71] - node _T_3643 = and(bus_rsp_read, _T_3642) @[lsu_bus_buffer.scala 474:25] - node _T_3644 = or(_T_3629, _T_3643) @[lsu_bus_buffer.scala 473:105] - buf_resp_state_bus_en[0] <= _T_3644 @[lsu_bus_buffer.scala 473:34] + node _T_3592 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3592 : @[Conditional.scala 39:67] + node _T_3593 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 470:67] + node _T_3594 = and(_T_3593, bus_rsp_write_error) @[lsu_bus_buffer.scala 470:71] + node _T_3595 = or(io.dec_tlu_force_halt, _T_3594) @[lsu_bus_buffer.scala 470:55] + node _T_3596 = bits(_T_3595, 0, 0) @[lsu_bus_buffer.scala 470:101] + node _T_3597 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] + node _T_3598 = and(buf_dual[0], _T_3597) @[lsu_bus_buffer.scala 471:28] + node _T_3599 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 471:57] + node _T_3600 = eq(_T_3599, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] + node _T_3601 = and(_T_3598, _T_3600) @[lsu_bus_buffer.scala 471:45] + node _T_3602 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] + node _T_3603 = and(_T_3601, _T_3602) @[lsu_bus_buffer.scala 471:61] + node _T_3604 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 472:27] + node _T_3605 = or(_T_3604, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] + node _T_3606 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] + node _T_3607 = and(buf_dual[0], _T_3606) @[lsu_bus_buffer.scala 472:68] + node _T_3608 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 472:97] + node _T_3609 = eq(_T_3608, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] + node _T_3610 = and(_T_3607, _T_3609) @[lsu_bus_buffer.scala 472:85] + node _T_3611 = eq(buf_dualtag[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_3612 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_3613 = eq(buf_dualtag[0], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_3614 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_3615 = eq(buf_dualtag[0], UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_3616 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_3617 = eq(buf_dualtag[0], UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_3618 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_3619 = mux(_T_3611, _T_3612, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3620 = mux(_T_3613, _T_3614, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3621 = mux(_T_3615, _T_3616, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3622 = mux(_T_3617, _T_3618, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3623 = or(_T_3619, _T_3620) @[Mux.scala 27:72] + node _T_3624 = or(_T_3623, _T_3621) @[Mux.scala 27:72] + node _T_3625 = or(_T_3624, _T_3622) @[Mux.scala 27:72] + wire _T_3626 : UInt<1> @[Mux.scala 27:72] + _T_3626 <= _T_3625 @[Mux.scala 27:72] + node _T_3627 = and(_T_3610, _T_3626) @[lsu_bus_buffer.scala 472:101] + node _T_3628 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] + node _T_3629 = and(_T_3627, _T_3628) @[lsu_bus_buffer.scala 472:138] + node _T_3630 = and(_T_3629, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] + node _T_3631 = or(_T_3605, _T_3630) @[lsu_bus_buffer.scala 472:53] + node _T_3632 = mux(_T_3631, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] + node _T_3633 = mux(_T_3603, UInt<3>("h04"), _T_3632) @[lsu_bus_buffer.scala 471:14] + node _T_3634 = mux(_T_3596, UInt<3>("h00"), _T_3633) @[lsu_bus_buffer.scala 470:31] + buf_nxtstate[0] <= _T_3634 @[lsu_bus_buffer.scala 470:25] + node _T_3635 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 473:73] + node _T_3636 = and(bus_rsp_write, _T_3635) @[lsu_bus_buffer.scala 473:52] + node _T_3637 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 474:46] + node _T_3638 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 475:23] + node _T_3639 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 475:47] + node _T_3640 = and(_T_3638, _T_3639) @[lsu_bus_buffer.scala 475:27] + node _T_3641 = or(_T_3637, _T_3640) @[lsu_bus_buffer.scala 474:77] + node _T_3642 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 476:26] + node _T_3643 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 476:54] + node _T_3644 = not(_T_3643) @[lsu_bus_buffer.scala 476:44] + node _T_3645 = and(_T_3642, _T_3644) @[lsu_bus_buffer.scala 476:42] + node _T_3646 = and(_T_3645, buf_samedw[0]) @[lsu_bus_buffer.scala 476:58] + node _T_3647 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 476:94] + node _T_3648 = and(_T_3646, _T_3647) @[lsu_bus_buffer.scala 476:74] + node _T_3649 = or(_T_3641, _T_3648) @[lsu_bus_buffer.scala 475:71] + node _T_3650 = and(bus_rsp_read, _T_3649) @[lsu_bus_buffer.scala 474:25] + node _T_3651 = or(_T_3636, _T_3650) @[lsu_bus_buffer.scala 473:105] + buf_resp_state_bus_en[0] <= _T_3651 @[lsu_bus_buffer.scala 473:34] buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[lsu_bus_buffer.scala 477:29] - node _T_3645 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] - node _T_3646 = or(_T_3645, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] - buf_state_en[0] <= _T_3646 @[lsu_bus_buffer.scala 478:25] - node _T_3647 = and(buf_state_bus_en[0], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] - node _T_3648 = and(_T_3647, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] - buf_data_en[0] <= _T_3648 @[lsu_bus_buffer.scala 479:24] - node _T_3649 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] - node _T_3650 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 480:111] - node _T_3651 = and(bus_rsp_read_error, _T_3650) @[lsu_bus_buffer.scala 480:91] - node _T_3652 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 481:42] - node _T_3653 = and(bus_rsp_read_error, _T_3652) @[lsu_bus_buffer.scala 481:31] - node _T_3654 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 481:66] - node _T_3655 = and(_T_3653, _T_3654) @[lsu_bus_buffer.scala 481:46] - node _T_3656 = or(_T_3651, _T_3655) @[lsu_bus_buffer.scala 480:143] - node _T_3657 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 482:54] - node _T_3658 = and(bus_rsp_write_error, _T_3657) @[lsu_bus_buffer.scala 482:33] - node _T_3659 = or(_T_3656, _T_3658) @[lsu_bus_buffer.scala 481:88] - node _T_3660 = and(_T_3649, _T_3659) @[lsu_bus_buffer.scala 480:68] - buf_error_en[0] <= _T_3660 @[lsu_bus_buffer.scala 480:25] - node _T_3661 = eq(buf_error_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] - node _T_3662 = and(buf_state_en[0], _T_3661) @[lsu_bus_buffer.scala 483:48] - node _T_3663 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 483:84] - node _T_3664 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] - node _T_3665 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] - node _T_3666 = mux(_T_3663, _T_3664, _T_3665) @[lsu_bus_buffer.scala 483:72] - node _T_3667 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] - node _T_3668 = mux(_T_3662, _T_3666, _T_3667) @[lsu_bus_buffer.scala 483:30] - buf_data_in[0] <= _T_3668 @[lsu_bus_buffer.scala 483:24] + node _T_3652 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] + node _T_3653 = or(_T_3652, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] + buf_state_en[0] <= _T_3653 @[lsu_bus_buffer.scala 478:25] + node _T_3654 = and(buf_state_bus_en[0], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] + node _T_3655 = and(_T_3654, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] + buf_data_en[0] <= _T_3655 @[lsu_bus_buffer.scala 479:24] + node _T_3656 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] + node _T_3657 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 480:111] + node _T_3658 = and(bus_rsp_read_error, _T_3657) @[lsu_bus_buffer.scala 480:91] + node _T_3659 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 481:42] + node _T_3660 = and(bus_rsp_read_error, _T_3659) @[lsu_bus_buffer.scala 481:31] + node _T_3661 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 481:66] + node _T_3662 = and(_T_3660, _T_3661) @[lsu_bus_buffer.scala 481:46] + node _T_3663 = or(_T_3658, _T_3662) @[lsu_bus_buffer.scala 480:143] + node _T_3664 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 482:54] + node _T_3665 = and(bus_rsp_write_error, _T_3664) @[lsu_bus_buffer.scala 482:33] + node _T_3666 = or(_T_3663, _T_3665) @[lsu_bus_buffer.scala 481:88] + node _T_3667 = and(_T_3656, _T_3666) @[lsu_bus_buffer.scala 480:68] + buf_error_en[0] <= _T_3667 @[lsu_bus_buffer.scala 480:25] + node _T_3668 = eq(buf_error_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] + node _T_3669 = and(buf_state_en[0], _T_3668) @[lsu_bus_buffer.scala 483:48] + node _T_3670 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 483:84] + node _T_3671 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] + node _T_3672 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] + node _T_3673 = mux(_T_3670, _T_3671, _T_3672) @[lsu_bus_buffer.scala 483:72] + node _T_3674 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] + node _T_3675 = mux(_T_3669, _T_3673, _T_3674) @[lsu_bus_buffer.scala 483:30] + buf_data_in[0] <= _T_3675 @[lsu_bus_buffer.scala 483:24] buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 484:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3669 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3669 : @[Conditional.scala 39:67] - node _T_3670 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] - node _T_3671 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 487:86] - node _T_3672 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 487:101] - node _T_3673 = bits(_T_3672, 0, 0) @[lsu_bus_buffer.scala 487:101] - node _T_3674 = or(_T_3671, _T_3673) @[lsu_bus_buffer.scala 487:90] - node _T_3675 = or(_T_3674, any_done_wait_state) @[lsu_bus_buffer.scala 487:118] - node _T_3676 = mux(_T_3675, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:75] - node _T_3677 = mux(_T_3670, UInt<3>("h00"), _T_3676) @[lsu_bus_buffer.scala 487:31] - buf_nxtstate[0] <= _T_3677 @[lsu_bus_buffer.scala 487:25] - node _T_3678 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 488:66] - node _T_3679 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 489:21] - node _T_3680 = bits(_T_3679, 0, 0) @[lsu_bus_buffer.scala 489:21] - node _T_3681 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[lsu_bus_buffer.scala 489:58] - node _T_3682 = and(_T_3680, _T_3681) @[lsu_bus_buffer.scala 489:38] - node _T_3683 = or(_T_3678, _T_3682) @[lsu_bus_buffer.scala 488:95] - node _T_3684 = and(bus_rsp_read, _T_3683) @[lsu_bus_buffer.scala 488:45] - buf_state_bus_en[0] <= _T_3684 @[lsu_bus_buffer.scala 488:29] - node _T_3685 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 490:49] - node _T_3686 = or(_T_3685, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 490:70] - buf_state_en[0] <= _T_3686 @[lsu_bus_buffer.scala 490:25] + node _T_3676 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3676 : @[Conditional.scala 39:67] + node _T_3677 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_3678 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 487:86] + node _T_3679 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 487:101] + node _T_3680 = bits(_T_3679, 0, 0) @[lsu_bus_buffer.scala 487:101] + node _T_3681 = or(_T_3678, _T_3680) @[lsu_bus_buffer.scala 487:90] + node _T_3682 = or(_T_3681, any_done_wait_state) @[lsu_bus_buffer.scala 487:118] + node _T_3683 = mux(_T_3682, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:75] + node _T_3684 = mux(_T_3677, UInt<3>("h00"), _T_3683) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[0] <= _T_3684 @[lsu_bus_buffer.scala 487:25] + node _T_3685 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 488:66] + node _T_3686 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 489:21] + node _T_3687 = bits(_T_3686, 0, 0) @[lsu_bus_buffer.scala 489:21] + node _T_3688 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[lsu_bus_buffer.scala 489:58] + node _T_3689 = and(_T_3687, _T_3688) @[lsu_bus_buffer.scala 489:38] + node _T_3690 = or(_T_3685, _T_3689) @[lsu_bus_buffer.scala 488:95] + node _T_3691 = and(bus_rsp_read, _T_3690) @[lsu_bus_buffer.scala 488:45] + buf_state_bus_en[0] <= _T_3691 @[lsu_bus_buffer.scala 488:29] + node _T_3692 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 490:49] + node _T_3693 = or(_T_3692, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 490:70] + buf_state_en[0] <= _T_3693 @[lsu_bus_buffer.scala 490:25] buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 491:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3687 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3687 : @[Conditional.scala 39:67] - node _T_3688 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 494:60] - node _T_3689 = mux(_T_3688, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 494:31] - buf_nxtstate[0] <= _T_3689 @[lsu_bus_buffer.scala 494:25] - node _T_3690 = eq(RspPtr, UInt<2>("h00")) @[lsu_bus_buffer.scala 495:37] - node _T_3691 = eq(buf_dualtag[0], RspPtr) @[lsu_bus_buffer.scala 495:98] - node _T_3692 = and(buf_dual[0], _T_3691) @[lsu_bus_buffer.scala 495:80] - node _T_3693 = or(_T_3690, _T_3692) @[lsu_bus_buffer.scala 495:65] - node _T_3694 = or(_T_3693, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 495:112] - buf_state_en[0] <= _T_3694 @[lsu_bus_buffer.scala 495:25] + node _T_3694 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3694 : @[Conditional.scala 39:67] + node _T_3695 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 494:60] + node _T_3696 = mux(_T_3695, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 494:31] + buf_nxtstate[0] <= _T_3696 @[lsu_bus_buffer.scala 494:25] + node _T_3697 = eq(RspPtr, UInt<2>("h00")) @[lsu_bus_buffer.scala 495:37] + node _T_3698 = eq(buf_dualtag[0], RspPtr) @[lsu_bus_buffer.scala 495:98] + node _T_3699 = and(buf_dual[0], _T_3698) @[lsu_bus_buffer.scala 495:80] + node _T_3700 = or(_T_3697, _T_3699) @[lsu_bus_buffer.scala 495:65] + node _T_3701 = or(_T_3700, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 495:112] + buf_state_en[0] <= _T_3701 @[lsu_bus_buffer.scala 495:25] buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 496:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3695 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3695 : @[Conditional.scala 39:67] + node _T_3702 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3702 : @[Conditional.scala 39:67] buf_nxtstate[0] <= UInt<3>("h00") @[lsu_bus_buffer.scala 499:25] buf_rst[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 500:20] buf_state_en[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 501:25] @@ -4671,278 +4688,278 @@ circuit lsu_bus_buffer : buf_ldfwd_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 503:25] buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 504:34] skip @[Conditional.scala 39:67] - node _T_3696 = bits(buf_state_en[0], 0, 0) @[lsu_bus_buffer.scala 507:108] - reg _T_3697 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3696 : @[Reg.scala 28:19] - _T_3697 <= buf_nxtstate[0] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_state[0] <= _T_3697 @[lsu_bus_buffer.scala 507:18] - reg _T_3698 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 508:60] - _T_3698 <= buf_age_in_0 @[lsu_bus_buffer.scala 508:60] - buf_ageQ[0] <= _T_3698 @[lsu_bus_buffer.scala 508:17] - reg _T_3699 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 509:63] - _T_3699 <= buf_rspage_in[0] @[lsu_bus_buffer.scala 509:63] - buf_rspageQ[0] <= _T_3699 @[lsu_bus_buffer.scala 509:20] - node _T_3700 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 510:109] - reg _T_3701 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3700 : @[Reg.scala 28:19] - _T_3701 <= buf_dualtag_in[0] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3701 @[lsu_bus_buffer.scala 510:20] - node _T_3702 = bits(buf_dual_in, 0, 0) @[lsu_bus_buffer.scala 511:74] - node _T_3703 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 511:107] - reg _T_3704 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_3703 = bits(buf_state_en[0], 0, 0) @[lsu_bus_buffer.scala 507:108] + reg _T_3704 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3703 : @[Reg.scala 28:19] - _T_3704 <= _T_3702 @[Reg.scala 28:23] + _T_3704 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3704 @[lsu_bus_buffer.scala 511:17] - node _T_3705 = bits(buf_samedw_in, 0, 0) @[lsu_bus_buffer.scala 512:78] - node _T_3706 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 512:111] - reg _T_3707 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3706 : @[Reg.scala 28:19] - _T_3707 <= _T_3705 @[Reg.scala 28:23] + buf_state[0] <= _T_3704 @[lsu_bus_buffer.scala 507:18] + reg _T_3705 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 508:60] + _T_3705 <= buf_age_in_0 @[lsu_bus_buffer.scala 508:60] + buf_ageQ[0] <= _T_3705 @[lsu_bus_buffer.scala 508:17] + reg _T_3706 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 509:63] + _T_3706 <= buf_rspage_in[0] @[lsu_bus_buffer.scala 509:63] + buf_rspageQ[0] <= _T_3706 @[lsu_bus_buffer.scala 509:20] + node _T_3707 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 510:109] + reg _T_3708 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3707 : @[Reg.scala 28:19] + _T_3708 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3707 @[lsu_bus_buffer.scala 512:19] - node _T_3708 = bits(buf_nomerge_in, 0, 0) @[lsu_bus_buffer.scala 513:80] - node _T_3709 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 513:113] - reg _T_3710 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3709 : @[Reg.scala 28:19] - _T_3710 <= _T_3708 @[Reg.scala 28:23] + buf_dualtag[0] <= _T_3708 @[lsu_bus_buffer.scala 510:20] + node _T_3709 = bits(buf_dual_in, 0, 0) @[lsu_bus_buffer.scala 511:74] + node _T_3710 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 511:107] + reg _T_3711 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3710 : @[Reg.scala 28:19] + _T_3711 <= _T_3709 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3710 @[lsu_bus_buffer.scala 513:20] - node _T_3711 = bits(buf_dualhi_in, 0, 0) @[lsu_bus_buffer.scala 514:78] - node _T_3712 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 514:111] - reg _T_3713 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3712 : @[Reg.scala 28:19] - _T_3713 <= _T_3711 @[Reg.scala 28:23] + buf_dual[0] <= _T_3711 @[lsu_bus_buffer.scala 511:17] + node _T_3712 = bits(buf_samedw_in, 0, 0) @[lsu_bus_buffer.scala 512:78] + node _T_3713 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 512:111] + reg _T_3714 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3713 : @[Reg.scala 28:19] + _T_3714 <= _T_3712 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3713 @[lsu_bus_buffer.scala 514:19] - node _T_3714 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3714 : @[Conditional.scala 40:58] - node _T_3715 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 445:56] - node _T_3716 = mux(_T_3715, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 445:31] - buf_nxtstate[1] <= _T_3716 @[lsu_bus_buffer.scala 445:25] - node _T_3717 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 446:45] - node _T_3718 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:77] - node _T_3719 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 446:97] - node _T_3720 = and(_T_3718, _T_3719) @[lsu_bus_buffer.scala 446:95] - node _T_3721 = eq(UInt<1>("h01"), WrPtr0_r) @[lsu_bus_buffer.scala 446:117] - node _T_3722 = and(_T_3720, _T_3721) @[lsu_bus_buffer.scala 446:112] - node _T_3723 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:144] - node _T_3724 = eq(UInt<1>("h01"), WrPtr1_r) @[lsu_bus_buffer.scala 446:166] - node _T_3725 = and(_T_3723, _T_3724) @[lsu_bus_buffer.scala 446:161] - node _T_3726 = or(_T_3722, _T_3725) @[lsu_bus_buffer.scala 446:132] - node _T_3727 = and(_T_3717, _T_3726) @[lsu_bus_buffer.scala 446:63] - node _T_3728 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 446:206] - node _T_3729 = and(ibuf_drain_vld, _T_3728) @[lsu_bus_buffer.scala 446:201] - node _T_3730 = or(_T_3727, _T_3729) @[lsu_bus_buffer.scala 446:183] - buf_state_en[1] <= _T_3730 @[lsu_bus_buffer.scala 446:25] + buf_samedw[0] <= _T_3714 @[lsu_bus_buffer.scala 512:19] + node _T_3715 = bits(buf_nomerge_in, 0, 0) @[lsu_bus_buffer.scala 513:80] + node _T_3716 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 513:113] + reg _T_3717 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3716 : @[Reg.scala 28:19] + _T_3717 <= _T_3715 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[0] <= _T_3717 @[lsu_bus_buffer.scala 513:20] + node _T_3718 = bits(buf_dualhi_in, 0, 0) @[lsu_bus_buffer.scala 514:78] + node _T_3719 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 514:111] + reg _T_3720 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3719 : @[Reg.scala 28:19] + _T_3720 <= _T_3718 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[0] <= _T_3720 @[lsu_bus_buffer.scala 514:19] + node _T_3721 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3721 : @[Conditional.scala 40:58] + node _T_3722 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 445:56] + node _T_3723 = mux(_T_3722, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 445:31] + buf_nxtstate[1] <= _T_3723 @[lsu_bus_buffer.scala 445:25] + node _T_3724 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 446:45] + node _T_3725 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:77] + node _T_3726 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 446:97] + node _T_3727 = and(_T_3725, _T_3726) @[lsu_bus_buffer.scala 446:95] + node _T_3728 = eq(UInt<1>("h01"), WrPtr0_r) @[lsu_bus_buffer.scala 446:117] + node _T_3729 = and(_T_3727, _T_3728) @[lsu_bus_buffer.scala 446:112] + node _T_3730 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:144] + node _T_3731 = eq(UInt<1>("h01"), WrPtr1_r) @[lsu_bus_buffer.scala 446:166] + node _T_3732 = and(_T_3730, _T_3731) @[lsu_bus_buffer.scala 446:161] + node _T_3733 = or(_T_3729, _T_3732) @[lsu_bus_buffer.scala 446:132] + node _T_3734 = and(_T_3724, _T_3733) @[lsu_bus_buffer.scala 446:63] + node _T_3735 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 446:206] + node _T_3736 = and(ibuf_drain_vld, _T_3735) @[lsu_bus_buffer.scala 446:201] + node _T_3737 = or(_T_3734, _T_3736) @[lsu_bus_buffer.scala 446:183] + buf_state_en[1] <= _T_3737 @[lsu_bus_buffer.scala 446:25] buf_wr_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 447:22] buf_data_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 448:24] - node _T_3731 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 449:52] - node _T_3732 = and(ibuf_drain_vld, _T_3731) @[lsu_bus_buffer.scala 449:47] - node _T_3733 = bits(_T_3732, 0, 0) @[lsu_bus_buffer.scala 449:73] - node _T_3734 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 449:90] - node _T_3735 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 449:114] - node _T_3736 = mux(_T_3733, _T_3734, _T_3735) @[lsu_bus_buffer.scala 449:30] - buf_data_in[1] <= _T_3736 @[lsu_bus_buffer.scala 449:24] + node _T_3738 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 449:52] + node _T_3739 = and(ibuf_drain_vld, _T_3738) @[lsu_bus_buffer.scala 449:47] + node _T_3740 = bits(_T_3739, 0, 0) @[lsu_bus_buffer.scala 449:73] + node _T_3741 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 449:90] + node _T_3742 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 449:114] + node _T_3743 = mux(_T_3740, _T_3741, _T_3742) @[lsu_bus_buffer.scala 449:30] + buf_data_in[1] <= _T_3743 @[lsu_bus_buffer.scala 449:24] buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 450:34] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3737 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3737 : @[Conditional.scala 39:67] - node _T_3738 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] - node _T_3739 = mux(_T_3738, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 453:31] - buf_nxtstate[1] <= _T_3739 @[lsu_bus_buffer.scala 453:25] - node _T_3740 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 454:46] - buf_state_en[1] <= _T_3740 @[lsu_bus_buffer.scala 454:25] + node _T_3744 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3744 : @[Conditional.scala 39:67] + node _T_3745 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_3746 = mux(_T_3745, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[1] <= _T_3746 @[lsu_bus_buffer.scala 453:25] + node _T_3747 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 454:46] + buf_state_en[1] <= _T_3747 @[lsu_bus_buffer.scala 454:25] buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 455:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3741 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3741 : @[Conditional.scala 39:67] - node _T_3742 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 458:60] - node _T_3743 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 458:89] - node _T_3744 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 458:124] - node _T_3745 = and(_T_3743, _T_3744) @[lsu_bus_buffer.scala 458:104] - node _T_3746 = mux(_T_3745, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 458:75] - node _T_3747 = mux(_T_3742, UInt<3>("h00"), _T_3746) @[lsu_bus_buffer.scala 458:31] - buf_nxtstate[1] <= _T_3747 @[lsu_bus_buffer.scala 458:25] - node _T_3748 = eq(obuf_tag0, UInt<3>("h01")) @[lsu_bus_buffer.scala 459:48] - node _T_3749 = eq(obuf_tag1, UInt<3>("h01")) @[lsu_bus_buffer.scala 459:104] - node _T_3750 = and(obuf_merge, _T_3749) @[lsu_bus_buffer.scala 459:91] - node _T_3751 = or(_T_3748, _T_3750) @[lsu_bus_buffer.scala 459:77] - node _T_3752 = and(_T_3751, obuf_valid) @[lsu_bus_buffer.scala 459:135] - node _T_3753 = and(_T_3752, obuf_wr_enQ) @[lsu_bus_buffer.scala 459:148] - buf_cmd_state_bus_en[1] <= _T_3753 @[lsu_bus_buffer.scala 459:33] + node _T_3748 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3748 : @[Conditional.scala 39:67] + node _T_3749 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 458:60] + node _T_3750 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 458:89] + node _T_3751 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 458:124] + node _T_3752 = and(_T_3750, _T_3751) @[lsu_bus_buffer.scala 458:104] + node _T_3753 = mux(_T_3752, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 458:75] + node _T_3754 = mux(_T_3749, UInt<3>("h00"), _T_3753) @[lsu_bus_buffer.scala 458:31] + buf_nxtstate[1] <= _T_3754 @[lsu_bus_buffer.scala 458:25] + node _T_3755 = eq(obuf_tag0, UInt<3>("h01")) @[lsu_bus_buffer.scala 459:48] + node _T_3756 = eq(obuf_tag1, UInt<3>("h01")) @[lsu_bus_buffer.scala 459:104] + node _T_3757 = and(obuf_merge, _T_3756) @[lsu_bus_buffer.scala 459:91] + node _T_3758 = or(_T_3755, _T_3757) @[lsu_bus_buffer.scala 459:77] + node _T_3759 = and(_T_3758, obuf_valid) @[lsu_bus_buffer.scala 459:135] + node _T_3760 = and(_T_3759, obuf_wr_enQ) @[lsu_bus_buffer.scala 459:148] + buf_cmd_state_bus_en[1] <= _T_3760 @[lsu_bus_buffer.scala 459:33] buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[lsu_bus_buffer.scala 460:29] - node _T_3754 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:49] - node _T_3755 = or(_T_3754, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 461:70] - buf_state_en[1] <= _T_3755 @[lsu_bus_buffer.scala 461:25] + node _T_3761 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:49] + node _T_3762 = or(_T_3761, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 461:70] + buf_state_en[1] <= _T_3762 @[lsu_bus_buffer.scala 461:25] buf_ldfwd_in[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 462:25] - node _T_3756 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 463:56] - node _T_3757 = eq(_T_3756, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:46] - node _T_3758 = and(buf_state_en[1], _T_3757) @[lsu_bus_buffer.scala 463:44] - node _T_3759 = and(_T_3758, obuf_nosend) @[lsu_bus_buffer.scala 463:60] - node _T_3760 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:76] - node _T_3761 = and(_T_3759, _T_3760) @[lsu_bus_buffer.scala 463:74] - buf_ldfwd_en[1] <= _T_3761 @[lsu_bus_buffer.scala 463:25] - node _T_3762 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 464:46] - buf_ldfwdtag_in[1] <= _T_3762 @[lsu_bus_buffer.scala 464:28] - node _T_3763 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:47] - node _T_3764 = and(_T_3763, obuf_nosend) @[lsu_bus_buffer.scala 465:67] - node _T_3765 = and(_T_3764, bus_rsp_read) @[lsu_bus_buffer.scala 465:81] - buf_data_en[1] <= _T_3765 @[lsu_bus_buffer.scala 465:24] - node _T_3766 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 466:48] - node _T_3767 = and(_T_3766, obuf_nosend) @[lsu_bus_buffer.scala 466:68] - node _T_3768 = and(_T_3767, bus_rsp_read_error) @[lsu_bus_buffer.scala 466:82] - buf_error_en[1] <= _T_3768 @[lsu_bus_buffer.scala 466:25] - node _T_3769 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:61] - node _T_3770 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 467:85] - node _T_3771 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 467:103] - node _T_3772 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:126] - node _T_3773 = mux(_T_3770, _T_3771, _T_3772) @[lsu_bus_buffer.scala 467:73] - node _T_3774 = mux(buf_error_en[1], _T_3769, _T_3773) @[lsu_bus_buffer.scala 467:30] - buf_data_in[1] <= _T_3774 @[lsu_bus_buffer.scala 467:24] + node _T_3763 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 463:56] + node _T_3764 = eq(_T_3763, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:46] + node _T_3765 = and(buf_state_en[1], _T_3764) @[lsu_bus_buffer.scala 463:44] + node _T_3766 = and(_T_3765, obuf_nosend) @[lsu_bus_buffer.scala 463:60] + node _T_3767 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:76] + node _T_3768 = and(_T_3766, _T_3767) @[lsu_bus_buffer.scala 463:74] + buf_ldfwd_en[1] <= _T_3768 @[lsu_bus_buffer.scala 463:25] + node _T_3769 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 464:46] + buf_ldfwdtag_in[1] <= _T_3769 @[lsu_bus_buffer.scala 464:28] + node _T_3770 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:47] + node _T_3771 = and(_T_3770, obuf_nosend) @[lsu_bus_buffer.scala 465:67] + node _T_3772 = and(_T_3771, bus_rsp_read) @[lsu_bus_buffer.scala 465:81] + buf_data_en[1] <= _T_3772 @[lsu_bus_buffer.scala 465:24] + node _T_3773 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 466:48] + node _T_3774 = and(_T_3773, obuf_nosend) @[lsu_bus_buffer.scala 466:68] + node _T_3775 = and(_T_3774, bus_rsp_read_error) @[lsu_bus_buffer.scala 466:82] + buf_error_en[1] <= _T_3775 @[lsu_bus_buffer.scala 466:25] + node _T_3776 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:61] + node _T_3777 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 467:85] + node _T_3778 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 467:103] + node _T_3779 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:126] + node _T_3780 = mux(_T_3777, _T_3778, _T_3779) @[lsu_bus_buffer.scala 467:73] + node _T_3781 = mux(buf_error_en[1], _T_3776, _T_3780) @[lsu_bus_buffer.scala 467:30] + buf_data_in[1] <= _T_3781 @[lsu_bus_buffer.scala 467:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3775 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3775 : @[Conditional.scala 39:67] - node _T_3776 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 470:67] - node _T_3777 = and(_T_3776, bus_rsp_write_error) @[lsu_bus_buffer.scala 470:71] - node _T_3778 = or(io.dec_tlu_force_halt, _T_3777) @[lsu_bus_buffer.scala 470:55] - node _T_3779 = bits(_T_3778, 0, 0) @[lsu_bus_buffer.scala 470:101] - node _T_3780 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] - node _T_3781 = and(buf_dual[1], _T_3780) @[lsu_bus_buffer.scala 471:28] - node _T_3782 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 471:57] - node _T_3783 = eq(_T_3782, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] - node _T_3784 = and(_T_3781, _T_3783) @[lsu_bus_buffer.scala 471:45] - node _T_3785 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] - node _T_3786 = and(_T_3784, _T_3785) @[lsu_bus_buffer.scala 471:61] - node _T_3787 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 472:27] - node _T_3788 = or(_T_3787, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] - node _T_3789 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] - node _T_3790 = and(buf_dual[1], _T_3789) @[lsu_bus_buffer.scala 472:68] - node _T_3791 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 472:97] - node _T_3792 = eq(_T_3791, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] - node _T_3793 = and(_T_3790, _T_3792) @[lsu_bus_buffer.scala 472:85] - node _T_3794 = eq(buf_dualtag[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] - node _T_3795 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] - node _T_3796 = eq(buf_dualtag[1], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] - node _T_3797 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 60:129] - node _T_3798 = eq(buf_dualtag[1], UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] - node _T_3799 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 60:129] - node _T_3800 = eq(buf_dualtag[1], UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] - node _T_3801 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 60:129] - node _T_3802 = mux(_T_3794, _T_3795, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3803 = mux(_T_3796, _T_3797, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3804 = mux(_T_3798, _T_3799, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3805 = mux(_T_3800, _T_3801, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3806 = or(_T_3802, _T_3803) @[Mux.scala 27:72] - node _T_3807 = or(_T_3806, _T_3804) @[Mux.scala 27:72] - node _T_3808 = or(_T_3807, _T_3805) @[Mux.scala 27:72] - wire _T_3809 : UInt<1> @[Mux.scala 27:72] - _T_3809 <= _T_3808 @[Mux.scala 27:72] - node _T_3810 = and(_T_3793, _T_3809) @[lsu_bus_buffer.scala 472:101] - node _T_3811 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] - node _T_3812 = and(_T_3810, _T_3811) @[lsu_bus_buffer.scala 472:138] - node _T_3813 = and(_T_3812, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] - node _T_3814 = or(_T_3788, _T_3813) @[lsu_bus_buffer.scala 472:53] - node _T_3815 = mux(_T_3814, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] - node _T_3816 = mux(_T_3786, UInt<3>("h04"), _T_3815) @[lsu_bus_buffer.scala 471:14] - node _T_3817 = mux(_T_3779, UInt<3>("h00"), _T_3816) @[lsu_bus_buffer.scala 470:31] - buf_nxtstate[1] <= _T_3817 @[lsu_bus_buffer.scala 470:25] - node _T_3818 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 473:73] - node _T_3819 = and(bus_rsp_write, _T_3818) @[lsu_bus_buffer.scala 473:52] - node _T_3820 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 474:46] - node _T_3821 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 475:23] - node _T_3822 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 475:47] - node _T_3823 = and(_T_3821, _T_3822) @[lsu_bus_buffer.scala 475:27] - node _T_3824 = or(_T_3820, _T_3823) @[lsu_bus_buffer.scala 474:77] - node _T_3825 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 476:26] - node _T_3826 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 476:54] - node _T_3827 = not(_T_3826) @[lsu_bus_buffer.scala 476:44] - node _T_3828 = and(_T_3825, _T_3827) @[lsu_bus_buffer.scala 476:42] - node _T_3829 = and(_T_3828, buf_samedw[1]) @[lsu_bus_buffer.scala 476:58] - node _T_3830 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 476:94] - node _T_3831 = and(_T_3829, _T_3830) @[lsu_bus_buffer.scala 476:74] - node _T_3832 = or(_T_3824, _T_3831) @[lsu_bus_buffer.scala 475:71] - node _T_3833 = and(bus_rsp_read, _T_3832) @[lsu_bus_buffer.scala 474:25] - node _T_3834 = or(_T_3819, _T_3833) @[lsu_bus_buffer.scala 473:105] - buf_resp_state_bus_en[1] <= _T_3834 @[lsu_bus_buffer.scala 473:34] + node _T_3782 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3782 : @[Conditional.scala 39:67] + node _T_3783 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 470:67] + node _T_3784 = and(_T_3783, bus_rsp_write_error) @[lsu_bus_buffer.scala 470:71] + node _T_3785 = or(io.dec_tlu_force_halt, _T_3784) @[lsu_bus_buffer.scala 470:55] + node _T_3786 = bits(_T_3785, 0, 0) @[lsu_bus_buffer.scala 470:101] + node _T_3787 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] + node _T_3788 = and(buf_dual[1], _T_3787) @[lsu_bus_buffer.scala 471:28] + node _T_3789 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 471:57] + node _T_3790 = eq(_T_3789, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] + node _T_3791 = and(_T_3788, _T_3790) @[lsu_bus_buffer.scala 471:45] + node _T_3792 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] + node _T_3793 = and(_T_3791, _T_3792) @[lsu_bus_buffer.scala 471:61] + node _T_3794 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 472:27] + node _T_3795 = or(_T_3794, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] + node _T_3796 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] + node _T_3797 = and(buf_dual[1], _T_3796) @[lsu_bus_buffer.scala 472:68] + node _T_3798 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 472:97] + node _T_3799 = eq(_T_3798, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] + node _T_3800 = and(_T_3797, _T_3799) @[lsu_bus_buffer.scala 472:85] + node _T_3801 = eq(buf_dualtag[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_3802 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_3803 = eq(buf_dualtag[1], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_3804 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_3805 = eq(buf_dualtag[1], UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_3806 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_3807 = eq(buf_dualtag[1], UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_3808 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_3809 = mux(_T_3801, _T_3802, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3810 = mux(_T_3803, _T_3804, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3811 = mux(_T_3805, _T_3806, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3812 = mux(_T_3807, _T_3808, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3813 = or(_T_3809, _T_3810) @[Mux.scala 27:72] + node _T_3814 = or(_T_3813, _T_3811) @[Mux.scala 27:72] + node _T_3815 = or(_T_3814, _T_3812) @[Mux.scala 27:72] + wire _T_3816 : UInt<1> @[Mux.scala 27:72] + _T_3816 <= _T_3815 @[Mux.scala 27:72] + node _T_3817 = and(_T_3800, _T_3816) @[lsu_bus_buffer.scala 472:101] + node _T_3818 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] + node _T_3819 = and(_T_3817, _T_3818) @[lsu_bus_buffer.scala 472:138] + node _T_3820 = and(_T_3819, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] + node _T_3821 = or(_T_3795, _T_3820) @[lsu_bus_buffer.scala 472:53] + node _T_3822 = mux(_T_3821, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] + node _T_3823 = mux(_T_3793, UInt<3>("h04"), _T_3822) @[lsu_bus_buffer.scala 471:14] + node _T_3824 = mux(_T_3786, UInt<3>("h00"), _T_3823) @[lsu_bus_buffer.scala 470:31] + buf_nxtstate[1] <= _T_3824 @[lsu_bus_buffer.scala 470:25] + node _T_3825 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 473:73] + node _T_3826 = and(bus_rsp_write, _T_3825) @[lsu_bus_buffer.scala 473:52] + node _T_3827 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 474:46] + node _T_3828 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 475:23] + node _T_3829 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 475:47] + node _T_3830 = and(_T_3828, _T_3829) @[lsu_bus_buffer.scala 475:27] + node _T_3831 = or(_T_3827, _T_3830) @[lsu_bus_buffer.scala 474:77] + node _T_3832 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 476:26] + node _T_3833 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 476:54] + node _T_3834 = not(_T_3833) @[lsu_bus_buffer.scala 476:44] + node _T_3835 = and(_T_3832, _T_3834) @[lsu_bus_buffer.scala 476:42] + node _T_3836 = and(_T_3835, buf_samedw[1]) @[lsu_bus_buffer.scala 476:58] + node _T_3837 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 476:94] + node _T_3838 = and(_T_3836, _T_3837) @[lsu_bus_buffer.scala 476:74] + node _T_3839 = or(_T_3831, _T_3838) @[lsu_bus_buffer.scala 475:71] + node _T_3840 = and(bus_rsp_read, _T_3839) @[lsu_bus_buffer.scala 474:25] + node _T_3841 = or(_T_3826, _T_3840) @[lsu_bus_buffer.scala 473:105] + buf_resp_state_bus_en[1] <= _T_3841 @[lsu_bus_buffer.scala 473:34] buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[lsu_bus_buffer.scala 477:29] - node _T_3835 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] - node _T_3836 = or(_T_3835, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] - buf_state_en[1] <= _T_3836 @[lsu_bus_buffer.scala 478:25] - node _T_3837 = and(buf_state_bus_en[1], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] - node _T_3838 = and(_T_3837, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] - buf_data_en[1] <= _T_3838 @[lsu_bus_buffer.scala 479:24] - node _T_3839 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] - node _T_3840 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 480:111] - node _T_3841 = and(bus_rsp_read_error, _T_3840) @[lsu_bus_buffer.scala 480:91] - node _T_3842 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 481:42] - node _T_3843 = and(bus_rsp_read_error, _T_3842) @[lsu_bus_buffer.scala 481:31] - node _T_3844 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 481:66] - node _T_3845 = and(_T_3843, _T_3844) @[lsu_bus_buffer.scala 481:46] - node _T_3846 = or(_T_3841, _T_3845) @[lsu_bus_buffer.scala 480:143] - node _T_3847 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 482:54] - node _T_3848 = and(bus_rsp_write_error, _T_3847) @[lsu_bus_buffer.scala 482:33] - node _T_3849 = or(_T_3846, _T_3848) @[lsu_bus_buffer.scala 481:88] - node _T_3850 = and(_T_3839, _T_3849) @[lsu_bus_buffer.scala 480:68] - buf_error_en[1] <= _T_3850 @[lsu_bus_buffer.scala 480:25] - node _T_3851 = eq(buf_error_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] - node _T_3852 = and(buf_state_en[1], _T_3851) @[lsu_bus_buffer.scala 483:48] - node _T_3853 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 483:84] - node _T_3854 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] - node _T_3855 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] - node _T_3856 = mux(_T_3853, _T_3854, _T_3855) @[lsu_bus_buffer.scala 483:72] - node _T_3857 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] - node _T_3858 = mux(_T_3852, _T_3856, _T_3857) @[lsu_bus_buffer.scala 483:30] - buf_data_in[1] <= _T_3858 @[lsu_bus_buffer.scala 483:24] + node _T_3842 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] + node _T_3843 = or(_T_3842, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] + buf_state_en[1] <= _T_3843 @[lsu_bus_buffer.scala 478:25] + node _T_3844 = and(buf_state_bus_en[1], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] + node _T_3845 = and(_T_3844, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] + buf_data_en[1] <= _T_3845 @[lsu_bus_buffer.scala 479:24] + node _T_3846 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] + node _T_3847 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 480:111] + node _T_3848 = and(bus_rsp_read_error, _T_3847) @[lsu_bus_buffer.scala 480:91] + node _T_3849 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 481:42] + node _T_3850 = and(bus_rsp_read_error, _T_3849) @[lsu_bus_buffer.scala 481:31] + node _T_3851 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 481:66] + node _T_3852 = and(_T_3850, _T_3851) @[lsu_bus_buffer.scala 481:46] + node _T_3853 = or(_T_3848, _T_3852) @[lsu_bus_buffer.scala 480:143] + node _T_3854 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 482:54] + node _T_3855 = and(bus_rsp_write_error, _T_3854) @[lsu_bus_buffer.scala 482:33] + node _T_3856 = or(_T_3853, _T_3855) @[lsu_bus_buffer.scala 481:88] + node _T_3857 = and(_T_3846, _T_3856) @[lsu_bus_buffer.scala 480:68] + buf_error_en[1] <= _T_3857 @[lsu_bus_buffer.scala 480:25] + node _T_3858 = eq(buf_error_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] + node _T_3859 = and(buf_state_en[1], _T_3858) @[lsu_bus_buffer.scala 483:48] + node _T_3860 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 483:84] + node _T_3861 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] + node _T_3862 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] + node _T_3863 = mux(_T_3860, _T_3861, _T_3862) @[lsu_bus_buffer.scala 483:72] + node _T_3864 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] + node _T_3865 = mux(_T_3859, _T_3863, _T_3864) @[lsu_bus_buffer.scala 483:30] + buf_data_in[1] <= _T_3865 @[lsu_bus_buffer.scala 483:24] buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 484:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3859 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3859 : @[Conditional.scala 39:67] - node _T_3860 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] - node _T_3861 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 487:86] - node _T_3862 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 487:101] - node _T_3863 = bits(_T_3862, 0, 0) @[lsu_bus_buffer.scala 487:101] - node _T_3864 = or(_T_3861, _T_3863) @[lsu_bus_buffer.scala 487:90] - node _T_3865 = or(_T_3864, any_done_wait_state) @[lsu_bus_buffer.scala 487:118] - node _T_3866 = mux(_T_3865, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:75] - node _T_3867 = mux(_T_3860, UInt<3>("h00"), _T_3866) @[lsu_bus_buffer.scala 487:31] - buf_nxtstate[1] <= _T_3867 @[lsu_bus_buffer.scala 487:25] - node _T_3868 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 488:66] - node _T_3869 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 489:21] - node _T_3870 = bits(_T_3869, 0, 0) @[lsu_bus_buffer.scala 489:21] - node _T_3871 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[lsu_bus_buffer.scala 489:58] - node _T_3872 = and(_T_3870, _T_3871) @[lsu_bus_buffer.scala 489:38] - node _T_3873 = or(_T_3868, _T_3872) @[lsu_bus_buffer.scala 488:95] - node _T_3874 = and(bus_rsp_read, _T_3873) @[lsu_bus_buffer.scala 488:45] - buf_state_bus_en[1] <= _T_3874 @[lsu_bus_buffer.scala 488:29] - node _T_3875 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 490:49] - node _T_3876 = or(_T_3875, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 490:70] - buf_state_en[1] <= _T_3876 @[lsu_bus_buffer.scala 490:25] + node _T_3866 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3866 : @[Conditional.scala 39:67] + node _T_3867 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_3868 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 487:86] + node _T_3869 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 487:101] + node _T_3870 = bits(_T_3869, 0, 0) @[lsu_bus_buffer.scala 487:101] + node _T_3871 = or(_T_3868, _T_3870) @[lsu_bus_buffer.scala 487:90] + node _T_3872 = or(_T_3871, any_done_wait_state) @[lsu_bus_buffer.scala 487:118] + node _T_3873 = mux(_T_3872, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:75] + node _T_3874 = mux(_T_3867, UInt<3>("h00"), _T_3873) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[1] <= _T_3874 @[lsu_bus_buffer.scala 487:25] + node _T_3875 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 488:66] + node _T_3876 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 489:21] + node _T_3877 = bits(_T_3876, 0, 0) @[lsu_bus_buffer.scala 489:21] + node _T_3878 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[lsu_bus_buffer.scala 489:58] + node _T_3879 = and(_T_3877, _T_3878) @[lsu_bus_buffer.scala 489:38] + node _T_3880 = or(_T_3875, _T_3879) @[lsu_bus_buffer.scala 488:95] + node _T_3881 = and(bus_rsp_read, _T_3880) @[lsu_bus_buffer.scala 488:45] + buf_state_bus_en[1] <= _T_3881 @[lsu_bus_buffer.scala 488:29] + node _T_3882 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 490:49] + node _T_3883 = or(_T_3882, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 490:70] + buf_state_en[1] <= _T_3883 @[lsu_bus_buffer.scala 490:25] buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 491:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3877 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3877 : @[Conditional.scala 39:67] - node _T_3878 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 494:60] - node _T_3879 = mux(_T_3878, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 494:31] - buf_nxtstate[1] <= _T_3879 @[lsu_bus_buffer.scala 494:25] - node _T_3880 = eq(RspPtr, UInt<2>("h01")) @[lsu_bus_buffer.scala 495:37] - node _T_3881 = eq(buf_dualtag[1], RspPtr) @[lsu_bus_buffer.scala 495:98] - node _T_3882 = and(buf_dual[1], _T_3881) @[lsu_bus_buffer.scala 495:80] - node _T_3883 = or(_T_3880, _T_3882) @[lsu_bus_buffer.scala 495:65] - node _T_3884 = or(_T_3883, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 495:112] - buf_state_en[1] <= _T_3884 @[lsu_bus_buffer.scala 495:25] + node _T_3884 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3884 : @[Conditional.scala 39:67] + node _T_3885 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 494:60] + node _T_3886 = mux(_T_3885, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 494:31] + buf_nxtstate[1] <= _T_3886 @[lsu_bus_buffer.scala 494:25] + node _T_3887 = eq(RspPtr, UInt<2>("h01")) @[lsu_bus_buffer.scala 495:37] + node _T_3888 = eq(buf_dualtag[1], RspPtr) @[lsu_bus_buffer.scala 495:98] + node _T_3889 = and(buf_dual[1], _T_3888) @[lsu_bus_buffer.scala 495:80] + node _T_3890 = or(_T_3887, _T_3889) @[lsu_bus_buffer.scala 495:65] + node _T_3891 = or(_T_3890, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 495:112] + buf_state_en[1] <= _T_3891 @[lsu_bus_buffer.scala 495:25] buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 496:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3885 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3885 : @[Conditional.scala 39:67] + node _T_3892 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3892 : @[Conditional.scala 39:67] buf_nxtstate[1] <= UInt<3>("h00") @[lsu_bus_buffer.scala 499:25] buf_rst[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 500:20] buf_state_en[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 501:25] @@ -4950,278 +4967,278 @@ circuit lsu_bus_buffer : buf_ldfwd_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 503:25] buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 504:34] skip @[Conditional.scala 39:67] - node _T_3886 = bits(buf_state_en[1], 0, 0) @[lsu_bus_buffer.scala 507:108] - reg _T_3887 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3886 : @[Reg.scala 28:19] - _T_3887 <= buf_nxtstate[1] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_state[1] <= _T_3887 @[lsu_bus_buffer.scala 507:18] - reg _T_3888 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 508:60] - _T_3888 <= buf_age_in_1 @[lsu_bus_buffer.scala 508:60] - buf_ageQ[1] <= _T_3888 @[lsu_bus_buffer.scala 508:17] - reg _T_3889 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 509:63] - _T_3889 <= buf_rspage_in[1] @[lsu_bus_buffer.scala 509:63] - buf_rspageQ[1] <= _T_3889 @[lsu_bus_buffer.scala 509:20] - node _T_3890 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 510:109] - reg _T_3891 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3890 : @[Reg.scala 28:19] - _T_3891 <= buf_dualtag_in[1] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3891 @[lsu_bus_buffer.scala 510:20] - node _T_3892 = bits(buf_dual_in, 1, 1) @[lsu_bus_buffer.scala 511:74] - node _T_3893 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 511:107] - reg _T_3894 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_3893 = bits(buf_state_en[1], 0, 0) @[lsu_bus_buffer.scala 507:108] + reg _T_3894 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3893 : @[Reg.scala 28:19] - _T_3894 <= _T_3892 @[Reg.scala 28:23] + _T_3894 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3894 @[lsu_bus_buffer.scala 511:17] - node _T_3895 = bits(buf_samedw_in, 1, 1) @[lsu_bus_buffer.scala 512:78] - node _T_3896 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 512:111] - reg _T_3897 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3896 : @[Reg.scala 28:19] - _T_3897 <= _T_3895 @[Reg.scala 28:23] + buf_state[1] <= _T_3894 @[lsu_bus_buffer.scala 507:18] + reg _T_3895 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 508:60] + _T_3895 <= buf_age_in_1 @[lsu_bus_buffer.scala 508:60] + buf_ageQ[1] <= _T_3895 @[lsu_bus_buffer.scala 508:17] + reg _T_3896 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 509:63] + _T_3896 <= buf_rspage_in[1] @[lsu_bus_buffer.scala 509:63] + buf_rspageQ[1] <= _T_3896 @[lsu_bus_buffer.scala 509:20] + node _T_3897 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 510:109] + reg _T_3898 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3897 : @[Reg.scala 28:19] + _T_3898 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3897 @[lsu_bus_buffer.scala 512:19] - node _T_3898 = bits(buf_nomerge_in, 1, 1) @[lsu_bus_buffer.scala 513:80] - node _T_3899 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 513:113] - reg _T_3900 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3899 : @[Reg.scala 28:19] - _T_3900 <= _T_3898 @[Reg.scala 28:23] + buf_dualtag[1] <= _T_3898 @[lsu_bus_buffer.scala 510:20] + node _T_3899 = bits(buf_dual_in, 1, 1) @[lsu_bus_buffer.scala 511:74] + node _T_3900 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 511:107] + reg _T_3901 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3900 : @[Reg.scala 28:19] + _T_3901 <= _T_3899 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3900 @[lsu_bus_buffer.scala 513:20] - node _T_3901 = bits(buf_dualhi_in, 1, 1) @[lsu_bus_buffer.scala 514:78] - node _T_3902 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 514:111] - reg _T_3903 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3902 : @[Reg.scala 28:19] - _T_3903 <= _T_3901 @[Reg.scala 28:23] + buf_dual[1] <= _T_3901 @[lsu_bus_buffer.scala 511:17] + node _T_3902 = bits(buf_samedw_in, 1, 1) @[lsu_bus_buffer.scala 512:78] + node _T_3903 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 512:111] + reg _T_3904 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3903 : @[Reg.scala 28:19] + _T_3904 <= _T_3902 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3903 @[lsu_bus_buffer.scala 514:19] - node _T_3904 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3904 : @[Conditional.scala 40:58] - node _T_3905 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 445:56] - node _T_3906 = mux(_T_3905, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 445:31] - buf_nxtstate[2] <= _T_3906 @[lsu_bus_buffer.scala 445:25] - node _T_3907 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 446:45] - node _T_3908 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:77] - node _T_3909 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 446:97] - node _T_3910 = and(_T_3908, _T_3909) @[lsu_bus_buffer.scala 446:95] - node _T_3911 = eq(UInt<2>("h02"), WrPtr0_r) @[lsu_bus_buffer.scala 446:117] - node _T_3912 = and(_T_3910, _T_3911) @[lsu_bus_buffer.scala 446:112] - node _T_3913 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:144] - node _T_3914 = eq(UInt<2>("h02"), WrPtr1_r) @[lsu_bus_buffer.scala 446:166] - node _T_3915 = and(_T_3913, _T_3914) @[lsu_bus_buffer.scala 446:161] - node _T_3916 = or(_T_3912, _T_3915) @[lsu_bus_buffer.scala 446:132] - node _T_3917 = and(_T_3907, _T_3916) @[lsu_bus_buffer.scala 446:63] - node _T_3918 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 446:206] - node _T_3919 = and(ibuf_drain_vld, _T_3918) @[lsu_bus_buffer.scala 446:201] - node _T_3920 = or(_T_3917, _T_3919) @[lsu_bus_buffer.scala 446:183] - buf_state_en[2] <= _T_3920 @[lsu_bus_buffer.scala 446:25] + buf_samedw[1] <= _T_3904 @[lsu_bus_buffer.scala 512:19] + node _T_3905 = bits(buf_nomerge_in, 1, 1) @[lsu_bus_buffer.scala 513:80] + node _T_3906 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 513:113] + reg _T_3907 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3906 : @[Reg.scala 28:19] + _T_3907 <= _T_3905 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[1] <= _T_3907 @[lsu_bus_buffer.scala 513:20] + node _T_3908 = bits(buf_dualhi_in, 1, 1) @[lsu_bus_buffer.scala 514:78] + node _T_3909 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 514:111] + reg _T_3910 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3909 : @[Reg.scala 28:19] + _T_3910 <= _T_3908 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[1] <= _T_3910 @[lsu_bus_buffer.scala 514:19] + node _T_3911 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3911 : @[Conditional.scala 40:58] + node _T_3912 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 445:56] + node _T_3913 = mux(_T_3912, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 445:31] + buf_nxtstate[2] <= _T_3913 @[lsu_bus_buffer.scala 445:25] + node _T_3914 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 446:45] + node _T_3915 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:77] + node _T_3916 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 446:97] + node _T_3917 = and(_T_3915, _T_3916) @[lsu_bus_buffer.scala 446:95] + node _T_3918 = eq(UInt<2>("h02"), WrPtr0_r) @[lsu_bus_buffer.scala 446:117] + node _T_3919 = and(_T_3917, _T_3918) @[lsu_bus_buffer.scala 446:112] + node _T_3920 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:144] + node _T_3921 = eq(UInt<2>("h02"), WrPtr1_r) @[lsu_bus_buffer.scala 446:166] + node _T_3922 = and(_T_3920, _T_3921) @[lsu_bus_buffer.scala 446:161] + node _T_3923 = or(_T_3919, _T_3922) @[lsu_bus_buffer.scala 446:132] + node _T_3924 = and(_T_3914, _T_3923) @[lsu_bus_buffer.scala 446:63] + node _T_3925 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 446:206] + node _T_3926 = and(ibuf_drain_vld, _T_3925) @[lsu_bus_buffer.scala 446:201] + node _T_3927 = or(_T_3924, _T_3926) @[lsu_bus_buffer.scala 446:183] + buf_state_en[2] <= _T_3927 @[lsu_bus_buffer.scala 446:25] buf_wr_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 447:22] buf_data_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 448:24] - node _T_3921 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 449:52] - node _T_3922 = and(ibuf_drain_vld, _T_3921) @[lsu_bus_buffer.scala 449:47] - node _T_3923 = bits(_T_3922, 0, 0) @[lsu_bus_buffer.scala 449:73] - node _T_3924 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 449:90] - node _T_3925 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 449:114] - node _T_3926 = mux(_T_3923, _T_3924, _T_3925) @[lsu_bus_buffer.scala 449:30] - buf_data_in[2] <= _T_3926 @[lsu_bus_buffer.scala 449:24] + node _T_3928 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 449:52] + node _T_3929 = and(ibuf_drain_vld, _T_3928) @[lsu_bus_buffer.scala 449:47] + node _T_3930 = bits(_T_3929, 0, 0) @[lsu_bus_buffer.scala 449:73] + node _T_3931 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 449:90] + node _T_3932 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 449:114] + node _T_3933 = mux(_T_3930, _T_3931, _T_3932) @[lsu_bus_buffer.scala 449:30] + buf_data_in[2] <= _T_3933 @[lsu_bus_buffer.scala 449:24] buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 450:34] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3927 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3927 : @[Conditional.scala 39:67] - node _T_3928 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] - node _T_3929 = mux(_T_3928, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 453:31] - buf_nxtstate[2] <= _T_3929 @[lsu_bus_buffer.scala 453:25] - node _T_3930 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 454:46] - buf_state_en[2] <= _T_3930 @[lsu_bus_buffer.scala 454:25] + node _T_3934 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3934 : @[Conditional.scala 39:67] + node _T_3935 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_3936 = mux(_T_3935, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[2] <= _T_3936 @[lsu_bus_buffer.scala 453:25] + node _T_3937 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 454:46] + buf_state_en[2] <= _T_3937 @[lsu_bus_buffer.scala 454:25] buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 455:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3931 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3931 : @[Conditional.scala 39:67] - node _T_3932 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 458:60] - node _T_3933 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 458:89] - node _T_3934 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 458:124] - node _T_3935 = and(_T_3933, _T_3934) @[lsu_bus_buffer.scala 458:104] - node _T_3936 = mux(_T_3935, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 458:75] - node _T_3937 = mux(_T_3932, UInt<3>("h00"), _T_3936) @[lsu_bus_buffer.scala 458:31] - buf_nxtstate[2] <= _T_3937 @[lsu_bus_buffer.scala 458:25] - node _T_3938 = eq(obuf_tag0, UInt<3>("h02")) @[lsu_bus_buffer.scala 459:48] - node _T_3939 = eq(obuf_tag1, UInt<3>("h02")) @[lsu_bus_buffer.scala 459:104] - node _T_3940 = and(obuf_merge, _T_3939) @[lsu_bus_buffer.scala 459:91] - node _T_3941 = or(_T_3938, _T_3940) @[lsu_bus_buffer.scala 459:77] - node _T_3942 = and(_T_3941, obuf_valid) @[lsu_bus_buffer.scala 459:135] - node _T_3943 = and(_T_3942, obuf_wr_enQ) @[lsu_bus_buffer.scala 459:148] - buf_cmd_state_bus_en[2] <= _T_3943 @[lsu_bus_buffer.scala 459:33] + node _T_3938 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3938 : @[Conditional.scala 39:67] + node _T_3939 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 458:60] + node _T_3940 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 458:89] + node _T_3941 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 458:124] + node _T_3942 = and(_T_3940, _T_3941) @[lsu_bus_buffer.scala 458:104] + node _T_3943 = mux(_T_3942, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 458:75] + node _T_3944 = mux(_T_3939, UInt<3>("h00"), _T_3943) @[lsu_bus_buffer.scala 458:31] + buf_nxtstate[2] <= _T_3944 @[lsu_bus_buffer.scala 458:25] + node _T_3945 = eq(obuf_tag0, UInt<3>("h02")) @[lsu_bus_buffer.scala 459:48] + node _T_3946 = eq(obuf_tag1, UInt<3>("h02")) @[lsu_bus_buffer.scala 459:104] + node _T_3947 = and(obuf_merge, _T_3946) @[lsu_bus_buffer.scala 459:91] + node _T_3948 = or(_T_3945, _T_3947) @[lsu_bus_buffer.scala 459:77] + node _T_3949 = and(_T_3948, obuf_valid) @[lsu_bus_buffer.scala 459:135] + node _T_3950 = and(_T_3949, obuf_wr_enQ) @[lsu_bus_buffer.scala 459:148] + buf_cmd_state_bus_en[2] <= _T_3950 @[lsu_bus_buffer.scala 459:33] buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[lsu_bus_buffer.scala 460:29] - node _T_3944 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:49] - node _T_3945 = or(_T_3944, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 461:70] - buf_state_en[2] <= _T_3945 @[lsu_bus_buffer.scala 461:25] + node _T_3951 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:49] + node _T_3952 = or(_T_3951, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 461:70] + buf_state_en[2] <= _T_3952 @[lsu_bus_buffer.scala 461:25] buf_ldfwd_in[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 462:25] - node _T_3946 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 463:56] - node _T_3947 = eq(_T_3946, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:46] - node _T_3948 = and(buf_state_en[2], _T_3947) @[lsu_bus_buffer.scala 463:44] - node _T_3949 = and(_T_3948, obuf_nosend) @[lsu_bus_buffer.scala 463:60] - node _T_3950 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:76] - node _T_3951 = and(_T_3949, _T_3950) @[lsu_bus_buffer.scala 463:74] - buf_ldfwd_en[2] <= _T_3951 @[lsu_bus_buffer.scala 463:25] - node _T_3952 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 464:46] - buf_ldfwdtag_in[2] <= _T_3952 @[lsu_bus_buffer.scala 464:28] - node _T_3953 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:47] - node _T_3954 = and(_T_3953, obuf_nosend) @[lsu_bus_buffer.scala 465:67] - node _T_3955 = and(_T_3954, bus_rsp_read) @[lsu_bus_buffer.scala 465:81] - buf_data_en[2] <= _T_3955 @[lsu_bus_buffer.scala 465:24] - node _T_3956 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 466:48] - node _T_3957 = and(_T_3956, obuf_nosend) @[lsu_bus_buffer.scala 466:68] - node _T_3958 = and(_T_3957, bus_rsp_read_error) @[lsu_bus_buffer.scala 466:82] - buf_error_en[2] <= _T_3958 @[lsu_bus_buffer.scala 466:25] - node _T_3959 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:61] - node _T_3960 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 467:85] - node _T_3961 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 467:103] - node _T_3962 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:126] - node _T_3963 = mux(_T_3960, _T_3961, _T_3962) @[lsu_bus_buffer.scala 467:73] - node _T_3964 = mux(buf_error_en[2], _T_3959, _T_3963) @[lsu_bus_buffer.scala 467:30] - buf_data_in[2] <= _T_3964 @[lsu_bus_buffer.scala 467:24] + node _T_3953 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 463:56] + node _T_3954 = eq(_T_3953, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:46] + node _T_3955 = and(buf_state_en[2], _T_3954) @[lsu_bus_buffer.scala 463:44] + node _T_3956 = and(_T_3955, obuf_nosend) @[lsu_bus_buffer.scala 463:60] + node _T_3957 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:76] + node _T_3958 = and(_T_3956, _T_3957) @[lsu_bus_buffer.scala 463:74] + buf_ldfwd_en[2] <= _T_3958 @[lsu_bus_buffer.scala 463:25] + node _T_3959 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 464:46] + buf_ldfwdtag_in[2] <= _T_3959 @[lsu_bus_buffer.scala 464:28] + node _T_3960 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:47] + node _T_3961 = and(_T_3960, obuf_nosend) @[lsu_bus_buffer.scala 465:67] + node _T_3962 = and(_T_3961, bus_rsp_read) @[lsu_bus_buffer.scala 465:81] + buf_data_en[2] <= _T_3962 @[lsu_bus_buffer.scala 465:24] + node _T_3963 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 466:48] + node _T_3964 = and(_T_3963, obuf_nosend) @[lsu_bus_buffer.scala 466:68] + node _T_3965 = and(_T_3964, bus_rsp_read_error) @[lsu_bus_buffer.scala 466:82] + buf_error_en[2] <= _T_3965 @[lsu_bus_buffer.scala 466:25] + node _T_3966 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:61] + node _T_3967 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 467:85] + node _T_3968 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 467:103] + node _T_3969 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:126] + node _T_3970 = mux(_T_3967, _T_3968, _T_3969) @[lsu_bus_buffer.scala 467:73] + node _T_3971 = mux(buf_error_en[2], _T_3966, _T_3970) @[lsu_bus_buffer.scala 467:30] + buf_data_in[2] <= _T_3971 @[lsu_bus_buffer.scala 467:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3965 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3965 : @[Conditional.scala 39:67] - node _T_3966 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 470:67] - node _T_3967 = and(_T_3966, bus_rsp_write_error) @[lsu_bus_buffer.scala 470:71] - node _T_3968 = or(io.dec_tlu_force_halt, _T_3967) @[lsu_bus_buffer.scala 470:55] - node _T_3969 = bits(_T_3968, 0, 0) @[lsu_bus_buffer.scala 470:101] - node _T_3970 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] - node _T_3971 = and(buf_dual[2], _T_3970) @[lsu_bus_buffer.scala 471:28] - node _T_3972 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 471:57] - node _T_3973 = eq(_T_3972, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] - node _T_3974 = and(_T_3971, _T_3973) @[lsu_bus_buffer.scala 471:45] - node _T_3975 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] - node _T_3976 = and(_T_3974, _T_3975) @[lsu_bus_buffer.scala 471:61] - node _T_3977 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 472:27] - node _T_3978 = or(_T_3977, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] - node _T_3979 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] - node _T_3980 = and(buf_dual[2], _T_3979) @[lsu_bus_buffer.scala 472:68] - node _T_3981 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 472:97] - node _T_3982 = eq(_T_3981, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] - node _T_3983 = and(_T_3980, _T_3982) @[lsu_bus_buffer.scala 472:85] - node _T_3984 = eq(buf_dualtag[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] - node _T_3985 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] - node _T_3986 = eq(buf_dualtag[2], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] - node _T_3987 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 60:129] - node _T_3988 = eq(buf_dualtag[2], UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] - node _T_3989 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 60:129] - node _T_3990 = eq(buf_dualtag[2], UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] - node _T_3991 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 60:129] - node _T_3992 = mux(_T_3984, _T_3985, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3993 = mux(_T_3986, _T_3987, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3994 = mux(_T_3988, _T_3989, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3995 = mux(_T_3990, _T_3991, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3996 = or(_T_3992, _T_3993) @[Mux.scala 27:72] - node _T_3997 = or(_T_3996, _T_3994) @[Mux.scala 27:72] - node _T_3998 = or(_T_3997, _T_3995) @[Mux.scala 27:72] - wire _T_3999 : UInt<1> @[Mux.scala 27:72] - _T_3999 <= _T_3998 @[Mux.scala 27:72] - node _T_4000 = and(_T_3983, _T_3999) @[lsu_bus_buffer.scala 472:101] - node _T_4001 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] - node _T_4002 = and(_T_4000, _T_4001) @[lsu_bus_buffer.scala 472:138] - node _T_4003 = and(_T_4002, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] - node _T_4004 = or(_T_3978, _T_4003) @[lsu_bus_buffer.scala 472:53] - node _T_4005 = mux(_T_4004, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] - node _T_4006 = mux(_T_3976, UInt<3>("h04"), _T_4005) @[lsu_bus_buffer.scala 471:14] - node _T_4007 = mux(_T_3969, UInt<3>("h00"), _T_4006) @[lsu_bus_buffer.scala 470:31] - buf_nxtstate[2] <= _T_4007 @[lsu_bus_buffer.scala 470:25] - node _T_4008 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 473:73] - node _T_4009 = and(bus_rsp_write, _T_4008) @[lsu_bus_buffer.scala 473:52] - node _T_4010 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 474:46] - node _T_4011 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 475:23] - node _T_4012 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 475:47] - node _T_4013 = and(_T_4011, _T_4012) @[lsu_bus_buffer.scala 475:27] - node _T_4014 = or(_T_4010, _T_4013) @[lsu_bus_buffer.scala 474:77] - node _T_4015 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 476:26] - node _T_4016 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 476:54] - node _T_4017 = not(_T_4016) @[lsu_bus_buffer.scala 476:44] - node _T_4018 = and(_T_4015, _T_4017) @[lsu_bus_buffer.scala 476:42] - node _T_4019 = and(_T_4018, buf_samedw[2]) @[lsu_bus_buffer.scala 476:58] - node _T_4020 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 476:94] - node _T_4021 = and(_T_4019, _T_4020) @[lsu_bus_buffer.scala 476:74] - node _T_4022 = or(_T_4014, _T_4021) @[lsu_bus_buffer.scala 475:71] - node _T_4023 = and(bus_rsp_read, _T_4022) @[lsu_bus_buffer.scala 474:25] - node _T_4024 = or(_T_4009, _T_4023) @[lsu_bus_buffer.scala 473:105] - buf_resp_state_bus_en[2] <= _T_4024 @[lsu_bus_buffer.scala 473:34] + node _T_3972 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3972 : @[Conditional.scala 39:67] + node _T_3973 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 470:67] + node _T_3974 = and(_T_3973, bus_rsp_write_error) @[lsu_bus_buffer.scala 470:71] + node _T_3975 = or(io.dec_tlu_force_halt, _T_3974) @[lsu_bus_buffer.scala 470:55] + node _T_3976 = bits(_T_3975, 0, 0) @[lsu_bus_buffer.scala 470:101] + node _T_3977 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] + node _T_3978 = and(buf_dual[2], _T_3977) @[lsu_bus_buffer.scala 471:28] + node _T_3979 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 471:57] + node _T_3980 = eq(_T_3979, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] + node _T_3981 = and(_T_3978, _T_3980) @[lsu_bus_buffer.scala 471:45] + node _T_3982 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] + node _T_3983 = and(_T_3981, _T_3982) @[lsu_bus_buffer.scala 471:61] + node _T_3984 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 472:27] + node _T_3985 = or(_T_3984, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] + node _T_3986 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] + node _T_3987 = and(buf_dual[2], _T_3986) @[lsu_bus_buffer.scala 472:68] + node _T_3988 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 472:97] + node _T_3989 = eq(_T_3988, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] + node _T_3990 = and(_T_3987, _T_3989) @[lsu_bus_buffer.scala 472:85] + node _T_3991 = eq(buf_dualtag[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_3992 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_3993 = eq(buf_dualtag[2], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_3994 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_3995 = eq(buf_dualtag[2], UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_3996 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_3997 = eq(buf_dualtag[2], UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_3998 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_3999 = mux(_T_3991, _T_3992, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4000 = mux(_T_3993, _T_3994, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4001 = mux(_T_3995, _T_3996, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4002 = mux(_T_3997, _T_3998, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4003 = or(_T_3999, _T_4000) @[Mux.scala 27:72] + node _T_4004 = or(_T_4003, _T_4001) @[Mux.scala 27:72] + node _T_4005 = or(_T_4004, _T_4002) @[Mux.scala 27:72] + wire _T_4006 : UInt<1> @[Mux.scala 27:72] + _T_4006 <= _T_4005 @[Mux.scala 27:72] + node _T_4007 = and(_T_3990, _T_4006) @[lsu_bus_buffer.scala 472:101] + node _T_4008 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] + node _T_4009 = and(_T_4007, _T_4008) @[lsu_bus_buffer.scala 472:138] + node _T_4010 = and(_T_4009, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] + node _T_4011 = or(_T_3985, _T_4010) @[lsu_bus_buffer.scala 472:53] + node _T_4012 = mux(_T_4011, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] + node _T_4013 = mux(_T_3983, UInt<3>("h04"), _T_4012) @[lsu_bus_buffer.scala 471:14] + node _T_4014 = mux(_T_3976, UInt<3>("h00"), _T_4013) @[lsu_bus_buffer.scala 470:31] + buf_nxtstate[2] <= _T_4014 @[lsu_bus_buffer.scala 470:25] + node _T_4015 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 473:73] + node _T_4016 = and(bus_rsp_write, _T_4015) @[lsu_bus_buffer.scala 473:52] + node _T_4017 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 474:46] + node _T_4018 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 475:23] + node _T_4019 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 475:47] + node _T_4020 = and(_T_4018, _T_4019) @[lsu_bus_buffer.scala 475:27] + node _T_4021 = or(_T_4017, _T_4020) @[lsu_bus_buffer.scala 474:77] + node _T_4022 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 476:26] + node _T_4023 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 476:54] + node _T_4024 = not(_T_4023) @[lsu_bus_buffer.scala 476:44] + node _T_4025 = and(_T_4022, _T_4024) @[lsu_bus_buffer.scala 476:42] + node _T_4026 = and(_T_4025, buf_samedw[2]) @[lsu_bus_buffer.scala 476:58] + node _T_4027 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 476:94] + node _T_4028 = and(_T_4026, _T_4027) @[lsu_bus_buffer.scala 476:74] + node _T_4029 = or(_T_4021, _T_4028) @[lsu_bus_buffer.scala 475:71] + node _T_4030 = and(bus_rsp_read, _T_4029) @[lsu_bus_buffer.scala 474:25] + node _T_4031 = or(_T_4016, _T_4030) @[lsu_bus_buffer.scala 473:105] + buf_resp_state_bus_en[2] <= _T_4031 @[lsu_bus_buffer.scala 473:34] buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[lsu_bus_buffer.scala 477:29] - node _T_4025 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] - node _T_4026 = or(_T_4025, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] - buf_state_en[2] <= _T_4026 @[lsu_bus_buffer.scala 478:25] - node _T_4027 = and(buf_state_bus_en[2], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] - node _T_4028 = and(_T_4027, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] - buf_data_en[2] <= _T_4028 @[lsu_bus_buffer.scala 479:24] - node _T_4029 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] - node _T_4030 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 480:111] - node _T_4031 = and(bus_rsp_read_error, _T_4030) @[lsu_bus_buffer.scala 480:91] - node _T_4032 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 481:42] - node _T_4033 = and(bus_rsp_read_error, _T_4032) @[lsu_bus_buffer.scala 481:31] - node _T_4034 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 481:66] - node _T_4035 = and(_T_4033, _T_4034) @[lsu_bus_buffer.scala 481:46] - node _T_4036 = or(_T_4031, _T_4035) @[lsu_bus_buffer.scala 480:143] - node _T_4037 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 482:54] - node _T_4038 = and(bus_rsp_write_error, _T_4037) @[lsu_bus_buffer.scala 482:33] - node _T_4039 = or(_T_4036, _T_4038) @[lsu_bus_buffer.scala 481:88] - node _T_4040 = and(_T_4029, _T_4039) @[lsu_bus_buffer.scala 480:68] - buf_error_en[2] <= _T_4040 @[lsu_bus_buffer.scala 480:25] - node _T_4041 = eq(buf_error_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] - node _T_4042 = and(buf_state_en[2], _T_4041) @[lsu_bus_buffer.scala 483:48] - node _T_4043 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 483:84] - node _T_4044 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] - node _T_4045 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] - node _T_4046 = mux(_T_4043, _T_4044, _T_4045) @[lsu_bus_buffer.scala 483:72] - node _T_4047 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] - node _T_4048 = mux(_T_4042, _T_4046, _T_4047) @[lsu_bus_buffer.scala 483:30] - buf_data_in[2] <= _T_4048 @[lsu_bus_buffer.scala 483:24] + node _T_4032 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] + node _T_4033 = or(_T_4032, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] + buf_state_en[2] <= _T_4033 @[lsu_bus_buffer.scala 478:25] + node _T_4034 = and(buf_state_bus_en[2], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] + node _T_4035 = and(_T_4034, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] + buf_data_en[2] <= _T_4035 @[lsu_bus_buffer.scala 479:24] + node _T_4036 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] + node _T_4037 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 480:111] + node _T_4038 = and(bus_rsp_read_error, _T_4037) @[lsu_bus_buffer.scala 480:91] + node _T_4039 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 481:42] + node _T_4040 = and(bus_rsp_read_error, _T_4039) @[lsu_bus_buffer.scala 481:31] + node _T_4041 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 481:66] + node _T_4042 = and(_T_4040, _T_4041) @[lsu_bus_buffer.scala 481:46] + node _T_4043 = or(_T_4038, _T_4042) @[lsu_bus_buffer.scala 480:143] + node _T_4044 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 482:54] + node _T_4045 = and(bus_rsp_write_error, _T_4044) @[lsu_bus_buffer.scala 482:33] + node _T_4046 = or(_T_4043, _T_4045) @[lsu_bus_buffer.scala 481:88] + node _T_4047 = and(_T_4036, _T_4046) @[lsu_bus_buffer.scala 480:68] + buf_error_en[2] <= _T_4047 @[lsu_bus_buffer.scala 480:25] + node _T_4048 = eq(buf_error_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] + node _T_4049 = and(buf_state_en[2], _T_4048) @[lsu_bus_buffer.scala 483:48] + node _T_4050 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 483:84] + node _T_4051 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] + node _T_4052 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] + node _T_4053 = mux(_T_4050, _T_4051, _T_4052) @[lsu_bus_buffer.scala 483:72] + node _T_4054 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] + node _T_4055 = mux(_T_4049, _T_4053, _T_4054) @[lsu_bus_buffer.scala 483:30] + buf_data_in[2] <= _T_4055 @[lsu_bus_buffer.scala 483:24] buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 484:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4049 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] - when _T_4049 : @[Conditional.scala 39:67] - node _T_4050 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] - node _T_4051 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 487:86] - node _T_4052 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 487:101] - node _T_4053 = bits(_T_4052, 0, 0) @[lsu_bus_buffer.scala 487:101] - node _T_4054 = or(_T_4051, _T_4053) @[lsu_bus_buffer.scala 487:90] - node _T_4055 = or(_T_4054, any_done_wait_state) @[lsu_bus_buffer.scala 487:118] - node _T_4056 = mux(_T_4055, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:75] - node _T_4057 = mux(_T_4050, UInt<3>("h00"), _T_4056) @[lsu_bus_buffer.scala 487:31] - buf_nxtstate[2] <= _T_4057 @[lsu_bus_buffer.scala 487:25] - node _T_4058 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 488:66] - node _T_4059 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 489:21] - node _T_4060 = bits(_T_4059, 0, 0) @[lsu_bus_buffer.scala 489:21] - node _T_4061 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[lsu_bus_buffer.scala 489:58] - node _T_4062 = and(_T_4060, _T_4061) @[lsu_bus_buffer.scala 489:38] - node _T_4063 = or(_T_4058, _T_4062) @[lsu_bus_buffer.scala 488:95] - node _T_4064 = and(bus_rsp_read, _T_4063) @[lsu_bus_buffer.scala 488:45] - buf_state_bus_en[2] <= _T_4064 @[lsu_bus_buffer.scala 488:29] - node _T_4065 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 490:49] - node _T_4066 = or(_T_4065, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 490:70] - buf_state_en[2] <= _T_4066 @[lsu_bus_buffer.scala 490:25] + node _T_4056 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4056 : @[Conditional.scala 39:67] + node _T_4057 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_4058 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 487:86] + node _T_4059 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 487:101] + node _T_4060 = bits(_T_4059, 0, 0) @[lsu_bus_buffer.scala 487:101] + node _T_4061 = or(_T_4058, _T_4060) @[lsu_bus_buffer.scala 487:90] + node _T_4062 = or(_T_4061, any_done_wait_state) @[lsu_bus_buffer.scala 487:118] + node _T_4063 = mux(_T_4062, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:75] + node _T_4064 = mux(_T_4057, UInt<3>("h00"), _T_4063) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[2] <= _T_4064 @[lsu_bus_buffer.scala 487:25] + node _T_4065 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 488:66] + node _T_4066 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 489:21] + node _T_4067 = bits(_T_4066, 0, 0) @[lsu_bus_buffer.scala 489:21] + node _T_4068 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[lsu_bus_buffer.scala 489:58] + node _T_4069 = and(_T_4067, _T_4068) @[lsu_bus_buffer.scala 489:38] + node _T_4070 = or(_T_4065, _T_4069) @[lsu_bus_buffer.scala 488:95] + node _T_4071 = and(bus_rsp_read, _T_4070) @[lsu_bus_buffer.scala 488:45] + buf_state_bus_en[2] <= _T_4071 @[lsu_bus_buffer.scala 488:29] + node _T_4072 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 490:49] + node _T_4073 = or(_T_4072, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 490:70] + buf_state_en[2] <= _T_4073 @[lsu_bus_buffer.scala 490:25] buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 491:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4067 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] - when _T_4067 : @[Conditional.scala 39:67] - node _T_4068 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 494:60] - node _T_4069 = mux(_T_4068, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 494:31] - buf_nxtstate[2] <= _T_4069 @[lsu_bus_buffer.scala 494:25] - node _T_4070 = eq(RspPtr, UInt<2>("h02")) @[lsu_bus_buffer.scala 495:37] - node _T_4071 = eq(buf_dualtag[2], RspPtr) @[lsu_bus_buffer.scala 495:98] - node _T_4072 = and(buf_dual[2], _T_4071) @[lsu_bus_buffer.scala 495:80] - node _T_4073 = or(_T_4070, _T_4072) @[lsu_bus_buffer.scala 495:65] - node _T_4074 = or(_T_4073, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 495:112] - buf_state_en[2] <= _T_4074 @[lsu_bus_buffer.scala 495:25] + node _T_4074 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4074 : @[Conditional.scala 39:67] + node _T_4075 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 494:60] + node _T_4076 = mux(_T_4075, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 494:31] + buf_nxtstate[2] <= _T_4076 @[lsu_bus_buffer.scala 494:25] + node _T_4077 = eq(RspPtr, UInt<2>("h02")) @[lsu_bus_buffer.scala 495:37] + node _T_4078 = eq(buf_dualtag[2], RspPtr) @[lsu_bus_buffer.scala 495:98] + node _T_4079 = and(buf_dual[2], _T_4078) @[lsu_bus_buffer.scala 495:80] + node _T_4080 = or(_T_4077, _T_4079) @[lsu_bus_buffer.scala 495:65] + node _T_4081 = or(_T_4080, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 495:112] + buf_state_en[2] <= _T_4081 @[lsu_bus_buffer.scala 495:25] buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 496:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4075 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] - when _T_4075 : @[Conditional.scala 39:67] + node _T_4082 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4082 : @[Conditional.scala 39:67] buf_nxtstate[2] <= UInt<3>("h00") @[lsu_bus_buffer.scala 499:25] buf_rst[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 500:20] buf_state_en[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 501:25] @@ -5229,278 +5246,278 @@ circuit lsu_bus_buffer : buf_ldfwd_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 503:25] buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 504:34] skip @[Conditional.scala 39:67] - node _T_4076 = bits(buf_state_en[2], 0, 0) @[lsu_bus_buffer.scala 507:108] - reg _T_4077 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4076 : @[Reg.scala 28:19] - _T_4077 <= buf_nxtstate[2] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_state[2] <= _T_4077 @[lsu_bus_buffer.scala 507:18] - reg _T_4078 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 508:60] - _T_4078 <= buf_age_in_2 @[lsu_bus_buffer.scala 508:60] - buf_ageQ[2] <= _T_4078 @[lsu_bus_buffer.scala 508:17] - reg _T_4079 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 509:63] - _T_4079 <= buf_rspage_in[2] @[lsu_bus_buffer.scala 509:63] - buf_rspageQ[2] <= _T_4079 @[lsu_bus_buffer.scala 509:20] - node _T_4080 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 510:109] - reg _T_4081 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4080 : @[Reg.scala 28:19] - _T_4081 <= buf_dualtag_in[2] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4081 @[lsu_bus_buffer.scala 510:20] - node _T_4082 = bits(buf_dual_in, 2, 2) @[lsu_bus_buffer.scala 511:74] - node _T_4083 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 511:107] - reg _T_4084 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4083 = bits(buf_state_en[2], 0, 0) @[lsu_bus_buffer.scala 507:108] + reg _T_4084 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4083 : @[Reg.scala 28:19] - _T_4084 <= _T_4082 @[Reg.scala 28:23] + _T_4084 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4084 @[lsu_bus_buffer.scala 511:17] - node _T_4085 = bits(buf_samedw_in, 2, 2) @[lsu_bus_buffer.scala 512:78] - node _T_4086 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 512:111] - reg _T_4087 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4086 : @[Reg.scala 28:19] - _T_4087 <= _T_4085 @[Reg.scala 28:23] + buf_state[2] <= _T_4084 @[lsu_bus_buffer.scala 507:18] + reg _T_4085 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 508:60] + _T_4085 <= buf_age_in_2 @[lsu_bus_buffer.scala 508:60] + buf_ageQ[2] <= _T_4085 @[lsu_bus_buffer.scala 508:17] + reg _T_4086 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 509:63] + _T_4086 <= buf_rspage_in[2] @[lsu_bus_buffer.scala 509:63] + buf_rspageQ[2] <= _T_4086 @[lsu_bus_buffer.scala 509:20] + node _T_4087 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 510:109] + reg _T_4088 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4087 : @[Reg.scala 28:19] + _T_4088 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4087 @[lsu_bus_buffer.scala 512:19] - node _T_4088 = bits(buf_nomerge_in, 2, 2) @[lsu_bus_buffer.scala 513:80] - node _T_4089 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 513:113] - reg _T_4090 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4089 : @[Reg.scala 28:19] - _T_4090 <= _T_4088 @[Reg.scala 28:23] + buf_dualtag[2] <= _T_4088 @[lsu_bus_buffer.scala 510:20] + node _T_4089 = bits(buf_dual_in, 2, 2) @[lsu_bus_buffer.scala 511:74] + node _T_4090 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 511:107] + reg _T_4091 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4090 : @[Reg.scala 28:19] + _T_4091 <= _T_4089 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4090 @[lsu_bus_buffer.scala 513:20] - node _T_4091 = bits(buf_dualhi_in, 2, 2) @[lsu_bus_buffer.scala 514:78] - node _T_4092 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 514:111] - reg _T_4093 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4092 : @[Reg.scala 28:19] - _T_4093 <= _T_4091 @[Reg.scala 28:23] + buf_dual[2] <= _T_4091 @[lsu_bus_buffer.scala 511:17] + node _T_4092 = bits(buf_samedw_in, 2, 2) @[lsu_bus_buffer.scala 512:78] + node _T_4093 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 512:111] + reg _T_4094 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4093 : @[Reg.scala 28:19] + _T_4094 <= _T_4092 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4093 @[lsu_bus_buffer.scala 514:19] - node _T_4094 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4094 : @[Conditional.scala 40:58] - node _T_4095 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 445:56] - node _T_4096 = mux(_T_4095, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 445:31] - buf_nxtstate[3] <= _T_4096 @[lsu_bus_buffer.scala 445:25] - node _T_4097 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 446:45] - node _T_4098 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:77] - node _T_4099 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 446:97] - node _T_4100 = and(_T_4098, _T_4099) @[lsu_bus_buffer.scala 446:95] - node _T_4101 = eq(UInt<2>("h03"), WrPtr0_r) @[lsu_bus_buffer.scala 446:117] - node _T_4102 = and(_T_4100, _T_4101) @[lsu_bus_buffer.scala 446:112] - node _T_4103 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:144] - node _T_4104 = eq(UInt<2>("h03"), WrPtr1_r) @[lsu_bus_buffer.scala 446:166] - node _T_4105 = and(_T_4103, _T_4104) @[lsu_bus_buffer.scala 446:161] - node _T_4106 = or(_T_4102, _T_4105) @[lsu_bus_buffer.scala 446:132] - node _T_4107 = and(_T_4097, _T_4106) @[lsu_bus_buffer.scala 446:63] - node _T_4108 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 446:206] - node _T_4109 = and(ibuf_drain_vld, _T_4108) @[lsu_bus_buffer.scala 446:201] - node _T_4110 = or(_T_4107, _T_4109) @[lsu_bus_buffer.scala 446:183] - buf_state_en[3] <= _T_4110 @[lsu_bus_buffer.scala 446:25] + buf_samedw[2] <= _T_4094 @[lsu_bus_buffer.scala 512:19] + node _T_4095 = bits(buf_nomerge_in, 2, 2) @[lsu_bus_buffer.scala 513:80] + node _T_4096 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 513:113] + reg _T_4097 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4096 : @[Reg.scala 28:19] + _T_4097 <= _T_4095 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[2] <= _T_4097 @[lsu_bus_buffer.scala 513:20] + node _T_4098 = bits(buf_dualhi_in, 2, 2) @[lsu_bus_buffer.scala 514:78] + node _T_4099 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 514:111] + reg _T_4100 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4099 : @[Reg.scala 28:19] + _T_4100 <= _T_4098 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[2] <= _T_4100 @[lsu_bus_buffer.scala 514:19] + node _T_4101 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4101 : @[Conditional.scala 40:58] + node _T_4102 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 445:56] + node _T_4103 = mux(_T_4102, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 445:31] + buf_nxtstate[3] <= _T_4103 @[lsu_bus_buffer.scala 445:25] + node _T_4104 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 446:45] + node _T_4105 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:77] + node _T_4106 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 446:97] + node _T_4107 = and(_T_4105, _T_4106) @[lsu_bus_buffer.scala 446:95] + node _T_4108 = eq(UInt<2>("h03"), WrPtr0_r) @[lsu_bus_buffer.scala 446:117] + node _T_4109 = and(_T_4107, _T_4108) @[lsu_bus_buffer.scala 446:112] + node _T_4110 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:144] + node _T_4111 = eq(UInt<2>("h03"), WrPtr1_r) @[lsu_bus_buffer.scala 446:166] + node _T_4112 = and(_T_4110, _T_4111) @[lsu_bus_buffer.scala 446:161] + node _T_4113 = or(_T_4109, _T_4112) @[lsu_bus_buffer.scala 446:132] + node _T_4114 = and(_T_4104, _T_4113) @[lsu_bus_buffer.scala 446:63] + node _T_4115 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 446:206] + node _T_4116 = and(ibuf_drain_vld, _T_4115) @[lsu_bus_buffer.scala 446:201] + node _T_4117 = or(_T_4114, _T_4116) @[lsu_bus_buffer.scala 446:183] + buf_state_en[3] <= _T_4117 @[lsu_bus_buffer.scala 446:25] buf_wr_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 447:22] buf_data_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 448:24] - node _T_4111 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 449:52] - node _T_4112 = and(ibuf_drain_vld, _T_4111) @[lsu_bus_buffer.scala 449:47] - node _T_4113 = bits(_T_4112, 0, 0) @[lsu_bus_buffer.scala 449:73] - node _T_4114 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 449:90] - node _T_4115 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 449:114] - node _T_4116 = mux(_T_4113, _T_4114, _T_4115) @[lsu_bus_buffer.scala 449:30] - buf_data_in[3] <= _T_4116 @[lsu_bus_buffer.scala 449:24] + node _T_4118 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 449:52] + node _T_4119 = and(ibuf_drain_vld, _T_4118) @[lsu_bus_buffer.scala 449:47] + node _T_4120 = bits(_T_4119, 0, 0) @[lsu_bus_buffer.scala 449:73] + node _T_4121 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 449:90] + node _T_4122 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 449:114] + node _T_4123 = mux(_T_4120, _T_4121, _T_4122) @[lsu_bus_buffer.scala 449:30] + buf_data_in[3] <= _T_4123 @[lsu_bus_buffer.scala 449:24] buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 450:34] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_4117 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4117 : @[Conditional.scala 39:67] - node _T_4118 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] - node _T_4119 = mux(_T_4118, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 453:31] - buf_nxtstate[3] <= _T_4119 @[lsu_bus_buffer.scala 453:25] - node _T_4120 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 454:46] - buf_state_en[3] <= _T_4120 @[lsu_bus_buffer.scala 454:25] + node _T_4124 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4124 : @[Conditional.scala 39:67] + node _T_4125 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_4126 = mux(_T_4125, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[3] <= _T_4126 @[lsu_bus_buffer.scala 453:25] + node _T_4127 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 454:46] + buf_state_en[3] <= _T_4127 @[lsu_bus_buffer.scala 454:25] buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 455:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4121 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4121 : @[Conditional.scala 39:67] - node _T_4122 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 458:60] - node _T_4123 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 458:89] - node _T_4124 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 458:124] - node _T_4125 = and(_T_4123, _T_4124) @[lsu_bus_buffer.scala 458:104] - node _T_4126 = mux(_T_4125, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 458:75] - node _T_4127 = mux(_T_4122, UInt<3>("h00"), _T_4126) @[lsu_bus_buffer.scala 458:31] - buf_nxtstate[3] <= _T_4127 @[lsu_bus_buffer.scala 458:25] - node _T_4128 = eq(obuf_tag0, UInt<3>("h03")) @[lsu_bus_buffer.scala 459:48] - node _T_4129 = eq(obuf_tag1, UInt<3>("h03")) @[lsu_bus_buffer.scala 459:104] - node _T_4130 = and(obuf_merge, _T_4129) @[lsu_bus_buffer.scala 459:91] - node _T_4131 = or(_T_4128, _T_4130) @[lsu_bus_buffer.scala 459:77] - node _T_4132 = and(_T_4131, obuf_valid) @[lsu_bus_buffer.scala 459:135] - node _T_4133 = and(_T_4132, obuf_wr_enQ) @[lsu_bus_buffer.scala 459:148] - buf_cmd_state_bus_en[3] <= _T_4133 @[lsu_bus_buffer.scala 459:33] + node _T_4128 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4128 : @[Conditional.scala 39:67] + node _T_4129 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 458:60] + node _T_4130 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 458:89] + node _T_4131 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 458:124] + node _T_4132 = and(_T_4130, _T_4131) @[lsu_bus_buffer.scala 458:104] + node _T_4133 = mux(_T_4132, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 458:75] + node _T_4134 = mux(_T_4129, UInt<3>("h00"), _T_4133) @[lsu_bus_buffer.scala 458:31] + buf_nxtstate[3] <= _T_4134 @[lsu_bus_buffer.scala 458:25] + node _T_4135 = eq(obuf_tag0, UInt<3>("h03")) @[lsu_bus_buffer.scala 459:48] + node _T_4136 = eq(obuf_tag1, UInt<3>("h03")) @[lsu_bus_buffer.scala 459:104] + node _T_4137 = and(obuf_merge, _T_4136) @[lsu_bus_buffer.scala 459:91] + node _T_4138 = or(_T_4135, _T_4137) @[lsu_bus_buffer.scala 459:77] + node _T_4139 = and(_T_4138, obuf_valid) @[lsu_bus_buffer.scala 459:135] + node _T_4140 = and(_T_4139, obuf_wr_enQ) @[lsu_bus_buffer.scala 459:148] + buf_cmd_state_bus_en[3] <= _T_4140 @[lsu_bus_buffer.scala 459:33] buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[lsu_bus_buffer.scala 460:29] - node _T_4134 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:49] - node _T_4135 = or(_T_4134, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 461:70] - buf_state_en[3] <= _T_4135 @[lsu_bus_buffer.scala 461:25] + node _T_4141 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:49] + node _T_4142 = or(_T_4141, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 461:70] + buf_state_en[3] <= _T_4142 @[lsu_bus_buffer.scala 461:25] buf_ldfwd_in[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 462:25] - node _T_4136 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 463:56] - node _T_4137 = eq(_T_4136, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:46] - node _T_4138 = and(buf_state_en[3], _T_4137) @[lsu_bus_buffer.scala 463:44] - node _T_4139 = and(_T_4138, obuf_nosend) @[lsu_bus_buffer.scala 463:60] - node _T_4140 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:76] - node _T_4141 = and(_T_4139, _T_4140) @[lsu_bus_buffer.scala 463:74] - buf_ldfwd_en[3] <= _T_4141 @[lsu_bus_buffer.scala 463:25] - node _T_4142 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 464:46] - buf_ldfwdtag_in[3] <= _T_4142 @[lsu_bus_buffer.scala 464:28] - node _T_4143 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:47] - node _T_4144 = and(_T_4143, obuf_nosend) @[lsu_bus_buffer.scala 465:67] - node _T_4145 = and(_T_4144, bus_rsp_read) @[lsu_bus_buffer.scala 465:81] - buf_data_en[3] <= _T_4145 @[lsu_bus_buffer.scala 465:24] - node _T_4146 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 466:48] - node _T_4147 = and(_T_4146, obuf_nosend) @[lsu_bus_buffer.scala 466:68] - node _T_4148 = and(_T_4147, bus_rsp_read_error) @[lsu_bus_buffer.scala 466:82] - buf_error_en[3] <= _T_4148 @[lsu_bus_buffer.scala 466:25] - node _T_4149 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:61] - node _T_4150 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 467:85] - node _T_4151 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 467:103] - node _T_4152 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:126] - node _T_4153 = mux(_T_4150, _T_4151, _T_4152) @[lsu_bus_buffer.scala 467:73] - node _T_4154 = mux(buf_error_en[3], _T_4149, _T_4153) @[lsu_bus_buffer.scala 467:30] - buf_data_in[3] <= _T_4154 @[lsu_bus_buffer.scala 467:24] + node _T_4143 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 463:56] + node _T_4144 = eq(_T_4143, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:46] + node _T_4145 = and(buf_state_en[3], _T_4144) @[lsu_bus_buffer.scala 463:44] + node _T_4146 = and(_T_4145, obuf_nosend) @[lsu_bus_buffer.scala 463:60] + node _T_4147 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:76] + node _T_4148 = and(_T_4146, _T_4147) @[lsu_bus_buffer.scala 463:74] + buf_ldfwd_en[3] <= _T_4148 @[lsu_bus_buffer.scala 463:25] + node _T_4149 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 464:46] + buf_ldfwdtag_in[3] <= _T_4149 @[lsu_bus_buffer.scala 464:28] + node _T_4150 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:47] + node _T_4151 = and(_T_4150, obuf_nosend) @[lsu_bus_buffer.scala 465:67] + node _T_4152 = and(_T_4151, bus_rsp_read) @[lsu_bus_buffer.scala 465:81] + buf_data_en[3] <= _T_4152 @[lsu_bus_buffer.scala 465:24] + node _T_4153 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 466:48] + node _T_4154 = and(_T_4153, obuf_nosend) @[lsu_bus_buffer.scala 466:68] + node _T_4155 = and(_T_4154, bus_rsp_read_error) @[lsu_bus_buffer.scala 466:82] + buf_error_en[3] <= _T_4155 @[lsu_bus_buffer.scala 466:25] + node _T_4156 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:61] + node _T_4157 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 467:85] + node _T_4158 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 467:103] + node _T_4159 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:126] + node _T_4160 = mux(_T_4157, _T_4158, _T_4159) @[lsu_bus_buffer.scala 467:73] + node _T_4161 = mux(buf_error_en[3], _T_4156, _T_4160) @[lsu_bus_buffer.scala 467:30] + buf_data_in[3] <= _T_4161 @[lsu_bus_buffer.scala 467:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4155 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4155 : @[Conditional.scala 39:67] - node _T_4156 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 470:67] - node _T_4157 = and(_T_4156, bus_rsp_write_error) @[lsu_bus_buffer.scala 470:71] - node _T_4158 = or(io.dec_tlu_force_halt, _T_4157) @[lsu_bus_buffer.scala 470:55] - node _T_4159 = bits(_T_4158, 0, 0) @[lsu_bus_buffer.scala 470:101] - node _T_4160 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] - node _T_4161 = and(buf_dual[3], _T_4160) @[lsu_bus_buffer.scala 471:28] - node _T_4162 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 471:57] - node _T_4163 = eq(_T_4162, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] - node _T_4164 = and(_T_4161, _T_4163) @[lsu_bus_buffer.scala 471:45] - node _T_4165 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] - node _T_4166 = and(_T_4164, _T_4165) @[lsu_bus_buffer.scala 471:61] - node _T_4167 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 472:27] - node _T_4168 = or(_T_4167, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] - node _T_4169 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] - node _T_4170 = and(buf_dual[3], _T_4169) @[lsu_bus_buffer.scala 472:68] - node _T_4171 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 472:97] - node _T_4172 = eq(_T_4171, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] - node _T_4173 = and(_T_4170, _T_4172) @[lsu_bus_buffer.scala 472:85] - node _T_4174 = eq(buf_dualtag[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] - node _T_4175 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] - node _T_4176 = eq(buf_dualtag[3], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] - node _T_4177 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 60:129] - node _T_4178 = eq(buf_dualtag[3], UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] - node _T_4179 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 60:129] - node _T_4180 = eq(buf_dualtag[3], UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] - node _T_4181 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 60:129] - node _T_4182 = mux(_T_4174, _T_4175, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4183 = mux(_T_4176, _T_4177, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4184 = mux(_T_4178, _T_4179, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4185 = mux(_T_4180, _T_4181, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4186 = or(_T_4182, _T_4183) @[Mux.scala 27:72] - node _T_4187 = or(_T_4186, _T_4184) @[Mux.scala 27:72] - node _T_4188 = or(_T_4187, _T_4185) @[Mux.scala 27:72] - wire _T_4189 : UInt<1> @[Mux.scala 27:72] - _T_4189 <= _T_4188 @[Mux.scala 27:72] - node _T_4190 = and(_T_4173, _T_4189) @[lsu_bus_buffer.scala 472:101] - node _T_4191 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] - node _T_4192 = and(_T_4190, _T_4191) @[lsu_bus_buffer.scala 472:138] - node _T_4193 = and(_T_4192, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] - node _T_4194 = or(_T_4168, _T_4193) @[lsu_bus_buffer.scala 472:53] - node _T_4195 = mux(_T_4194, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] - node _T_4196 = mux(_T_4166, UInt<3>("h04"), _T_4195) @[lsu_bus_buffer.scala 471:14] - node _T_4197 = mux(_T_4159, UInt<3>("h00"), _T_4196) @[lsu_bus_buffer.scala 470:31] - buf_nxtstate[3] <= _T_4197 @[lsu_bus_buffer.scala 470:25] - node _T_4198 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 473:73] - node _T_4199 = and(bus_rsp_write, _T_4198) @[lsu_bus_buffer.scala 473:52] - node _T_4200 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 474:46] - node _T_4201 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 475:23] - node _T_4202 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 475:47] - node _T_4203 = and(_T_4201, _T_4202) @[lsu_bus_buffer.scala 475:27] - node _T_4204 = or(_T_4200, _T_4203) @[lsu_bus_buffer.scala 474:77] - node _T_4205 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 476:26] - node _T_4206 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 476:54] - node _T_4207 = not(_T_4206) @[lsu_bus_buffer.scala 476:44] - node _T_4208 = and(_T_4205, _T_4207) @[lsu_bus_buffer.scala 476:42] - node _T_4209 = and(_T_4208, buf_samedw[3]) @[lsu_bus_buffer.scala 476:58] - node _T_4210 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 476:94] - node _T_4211 = and(_T_4209, _T_4210) @[lsu_bus_buffer.scala 476:74] - node _T_4212 = or(_T_4204, _T_4211) @[lsu_bus_buffer.scala 475:71] - node _T_4213 = and(bus_rsp_read, _T_4212) @[lsu_bus_buffer.scala 474:25] - node _T_4214 = or(_T_4199, _T_4213) @[lsu_bus_buffer.scala 473:105] - buf_resp_state_bus_en[3] <= _T_4214 @[lsu_bus_buffer.scala 473:34] + node _T_4162 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4162 : @[Conditional.scala 39:67] + node _T_4163 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 470:67] + node _T_4164 = and(_T_4163, bus_rsp_write_error) @[lsu_bus_buffer.scala 470:71] + node _T_4165 = or(io.dec_tlu_force_halt, _T_4164) @[lsu_bus_buffer.scala 470:55] + node _T_4166 = bits(_T_4165, 0, 0) @[lsu_bus_buffer.scala 470:101] + node _T_4167 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] + node _T_4168 = and(buf_dual[3], _T_4167) @[lsu_bus_buffer.scala 471:28] + node _T_4169 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 471:57] + node _T_4170 = eq(_T_4169, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] + node _T_4171 = and(_T_4168, _T_4170) @[lsu_bus_buffer.scala 471:45] + node _T_4172 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] + node _T_4173 = and(_T_4171, _T_4172) @[lsu_bus_buffer.scala 471:61] + node _T_4174 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 472:27] + node _T_4175 = or(_T_4174, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] + node _T_4176 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] + node _T_4177 = and(buf_dual[3], _T_4176) @[lsu_bus_buffer.scala 472:68] + node _T_4178 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 472:97] + node _T_4179 = eq(_T_4178, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] + node _T_4180 = and(_T_4177, _T_4179) @[lsu_bus_buffer.scala 472:85] + node _T_4181 = eq(buf_dualtag[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_4182 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_4183 = eq(buf_dualtag[3], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_4184 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_4185 = eq(buf_dualtag[3], UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_4186 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_4187 = eq(buf_dualtag[3], UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_4188 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_4189 = mux(_T_4181, _T_4182, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4190 = mux(_T_4183, _T_4184, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4191 = mux(_T_4185, _T_4186, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4192 = mux(_T_4187, _T_4188, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4193 = or(_T_4189, _T_4190) @[Mux.scala 27:72] + node _T_4194 = or(_T_4193, _T_4191) @[Mux.scala 27:72] + node _T_4195 = or(_T_4194, _T_4192) @[Mux.scala 27:72] + wire _T_4196 : UInt<1> @[Mux.scala 27:72] + _T_4196 <= _T_4195 @[Mux.scala 27:72] + node _T_4197 = and(_T_4180, _T_4196) @[lsu_bus_buffer.scala 472:101] + node _T_4198 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] + node _T_4199 = and(_T_4197, _T_4198) @[lsu_bus_buffer.scala 472:138] + node _T_4200 = and(_T_4199, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] + node _T_4201 = or(_T_4175, _T_4200) @[lsu_bus_buffer.scala 472:53] + node _T_4202 = mux(_T_4201, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] + node _T_4203 = mux(_T_4173, UInt<3>("h04"), _T_4202) @[lsu_bus_buffer.scala 471:14] + node _T_4204 = mux(_T_4166, UInt<3>("h00"), _T_4203) @[lsu_bus_buffer.scala 470:31] + buf_nxtstate[3] <= _T_4204 @[lsu_bus_buffer.scala 470:25] + node _T_4205 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 473:73] + node _T_4206 = and(bus_rsp_write, _T_4205) @[lsu_bus_buffer.scala 473:52] + node _T_4207 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 474:46] + node _T_4208 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 475:23] + node _T_4209 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 475:47] + node _T_4210 = and(_T_4208, _T_4209) @[lsu_bus_buffer.scala 475:27] + node _T_4211 = or(_T_4207, _T_4210) @[lsu_bus_buffer.scala 474:77] + node _T_4212 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 476:26] + node _T_4213 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 476:54] + node _T_4214 = not(_T_4213) @[lsu_bus_buffer.scala 476:44] + node _T_4215 = and(_T_4212, _T_4214) @[lsu_bus_buffer.scala 476:42] + node _T_4216 = and(_T_4215, buf_samedw[3]) @[lsu_bus_buffer.scala 476:58] + node _T_4217 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 476:94] + node _T_4218 = and(_T_4216, _T_4217) @[lsu_bus_buffer.scala 476:74] + node _T_4219 = or(_T_4211, _T_4218) @[lsu_bus_buffer.scala 475:71] + node _T_4220 = and(bus_rsp_read, _T_4219) @[lsu_bus_buffer.scala 474:25] + node _T_4221 = or(_T_4206, _T_4220) @[lsu_bus_buffer.scala 473:105] + buf_resp_state_bus_en[3] <= _T_4221 @[lsu_bus_buffer.scala 473:34] buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[lsu_bus_buffer.scala 477:29] - node _T_4215 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] - node _T_4216 = or(_T_4215, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] - buf_state_en[3] <= _T_4216 @[lsu_bus_buffer.scala 478:25] - node _T_4217 = and(buf_state_bus_en[3], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] - node _T_4218 = and(_T_4217, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] - buf_data_en[3] <= _T_4218 @[lsu_bus_buffer.scala 479:24] - node _T_4219 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] - node _T_4220 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 480:111] - node _T_4221 = and(bus_rsp_read_error, _T_4220) @[lsu_bus_buffer.scala 480:91] - node _T_4222 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 481:42] - node _T_4223 = and(bus_rsp_read_error, _T_4222) @[lsu_bus_buffer.scala 481:31] - node _T_4224 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 481:66] - node _T_4225 = and(_T_4223, _T_4224) @[lsu_bus_buffer.scala 481:46] - node _T_4226 = or(_T_4221, _T_4225) @[lsu_bus_buffer.scala 480:143] - node _T_4227 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 482:54] - node _T_4228 = and(bus_rsp_write_error, _T_4227) @[lsu_bus_buffer.scala 482:33] - node _T_4229 = or(_T_4226, _T_4228) @[lsu_bus_buffer.scala 481:88] - node _T_4230 = and(_T_4219, _T_4229) @[lsu_bus_buffer.scala 480:68] - buf_error_en[3] <= _T_4230 @[lsu_bus_buffer.scala 480:25] - node _T_4231 = eq(buf_error_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] - node _T_4232 = and(buf_state_en[3], _T_4231) @[lsu_bus_buffer.scala 483:48] - node _T_4233 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 483:84] - node _T_4234 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] - node _T_4235 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] - node _T_4236 = mux(_T_4233, _T_4234, _T_4235) @[lsu_bus_buffer.scala 483:72] - node _T_4237 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] - node _T_4238 = mux(_T_4232, _T_4236, _T_4237) @[lsu_bus_buffer.scala 483:30] - buf_data_in[3] <= _T_4238 @[lsu_bus_buffer.scala 483:24] + node _T_4222 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] + node _T_4223 = or(_T_4222, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] + buf_state_en[3] <= _T_4223 @[lsu_bus_buffer.scala 478:25] + node _T_4224 = and(buf_state_bus_en[3], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] + node _T_4225 = and(_T_4224, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] + buf_data_en[3] <= _T_4225 @[lsu_bus_buffer.scala 479:24] + node _T_4226 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] + node _T_4227 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 480:111] + node _T_4228 = and(bus_rsp_read_error, _T_4227) @[lsu_bus_buffer.scala 480:91] + node _T_4229 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 481:42] + node _T_4230 = and(bus_rsp_read_error, _T_4229) @[lsu_bus_buffer.scala 481:31] + node _T_4231 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 481:66] + node _T_4232 = and(_T_4230, _T_4231) @[lsu_bus_buffer.scala 481:46] + node _T_4233 = or(_T_4228, _T_4232) @[lsu_bus_buffer.scala 480:143] + node _T_4234 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 482:54] + node _T_4235 = and(bus_rsp_write_error, _T_4234) @[lsu_bus_buffer.scala 482:33] + node _T_4236 = or(_T_4233, _T_4235) @[lsu_bus_buffer.scala 481:88] + node _T_4237 = and(_T_4226, _T_4236) @[lsu_bus_buffer.scala 480:68] + buf_error_en[3] <= _T_4237 @[lsu_bus_buffer.scala 480:25] + node _T_4238 = eq(buf_error_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] + node _T_4239 = and(buf_state_en[3], _T_4238) @[lsu_bus_buffer.scala 483:48] + node _T_4240 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 483:84] + node _T_4241 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] + node _T_4242 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] + node _T_4243 = mux(_T_4240, _T_4241, _T_4242) @[lsu_bus_buffer.scala 483:72] + node _T_4244 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] + node _T_4245 = mux(_T_4239, _T_4243, _T_4244) @[lsu_bus_buffer.scala 483:30] + buf_data_in[3] <= _T_4245 @[lsu_bus_buffer.scala 483:24] buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 484:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4239 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4239 : @[Conditional.scala 39:67] - node _T_4240 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] - node _T_4241 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 487:86] - node _T_4242 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 487:101] - node _T_4243 = bits(_T_4242, 0, 0) @[lsu_bus_buffer.scala 487:101] - node _T_4244 = or(_T_4241, _T_4243) @[lsu_bus_buffer.scala 487:90] - node _T_4245 = or(_T_4244, any_done_wait_state) @[lsu_bus_buffer.scala 487:118] - node _T_4246 = mux(_T_4245, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:75] - node _T_4247 = mux(_T_4240, UInt<3>("h00"), _T_4246) @[lsu_bus_buffer.scala 487:31] - buf_nxtstate[3] <= _T_4247 @[lsu_bus_buffer.scala 487:25] - node _T_4248 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 488:66] - node _T_4249 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 489:21] - node _T_4250 = bits(_T_4249, 0, 0) @[lsu_bus_buffer.scala 489:21] - node _T_4251 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[lsu_bus_buffer.scala 489:58] - node _T_4252 = and(_T_4250, _T_4251) @[lsu_bus_buffer.scala 489:38] - node _T_4253 = or(_T_4248, _T_4252) @[lsu_bus_buffer.scala 488:95] - node _T_4254 = and(bus_rsp_read, _T_4253) @[lsu_bus_buffer.scala 488:45] - buf_state_bus_en[3] <= _T_4254 @[lsu_bus_buffer.scala 488:29] - node _T_4255 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 490:49] - node _T_4256 = or(_T_4255, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 490:70] - buf_state_en[3] <= _T_4256 @[lsu_bus_buffer.scala 490:25] + node _T_4246 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4246 : @[Conditional.scala 39:67] + node _T_4247 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_4248 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 487:86] + node _T_4249 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 487:101] + node _T_4250 = bits(_T_4249, 0, 0) @[lsu_bus_buffer.scala 487:101] + node _T_4251 = or(_T_4248, _T_4250) @[lsu_bus_buffer.scala 487:90] + node _T_4252 = or(_T_4251, any_done_wait_state) @[lsu_bus_buffer.scala 487:118] + node _T_4253 = mux(_T_4252, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:75] + node _T_4254 = mux(_T_4247, UInt<3>("h00"), _T_4253) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[3] <= _T_4254 @[lsu_bus_buffer.scala 487:25] + node _T_4255 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 488:66] + node _T_4256 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 489:21] + node _T_4257 = bits(_T_4256, 0, 0) @[lsu_bus_buffer.scala 489:21] + node _T_4258 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[lsu_bus_buffer.scala 489:58] + node _T_4259 = and(_T_4257, _T_4258) @[lsu_bus_buffer.scala 489:38] + node _T_4260 = or(_T_4255, _T_4259) @[lsu_bus_buffer.scala 488:95] + node _T_4261 = and(bus_rsp_read, _T_4260) @[lsu_bus_buffer.scala 488:45] + buf_state_bus_en[3] <= _T_4261 @[lsu_bus_buffer.scala 488:29] + node _T_4262 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 490:49] + node _T_4263 = or(_T_4262, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 490:70] + buf_state_en[3] <= _T_4263 @[lsu_bus_buffer.scala 490:25] buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 491:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4257 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4257 : @[Conditional.scala 39:67] - node _T_4258 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 494:60] - node _T_4259 = mux(_T_4258, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 494:31] - buf_nxtstate[3] <= _T_4259 @[lsu_bus_buffer.scala 494:25] - node _T_4260 = eq(RspPtr, UInt<2>("h03")) @[lsu_bus_buffer.scala 495:37] - node _T_4261 = eq(buf_dualtag[3], RspPtr) @[lsu_bus_buffer.scala 495:98] - node _T_4262 = and(buf_dual[3], _T_4261) @[lsu_bus_buffer.scala 495:80] - node _T_4263 = or(_T_4260, _T_4262) @[lsu_bus_buffer.scala 495:65] - node _T_4264 = or(_T_4263, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 495:112] - buf_state_en[3] <= _T_4264 @[lsu_bus_buffer.scala 495:25] + node _T_4264 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4264 : @[Conditional.scala 39:67] + node _T_4265 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 494:60] + node _T_4266 = mux(_T_4265, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 494:31] + buf_nxtstate[3] <= _T_4266 @[lsu_bus_buffer.scala 494:25] + node _T_4267 = eq(RspPtr, UInt<2>("h03")) @[lsu_bus_buffer.scala 495:37] + node _T_4268 = eq(buf_dualtag[3], RspPtr) @[lsu_bus_buffer.scala 495:98] + node _T_4269 = and(buf_dual[3], _T_4268) @[lsu_bus_buffer.scala 495:80] + node _T_4270 = or(_T_4267, _T_4269) @[lsu_bus_buffer.scala 495:65] + node _T_4271 = or(_T_4270, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 495:112] + buf_state_en[3] <= _T_4271 @[lsu_bus_buffer.scala 495:25] buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 496:34] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4265 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4265 : @[Conditional.scala 39:67] + node _T_4272 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4272 : @[Conditional.scala 39:67] buf_nxtstate[3] <= UInt<3>("h00") @[lsu_bus_buffer.scala 499:25] buf_rst[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 500:20] buf_state_en[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 501:25] @@ -5508,976 +5525,976 @@ circuit lsu_bus_buffer : buf_ldfwd_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 503:25] buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 504:34] skip @[Conditional.scala 39:67] - node _T_4266 = bits(buf_state_en[3], 0, 0) @[lsu_bus_buffer.scala 507:108] - reg _T_4267 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4266 : @[Reg.scala 28:19] - _T_4267 <= buf_nxtstate[3] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_state[3] <= _T_4267 @[lsu_bus_buffer.scala 507:18] - reg _T_4268 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 508:60] - _T_4268 <= buf_age_in_3 @[lsu_bus_buffer.scala 508:60] - buf_ageQ[3] <= _T_4268 @[lsu_bus_buffer.scala 508:17] - reg _T_4269 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 509:63] - _T_4269 <= buf_rspage_in[3] @[lsu_bus_buffer.scala 509:63] - buf_rspageQ[3] <= _T_4269 @[lsu_bus_buffer.scala 509:20] - node _T_4270 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 510:109] - reg _T_4271 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4270 : @[Reg.scala 28:19] - _T_4271 <= buf_dualtag_in[3] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4271 @[lsu_bus_buffer.scala 510:20] - node _T_4272 = bits(buf_dual_in, 3, 3) @[lsu_bus_buffer.scala 511:74] - node _T_4273 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 511:107] - reg _T_4274 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4273 = bits(buf_state_en[3], 0, 0) @[lsu_bus_buffer.scala 507:108] + reg _T_4274 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4273 : @[Reg.scala 28:19] - _T_4274 <= _T_4272 @[Reg.scala 28:23] + _T_4274 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4274 @[lsu_bus_buffer.scala 511:17] - node _T_4275 = bits(buf_samedw_in, 3, 3) @[lsu_bus_buffer.scala 512:78] - node _T_4276 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 512:111] - reg _T_4277 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4276 : @[Reg.scala 28:19] - _T_4277 <= _T_4275 @[Reg.scala 28:23] + buf_state[3] <= _T_4274 @[lsu_bus_buffer.scala 507:18] + reg _T_4275 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 508:60] + _T_4275 <= buf_age_in_3 @[lsu_bus_buffer.scala 508:60] + buf_ageQ[3] <= _T_4275 @[lsu_bus_buffer.scala 508:17] + reg _T_4276 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 509:63] + _T_4276 <= buf_rspage_in[3] @[lsu_bus_buffer.scala 509:63] + buf_rspageQ[3] <= _T_4276 @[lsu_bus_buffer.scala 509:20] + node _T_4277 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 510:109] + reg _T_4278 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4277 : @[Reg.scala 28:19] + _T_4278 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4277 @[lsu_bus_buffer.scala 512:19] - node _T_4278 = bits(buf_nomerge_in, 3, 3) @[lsu_bus_buffer.scala 513:80] - node _T_4279 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 513:113] - reg _T_4280 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4279 : @[Reg.scala 28:19] - _T_4280 <= _T_4278 @[Reg.scala 28:23] + buf_dualtag[3] <= _T_4278 @[lsu_bus_buffer.scala 510:20] + node _T_4279 = bits(buf_dual_in, 3, 3) @[lsu_bus_buffer.scala 511:74] + node _T_4280 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 511:107] + reg _T_4281 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4280 : @[Reg.scala 28:19] + _T_4281 <= _T_4279 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4280 @[lsu_bus_buffer.scala 513:20] - node _T_4281 = bits(buf_dualhi_in, 3, 3) @[lsu_bus_buffer.scala 514:78] - node _T_4282 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 514:111] - reg _T_4283 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4282 : @[Reg.scala 28:19] - _T_4283 <= _T_4281 @[Reg.scala 28:23] + buf_dual[3] <= _T_4281 @[lsu_bus_buffer.scala 511:17] + node _T_4282 = bits(buf_samedw_in, 3, 3) @[lsu_bus_buffer.scala 512:78] + node _T_4283 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 512:111] + reg _T_4284 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4283 : @[Reg.scala 28:19] + _T_4284 <= _T_4282 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4283 @[lsu_bus_buffer.scala 514:19] - node _T_4284 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 517:131] - reg _T_4285 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4284 : @[Reg.scala 28:19] - _T_4285 <= buf_ldfwd_in[0] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4286 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 517:131] + buf_samedw[3] <= _T_4284 @[lsu_bus_buffer.scala 512:19] + node _T_4285 = bits(buf_nomerge_in, 3, 3) @[lsu_bus_buffer.scala 513:80] + node _T_4286 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 513:113] reg _T_4287 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4286 : @[Reg.scala 28:19] - _T_4287 <= buf_ldfwd_in[1] @[Reg.scala 28:23] + _T_4287 <= _T_4285 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4288 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 517:131] - reg _T_4289 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4288 : @[Reg.scala 28:19] - _T_4289 <= buf_ldfwd_in[2] @[Reg.scala 28:23] + buf_nomerge[3] <= _T_4287 @[lsu_bus_buffer.scala 513:20] + node _T_4288 = bits(buf_dualhi_in, 3, 3) @[lsu_bus_buffer.scala 514:78] + node _T_4289 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 514:111] + reg _T_4290 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4289 : @[Reg.scala 28:19] + _T_4290 <= _T_4288 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4290 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 517:131] - reg _T_4291 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4290 : @[Reg.scala 28:19] - _T_4291 <= buf_ldfwd_in[3] @[Reg.scala 28:23] + buf_dualhi[3] <= _T_4290 @[lsu_bus_buffer.scala 514:19] + node _T_4291 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 517:131] + reg _T_4292 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4291 : @[Reg.scala 28:19] + _T_4292 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4292 = cat(_T_4291, _T_4289) @[Cat.scala 29:58] - node _T_4293 = cat(_T_4292, _T_4287) @[Cat.scala 29:58] - node _T_4294 = cat(_T_4293, _T_4285) @[Cat.scala 29:58] - buf_ldfwd <= _T_4294 @[lsu_bus_buffer.scala 517:13] - node _T_4295 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 518:132] - reg _T_4296 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4293 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 517:131] + reg _T_4294 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4293 : @[Reg.scala 28:19] + _T_4294 <= buf_ldfwd_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4295 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 517:131] + reg _T_4296 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4295 : @[Reg.scala 28:19] - _T_4296 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] + _T_4296 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4297 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 518:132] - reg _T_4298 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4297 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 517:131] + reg _T_4298 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4297 : @[Reg.scala 28:19] - _T_4298 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] + _T_4298 <= buf_ldfwd_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4299 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 518:132] - reg _T_4300 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4299 : @[Reg.scala 28:19] - _T_4300 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] + node _T_4299 = cat(_T_4298, _T_4296) @[Cat.scala 29:58] + node _T_4300 = cat(_T_4299, _T_4294) @[Cat.scala 29:58] + node _T_4301 = cat(_T_4300, _T_4292) @[Cat.scala 29:58] + buf_ldfwd <= _T_4301 @[lsu_bus_buffer.scala 517:13] + node _T_4302 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 518:132] + reg _T_4303 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4302 : @[Reg.scala 28:19] + _T_4303 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4301 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 518:132] - reg _T_4302 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4301 : @[Reg.scala 28:19] - _T_4302 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4296 @[lsu_bus_buffer.scala 518:16] - buf_ldfwdtag[1] <= _T_4298 @[lsu_bus_buffer.scala 518:16] - buf_ldfwdtag[2] <= _T_4300 @[lsu_bus_buffer.scala 518:16] - buf_ldfwdtag[3] <= _T_4302 @[lsu_bus_buffer.scala 518:16] - node _T_4303 = bits(buf_sideeffect_in, 0, 0) @[lsu_bus_buffer.scala 519:105] - node _T_4304 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 519:138] - reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4304 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 518:132] + reg _T_4305 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4304 : @[Reg.scala 28:19] - _T_4305 <= _T_4303 @[Reg.scala 28:23] + _T_4305 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4306 = bits(buf_sideeffect_in, 1, 1) @[lsu_bus_buffer.scala 519:105] - node _T_4307 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 519:138] - reg _T_4308 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4307 : @[Reg.scala 28:19] - _T_4308 <= _T_4306 @[Reg.scala 28:23] + node _T_4306 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 518:132] + reg _T_4307 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4306 : @[Reg.scala 28:19] + _T_4307 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4309 = bits(buf_sideeffect_in, 2, 2) @[lsu_bus_buffer.scala 519:105] - node _T_4310 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 519:138] - reg _T_4311 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4310 : @[Reg.scala 28:19] - _T_4311 <= _T_4309 @[Reg.scala 28:23] + node _T_4308 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 518:132] + reg _T_4309 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4308 : @[Reg.scala 28:19] + _T_4309 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4312 = bits(buf_sideeffect_in, 3, 3) @[lsu_bus_buffer.scala 519:105] - node _T_4313 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 519:138] - reg _T_4314 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4313 : @[Reg.scala 28:19] - _T_4314 <= _T_4312 @[Reg.scala 28:23] + buf_ldfwdtag[0] <= _T_4303 @[lsu_bus_buffer.scala 518:16] + buf_ldfwdtag[1] <= _T_4305 @[lsu_bus_buffer.scala 518:16] + buf_ldfwdtag[2] <= _T_4307 @[lsu_bus_buffer.scala 518:16] + buf_ldfwdtag[3] <= _T_4309 @[lsu_bus_buffer.scala 518:16] + node _T_4310 = bits(buf_sideeffect_in, 0, 0) @[lsu_bus_buffer.scala 519:105] + node _T_4311 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 519:138] + reg _T_4312 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4311 : @[Reg.scala 28:19] + _T_4312 <= _T_4310 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4315 = cat(_T_4314, _T_4311) @[Cat.scala 29:58] - node _T_4316 = cat(_T_4315, _T_4308) @[Cat.scala 29:58] - node _T_4317 = cat(_T_4316, _T_4305) @[Cat.scala 29:58] - buf_sideeffect <= _T_4317 @[lsu_bus_buffer.scala 519:18] - node _T_4318 = bits(buf_unsign_in, 0, 0) @[lsu_bus_buffer.scala 520:97] - node _T_4319 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 520:130] - reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4319 : @[Reg.scala 28:19] - _T_4320 <= _T_4318 @[Reg.scala 28:23] + node _T_4313 = bits(buf_sideeffect_in, 1, 1) @[lsu_bus_buffer.scala 519:105] + node _T_4314 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 519:138] + reg _T_4315 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4314 : @[Reg.scala 28:19] + _T_4315 <= _T_4313 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4321 = bits(buf_unsign_in, 1, 1) @[lsu_bus_buffer.scala 520:97] - node _T_4322 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 520:130] - reg _T_4323 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4322 : @[Reg.scala 28:19] - _T_4323 <= _T_4321 @[Reg.scala 28:23] + node _T_4316 = bits(buf_sideeffect_in, 2, 2) @[lsu_bus_buffer.scala 519:105] + node _T_4317 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 519:138] + reg _T_4318 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4317 : @[Reg.scala 28:19] + _T_4318 <= _T_4316 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4324 = bits(buf_unsign_in, 2, 2) @[lsu_bus_buffer.scala 520:97] - node _T_4325 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 520:130] - reg _T_4326 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4325 : @[Reg.scala 28:19] - _T_4326 <= _T_4324 @[Reg.scala 28:23] + node _T_4319 = bits(buf_sideeffect_in, 3, 3) @[lsu_bus_buffer.scala 519:105] + node _T_4320 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 519:138] + reg _T_4321 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4320 : @[Reg.scala 28:19] + _T_4321 <= _T_4319 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4327 = bits(buf_unsign_in, 3, 3) @[lsu_bus_buffer.scala 520:97] - node _T_4328 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 520:130] - reg _T_4329 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4328 : @[Reg.scala 28:19] - _T_4329 <= _T_4327 @[Reg.scala 28:23] + node _T_4322 = cat(_T_4321, _T_4318) @[Cat.scala 29:58] + node _T_4323 = cat(_T_4322, _T_4315) @[Cat.scala 29:58] + node _T_4324 = cat(_T_4323, _T_4312) @[Cat.scala 29:58] + buf_sideeffect <= _T_4324 @[lsu_bus_buffer.scala 519:18] + node _T_4325 = bits(buf_unsign_in, 0, 0) @[lsu_bus_buffer.scala 520:97] + node _T_4326 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 520:130] + reg _T_4327 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4326 : @[Reg.scala 28:19] + _T_4327 <= _T_4325 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4330 = cat(_T_4329, _T_4326) @[Cat.scala 29:58] - node _T_4331 = cat(_T_4330, _T_4323) @[Cat.scala 29:58] - node _T_4332 = cat(_T_4331, _T_4320) @[Cat.scala 29:58] - buf_unsign <= _T_4332 @[lsu_bus_buffer.scala 520:14] - node _T_4333 = bits(buf_write_in, 0, 0) @[lsu_bus_buffer.scala 521:95] - node _T_4334 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 521:128] - reg _T_4335 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4334 : @[Reg.scala 28:19] - _T_4335 <= _T_4333 @[Reg.scala 28:23] + node _T_4328 = bits(buf_unsign_in, 1, 1) @[lsu_bus_buffer.scala 520:97] + node _T_4329 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 520:130] + reg _T_4330 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4329 : @[Reg.scala 28:19] + _T_4330 <= _T_4328 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4336 = bits(buf_write_in, 1, 1) @[lsu_bus_buffer.scala 521:95] - node _T_4337 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 521:128] - reg _T_4338 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4337 : @[Reg.scala 28:19] - _T_4338 <= _T_4336 @[Reg.scala 28:23] + node _T_4331 = bits(buf_unsign_in, 2, 2) @[lsu_bus_buffer.scala 520:97] + node _T_4332 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 520:130] + reg _T_4333 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4332 : @[Reg.scala 28:19] + _T_4333 <= _T_4331 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4339 = bits(buf_write_in, 2, 2) @[lsu_bus_buffer.scala 521:95] - node _T_4340 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 521:128] - reg _T_4341 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4340 : @[Reg.scala 28:19] - _T_4341 <= _T_4339 @[Reg.scala 28:23] + node _T_4334 = bits(buf_unsign_in, 3, 3) @[lsu_bus_buffer.scala 520:97] + node _T_4335 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 520:130] + reg _T_4336 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4335 : @[Reg.scala 28:19] + _T_4336 <= _T_4334 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4342 = bits(buf_write_in, 3, 3) @[lsu_bus_buffer.scala 521:95] - node _T_4343 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 521:128] - reg _T_4344 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4343 : @[Reg.scala 28:19] - _T_4344 <= _T_4342 @[Reg.scala 28:23] + node _T_4337 = cat(_T_4336, _T_4333) @[Cat.scala 29:58] + node _T_4338 = cat(_T_4337, _T_4330) @[Cat.scala 29:58] + node _T_4339 = cat(_T_4338, _T_4327) @[Cat.scala 29:58] + buf_unsign <= _T_4339 @[lsu_bus_buffer.scala 520:14] + node _T_4340 = bits(buf_write_in, 0, 0) @[lsu_bus_buffer.scala 521:95] + node _T_4341 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 521:128] + reg _T_4342 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4341 : @[Reg.scala 28:19] + _T_4342 <= _T_4340 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4345 = cat(_T_4344, _T_4341) @[Cat.scala 29:58] - node _T_4346 = cat(_T_4345, _T_4338) @[Cat.scala 29:58] - node _T_4347 = cat(_T_4346, _T_4335) @[Cat.scala 29:58] - buf_write <= _T_4347 @[lsu_bus_buffer.scala 521:13] - node _T_4348 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 522:117] - reg _T_4349 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4348 : @[Reg.scala 28:19] - _T_4349 <= buf_sz_in[0] @[Reg.scala 28:23] + node _T_4343 = bits(buf_write_in, 1, 1) @[lsu_bus_buffer.scala 521:95] + node _T_4344 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 521:128] + reg _T_4345 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4344 : @[Reg.scala 28:19] + _T_4345 <= _T_4343 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4350 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 522:117] - reg _T_4351 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4346 = bits(buf_write_in, 2, 2) @[lsu_bus_buffer.scala 521:95] + node _T_4347 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 521:128] + reg _T_4348 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4347 : @[Reg.scala 28:19] + _T_4348 <= _T_4346 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4349 = bits(buf_write_in, 3, 3) @[lsu_bus_buffer.scala 521:95] + node _T_4350 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 521:128] + reg _T_4351 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4350 : @[Reg.scala 28:19] - _T_4351 <= buf_sz_in[1] @[Reg.scala 28:23] + _T_4351 <= _T_4349 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4352 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 522:117] - reg _T_4353 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4352 : @[Reg.scala 28:19] - _T_4353 <= buf_sz_in[2] @[Reg.scala 28:23] + node _T_4352 = cat(_T_4351, _T_4348) @[Cat.scala 29:58] + node _T_4353 = cat(_T_4352, _T_4345) @[Cat.scala 29:58] + node _T_4354 = cat(_T_4353, _T_4342) @[Cat.scala 29:58] + buf_write <= _T_4354 @[lsu_bus_buffer.scala 521:13] + node _T_4355 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 522:117] + reg _T_4356 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4355 : @[Reg.scala 28:19] + _T_4356 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4354 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 522:117] - reg _T_4355 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4354 : @[Reg.scala 28:19] - _T_4355 <= buf_sz_in[3] @[Reg.scala 28:23] + node _T_4357 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 522:117] + reg _T_4358 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4357 : @[Reg.scala 28:19] + _T_4358 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4349 @[lsu_bus_buffer.scala 522:10] - buf_sz[1] <= _T_4351 @[lsu_bus_buffer.scala 522:10] - buf_sz[2] <= _T_4353 @[lsu_bus_buffer.scala 522:10] - buf_sz[3] <= _T_4355 @[lsu_bus_buffer.scala 522:10] - node _T_4356 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 523:80] - inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 390:23] + node _T_4359 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 522:117] + reg _T_4360 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4359 : @[Reg.scala 28:19] + _T_4360 <= buf_sz_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4361 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 522:117] + reg _T_4362 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4361 : @[Reg.scala 28:19] + _T_4362 <= buf_sz_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_sz[0] <= _T_4356 @[lsu_bus_buffer.scala 522:10] + buf_sz[1] <= _T_4358 @[lsu_bus_buffer.scala 522:10] + buf_sz[2] <= _T_4360 @[lsu_bus_buffer.scala 522:10] + buf_sz[3] <= _T_4362 @[lsu_bus_buffer.scala 522:10] + node _T_4363 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 523:80] + inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 391:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset - rvclkhdr_4.io.clk <= clock @[lib.scala 392:18] - rvclkhdr_4.io.en <= _T_4356 @[lib.scala 393:17] - rvclkhdr_4.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_4357 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 396:16] - _T_4357 <= buf_addr_in[0] @[lib.scala 396:16] - node _T_4358 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 523:80] - inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 390:23] + rvclkhdr_4.io.clk <= clock @[lib.scala 393:18] + rvclkhdr_4.io.en <= _T_4363 @[lib.scala 394:17] + rvclkhdr_4.io.scan_mode <= UInt<1>("h00") @[lib.scala 395:24] + reg _T_4364 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 397:16] + _T_4364 <= buf_addr_in[0] @[lib.scala 397:16] + node _T_4365 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 523:80] + inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 391:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset - rvclkhdr_5.io.clk <= clock @[lib.scala 392:18] - rvclkhdr_5.io.en <= _T_4358 @[lib.scala 393:17] - rvclkhdr_5.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_4359 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 396:16] - _T_4359 <= buf_addr_in[1] @[lib.scala 396:16] - node _T_4360 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 523:80] - inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 390:23] + rvclkhdr_5.io.clk <= clock @[lib.scala 393:18] + rvclkhdr_5.io.en <= _T_4365 @[lib.scala 394:17] + rvclkhdr_5.io.scan_mode <= UInt<1>("h00") @[lib.scala 395:24] + reg _T_4366 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 397:16] + _T_4366 <= buf_addr_in[1] @[lib.scala 397:16] + node _T_4367 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 523:80] + inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 391:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset - rvclkhdr_6.io.clk <= clock @[lib.scala 392:18] - rvclkhdr_6.io.en <= _T_4360 @[lib.scala 393:17] - rvclkhdr_6.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_4361 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 396:16] - _T_4361 <= buf_addr_in[2] @[lib.scala 396:16] - node _T_4362 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 523:80] - inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 390:23] + rvclkhdr_6.io.clk <= clock @[lib.scala 393:18] + rvclkhdr_6.io.en <= _T_4367 @[lib.scala 394:17] + rvclkhdr_6.io.scan_mode <= UInt<1>("h00") @[lib.scala 395:24] + reg _T_4368 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 397:16] + _T_4368 <= buf_addr_in[2] @[lib.scala 397:16] + node _T_4369 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 523:80] + inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 391:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset - rvclkhdr_7.io.clk <= clock @[lib.scala 392:18] - rvclkhdr_7.io.en <= _T_4362 @[lib.scala 393:17] - rvclkhdr_7.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_4363 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 396:16] - _T_4363 <= buf_addr_in[3] @[lib.scala 396:16] - buf_addr[0] <= _T_4357 @[lsu_bus_buffer.scala 523:12] - buf_addr[1] <= _T_4359 @[lsu_bus_buffer.scala 523:12] - buf_addr[2] <= _T_4361 @[lsu_bus_buffer.scala 523:12] - buf_addr[3] <= _T_4363 @[lsu_bus_buffer.scala 523:12] - node _T_4364 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 524:125] - reg _T_4365 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4364 : @[Reg.scala 28:19] - _T_4365 <= buf_byteen_in[0] @[Reg.scala 28:23] + rvclkhdr_7.io.clk <= clock @[lib.scala 393:18] + rvclkhdr_7.io.en <= _T_4369 @[lib.scala 394:17] + rvclkhdr_7.io.scan_mode <= UInt<1>("h00") @[lib.scala 395:24] + reg _T_4370 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 397:16] + _T_4370 <= buf_addr_in[3] @[lib.scala 397:16] + buf_addr[0] <= _T_4364 @[lsu_bus_buffer.scala 523:12] + buf_addr[1] <= _T_4366 @[lsu_bus_buffer.scala 523:12] + buf_addr[2] <= _T_4368 @[lsu_bus_buffer.scala 523:12] + buf_addr[3] <= _T_4370 @[lsu_bus_buffer.scala 523:12] + node _T_4371 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 524:125] + reg _T_4372 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4371 : @[Reg.scala 28:19] + _T_4372 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4366 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 524:125] - reg _T_4367 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4366 : @[Reg.scala 28:19] - _T_4367 <= buf_byteen_in[1] @[Reg.scala 28:23] + node _T_4373 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 524:125] + reg _T_4374 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4373 : @[Reg.scala 28:19] + _T_4374 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4368 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 524:125] - reg _T_4369 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4368 : @[Reg.scala 28:19] - _T_4369 <= buf_byteen_in[2] @[Reg.scala 28:23] + node _T_4375 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 524:125] + reg _T_4376 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4375 : @[Reg.scala 28:19] + _T_4376 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4370 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 524:125] - reg _T_4371 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4370 : @[Reg.scala 28:19] - _T_4371 <= buf_byteen_in[3] @[Reg.scala 28:23] + node _T_4377 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 524:125] + reg _T_4378 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4377 : @[Reg.scala 28:19] + _T_4378 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4365 @[lsu_bus_buffer.scala 524:14] - buf_byteen[1] <= _T_4367 @[lsu_bus_buffer.scala 524:14] - buf_byteen[2] <= _T_4369 @[lsu_bus_buffer.scala 524:14] - buf_byteen[3] <= _T_4371 @[lsu_bus_buffer.scala 524:14] - inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 390:23] + buf_byteen[0] <= _T_4372 @[lsu_bus_buffer.scala 524:14] + buf_byteen[1] <= _T_4374 @[lsu_bus_buffer.scala 524:14] + buf_byteen[2] <= _T_4376 @[lsu_bus_buffer.scala 524:14] + buf_byteen[3] <= _T_4378 @[lsu_bus_buffer.scala 524:14] + inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 391:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset - rvclkhdr_8.io.clk <= clock @[lib.scala 392:18] - rvclkhdr_8.io.en <= buf_data_en[0] @[lib.scala 393:17] - rvclkhdr_8.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_4372 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 396:16] - _T_4372 <= buf_data_in[0] @[lib.scala 396:16] - inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 390:23] + rvclkhdr_8.io.clk <= clock @[lib.scala 393:18] + rvclkhdr_8.io.en <= buf_data_en[0] @[lib.scala 394:17] + rvclkhdr_8.io.scan_mode <= UInt<1>("h00") @[lib.scala 395:24] + reg _T_4379 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 397:16] + _T_4379 <= buf_data_in[0] @[lib.scala 397:16] + inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 391:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset - rvclkhdr_9.io.clk <= clock @[lib.scala 392:18] - rvclkhdr_9.io.en <= buf_data_en[1] @[lib.scala 393:17] - rvclkhdr_9.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_4373 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 396:16] - _T_4373 <= buf_data_in[1] @[lib.scala 396:16] - inst rvclkhdr_10 of rvclkhdr_10 @[lib.scala 390:23] + rvclkhdr_9.io.clk <= clock @[lib.scala 393:18] + rvclkhdr_9.io.en <= buf_data_en[1] @[lib.scala 394:17] + rvclkhdr_9.io.scan_mode <= UInt<1>("h00") @[lib.scala 395:24] + reg _T_4380 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 397:16] + _T_4380 <= buf_data_in[1] @[lib.scala 397:16] + inst rvclkhdr_10 of rvclkhdr_10 @[lib.scala 391:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset - rvclkhdr_10.io.clk <= clock @[lib.scala 392:18] - rvclkhdr_10.io.en <= buf_data_en[2] @[lib.scala 393:17] - rvclkhdr_10.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_4374 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 396:16] - _T_4374 <= buf_data_in[2] @[lib.scala 396:16] - inst rvclkhdr_11 of rvclkhdr_11 @[lib.scala 390:23] + rvclkhdr_10.io.clk <= clock @[lib.scala 393:18] + rvclkhdr_10.io.en <= buf_data_en[2] @[lib.scala 394:17] + rvclkhdr_10.io.scan_mode <= UInt<1>("h00") @[lib.scala 395:24] + reg _T_4381 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 397:16] + _T_4381 <= buf_data_in[2] @[lib.scala 397:16] + inst rvclkhdr_11 of rvclkhdr_11 @[lib.scala 391:23] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset - rvclkhdr_11.io.clk <= clock @[lib.scala 392:18] - rvclkhdr_11.io.en <= buf_data_en[3] @[lib.scala 393:17] - rvclkhdr_11.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_4375 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 396:16] - _T_4375 <= buf_data_in[3] @[lib.scala 396:16] - buf_data[0] <= _T_4372 @[lsu_bus_buffer.scala 525:12] - buf_data[1] <= _T_4373 @[lsu_bus_buffer.scala 525:12] - buf_data[2] <= _T_4374 @[lsu_bus_buffer.scala 525:12] - buf_data[3] <= _T_4375 @[lsu_bus_buffer.scala 525:12] - node _T_4376 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 526:119] - node _T_4377 = mux(buf_error_en[0], UInt<1>("h01"), _T_4376) @[lsu_bus_buffer.scala 526:84] - node _T_4378 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:126] - node _T_4379 = and(_T_4377, _T_4378) @[lsu_bus_buffer.scala 526:124] - reg _T_4380 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 526:80] - _T_4380 <= _T_4379 @[lsu_bus_buffer.scala 526:80] - node _T_4381 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 526:119] - node _T_4382 = mux(buf_error_en[1], UInt<1>("h01"), _T_4381) @[lsu_bus_buffer.scala 526:84] - node _T_4383 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:126] - node _T_4384 = and(_T_4382, _T_4383) @[lsu_bus_buffer.scala 526:124] - reg _T_4385 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 526:80] - _T_4385 <= _T_4384 @[lsu_bus_buffer.scala 526:80] - node _T_4386 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 526:119] - node _T_4387 = mux(buf_error_en[2], UInt<1>("h01"), _T_4386) @[lsu_bus_buffer.scala 526:84] - node _T_4388 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:126] - node _T_4389 = and(_T_4387, _T_4388) @[lsu_bus_buffer.scala 526:124] - reg _T_4390 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 526:80] - _T_4390 <= _T_4389 @[lsu_bus_buffer.scala 526:80] - node _T_4391 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 526:119] - node _T_4392 = mux(buf_error_en[3], UInt<1>("h01"), _T_4391) @[lsu_bus_buffer.scala 526:84] - node _T_4393 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:126] - node _T_4394 = and(_T_4392, _T_4393) @[lsu_bus_buffer.scala 526:124] - reg _T_4395 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 526:80] - _T_4395 <= _T_4394 @[lsu_bus_buffer.scala 526:80] - node _T_4396 = cat(_T_4395, _T_4390) @[Cat.scala 29:58] - node _T_4397 = cat(_T_4396, _T_4385) @[Cat.scala 29:58] - node _T_4398 = cat(_T_4397, _T_4380) @[Cat.scala 29:58] - buf_error <= _T_4398 @[lsu_bus_buffer.scala 526:13] - node _T_4399 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4400 = mux(io.ldst_dual_m, _T_4399, io.lsu_busreq_m) @[lsu_bus_buffer.scala 528:28] - node _T_4401 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4402 = mux(io.ldst_dual_r, _T_4401, io.lsu_busreq_r) @[lsu_bus_buffer.scala 528:94] - node _T_4403 = add(_T_4400, _T_4402) @[lsu_bus_buffer.scala 528:88] - node _T_4404 = add(_T_4403, ibuf_valid) @[lsu_bus_buffer.scala 528:154] - node _T_4405 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 528:190] - node _T_4406 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 528:190] - node _T_4407 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 528:190] - node _T_4408 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 528:190] - node _T_4409 = add(_T_4405, _T_4406) @[lsu_bus_buffer.scala 528:217] - node _T_4410 = add(_T_4409, _T_4407) @[lsu_bus_buffer.scala 528:217] - node _T_4411 = add(_T_4410, _T_4408) @[lsu_bus_buffer.scala 528:217] - node _T_4412 = add(_T_4404, _T_4411) @[lsu_bus_buffer.scala 528:169] - node buf_numvld_any = tail(_T_4412, 1) @[lsu_bus_buffer.scala 528:169] - node _T_4413 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 529:60] - node _T_4414 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 529:79] - node _T_4415 = and(_T_4413, _T_4414) @[lsu_bus_buffer.scala 529:64] - node _T_4416 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:91] - node _T_4417 = and(_T_4415, _T_4416) @[lsu_bus_buffer.scala 529:89] - node _T_4418 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 529:60] - node _T_4419 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 529:79] - node _T_4420 = and(_T_4418, _T_4419) @[lsu_bus_buffer.scala 529:64] - node _T_4421 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:91] - node _T_4422 = and(_T_4420, _T_4421) @[lsu_bus_buffer.scala 529:89] - node _T_4423 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 529:60] - node _T_4424 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 529:79] - node _T_4425 = and(_T_4423, _T_4424) @[lsu_bus_buffer.scala 529:64] - node _T_4426 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:91] - node _T_4427 = and(_T_4425, _T_4426) @[lsu_bus_buffer.scala 529:89] - node _T_4428 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 529:60] - node _T_4429 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 529:79] - node _T_4430 = and(_T_4428, _T_4429) @[lsu_bus_buffer.scala 529:64] - node _T_4431 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:91] - node _T_4432 = and(_T_4430, _T_4431) @[lsu_bus_buffer.scala 529:89] - node _T_4433 = add(_T_4432, _T_4427) @[lsu_bus_buffer.scala 529:142] - node _T_4434 = add(_T_4433, _T_4422) @[lsu_bus_buffer.scala 529:142] - node _T_4435 = add(_T_4434, _T_4417) @[lsu_bus_buffer.scala 529:142] - buf_numvld_wrcmd_any <= _T_4435 @[lsu_bus_buffer.scala 529:24] - node _T_4436 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 530:63] - node _T_4437 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 530:75] - node _T_4438 = and(_T_4436, _T_4437) @[lsu_bus_buffer.scala 530:73] - node _T_4439 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 530:63] - node _T_4440 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 530:75] - node _T_4441 = and(_T_4439, _T_4440) @[lsu_bus_buffer.scala 530:73] - node _T_4442 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 530:63] - node _T_4443 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 530:75] - node _T_4444 = and(_T_4442, _T_4443) @[lsu_bus_buffer.scala 530:73] - node _T_4445 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 530:63] - node _T_4446 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 530:75] - node _T_4447 = and(_T_4445, _T_4446) @[lsu_bus_buffer.scala 530:73] - node _T_4448 = add(_T_4447, _T_4444) @[lsu_bus_buffer.scala 530:126] - node _T_4449 = add(_T_4448, _T_4441) @[lsu_bus_buffer.scala 530:126] - node _T_4450 = add(_T_4449, _T_4438) @[lsu_bus_buffer.scala 530:126] - buf_numvld_cmd_any <= _T_4450 @[lsu_bus_buffer.scala 530:22] - node _T_4451 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 531:63] - node _T_4452 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:90] - node _T_4453 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:102] - node _T_4454 = and(_T_4452, _T_4453) @[lsu_bus_buffer.scala 531:100] - node _T_4455 = or(_T_4451, _T_4454) @[lsu_bus_buffer.scala 531:74] - node _T_4456 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 531:63] - node _T_4457 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:90] - node _T_4458 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:102] - node _T_4459 = and(_T_4457, _T_4458) @[lsu_bus_buffer.scala 531:100] - node _T_4460 = or(_T_4456, _T_4459) @[lsu_bus_buffer.scala 531:74] - node _T_4461 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 531:63] - node _T_4462 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:90] - node _T_4463 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:102] - node _T_4464 = and(_T_4462, _T_4463) @[lsu_bus_buffer.scala 531:100] - node _T_4465 = or(_T_4461, _T_4464) @[lsu_bus_buffer.scala 531:74] - node _T_4466 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 531:63] - node _T_4467 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:90] - node _T_4468 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:102] - node _T_4469 = and(_T_4467, _T_4468) @[lsu_bus_buffer.scala 531:100] - node _T_4470 = or(_T_4466, _T_4469) @[lsu_bus_buffer.scala 531:74] - node _T_4471 = add(_T_4470, _T_4465) @[lsu_bus_buffer.scala 531:154] - node _T_4472 = add(_T_4471, _T_4460) @[lsu_bus_buffer.scala 531:154] - node _T_4473 = add(_T_4472, _T_4455) @[lsu_bus_buffer.scala 531:154] - buf_numvld_pend_any <= _T_4473 @[lsu_bus_buffer.scala 531:23] - node _T_4474 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 532:61] - node _T_4475 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 532:61] - node _T_4476 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 532:61] - node _T_4477 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 532:61] - node _T_4478 = or(_T_4477, _T_4476) @[lsu_bus_buffer.scala 532:93] - node _T_4479 = or(_T_4478, _T_4475) @[lsu_bus_buffer.scala 532:93] - node _T_4480 = or(_T_4479, _T_4474) @[lsu_bus_buffer.scala 532:93] - any_done_wait_state <= _T_4480 @[lsu_bus_buffer.scala 532:23] - node _T_4481 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 533:53] - io.lsu_bus_buffer_pend_any <= _T_4481 @[lsu_bus_buffer.scala 533:30] - node _T_4482 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 534:52] - node _T_4483 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 534:92] - node _T_4484 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 534:121] - node _T_4485 = mux(_T_4482, _T_4483, _T_4484) @[lsu_bus_buffer.scala 534:36] - io.lsu_bus_buffer_full_any <= _T_4485 @[lsu_bus_buffer.scala 534:30] - node _T_4486 = orr(buf_state[0]) @[lsu_bus_buffer.scala 535:52] - node _T_4487 = orr(buf_state[1]) @[lsu_bus_buffer.scala 535:52] - node _T_4488 = orr(buf_state[2]) @[lsu_bus_buffer.scala 535:52] - node _T_4489 = orr(buf_state[3]) @[lsu_bus_buffer.scala 535:52] - node _T_4490 = or(_T_4486, _T_4487) @[lsu_bus_buffer.scala 535:65] - node _T_4491 = or(_T_4490, _T_4488) @[lsu_bus_buffer.scala 535:65] - node _T_4492 = or(_T_4491, _T_4489) @[lsu_bus_buffer.scala 535:65] - node _T_4493 = eq(_T_4492, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:34] - node _T_4494 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:72] - node _T_4495 = and(_T_4493, _T_4494) @[lsu_bus_buffer.scala 535:70] - node _T_4496 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:86] - node _T_4497 = and(_T_4495, _T_4496) @[lsu_bus_buffer.scala 535:84] - io.lsu_bus_buffer_empty_any <= _T_4497 @[lsu_bus_buffer.scala 535:31] - node _T_4498 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 537:64] - node _T_4499 = and(_T_4498, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 537:85] - node _T_4500 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:112] - node _T_4501 = and(_T_4499, _T_4500) @[lsu_bus_buffer.scala 537:110] - node _T_4502 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:129] - node _T_4503 = and(_T_4501, _T_4502) @[lsu_bus_buffer.scala 537:127] - io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4503 @[lsu_bus_buffer.scala 537:45] + rvclkhdr_11.io.clk <= clock @[lib.scala 393:18] + rvclkhdr_11.io.en <= buf_data_en[3] @[lib.scala 394:17] + rvclkhdr_11.io.scan_mode <= UInt<1>("h00") @[lib.scala 395:24] + reg _T_4382 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 397:16] + _T_4382 <= buf_data_in[3] @[lib.scala 397:16] + buf_data[0] <= _T_4379 @[lsu_bus_buffer.scala 525:12] + buf_data[1] <= _T_4380 @[lsu_bus_buffer.scala 525:12] + buf_data[2] <= _T_4381 @[lsu_bus_buffer.scala 525:12] + buf_data[3] <= _T_4382 @[lsu_bus_buffer.scala 525:12] + node _T_4383 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 526:119] + node _T_4384 = mux(buf_error_en[0], UInt<1>("h01"), _T_4383) @[lsu_bus_buffer.scala 526:84] + node _T_4385 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:126] + node _T_4386 = and(_T_4384, _T_4385) @[lsu_bus_buffer.scala 526:124] + reg _T_4387 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 526:80] + _T_4387 <= _T_4386 @[lsu_bus_buffer.scala 526:80] + node _T_4388 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 526:119] + node _T_4389 = mux(buf_error_en[1], UInt<1>("h01"), _T_4388) @[lsu_bus_buffer.scala 526:84] + node _T_4390 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:126] + node _T_4391 = and(_T_4389, _T_4390) @[lsu_bus_buffer.scala 526:124] + reg _T_4392 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 526:80] + _T_4392 <= _T_4391 @[lsu_bus_buffer.scala 526:80] + node _T_4393 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 526:119] + node _T_4394 = mux(buf_error_en[2], UInt<1>("h01"), _T_4393) @[lsu_bus_buffer.scala 526:84] + node _T_4395 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:126] + node _T_4396 = and(_T_4394, _T_4395) @[lsu_bus_buffer.scala 526:124] + reg _T_4397 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 526:80] + _T_4397 <= _T_4396 @[lsu_bus_buffer.scala 526:80] + node _T_4398 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 526:119] + node _T_4399 = mux(buf_error_en[3], UInt<1>("h01"), _T_4398) @[lsu_bus_buffer.scala 526:84] + node _T_4400 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:126] + node _T_4401 = and(_T_4399, _T_4400) @[lsu_bus_buffer.scala 526:124] + reg _T_4402 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 526:80] + _T_4402 <= _T_4401 @[lsu_bus_buffer.scala 526:80] + node _T_4403 = cat(_T_4402, _T_4397) @[Cat.scala 29:58] + node _T_4404 = cat(_T_4403, _T_4392) @[Cat.scala 29:58] + node _T_4405 = cat(_T_4404, _T_4387) @[Cat.scala 29:58] + buf_error <= _T_4405 @[lsu_bus_buffer.scala 526:13] + node _T_4406 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4407 = mux(io.ldst_dual_m, _T_4406, io.lsu_busreq_m) @[lsu_bus_buffer.scala 528:28] + node _T_4408 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4409 = mux(io.ldst_dual_r, _T_4408, io.lsu_busreq_r) @[lsu_bus_buffer.scala 528:94] + node _T_4410 = add(_T_4407, _T_4409) @[lsu_bus_buffer.scala 528:88] + node _T_4411 = add(_T_4410, ibuf_valid) @[lsu_bus_buffer.scala 528:154] + node _T_4412 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 528:190] + node _T_4413 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 528:190] + node _T_4414 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 528:190] + node _T_4415 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 528:190] + node _T_4416 = add(_T_4412, _T_4413) @[lsu_bus_buffer.scala 528:217] + node _T_4417 = add(_T_4416, _T_4414) @[lsu_bus_buffer.scala 528:217] + node _T_4418 = add(_T_4417, _T_4415) @[lsu_bus_buffer.scala 528:217] + node _T_4419 = add(_T_4411, _T_4418) @[lsu_bus_buffer.scala 528:169] + node buf_numvld_any = tail(_T_4419, 1) @[lsu_bus_buffer.scala 528:169] + node _T_4420 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 529:60] + node _T_4421 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 529:79] + node _T_4422 = and(_T_4420, _T_4421) @[lsu_bus_buffer.scala 529:64] + node _T_4423 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:91] + node _T_4424 = and(_T_4422, _T_4423) @[lsu_bus_buffer.scala 529:89] + node _T_4425 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 529:60] + node _T_4426 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 529:79] + node _T_4427 = and(_T_4425, _T_4426) @[lsu_bus_buffer.scala 529:64] + node _T_4428 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:91] + node _T_4429 = and(_T_4427, _T_4428) @[lsu_bus_buffer.scala 529:89] + node _T_4430 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 529:60] + node _T_4431 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 529:79] + node _T_4432 = and(_T_4430, _T_4431) @[lsu_bus_buffer.scala 529:64] + node _T_4433 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:91] + node _T_4434 = and(_T_4432, _T_4433) @[lsu_bus_buffer.scala 529:89] + node _T_4435 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 529:60] + node _T_4436 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 529:79] + node _T_4437 = and(_T_4435, _T_4436) @[lsu_bus_buffer.scala 529:64] + node _T_4438 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:91] + node _T_4439 = and(_T_4437, _T_4438) @[lsu_bus_buffer.scala 529:89] + node _T_4440 = add(_T_4439, _T_4434) @[lsu_bus_buffer.scala 529:142] + node _T_4441 = add(_T_4440, _T_4429) @[lsu_bus_buffer.scala 529:142] + node _T_4442 = add(_T_4441, _T_4424) @[lsu_bus_buffer.scala 529:142] + buf_numvld_wrcmd_any <= _T_4442 @[lsu_bus_buffer.scala 529:24] + node _T_4443 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 530:63] + node _T_4444 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 530:75] + node _T_4445 = and(_T_4443, _T_4444) @[lsu_bus_buffer.scala 530:73] + node _T_4446 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 530:63] + node _T_4447 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 530:75] + node _T_4448 = and(_T_4446, _T_4447) @[lsu_bus_buffer.scala 530:73] + node _T_4449 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 530:63] + node _T_4450 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 530:75] + node _T_4451 = and(_T_4449, _T_4450) @[lsu_bus_buffer.scala 530:73] + node _T_4452 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 530:63] + node _T_4453 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 530:75] + node _T_4454 = and(_T_4452, _T_4453) @[lsu_bus_buffer.scala 530:73] + node _T_4455 = add(_T_4454, _T_4451) @[lsu_bus_buffer.scala 530:126] + node _T_4456 = add(_T_4455, _T_4448) @[lsu_bus_buffer.scala 530:126] + node _T_4457 = add(_T_4456, _T_4445) @[lsu_bus_buffer.scala 530:126] + buf_numvld_cmd_any <= _T_4457 @[lsu_bus_buffer.scala 530:22] + node _T_4458 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 531:63] + node _T_4459 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:90] + node _T_4460 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:102] + node _T_4461 = and(_T_4459, _T_4460) @[lsu_bus_buffer.scala 531:100] + node _T_4462 = or(_T_4458, _T_4461) @[lsu_bus_buffer.scala 531:74] + node _T_4463 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 531:63] + node _T_4464 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:90] + node _T_4465 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:102] + node _T_4466 = and(_T_4464, _T_4465) @[lsu_bus_buffer.scala 531:100] + node _T_4467 = or(_T_4463, _T_4466) @[lsu_bus_buffer.scala 531:74] + node _T_4468 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 531:63] + node _T_4469 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:90] + node _T_4470 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:102] + node _T_4471 = and(_T_4469, _T_4470) @[lsu_bus_buffer.scala 531:100] + node _T_4472 = or(_T_4468, _T_4471) @[lsu_bus_buffer.scala 531:74] + node _T_4473 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 531:63] + node _T_4474 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:90] + node _T_4475 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:102] + node _T_4476 = and(_T_4474, _T_4475) @[lsu_bus_buffer.scala 531:100] + node _T_4477 = or(_T_4473, _T_4476) @[lsu_bus_buffer.scala 531:74] + node _T_4478 = add(_T_4477, _T_4472) @[lsu_bus_buffer.scala 531:154] + node _T_4479 = add(_T_4478, _T_4467) @[lsu_bus_buffer.scala 531:154] + node _T_4480 = add(_T_4479, _T_4462) @[lsu_bus_buffer.scala 531:154] + buf_numvld_pend_any <= _T_4480 @[lsu_bus_buffer.scala 531:23] + node _T_4481 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 532:61] + node _T_4482 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 532:61] + node _T_4483 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 532:61] + node _T_4484 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 532:61] + node _T_4485 = or(_T_4484, _T_4483) @[lsu_bus_buffer.scala 532:93] + node _T_4486 = or(_T_4485, _T_4482) @[lsu_bus_buffer.scala 532:93] + node _T_4487 = or(_T_4486, _T_4481) @[lsu_bus_buffer.scala 532:93] + any_done_wait_state <= _T_4487 @[lsu_bus_buffer.scala 532:23] + node _T_4488 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 533:53] + io.lsu_bus_buffer_pend_any <= _T_4488 @[lsu_bus_buffer.scala 533:30] + node _T_4489 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 534:52] + node _T_4490 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 534:92] + node _T_4491 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 534:121] + node _T_4492 = mux(_T_4489, _T_4490, _T_4491) @[lsu_bus_buffer.scala 534:36] + io.lsu_bus_buffer_full_any <= _T_4492 @[lsu_bus_buffer.scala 534:30] + node _T_4493 = orr(buf_state[0]) @[lsu_bus_buffer.scala 535:52] + node _T_4494 = orr(buf_state[1]) @[lsu_bus_buffer.scala 535:52] + node _T_4495 = orr(buf_state[2]) @[lsu_bus_buffer.scala 535:52] + node _T_4496 = orr(buf_state[3]) @[lsu_bus_buffer.scala 535:52] + node _T_4497 = or(_T_4493, _T_4494) @[lsu_bus_buffer.scala 535:65] + node _T_4498 = or(_T_4497, _T_4495) @[lsu_bus_buffer.scala 535:65] + node _T_4499 = or(_T_4498, _T_4496) @[lsu_bus_buffer.scala 535:65] + node _T_4500 = eq(_T_4499, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:34] + node _T_4501 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:72] + node _T_4502 = and(_T_4500, _T_4501) @[lsu_bus_buffer.scala 535:70] + node _T_4503 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:86] + node _T_4504 = and(_T_4502, _T_4503) @[lsu_bus_buffer.scala 535:84] + io.lsu_bus_buffer_empty_any <= _T_4504 @[lsu_bus_buffer.scala 535:31] + node _T_4505 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 537:64] + node _T_4506 = and(_T_4505, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 537:85] + node _T_4507 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:112] + node _T_4508 = and(_T_4506, _T_4507) @[lsu_bus_buffer.scala 537:110] + node _T_4509 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:129] + node _T_4510 = and(_T_4508, _T_4509) @[lsu_bus_buffer.scala 537:127] + io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4510 @[lsu_bus_buffer.scala 537:45] io.dctl_busbuff.lsu_nonblock_load_tag_m <= WrPtr0_m @[lsu_bus_buffer.scala 538:43] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4504 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:74] - node _T_4505 = and(lsu_nonblock_load_valid_r, _T_4504) @[lsu_bus_buffer.scala 540:72] - io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4505 @[lsu_bus_buffer.scala 540:43] + node _T_4511 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:74] + node _T_4512 = and(lsu_nonblock_load_valid_r, _T_4511) @[lsu_bus_buffer.scala 540:72] + io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4512 @[lsu_bus_buffer.scala 540:43] io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[lsu_bus_buffer.scala 541:47] - node _T_4506 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:80] - node _T_4507 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 542:106] - node _T_4508 = eq(_T_4507, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:95] - node _T_4509 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:80] - node _T_4510 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 542:106] - node _T_4511 = eq(_T_4510, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:95] - node _T_4512 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:80] - node _T_4513 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 542:106] - node _T_4514 = eq(_T_4513, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:95] - node _T_4515 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:80] - node _T_4516 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 542:106] - node _T_4517 = eq(_T_4516, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:95] - node _T_4518 = mux(_T_4506, _T_4508, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4519 = mux(_T_4509, _T_4511, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4520 = mux(_T_4512, _T_4514, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4521 = mux(_T_4515, _T_4517, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4522 = or(_T_4518, _T_4519) @[Mux.scala 27:72] - node _T_4523 = or(_T_4522, _T_4520) @[Mux.scala 27:72] - node _T_4524 = or(_T_4523, _T_4521) @[Mux.scala 27:72] + node _T_4513 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:80] + node _T_4514 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 542:106] + node _T_4515 = eq(_T_4514, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:95] + node _T_4516 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:80] + node _T_4517 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 542:106] + node _T_4518 = eq(_T_4517, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:95] + node _T_4519 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:80] + node _T_4520 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 542:106] + node _T_4521 = eq(_T_4520, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:95] + node _T_4522 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:80] + node _T_4523 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 542:106] + node _T_4524 = eq(_T_4523, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:95] + node _T_4525 = mux(_T_4513, _T_4515, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4526 = mux(_T_4516, _T_4518, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4527 = mux(_T_4519, _T_4521, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4528 = mux(_T_4522, _T_4524, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4529 = or(_T_4525, _T_4526) @[Mux.scala 27:72] + node _T_4530 = or(_T_4529, _T_4527) @[Mux.scala 27:72] + node _T_4531 = or(_T_4530, _T_4528) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_load_data_ready <= _T_4524 @[Mux.scala 27:72] - node _T_4525 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 543:93] - node _T_4526 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 543:117] - node _T_4527 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 543:133] - node _T_4528 = eq(_T_4527, UInt<1>("h00")) @[lsu_bus_buffer.scala 543:123] - node _T_4529 = and(_T_4526, _T_4528) @[lsu_bus_buffer.scala 543:121] - node _T_4530 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 543:93] - node _T_4531 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 543:117] - node _T_4532 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 543:133] - node _T_4533 = eq(_T_4532, UInt<1>("h00")) @[lsu_bus_buffer.scala 543:123] - node _T_4534 = and(_T_4531, _T_4533) @[lsu_bus_buffer.scala 543:121] - node _T_4535 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 543:93] - node _T_4536 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 543:117] - node _T_4537 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 543:133] - node _T_4538 = eq(_T_4537, UInt<1>("h00")) @[lsu_bus_buffer.scala 543:123] - node _T_4539 = and(_T_4536, _T_4538) @[lsu_bus_buffer.scala 543:121] - node _T_4540 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 543:93] - node _T_4541 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 543:117] - node _T_4542 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 543:133] - node _T_4543 = eq(_T_4542, UInt<1>("h00")) @[lsu_bus_buffer.scala 543:123] - node _T_4544 = and(_T_4541, _T_4543) @[lsu_bus_buffer.scala 543:121] - node _T_4545 = mux(_T_4525, _T_4529, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4546 = mux(_T_4530, _T_4534, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4547 = mux(_T_4535, _T_4539, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4548 = mux(_T_4540, _T_4544, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4549 = or(_T_4545, _T_4546) @[Mux.scala 27:72] - node _T_4550 = or(_T_4549, _T_4547) @[Mux.scala 27:72] - node _T_4551 = or(_T_4550, _T_4548) @[Mux.scala 27:72] - wire _T_4552 : UInt<1> @[Mux.scala 27:72] - _T_4552 <= _T_4551 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4552 @[lsu_bus_buffer.scala 543:48] - node _T_4553 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:92] - node _T_4554 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 544:115] - node _T_4555 = eq(_T_4554, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:105] - node _T_4556 = and(_T_4553, _T_4555) @[lsu_bus_buffer.scala 544:103] - node _T_4557 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:122] - node _T_4558 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:137] - node _T_4559 = or(_T_4557, _T_4558) @[lsu_bus_buffer.scala 544:135] - node _T_4560 = and(_T_4556, _T_4559) @[lsu_bus_buffer.scala 544:119] - node _T_4561 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:92] - node _T_4562 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 544:115] - node _T_4563 = eq(_T_4562, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:105] - node _T_4564 = and(_T_4561, _T_4563) @[lsu_bus_buffer.scala 544:103] - node _T_4565 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:122] - node _T_4566 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:137] - node _T_4567 = or(_T_4565, _T_4566) @[lsu_bus_buffer.scala 544:135] - node _T_4568 = and(_T_4564, _T_4567) @[lsu_bus_buffer.scala 544:119] - node _T_4569 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:92] - node _T_4570 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 544:115] - node _T_4571 = eq(_T_4570, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:105] - node _T_4572 = and(_T_4569, _T_4571) @[lsu_bus_buffer.scala 544:103] - node _T_4573 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:122] - node _T_4574 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:137] - node _T_4575 = or(_T_4573, _T_4574) @[lsu_bus_buffer.scala 544:135] - node _T_4576 = and(_T_4572, _T_4575) @[lsu_bus_buffer.scala 544:119] - node _T_4577 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:92] - node _T_4578 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 544:115] - node _T_4579 = eq(_T_4578, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:105] - node _T_4580 = and(_T_4577, _T_4579) @[lsu_bus_buffer.scala 544:103] - node _T_4581 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:122] - node _T_4582 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:137] - node _T_4583 = or(_T_4581, _T_4582) @[lsu_bus_buffer.scala 544:135] - node _T_4584 = and(_T_4580, _T_4583) @[lsu_bus_buffer.scala 544:119] - node _T_4585 = mux(_T_4560, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4586 = mux(_T_4568, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4587 = mux(_T_4576, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4588 = mux(_T_4584, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4589 = or(_T_4585, _T_4586) @[Mux.scala 27:72] - node _T_4590 = or(_T_4589, _T_4587) @[Mux.scala 27:72] - node _T_4591 = or(_T_4590, _T_4588) @[Mux.scala 27:72] - wire _T_4592 : UInt<2> @[Mux.scala 27:72] - _T_4592 <= _T_4591 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4592 @[lsu_bus_buffer.scala 544:46] - node _T_4593 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:78] - node _T_4594 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 545:101] - node _T_4595 = eq(_T_4594, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:91] - node _T_4596 = and(_T_4593, _T_4595) @[lsu_bus_buffer.scala 545:89] - node _T_4597 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:108] - node _T_4598 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] - node _T_4599 = or(_T_4597, _T_4598) @[lsu_bus_buffer.scala 545:121] - node _T_4600 = and(_T_4596, _T_4599) @[lsu_bus_buffer.scala 545:105] - node _T_4601 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:78] - node _T_4602 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 545:101] - node _T_4603 = eq(_T_4602, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:91] - node _T_4604 = and(_T_4601, _T_4603) @[lsu_bus_buffer.scala 545:89] - node _T_4605 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:108] - node _T_4606 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] - node _T_4607 = or(_T_4605, _T_4606) @[lsu_bus_buffer.scala 545:121] - node _T_4608 = and(_T_4604, _T_4607) @[lsu_bus_buffer.scala 545:105] - node _T_4609 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:78] - node _T_4610 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 545:101] - node _T_4611 = eq(_T_4610, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:91] - node _T_4612 = and(_T_4609, _T_4611) @[lsu_bus_buffer.scala 545:89] - node _T_4613 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:108] - node _T_4614 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] - node _T_4615 = or(_T_4613, _T_4614) @[lsu_bus_buffer.scala 545:121] - node _T_4616 = and(_T_4612, _T_4615) @[lsu_bus_buffer.scala 545:105] - node _T_4617 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:78] - node _T_4618 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 545:101] - node _T_4619 = eq(_T_4618, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:91] - node _T_4620 = and(_T_4617, _T_4619) @[lsu_bus_buffer.scala 545:89] - node _T_4621 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:108] - node _T_4622 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] - node _T_4623 = or(_T_4621, _T_4622) @[lsu_bus_buffer.scala 545:121] - node _T_4624 = and(_T_4620, _T_4623) @[lsu_bus_buffer.scala 545:105] - node _T_4625 = mux(_T_4600, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4626 = mux(_T_4608, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4627 = mux(_T_4616, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4628 = mux(_T_4624, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4629 = or(_T_4625, _T_4626) @[Mux.scala 27:72] - node _T_4630 = or(_T_4629, _T_4627) @[Mux.scala 27:72] - node _T_4631 = or(_T_4630, _T_4628) @[Mux.scala 27:72] + lsu_nonblock_load_data_ready <= _T_4531 @[Mux.scala 27:72] + node _T_4532 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 543:93] + node _T_4533 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 543:117] + node _T_4534 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 543:133] + node _T_4535 = eq(_T_4534, UInt<1>("h00")) @[lsu_bus_buffer.scala 543:123] + node _T_4536 = and(_T_4533, _T_4535) @[lsu_bus_buffer.scala 543:121] + node _T_4537 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 543:93] + node _T_4538 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 543:117] + node _T_4539 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 543:133] + node _T_4540 = eq(_T_4539, UInt<1>("h00")) @[lsu_bus_buffer.scala 543:123] + node _T_4541 = and(_T_4538, _T_4540) @[lsu_bus_buffer.scala 543:121] + node _T_4542 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 543:93] + node _T_4543 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 543:117] + node _T_4544 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 543:133] + node _T_4545 = eq(_T_4544, UInt<1>("h00")) @[lsu_bus_buffer.scala 543:123] + node _T_4546 = and(_T_4543, _T_4545) @[lsu_bus_buffer.scala 543:121] + node _T_4547 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 543:93] + node _T_4548 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 543:117] + node _T_4549 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 543:133] + node _T_4550 = eq(_T_4549, UInt<1>("h00")) @[lsu_bus_buffer.scala 543:123] + node _T_4551 = and(_T_4548, _T_4550) @[lsu_bus_buffer.scala 543:121] + node _T_4552 = mux(_T_4532, _T_4536, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4553 = mux(_T_4537, _T_4541, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4554 = mux(_T_4542, _T_4546, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4555 = mux(_T_4547, _T_4551, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4556 = or(_T_4552, _T_4553) @[Mux.scala 27:72] + node _T_4557 = or(_T_4556, _T_4554) @[Mux.scala 27:72] + node _T_4558 = or(_T_4557, _T_4555) @[Mux.scala 27:72] + wire _T_4559 : UInt<1> @[Mux.scala 27:72] + _T_4559 <= _T_4558 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4559 @[lsu_bus_buffer.scala 543:48] + node _T_4560 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:92] + node _T_4561 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 544:115] + node _T_4562 = eq(_T_4561, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:105] + node _T_4563 = and(_T_4560, _T_4562) @[lsu_bus_buffer.scala 544:103] + node _T_4564 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:122] + node _T_4565 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:137] + node _T_4566 = or(_T_4564, _T_4565) @[lsu_bus_buffer.scala 544:135] + node _T_4567 = and(_T_4563, _T_4566) @[lsu_bus_buffer.scala 544:119] + node _T_4568 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:92] + node _T_4569 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 544:115] + node _T_4570 = eq(_T_4569, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:105] + node _T_4571 = and(_T_4568, _T_4570) @[lsu_bus_buffer.scala 544:103] + node _T_4572 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:122] + node _T_4573 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:137] + node _T_4574 = or(_T_4572, _T_4573) @[lsu_bus_buffer.scala 544:135] + node _T_4575 = and(_T_4571, _T_4574) @[lsu_bus_buffer.scala 544:119] + node _T_4576 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:92] + node _T_4577 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 544:115] + node _T_4578 = eq(_T_4577, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:105] + node _T_4579 = and(_T_4576, _T_4578) @[lsu_bus_buffer.scala 544:103] + node _T_4580 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:122] + node _T_4581 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:137] + node _T_4582 = or(_T_4580, _T_4581) @[lsu_bus_buffer.scala 544:135] + node _T_4583 = and(_T_4579, _T_4582) @[lsu_bus_buffer.scala 544:119] + node _T_4584 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:92] + node _T_4585 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 544:115] + node _T_4586 = eq(_T_4585, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:105] + node _T_4587 = and(_T_4584, _T_4586) @[lsu_bus_buffer.scala 544:103] + node _T_4588 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:122] + node _T_4589 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:137] + node _T_4590 = or(_T_4588, _T_4589) @[lsu_bus_buffer.scala 544:135] + node _T_4591 = and(_T_4587, _T_4590) @[lsu_bus_buffer.scala 544:119] + node _T_4592 = mux(_T_4567, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4593 = mux(_T_4575, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4594 = mux(_T_4583, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4595 = mux(_T_4591, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4596 = or(_T_4592, _T_4593) @[Mux.scala 27:72] + node _T_4597 = or(_T_4596, _T_4594) @[Mux.scala 27:72] + node _T_4598 = or(_T_4597, _T_4595) @[Mux.scala 27:72] + wire _T_4599 : UInt<2> @[Mux.scala 27:72] + _T_4599 <= _T_4598 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4599 @[lsu_bus_buffer.scala 544:46] + node _T_4600 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:78] + node _T_4601 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 545:101] + node _T_4602 = eq(_T_4601, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:91] + node _T_4603 = and(_T_4600, _T_4602) @[lsu_bus_buffer.scala 545:89] + node _T_4604 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:108] + node _T_4605 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4606 = or(_T_4604, _T_4605) @[lsu_bus_buffer.scala 545:121] + node _T_4607 = and(_T_4603, _T_4606) @[lsu_bus_buffer.scala 545:105] + node _T_4608 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:78] + node _T_4609 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 545:101] + node _T_4610 = eq(_T_4609, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:91] + node _T_4611 = and(_T_4608, _T_4610) @[lsu_bus_buffer.scala 545:89] + node _T_4612 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:108] + node _T_4613 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4614 = or(_T_4612, _T_4613) @[lsu_bus_buffer.scala 545:121] + node _T_4615 = and(_T_4611, _T_4614) @[lsu_bus_buffer.scala 545:105] + node _T_4616 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:78] + node _T_4617 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 545:101] + node _T_4618 = eq(_T_4617, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:91] + node _T_4619 = and(_T_4616, _T_4618) @[lsu_bus_buffer.scala 545:89] + node _T_4620 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:108] + node _T_4621 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4622 = or(_T_4620, _T_4621) @[lsu_bus_buffer.scala 545:121] + node _T_4623 = and(_T_4619, _T_4622) @[lsu_bus_buffer.scala 545:105] + node _T_4624 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:78] + node _T_4625 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 545:101] + node _T_4626 = eq(_T_4625, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:91] + node _T_4627 = and(_T_4624, _T_4626) @[lsu_bus_buffer.scala 545:89] + node _T_4628 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:108] + node _T_4629 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4630 = or(_T_4628, _T_4629) @[lsu_bus_buffer.scala 545:121] + node _T_4631 = and(_T_4627, _T_4630) @[lsu_bus_buffer.scala 545:105] + node _T_4632 = mux(_T_4607, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4633 = mux(_T_4615, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4634 = mux(_T_4623, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4635 = mux(_T_4631, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4636 = or(_T_4632, _T_4633) @[Mux.scala 27:72] + node _T_4637 = or(_T_4636, _T_4634) @[Mux.scala 27:72] + node _T_4638 = or(_T_4637, _T_4635) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_lo <= _T_4631 @[Mux.scala 27:72] - node _T_4632 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:78] - node _T_4633 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 546:101] - node _T_4634 = eq(_T_4633, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:91] - node _T_4635 = and(_T_4632, _T_4634) @[lsu_bus_buffer.scala 546:89] - node _T_4636 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 546:120] - node _T_4637 = and(_T_4635, _T_4636) @[lsu_bus_buffer.scala 546:105] - node _T_4638 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:78] - node _T_4639 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 546:101] - node _T_4640 = eq(_T_4639, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:91] - node _T_4641 = and(_T_4638, _T_4640) @[lsu_bus_buffer.scala 546:89] - node _T_4642 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 546:120] - node _T_4643 = and(_T_4641, _T_4642) @[lsu_bus_buffer.scala 546:105] - node _T_4644 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:78] - node _T_4645 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 546:101] - node _T_4646 = eq(_T_4645, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:91] - node _T_4647 = and(_T_4644, _T_4646) @[lsu_bus_buffer.scala 546:89] - node _T_4648 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 546:120] - node _T_4649 = and(_T_4647, _T_4648) @[lsu_bus_buffer.scala 546:105] - node _T_4650 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:78] - node _T_4651 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 546:101] - node _T_4652 = eq(_T_4651, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:91] - node _T_4653 = and(_T_4650, _T_4652) @[lsu_bus_buffer.scala 546:89] - node _T_4654 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 546:120] - node _T_4655 = and(_T_4653, _T_4654) @[lsu_bus_buffer.scala 546:105] - node _T_4656 = mux(_T_4637, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4657 = mux(_T_4643, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4658 = mux(_T_4649, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4659 = mux(_T_4655, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4660 = or(_T_4656, _T_4657) @[Mux.scala 27:72] - node _T_4661 = or(_T_4660, _T_4658) @[Mux.scala 27:72] - node _T_4662 = or(_T_4661, _T_4659) @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4638 @[Mux.scala 27:72] + node _T_4639 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:78] + node _T_4640 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 546:101] + node _T_4641 = eq(_T_4640, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:91] + node _T_4642 = and(_T_4639, _T_4641) @[lsu_bus_buffer.scala 546:89] + node _T_4643 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 546:120] + node _T_4644 = and(_T_4642, _T_4643) @[lsu_bus_buffer.scala 546:105] + node _T_4645 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:78] + node _T_4646 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 546:101] + node _T_4647 = eq(_T_4646, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:91] + node _T_4648 = and(_T_4645, _T_4647) @[lsu_bus_buffer.scala 546:89] + node _T_4649 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 546:120] + node _T_4650 = and(_T_4648, _T_4649) @[lsu_bus_buffer.scala 546:105] + node _T_4651 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:78] + node _T_4652 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 546:101] + node _T_4653 = eq(_T_4652, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:91] + node _T_4654 = and(_T_4651, _T_4653) @[lsu_bus_buffer.scala 546:89] + node _T_4655 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 546:120] + node _T_4656 = and(_T_4654, _T_4655) @[lsu_bus_buffer.scala 546:105] + node _T_4657 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:78] + node _T_4658 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 546:101] + node _T_4659 = eq(_T_4658, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:91] + node _T_4660 = and(_T_4657, _T_4659) @[lsu_bus_buffer.scala 546:89] + node _T_4661 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 546:120] + node _T_4662 = and(_T_4660, _T_4661) @[lsu_bus_buffer.scala 546:105] + node _T_4663 = mux(_T_4644, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4664 = mux(_T_4650, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4665 = mux(_T_4656, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4666 = mux(_T_4662, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4667 = or(_T_4663, _T_4664) @[Mux.scala 27:72] + node _T_4668 = or(_T_4667, _T_4665) @[Mux.scala 27:72] + node _T_4669 = or(_T_4668, _T_4666) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_hi <= _T_4662 @[Mux.scala 27:72] - node _T_4663 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] - node _T_4664 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] - node _T_4665 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] - node _T_4666 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] - node _T_4667 = mux(_T_4663, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4668 = mux(_T_4664, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4669 = mux(_T_4665, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4670 = mux(_T_4666, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4671 = or(_T_4667, _T_4668) @[Mux.scala 27:72] - node _T_4672 = or(_T_4671, _T_4669) @[Mux.scala 27:72] - node _T_4673 = or(_T_4672, _T_4670) @[Mux.scala 27:72] - wire _T_4674 : UInt<32> @[Mux.scala 27:72] - _T_4674 <= _T_4673 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4674, 1, 0) @[lsu_bus_buffer.scala 547:96] - node _T_4675 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] - node _T_4676 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] - node _T_4677 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] - node _T_4678 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] - node _T_4679 = mux(_T_4675, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4680 = mux(_T_4676, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4681 = mux(_T_4677, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4682 = mux(_T_4678, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4683 = or(_T_4679, _T_4680) @[Mux.scala 27:72] - node _T_4684 = or(_T_4683, _T_4681) @[Mux.scala 27:72] - node _T_4685 = or(_T_4684, _T_4682) @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4669 @[Mux.scala 27:72] + node _T_4670 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_4671 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_4672 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_4673 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_4674 = mux(_T_4670, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4675 = mux(_T_4671, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4676 = mux(_T_4672, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4677 = mux(_T_4673, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4678 = or(_T_4674, _T_4675) @[Mux.scala 27:72] + node _T_4679 = or(_T_4678, _T_4676) @[Mux.scala 27:72] + node _T_4680 = or(_T_4679, _T_4677) @[Mux.scala 27:72] + wire _T_4681 : UInt<32> @[Mux.scala 27:72] + _T_4681 <= _T_4680 @[Mux.scala 27:72] + node lsu_nonblock_addr_offset = bits(_T_4681, 1, 0) @[lsu_bus_buffer.scala 547:96] + node _T_4682 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_4683 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_4684 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_4685 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_4686 = mux(_T_4682, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4687 = mux(_T_4683, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4688 = mux(_T_4684, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4689 = mux(_T_4685, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4690 = or(_T_4686, _T_4687) @[Mux.scala 27:72] + node _T_4691 = or(_T_4690, _T_4688) @[Mux.scala 27:72] + node _T_4692 = or(_T_4691, _T_4689) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] - lsu_nonblock_sz <= _T_4685 @[Mux.scala 27:72] - node _T_4686 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] - node _T_4687 = bits(buf_unsign, 0, 0) @[lsu_bus_buffer.scala 60:129] - node _T_4688 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] - node _T_4689 = bits(buf_unsign, 1, 1) @[lsu_bus_buffer.scala 60:129] - node _T_4690 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] - node _T_4691 = bits(buf_unsign, 2, 2) @[lsu_bus_buffer.scala 60:129] - node _T_4692 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] - node _T_4693 = bits(buf_unsign, 3, 3) @[lsu_bus_buffer.scala 60:129] - node _T_4694 = mux(_T_4686, _T_4687, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4695 = mux(_T_4688, _T_4689, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4696 = mux(_T_4690, _T_4691, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4697 = mux(_T_4692, _T_4693, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4698 = or(_T_4694, _T_4695) @[Mux.scala 27:72] - node _T_4699 = or(_T_4698, _T_4696) @[Mux.scala 27:72] - node _T_4700 = or(_T_4699, _T_4697) @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4692 @[Mux.scala 27:72] + node _T_4693 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_4694 = bits(buf_unsign, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_4695 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_4696 = bits(buf_unsign, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_4697 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_4698 = bits(buf_unsign, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_4699 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_4700 = bits(buf_unsign, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_4701 = mux(_T_4693, _T_4694, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4702 = mux(_T_4695, _T_4696, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4703 = mux(_T_4697, _T_4698, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4704 = mux(_T_4699, _T_4700, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4705 = or(_T_4701, _T_4702) @[Mux.scala 27:72] + node _T_4706 = or(_T_4705, _T_4703) @[Mux.scala 27:72] + node _T_4707 = or(_T_4706, _T_4704) @[Mux.scala 27:72] wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_unsign <= _T_4700 @[Mux.scala 27:72] - node _T_4701 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4702 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 551:121] - node lsu_nonblock_data_unalgn = dshr(_T_4701, _T_4702) @[lsu_bus_buffer.scala 551:92] - node _T_4703 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:82] - node _T_4704 = and(lsu_nonblock_load_data_ready, _T_4703) @[lsu_bus_buffer.scala 553:80] - io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4704 @[lsu_bus_buffer.scala 553:48] - node _T_4705 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:94] - node _T_4706 = and(lsu_nonblock_unsign, _T_4705) @[lsu_bus_buffer.scala 554:76] - node _T_4707 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 554:144] - node _T_4708 = cat(UInt<24>("h00"), _T_4707) @[Cat.scala 29:58] - node _T_4709 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 555:45] - node _T_4710 = and(lsu_nonblock_unsign, _T_4709) @[lsu_bus_buffer.scala 555:26] - node _T_4711 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 555:95] - node _T_4712 = cat(UInt<16>("h00"), _T_4711) @[Cat.scala 29:58] - node _T_4713 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 556:6] - node _T_4714 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 556:45] - node _T_4715 = and(_T_4713, _T_4714) @[lsu_bus_buffer.scala 556:27] - node _T_4716 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 556:93] - node _T_4717 = bits(_T_4716, 0, 0) @[Bitwise.scala 72:15] - node _T_4718 = mux(_T_4717, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4719 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 556:123] - node _T_4720 = cat(_T_4718, _T_4719) @[Cat.scala 29:58] - node _T_4721 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:6] - node _T_4722 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 557:45] - node _T_4723 = and(_T_4721, _T_4722) @[lsu_bus_buffer.scala 557:27] - node _T_4724 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 557:93] - node _T_4725 = bits(_T_4724, 0, 0) @[Bitwise.scala 72:15] - node _T_4726 = mux(_T_4725, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4727 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 557:124] - node _T_4728 = cat(_T_4726, _T_4727) @[Cat.scala 29:58] - node _T_4729 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 558:21] - node _T_4730 = mux(_T_4706, _T_4708, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4731 = mux(_T_4710, _T_4712, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4732 = mux(_T_4715, _T_4720, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4733 = mux(_T_4723, _T_4728, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4734 = mux(_T_4729, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4735 = or(_T_4730, _T_4731) @[Mux.scala 27:72] - node _T_4736 = or(_T_4735, _T_4732) @[Mux.scala 27:72] - node _T_4737 = or(_T_4736, _T_4733) @[Mux.scala 27:72] - node _T_4738 = or(_T_4737, _T_4734) @[Mux.scala 27:72] - wire _T_4739 : UInt<64> @[Mux.scala 27:72] - _T_4739 <= _T_4738 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data <= _T_4739 @[lsu_bus_buffer.scala 554:42] - node _T_4740 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 559:62] - node _T_4741 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 559:89] - node _T_4742 = and(_T_4740, _T_4741) @[lsu_bus_buffer.scala 559:73] - node _T_4743 = and(_T_4742, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 559:93] - node _T_4744 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 559:62] - node _T_4745 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 559:89] - node _T_4746 = and(_T_4744, _T_4745) @[lsu_bus_buffer.scala 559:73] - node _T_4747 = and(_T_4746, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 559:93] - node _T_4748 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 559:62] - node _T_4749 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 559:89] - node _T_4750 = and(_T_4748, _T_4749) @[lsu_bus_buffer.scala 559:73] - node _T_4751 = and(_T_4750, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 559:93] - node _T_4752 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 559:62] - node _T_4753 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 559:89] - node _T_4754 = and(_T_4752, _T_4753) @[lsu_bus_buffer.scala 559:73] - node _T_4755 = and(_T_4754, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 559:93] - node _T_4756 = or(_T_4743, _T_4747) @[lsu_bus_buffer.scala 559:153] - node _T_4757 = or(_T_4756, _T_4751) @[lsu_bus_buffer.scala 559:153] - node _T_4758 = or(_T_4757, _T_4755) @[lsu_bus_buffer.scala 559:153] - node _T_4759 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 559:171] - node _T_4760 = and(_T_4759, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 559:189] - node _T_4761 = or(_T_4758, _T_4760) @[lsu_bus_buffer.scala 559:157] - bus_sideeffect_pend <= _T_4761 @[lsu_bus_buffer.scala 559:23] - node _T_4762 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 560:71] - node _T_4763 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 561:31] - node _T_4764 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 561:51] - node _T_4765 = eq(_T_4763, _T_4764) @[lsu_bus_buffer.scala 561:37] - node _T_4766 = and(obuf_valid, _T_4765) @[lsu_bus_buffer.scala 561:19] - node _T_4767 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:73] - node _T_4768 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:107] - node _T_4769 = and(obuf_merge, _T_4768) @[lsu_bus_buffer.scala 561:95] - node _T_4770 = or(_T_4767, _T_4769) @[lsu_bus_buffer.scala 561:81] - node _T_4771 = eq(_T_4770, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:61] - node _T_4772 = and(_T_4766, _T_4771) @[lsu_bus_buffer.scala 561:59] - node _T_4773 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 560:71] - node _T_4774 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 561:31] - node _T_4775 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 561:51] - node _T_4776 = eq(_T_4774, _T_4775) @[lsu_bus_buffer.scala 561:37] - node _T_4777 = and(obuf_valid, _T_4776) @[lsu_bus_buffer.scala 561:19] - node _T_4778 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 561:73] - node _T_4779 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 561:107] - node _T_4780 = and(obuf_merge, _T_4779) @[lsu_bus_buffer.scala 561:95] - node _T_4781 = or(_T_4778, _T_4780) @[lsu_bus_buffer.scala 561:81] - node _T_4782 = eq(_T_4781, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:61] - node _T_4783 = and(_T_4777, _T_4782) @[lsu_bus_buffer.scala 561:59] - node _T_4784 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 560:71] - node _T_4785 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 561:31] - node _T_4786 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 561:51] - node _T_4787 = eq(_T_4785, _T_4786) @[lsu_bus_buffer.scala 561:37] - node _T_4788 = and(obuf_valid, _T_4787) @[lsu_bus_buffer.scala 561:19] - node _T_4789 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 561:73] - node _T_4790 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 561:107] - node _T_4791 = and(obuf_merge, _T_4790) @[lsu_bus_buffer.scala 561:95] - node _T_4792 = or(_T_4789, _T_4791) @[lsu_bus_buffer.scala 561:81] - node _T_4793 = eq(_T_4792, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:61] - node _T_4794 = and(_T_4788, _T_4793) @[lsu_bus_buffer.scala 561:59] - node _T_4795 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 560:71] - node _T_4796 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 561:31] - node _T_4797 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 561:51] - node _T_4798 = eq(_T_4796, _T_4797) @[lsu_bus_buffer.scala 561:37] - node _T_4799 = and(obuf_valid, _T_4798) @[lsu_bus_buffer.scala 561:19] - node _T_4800 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 561:73] - node _T_4801 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 561:107] - node _T_4802 = and(obuf_merge, _T_4801) @[lsu_bus_buffer.scala 561:95] - node _T_4803 = or(_T_4800, _T_4802) @[lsu_bus_buffer.scala 561:81] - node _T_4804 = eq(_T_4803, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:61] - node _T_4805 = and(_T_4799, _T_4804) @[lsu_bus_buffer.scala 561:59] - node _T_4806 = mux(_T_4762, _T_4772, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4807 = mux(_T_4773, _T_4783, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4808 = mux(_T_4784, _T_4794, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4809 = mux(_T_4795, _T_4805, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4810 = or(_T_4806, _T_4807) @[Mux.scala 27:72] - node _T_4811 = or(_T_4810, _T_4808) @[Mux.scala 27:72] - node _T_4812 = or(_T_4811, _T_4809) @[Mux.scala 27:72] - wire _T_4813 : UInt<1> @[Mux.scala 27:72] - _T_4813 <= _T_4812 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4813 @[lsu_bus_buffer.scala 560:26] - node _T_4814 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 563:54] - node _T_4815 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 563:75] - node _T_4816 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 563:153] - node _T_4817 = mux(_T_4814, _T_4815, _T_4816) @[lsu_bus_buffer.scala 563:39] - node _T_4818 = mux(obuf_write, _T_4817, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 563:23] - bus_cmd_ready <= _T_4818 @[lsu_bus_buffer.scala 563:17] - node _T_4819 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 564:40] - bus_wcmd_sent <= _T_4819 @[lsu_bus_buffer.scala 564:17] - node _T_4820 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 565:40] - bus_wdata_sent <= _T_4820 @[lsu_bus_buffer.scala 565:18] - node _T_4821 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 566:35] - node _T_4822 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 566:70] - node _T_4823 = and(_T_4821, _T_4822) @[lsu_bus_buffer.scala 566:52] - node _T_4824 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 566:112] - node _T_4825 = or(_T_4823, _T_4824) @[lsu_bus_buffer.scala 566:89] - bus_cmd_sent <= _T_4825 @[lsu_bus_buffer.scala 566:16] - node _T_4826 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 567:38] - bus_rsp_read <= _T_4826 @[lsu_bus_buffer.scala 567:16] - node _T_4827 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 568:39] - bus_rsp_write <= _T_4827 @[lsu_bus_buffer.scala 568:17] + lsu_nonblock_unsign <= _T_4707 @[Mux.scala 27:72] + node _T_4708 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4709 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 551:121] + node lsu_nonblock_data_unalgn = dshr(_T_4708, _T_4709) @[lsu_bus_buffer.scala 551:92] + node _T_4710 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:82] + node _T_4711 = and(lsu_nonblock_load_data_ready, _T_4710) @[lsu_bus_buffer.scala 553:80] + io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4711 @[lsu_bus_buffer.scala 553:48] + node _T_4712 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:94] + node _T_4713 = and(lsu_nonblock_unsign, _T_4712) @[lsu_bus_buffer.scala 554:76] + node _T_4714 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 554:144] + node _T_4715 = cat(UInt<24>("h00"), _T_4714) @[Cat.scala 29:58] + node _T_4716 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 555:45] + node _T_4717 = and(lsu_nonblock_unsign, _T_4716) @[lsu_bus_buffer.scala 555:26] + node _T_4718 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 555:95] + node _T_4719 = cat(UInt<16>("h00"), _T_4718) @[Cat.scala 29:58] + node _T_4720 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 556:6] + node _T_4721 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 556:45] + node _T_4722 = and(_T_4720, _T_4721) @[lsu_bus_buffer.scala 556:27] + node _T_4723 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 556:93] + node _T_4724 = bits(_T_4723, 0, 0) @[Bitwise.scala 72:15] + node _T_4725 = mux(_T_4724, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4726 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 556:123] + node _T_4727 = cat(_T_4725, _T_4726) @[Cat.scala 29:58] + node _T_4728 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:6] + node _T_4729 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 557:45] + node _T_4730 = and(_T_4728, _T_4729) @[lsu_bus_buffer.scala 557:27] + node _T_4731 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 557:93] + node _T_4732 = bits(_T_4731, 0, 0) @[Bitwise.scala 72:15] + node _T_4733 = mux(_T_4732, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4734 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 557:124] + node _T_4735 = cat(_T_4733, _T_4734) @[Cat.scala 29:58] + node _T_4736 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 558:21] + node _T_4737 = mux(_T_4713, _T_4715, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4738 = mux(_T_4717, _T_4719, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4739 = mux(_T_4722, _T_4727, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4740 = mux(_T_4730, _T_4735, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4741 = mux(_T_4736, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4742 = or(_T_4737, _T_4738) @[Mux.scala 27:72] + node _T_4743 = or(_T_4742, _T_4739) @[Mux.scala 27:72] + node _T_4744 = or(_T_4743, _T_4740) @[Mux.scala 27:72] + node _T_4745 = or(_T_4744, _T_4741) @[Mux.scala 27:72] + wire _T_4746 : UInt<64> @[Mux.scala 27:72] + _T_4746 <= _T_4745 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data <= _T_4746 @[lsu_bus_buffer.scala 554:42] + node _T_4747 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 559:62] + node _T_4748 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 559:89] + node _T_4749 = and(_T_4747, _T_4748) @[lsu_bus_buffer.scala 559:73] + node _T_4750 = and(_T_4749, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 559:93] + node _T_4751 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 559:62] + node _T_4752 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 559:89] + node _T_4753 = and(_T_4751, _T_4752) @[lsu_bus_buffer.scala 559:73] + node _T_4754 = and(_T_4753, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 559:93] + node _T_4755 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 559:62] + node _T_4756 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 559:89] + node _T_4757 = and(_T_4755, _T_4756) @[lsu_bus_buffer.scala 559:73] + node _T_4758 = and(_T_4757, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 559:93] + node _T_4759 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 559:62] + node _T_4760 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 559:89] + node _T_4761 = and(_T_4759, _T_4760) @[lsu_bus_buffer.scala 559:73] + node _T_4762 = and(_T_4761, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 559:93] + node _T_4763 = or(_T_4750, _T_4754) @[lsu_bus_buffer.scala 559:153] + node _T_4764 = or(_T_4763, _T_4758) @[lsu_bus_buffer.scala 559:153] + node _T_4765 = or(_T_4764, _T_4762) @[lsu_bus_buffer.scala 559:153] + node _T_4766 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 559:171] + node _T_4767 = and(_T_4766, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 559:189] + node _T_4768 = or(_T_4765, _T_4767) @[lsu_bus_buffer.scala 559:157] + bus_sideeffect_pend <= _T_4768 @[lsu_bus_buffer.scala 559:23] + node _T_4769 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 560:71] + node _T_4770 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 561:31] + node _T_4771 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 561:51] + node _T_4772 = eq(_T_4770, _T_4771) @[lsu_bus_buffer.scala 561:37] + node _T_4773 = and(obuf_valid, _T_4772) @[lsu_bus_buffer.scala 561:19] + node _T_4774 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:73] + node _T_4775 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:107] + node _T_4776 = and(obuf_merge, _T_4775) @[lsu_bus_buffer.scala 561:95] + node _T_4777 = or(_T_4774, _T_4776) @[lsu_bus_buffer.scala 561:81] + node _T_4778 = eq(_T_4777, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:61] + node _T_4779 = and(_T_4773, _T_4778) @[lsu_bus_buffer.scala 561:59] + node _T_4780 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 560:71] + node _T_4781 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 561:31] + node _T_4782 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 561:51] + node _T_4783 = eq(_T_4781, _T_4782) @[lsu_bus_buffer.scala 561:37] + node _T_4784 = and(obuf_valid, _T_4783) @[lsu_bus_buffer.scala 561:19] + node _T_4785 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 561:73] + node _T_4786 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 561:107] + node _T_4787 = and(obuf_merge, _T_4786) @[lsu_bus_buffer.scala 561:95] + node _T_4788 = or(_T_4785, _T_4787) @[lsu_bus_buffer.scala 561:81] + node _T_4789 = eq(_T_4788, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:61] + node _T_4790 = and(_T_4784, _T_4789) @[lsu_bus_buffer.scala 561:59] + node _T_4791 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 560:71] + node _T_4792 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 561:31] + node _T_4793 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 561:51] + node _T_4794 = eq(_T_4792, _T_4793) @[lsu_bus_buffer.scala 561:37] + node _T_4795 = and(obuf_valid, _T_4794) @[lsu_bus_buffer.scala 561:19] + node _T_4796 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 561:73] + node _T_4797 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 561:107] + node _T_4798 = and(obuf_merge, _T_4797) @[lsu_bus_buffer.scala 561:95] + node _T_4799 = or(_T_4796, _T_4798) @[lsu_bus_buffer.scala 561:81] + node _T_4800 = eq(_T_4799, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:61] + node _T_4801 = and(_T_4795, _T_4800) @[lsu_bus_buffer.scala 561:59] + node _T_4802 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 560:71] + node _T_4803 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 561:31] + node _T_4804 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 561:51] + node _T_4805 = eq(_T_4803, _T_4804) @[lsu_bus_buffer.scala 561:37] + node _T_4806 = and(obuf_valid, _T_4805) @[lsu_bus_buffer.scala 561:19] + node _T_4807 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 561:73] + node _T_4808 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 561:107] + node _T_4809 = and(obuf_merge, _T_4808) @[lsu_bus_buffer.scala 561:95] + node _T_4810 = or(_T_4807, _T_4809) @[lsu_bus_buffer.scala 561:81] + node _T_4811 = eq(_T_4810, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:61] + node _T_4812 = and(_T_4806, _T_4811) @[lsu_bus_buffer.scala 561:59] + node _T_4813 = mux(_T_4769, _T_4779, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4814 = mux(_T_4780, _T_4790, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4815 = mux(_T_4791, _T_4801, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4816 = mux(_T_4802, _T_4812, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4817 = or(_T_4813, _T_4814) @[Mux.scala 27:72] + node _T_4818 = or(_T_4817, _T_4815) @[Mux.scala 27:72] + node _T_4819 = or(_T_4818, _T_4816) @[Mux.scala 27:72] + wire _T_4820 : UInt<1> @[Mux.scala 27:72] + _T_4820 <= _T_4819 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4820 @[lsu_bus_buffer.scala 560:26] + node _T_4821 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 563:54] + node _T_4822 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 563:75] + node _T_4823 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 563:153] + node _T_4824 = mux(_T_4821, _T_4822, _T_4823) @[lsu_bus_buffer.scala 563:39] + node _T_4825 = mux(obuf_write, _T_4824, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 563:23] + bus_cmd_ready <= _T_4825 @[lsu_bus_buffer.scala 563:17] + node _T_4826 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 564:40] + bus_wcmd_sent <= _T_4826 @[lsu_bus_buffer.scala 564:17] + node _T_4827 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 565:40] + bus_wdata_sent <= _T_4827 @[lsu_bus_buffer.scala 565:18] + node _T_4828 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 566:35] + node _T_4829 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 566:70] + node _T_4830 = and(_T_4828, _T_4829) @[lsu_bus_buffer.scala 566:52] + node _T_4831 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 566:112] + node _T_4832 = or(_T_4830, _T_4831) @[lsu_bus_buffer.scala 566:89] + bus_cmd_sent <= _T_4832 @[lsu_bus_buffer.scala 566:16] + node _T_4833 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 567:38] + bus_rsp_read <= _T_4833 @[lsu_bus_buffer.scala 567:16] + node _T_4834 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 568:39] + bus_rsp_write <= _T_4834 @[lsu_bus_buffer.scala 568:17] bus_rsp_read_tag <= io.lsu_axi.r.bits.id @[lsu_bus_buffer.scala 569:20] bus_rsp_write_tag <= io.lsu_axi.b.bits.id @[lsu_bus_buffer.scala 570:21] - node _T_4828 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 571:66] - node _T_4829 = and(bus_rsp_write, _T_4828) @[lsu_bus_buffer.scala 571:40] - bus_rsp_write_error <= _T_4829 @[lsu_bus_buffer.scala 571:23] - node _T_4830 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 572:64] - node _T_4831 = and(bus_rsp_read, _T_4830) @[lsu_bus_buffer.scala 572:38] - bus_rsp_read_error <= _T_4831 @[lsu_bus_buffer.scala 572:22] + node _T_4835 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 571:66] + node _T_4836 = and(bus_rsp_write, _T_4835) @[lsu_bus_buffer.scala 571:40] + bus_rsp_write_error <= _T_4836 @[lsu_bus_buffer.scala 571:23] + node _T_4837 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 572:64] + node _T_4838 = and(bus_rsp_read, _T_4837) @[lsu_bus_buffer.scala 572:38] + bus_rsp_read_error <= _T_4838 @[lsu_bus_buffer.scala 572:22] bus_rsp_rdata <= io.lsu_axi.r.bits.data @[lsu_bus_buffer.scala 573:17] - node _T_4832 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 576:37] - node _T_4833 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 576:52] - node _T_4834 = and(_T_4832, _T_4833) @[lsu_bus_buffer.scala 576:50] - node _T_4835 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 576:69] - node _T_4836 = and(_T_4834, _T_4835) @[lsu_bus_buffer.scala 576:67] - io.lsu_axi.aw.valid <= _T_4836 @[lsu_bus_buffer.scala 576:23] + node _T_4839 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 576:37] + node _T_4840 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 576:52] + node _T_4841 = and(_T_4839, _T_4840) @[lsu_bus_buffer.scala 576:50] + node _T_4842 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 576:69] + node _T_4843 = and(_T_4841, _T_4842) @[lsu_bus_buffer.scala 576:67] + io.lsu_axi.aw.valid <= _T_4843 @[lsu_bus_buffer.scala 576:23] io.lsu_axi.aw.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 577:25] - node _T_4837 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 578:75] - node _T_4838 = cat(_T_4837, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4839 = mux(obuf_sideeffect, obuf_addr, _T_4838) @[lsu_bus_buffer.scala 578:33] - io.lsu_axi.aw.bits.addr <= _T_4839 @[lsu_bus_buffer.scala 578:27] - node _T_4840 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4841 = mux(obuf_sideeffect, _T_4840, UInt<3>("h03")) @[lsu_bus_buffer.scala 579:33] - io.lsu_axi.aw.bits.size <= _T_4841 @[lsu_bus_buffer.scala 579:27] + node _T_4844 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 578:75] + node _T_4845 = cat(_T_4844, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4846 = mux(obuf_sideeffect, obuf_addr, _T_4845) @[lsu_bus_buffer.scala 578:33] + io.lsu_axi.aw.bits.addr <= _T_4846 @[lsu_bus_buffer.scala 578:27] + node _T_4847 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4848 = mux(obuf_sideeffect, _T_4847, UInt<3>("h03")) @[lsu_bus_buffer.scala 579:33] + io.lsu_axi.aw.bits.size <= _T_4848 @[lsu_bus_buffer.scala 579:27] io.lsu_axi.aw.bits.prot <= UInt<1>("h01") @[lsu_bus_buffer.scala 580:27] - node _T_4842 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 581:34] - io.lsu_axi.aw.bits.cache <= _T_4842 @[lsu_bus_buffer.scala 581:28] - node _T_4843 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 582:41] - io.lsu_axi.aw.bits.region <= _T_4843 @[lsu_bus_buffer.scala 582:29] + node _T_4849 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 581:34] + io.lsu_axi.aw.bits.cache <= _T_4849 @[lsu_bus_buffer.scala 581:28] + node _T_4850 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 582:41] + io.lsu_axi.aw.bits.region <= _T_4850 @[lsu_bus_buffer.scala 582:29] io.lsu_axi.aw.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 583:26] io.lsu_axi.aw.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 584:28] io.lsu_axi.aw.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 585:26] io.lsu_axi.aw.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 586:27] - node _T_4844 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 588:36] - node _T_4845 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 588:51] - node _T_4846 = and(_T_4844, _T_4845) @[lsu_bus_buffer.scala 588:49] - node _T_4847 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 588:69] - node _T_4848 = and(_T_4846, _T_4847) @[lsu_bus_buffer.scala 588:67] - io.lsu_axi.w.valid <= _T_4848 @[lsu_bus_buffer.scala 588:22] - node _T_4849 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] - node _T_4850 = mux(_T_4849, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4851 = and(obuf_byteen, _T_4850) @[lsu_bus_buffer.scala 589:41] - io.lsu_axi.w.bits.strb <= _T_4851 @[lsu_bus_buffer.scala 589:26] + node _T_4851 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 588:36] + node _T_4852 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 588:51] + node _T_4853 = and(_T_4851, _T_4852) @[lsu_bus_buffer.scala 588:49] + node _T_4854 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 588:69] + node _T_4855 = and(_T_4853, _T_4854) @[lsu_bus_buffer.scala 588:67] + io.lsu_axi.w.valid <= _T_4855 @[lsu_bus_buffer.scala 588:22] + node _T_4856 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4857 = mux(_T_4856, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4858 = and(obuf_byteen, _T_4857) @[lsu_bus_buffer.scala 589:41] + io.lsu_axi.w.bits.strb <= _T_4858 @[lsu_bus_buffer.scala 589:26] io.lsu_axi.w.bits.data <= obuf_data @[lsu_bus_buffer.scala 590:26] io.lsu_axi.w.bits.last <= UInt<1>("h01") @[lsu_bus_buffer.scala 591:26] - node _T_4852 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 593:39] - node _T_4853 = and(obuf_valid, _T_4852) @[lsu_bus_buffer.scala 593:37] - node _T_4854 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 593:53] - node _T_4855 = and(_T_4853, _T_4854) @[lsu_bus_buffer.scala 593:51] - node _T_4856 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 593:68] - node _T_4857 = and(_T_4855, _T_4856) @[lsu_bus_buffer.scala 593:66] - io.lsu_axi.ar.valid <= _T_4857 @[lsu_bus_buffer.scala 593:23] + node _T_4859 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 593:39] + node _T_4860 = and(obuf_valid, _T_4859) @[lsu_bus_buffer.scala 593:37] + node _T_4861 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 593:53] + node _T_4862 = and(_T_4860, _T_4861) @[lsu_bus_buffer.scala 593:51] + node _T_4863 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 593:68] + node _T_4864 = and(_T_4862, _T_4863) @[lsu_bus_buffer.scala 593:66] + io.lsu_axi.ar.valid <= _T_4864 @[lsu_bus_buffer.scala 593:23] io.lsu_axi.ar.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 594:25] - node _T_4858 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 595:75] - node _T_4859 = cat(_T_4858, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4860 = mux(obuf_sideeffect, obuf_addr, _T_4859) @[lsu_bus_buffer.scala 595:33] - io.lsu_axi.ar.bits.addr <= _T_4860 @[lsu_bus_buffer.scala 595:27] - node _T_4861 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4862 = mux(obuf_sideeffect, _T_4861, UInt<3>("h03")) @[lsu_bus_buffer.scala 596:33] - io.lsu_axi.ar.bits.size <= _T_4862 @[lsu_bus_buffer.scala 596:27] + node _T_4865 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 595:75] + node _T_4866 = cat(_T_4865, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4867 = mux(obuf_sideeffect, obuf_addr, _T_4866) @[lsu_bus_buffer.scala 595:33] + io.lsu_axi.ar.bits.addr <= _T_4867 @[lsu_bus_buffer.scala 595:27] + node _T_4868 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4869 = mux(obuf_sideeffect, _T_4868, UInt<3>("h03")) @[lsu_bus_buffer.scala 596:33] + io.lsu_axi.ar.bits.size <= _T_4869 @[lsu_bus_buffer.scala 596:27] io.lsu_axi.ar.bits.prot <= UInt<1>("h01") @[lsu_bus_buffer.scala 597:27] - node _T_4863 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 598:34] - io.lsu_axi.ar.bits.cache <= _T_4863 @[lsu_bus_buffer.scala 598:28] - node _T_4864 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 599:41] - io.lsu_axi.ar.bits.region <= _T_4864 @[lsu_bus_buffer.scala 599:29] + node _T_4870 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 598:34] + io.lsu_axi.ar.bits.cache <= _T_4870 @[lsu_bus_buffer.scala 598:28] + node _T_4871 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 599:41] + io.lsu_axi.ar.bits.region <= _T_4871 @[lsu_bus_buffer.scala 599:29] io.lsu_axi.ar.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 600:26] io.lsu_axi.ar.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 601:28] io.lsu_axi.ar.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 602:26] io.lsu_axi.ar.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 603:27] io.lsu_axi.b.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 604:22] io.lsu_axi.r.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 605:22] - node _T_4865 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 606:93] - node _T_4866 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 606:137] - node _T_4867 = and(io.lsu_bus_clk_en_q, _T_4866) @[lsu_bus_buffer.scala 606:126] - node _T_4868 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 606:152] - node _T_4869 = and(_T_4867, _T_4868) @[lsu_bus_buffer.scala 606:141] - node _T_4870 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 606:93] - node _T_4871 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 606:137] - node _T_4872 = and(io.lsu_bus_clk_en_q, _T_4871) @[lsu_bus_buffer.scala 606:126] - node _T_4873 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 606:152] - node _T_4874 = and(_T_4872, _T_4873) @[lsu_bus_buffer.scala 606:141] - node _T_4875 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 606:93] - node _T_4876 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 606:137] - node _T_4877 = and(io.lsu_bus_clk_en_q, _T_4876) @[lsu_bus_buffer.scala 606:126] - node _T_4878 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 606:152] - node _T_4879 = and(_T_4877, _T_4878) @[lsu_bus_buffer.scala 606:141] - node _T_4880 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 606:93] - node _T_4881 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 606:137] - node _T_4882 = and(io.lsu_bus_clk_en_q, _T_4881) @[lsu_bus_buffer.scala 606:126] - node _T_4883 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 606:152] - node _T_4884 = and(_T_4882, _T_4883) @[lsu_bus_buffer.scala 606:141] - node _T_4885 = mux(_T_4865, _T_4869, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4886 = mux(_T_4870, _T_4874, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4887 = mux(_T_4875, _T_4879, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4888 = mux(_T_4880, _T_4884, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4889 = or(_T_4885, _T_4886) @[Mux.scala 27:72] - node _T_4890 = or(_T_4889, _T_4887) @[Mux.scala 27:72] - node _T_4891 = or(_T_4890, _T_4888) @[Mux.scala 27:72] - wire _T_4892 : UInt<1> @[Mux.scala 27:72] - _T_4892 <= _T_4891 @[Mux.scala 27:72] - io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4892 @[lsu_bus_buffer.scala 606:48] - node _T_4893 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 607:82] - node _T_4894 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 607:104] - node _T_4895 = and(_T_4893, _T_4894) @[lsu_bus_buffer.scala 607:93] - node _T_4896 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 607:119] - node _T_4897 = and(_T_4895, _T_4896) @[lsu_bus_buffer.scala 607:108] - node _T_4898 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 607:82] - node _T_4899 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 607:104] - node _T_4900 = and(_T_4898, _T_4899) @[lsu_bus_buffer.scala 607:93] - node _T_4901 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 607:119] - node _T_4902 = and(_T_4900, _T_4901) @[lsu_bus_buffer.scala 607:108] - node _T_4903 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 607:82] - node _T_4904 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 607:104] - node _T_4905 = and(_T_4903, _T_4904) @[lsu_bus_buffer.scala 607:93] - node _T_4906 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 607:119] - node _T_4907 = and(_T_4905, _T_4906) @[lsu_bus_buffer.scala 607:108] - node _T_4908 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 607:82] - node _T_4909 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 607:104] - node _T_4910 = and(_T_4908, _T_4909) @[lsu_bus_buffer.scala 607:93] - node _T_4911 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 607:119] - node _T_4912 = and(_T_4910, _T_4911) @[lsu_bus_buffer.scala 607:108] - node _T_4913 = mux(_T_4897, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4914 = mux(_T_4902, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4915 = mux(_T_4907, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4916 = mux(_T_4912, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4917 = or(_T_4913, _T_4914) @[Mux.scala 27:72] - node _T_4918 = or(_T_4917, _T_4915) @[Mux.scala 27:72] - node _T_4919 = or(_T_4918, _T_4916) @[Mux.scala 27:72] + node _T_4872 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 606:93] + node _T_4873 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 606:137] + node _T_4874 = and(io.lsu_bus_clk_en_q, _T_4873) @[lsu_bus_buffer.scala 606:126] + node _T_4875 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 606:152] + node _T_4876 = and(_T_4874, _T_4875) @[lsu_bus_buffer.scala 606:141] + node _T_4877 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 606:93] + node _T_4878 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 606:137] + node _T_4879 = and(io.lsu_bus_clk_en_q, _T_4878) @[lsu_bus_buffer.scala 606:126] + node _T_4880 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 606:152] + node _T_4881 = and(_T_4879, _T_4880) @[lsu_bus_buffer.scala 606:141] + node _T_4882 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 606:93] + node _T_4883 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 606:137] + node _T_4884 = and(io.lsu_bus_clk_en_q, _T_4883) @[lsu_bus_buffer.scala 606:126] + node _T_4885 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 606:152] + node _T_4886 = and(_T_4884, _T_4885) @[lsu_bus_buffer.scala 606:141] + node _T_4887 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 606:93] + node _T_4888 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 606:137] + node _T_4889 = and(io.lsu_bus_clk_en_q, _T_4888) @[lsu_bus_buffer.scala 606:126] + node _T_4890 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 606:152] + node _T_4891 = and(_T_4889, _T_4890) @[lsu_bus_buffer.scala 606:141] + node _T_4892 = mux(_T_4872, _T_4876, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4893 = mux(_T_4877, _T_4881, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4894 = mux(_T_4882, _T_4886, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4895 = mux(_T_4887, _T_4891, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4896 = or(_T_4892, _T_4893) @[Mux.scala 27:72] + node _T_4897 = or(_T_4896, _T_4894) @[Mux.scala 27:72] + node _T_4898 = or(_T_4897, _T_4895) @[Mux.scala 27:72] + wire _T_4899 : UInt<1> @[Mux.scala 27:72] + _T_4899 <= _T_4898 @[Mux.scala 27:72] + io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4899 @[lsu_bus_buffer.scala 606:48] + node _T_4900 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 607:82] + node _T_4901 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 607:104] + node _T_4902 = and(_T_4900, _T_4901) @[lsu_bus_buffer.scala 607:93] + node _T_4903 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 607:119] + node _T_4904 = and(_T_4902, _T_4903) @[lsu_bus_buffer.scala 607:108] + node _T_4905 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 607:82] + node _T_4906 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 607:104] + node _T_4907 = and(_T_4905, _T_4906) @[lsu_bus_buffer.scala 607:93] + node _T_4908 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 607:119] + node _T_4909 = and(_T_4907, _T_4908) @[lsu_bus_buffer.scala 607:108] + node _T_4910 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 607:82] + node _T_4911 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 607:104] + node _T_4912 = and(_T_4910, _T_4911) @[lsu_bus_buffer.scala 607:93] + node _T_4913 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 607:119] + node _T_4914 = and(_T_4912, _T_4913) @[lsu_bus_buffer.scala 607:108] + node _T_4915 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 607:82] + node _T_4916 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 607:104] + node _T_4917 = and(_T_4915, _T_4916) @[lsu_bus_buffer.scala 607:93] + node _T_4918 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 607:119] + node _T_4919 = and(_T_4917, _T_4918) @[lsu_bus_buffer.scala 607:108] + node _T_4920 = mux(_T_4904, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4921 = mux(_T_4909, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4922 = mux(_T_4914, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4923 = mux(_T_4919, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4924 = or(_T_4920, _T_4921) @[Mux.scala 27:72] + node _T_4925 = or(_T_4924, _T_4922) @[Mux.scala 27:72] + node _T_4926 = or(_T_4925, _T_4923) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<2> @[Mux.scala 27:72] - lsu_imprecise_error_store_tag <= _T_4919 @[Mux.scala 27:72] - node _T_4920 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 609:97] - node _T_4921 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4920) @[lsu_bus_buffer.scala 609:95] - io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4921 @[lsu_bus_buffer.scala 609:47] - node _T_4922 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 610:53] - io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4922 @[lsu_bus_buffer.scala 610:47] - node _T_4923 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 616:59] - node _T_4924 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 616:104] - node _T_4925 = or(_T_4923, _T_4924) @[lsu_bus_buffer.scala 616:82] - node _T_4926 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 616:149] - node _T_4927 = or(_T_4925, _T_4926) @[lsu_bus_buffer.scala 616:126] - io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4927 @[lsu_bus_buffer.scala 616:35] - node _T_4928 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 617:60] - node _T_4929 = and(_T_4928, io.lsu_commit_r) @[lsu_bus_buffer.scala 617:77] - io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4929 @[lsu_bus_buffer.scala 617:41] - node _T_4930 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 618:83] - io.tlu_busbuff.lsu_pmu_bus_error <= _T_4930 @[lsu_bus_buffer.scala 618:36] - node _T_4931 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 620:61] - node _T_4932 = and(io.lsu_axi.aw.valid, _T_4931) @[lsu_bus_buffer.scala 620:59] - node _T_4933 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 620:107] - node _T_4934 = and(io.lsu_axi.w.valid, _T_4933) @[lsu_bus_buffer.scala 620:105] - node _T_4935 = or(_T_4932, _T_4934) @[lsu_bus_buffer.scala 620:83] - node _T_4936 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 620:153] - node _T_4937 = and(io.lsu_axi.ar.valid, _T_4936) @[lsu_bus_buffer.scala 620:151] - node _T_4938 = or(_T_4935, _T_4937) @[lsu_bus_buffer.scala 620:128] - io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4938 @[lsu_bus_buffer.scala 620:35] - reg _T_4939 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 622:49] - _T_4939 <= WrPtr0_m @[lsu_bus_buffer.scala 622:49] - WrPtr0_r <= _T_4939 @[lsu_bus_buffer.scala 622:12] - reg _T_4940 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 623:49] - _T_4940 <= WrPtr1_m @[lsu_bus_buffer.scala 623:49] - WrPtr1_r <= _T_4940 @[lsu_bus_buffer.scala 623:12] - node _T_4941 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 624:75] - node _T_4942 = and(io.lsu_busreq_m, _T_4941) @[lsu_bus_buffer.scala 624:73] - node _T_4943 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 624:89] - node _T_4944 = and(_T_4942, _T_4943) @[lsu_bus_buffer.scala 624:87] - reg _T_4945 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 624:56] - _T_4945 <= _T_4944 @[lsu_bus_buffer.scala 624:56] - io.lsu_busreq_r <= _T_4945 @[lsu_bus_buffer.scala 624:19] - reg _T_4946 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 625:66] - _T_4946 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 625:66] - lsu_nonblock_load_valid_r <= _T_4946 @[lsu_bus_buffer.scala 625:29] + lsu_imprecise_error_store_tag <= _T_4926 @[Mux.scala 27:72] + node _T_4927 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 609:97] + node _T_4928 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4927) @[lsu_bus_buffer.scala 609:95] + io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4928 @[lsu_bus_buffer.scala 609:47] + node _T_4929 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 610:53] + io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4929 @[lsu_bus_buffer.scala 610:47] + node _T_4930 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 616:59] + node _T_4931 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 616:104] + node _T_4932 = or(_T_4930, _T_4931) @[lsu_bus_buffer.scala 616:82] + node _T_4933 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 616:149] + node _T_4934 = or(_T_4932, _T_4933) @[lsu_bus_buffer.scala 616:126] + io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4934 @[lsu_bus_buffer.scala 616:35] + node _T_4935 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 617:60] + node _T_4936 = and(_T_4935, io.lsu_commit_r) @[lsu_bus_buffer.scala 617:77] + io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4936 @[lsu_bus_buffer.scala 617:41] + node _T_4937 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 618:83] + io.tlu_busbuff.lsu_pmu_bus_error <= _T_4937 @[lsu_bus_buffer.scala 618:36] + node _T_4938 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 620:61] + node _T_4939 = and(io.lsu_axi.aw.valid, _T_4938) @[lsu_bus_buffer.scala 620:59] + node _T_4940 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 620:107] + node _T_4941 = and(io.lsu_axi.w.valid, _T_4940) @[lsu_bus_buffer.scala 620:105] + node _T_4942 = or(_T_4939, _T_4941) @[lsu_bus_buffer.scala 620:83] + node _T_4943 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 620:153] + node _T_4944 = and(io.lsu_axi.ar.valid, _T_4943) @[lsu_bus_buffer.scala 620:151] + node _T_4945 = or(_T_4942, _T_4944) @[lsu_bus_buffer.scala 620:128] + io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4945 @[lsu_bus_buffer.scala 620:35] + reg _T_4946 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 622:49] + _T_4946 <= WrPtr0_m @[lsu_bus_buffer.scala 622:49] + WrPtr0_r <= _T_4946 @[lsu_bus_buffer.scala 622:12] + reg _T_4947 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 623:49] + _T_4947 <= WrPtr1_m @[lsu_bus_buffer.scala 623:49] + WrPtr1_r <= _T_4947 @[lsu_bus_buffer.scala 623:12] + node _T_4948 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 624:75] + node _T_4949 = and(io.lsu_busreq_m, _T_4948) @[lsu_bus_buffer.scala 624:73] + node _T_4950 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 624:89] + node _T_4951 = and(_T_4949, _T_4950) @[lsu_bus_buffer.scala 624:87] + reg _T_4952 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 624:56] + _T_4952 <= _T_4951 @[lsu_bus_buffer.scala 624:56] + io.lsu_busreq_r <= _T_4952 @[lsu_bus_buffer.scala 624:19] + reg _T_4953 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 625:66] + _T_4953 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 625:66] + lsu_nonblock_load_valid_r <= _T_4953 @[lsu_bus_buffer.scala 625:29] diff --git a/lsu_bus_buffer.v b/lsu_bus_buffer.v index babd62ec..504359c2 100644 --- a/lsu_bus_buffer.v +++ b/lsu_bus_buffer.v @@ -255,71 +255,71 @@ module lsu_bus_buffer( reg [31:0] _RAND_105; reg [31:0] _RAND_106; `endif // RANDOMIZE_REG_INIT - wire rvclkhdr_io_l1clk; // @[lib.scala 390:23] - wire rvclkhdr_io_clk; // @[lib.scala 390:23] - wire rvclkhdr_io_en; // @[lib.scala 390:23] - wire rvclkhdr_1_io_l1clk; // @[lib.scala 390:23] - wire rvclkhdr_1_io_clk; // @[lib.scala 390:23] - wire rvclkhdr_1_io_en; // @[lib.scala 390:23] - wire rvclkhdr_2_io_l1clk; // @[lib.scala 390:23] - wire rvclkhdr_2_io_clk; // @[lib.scala 390:23] - wire rvclkhdr_2_io_en; // @[lib.scala 390:23] - wire rvclkhdr_3_io_l1clk; // @[lib.scala 390:23] - wire rvclkhdr_3_io_clk; // @[lib.scala 390:23] - wire rvclkhdr_3_io_en; // @[lib.scala 390:23] - wire rvclkhdr_4_io_l1clk; // @[lib.scala 390:23] - wire rvclkhdr_4_io_clk; // @[lib.scala 390:23] - wire rvclkhdr_4_io_en; // @[lib.scala 390:23] - wire rvclkhdr_5_io_l1clk; // @[lib.scala 390:23] - wire rvclkhdr_5_io_clk; // @[lib.scala 390:23] - wire rvclkhdr_5_io_en; // @[lib.scala 390:23] - wire rvclkhdr_6_io_l1clk; // @[lib.scala 390:23] - wire rvclkhdr_6_io_clk; // @[lib.scala 390:23] - wire rvclkhdr_6_io_en; // @[lib.scala 390:23] - wire rvclkhdr_7_io_l1clk; // @[lib.scala 390:23] - wire rvclkhdr_7_io_clk; // @[lib.scala 390:23] - wire rvclkhdr_7_io_en; // @[lib.scala 390:23] - wire rvclkhdr_8_io_l1clk; // @[lib.scala 390:23] - wire rvclkhdr_8_io_clk; // @[lib.scala 390:23] - wire rvclkhdr_8_io_en; // @[lib.scala 390:23] - wire rvclkhdr_9_io_l1clk; // @[lib.scala 390:23] - wire rvclkhdr_9_io_clk; // @[lib.scala 390:23] - wire rvclkhdr_9_io_en; // @[lib.scala 390:23] - wire rvclkhdr_10_io_l1clk; // @[lib.scala 390:23] - wire rvclkhdr_10_io_clk; // @[lib.scala 390:23] - wire rvclkhdr_10_io_en; // @[lib.scala 390:23] - wire rvclkhdr_11_io_l1clk; // @[lib.scala 390:23] - wire rvclkhdr_11_io_clk; // @[lib.scala 390:23] - wire rvclkhdr_11_io_en; // @[lib.scala 390:23] + wire rvclkhdr_io_l1clk; // @[lib.scala 391:23] + wire rvclkhdr_io_clk; // @[lib.scala 391:23] + wire rvclkhdr_io_en; // @[lib.scala 391:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 391:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 391:23] + wire rvclkhdr_1_io_en; // @[lib.scala 391:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 391:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 391:23] + wire rvclkhdr_2_io_en; // @[lib.scala 391:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 391:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 391:23] + wire rvclkhdr_3_io_en; // @[lib.scala 391:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 391:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 391:23] + wire rvclkhdr_4_io_en; // @[lib.scala 391:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 391:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 391:23] + wire rvclkhdr_5_io_en; // @[lib.scala 391:23] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 391:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 391:23] + wire rvclkhdr_6_io_en; // @[lib.scala 391:23] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 391:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 391:23] + wire rvclkhdr_7_io_en; // @[lib.scala 391:23] + wire rvclkhdr_8_io_l1clk; // @[lib.scala 391:23] + wire rvclkhdr_8_io_clk; // @[lib.scala 391:23] + wire rvclkhdr_8_io_en; // @[lib.scala 391:23] + wire rvclkhdr_9_io_l1clk; // @[lib.scala 391:23] + wire rvclkhdr_9_io_clk; // @[lib.scala 391:23] + wire rvclkhdr_9_io_en; // @[lib.scala 391:23] + wire rvclkhdr_10_io_l1clk; // @[lib.scala 391:23] + wire rvclkhdr_10_io_clk; // @[lib.scala 391:23] + wire rvclkhdr_10_io_en; // @[lib.scala 391:23] + wire rvclkhdr_11_io_l1clk; // @[lib.scala 391:23] + wire rvclkhdr_11_io_clk; // @[lib.scala 391:23] + wire rvclkhdr_11_io_en; // @[lib.scala 391:23] wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[lsu_bus_buffer.scala 76:46] wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[lsu_bus_buffer.scala 77:46] - reg [31:0] buf_addr_0; // @[lib.scala 396:16] + reg [31:0] buf_addr_0; // @[lib.scala 397:16] wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[lsu_bus_buffer.scala 79:74] - reg _T_4344; // @[Reg.scala 27:20] - reg _T_4341; // @[Reg.scala 27:20] - reg _T_4338; // @[Reg.scala 27:20] - reg _T_4335; // @[Reg.scala 27:20] - wire [3:0] buf_write = {_T_4344,_T_4341,_T_4338,_T_4335}; // @[Cat.scala 29:58] + reg _T_4351; // @[Reg.scala 27:20] + reg _T_4348; // @[Reg.scala 27:20] + reg _T_4345; // @[Reg.scala 27:20] + reg _T_4342; // @[Reg.scala 27:20] + wire [3:0] buf_write = {_T_4351,_T_4348,_T_4345,_T_4342}; // @[Cat.scala 29:58] wire _T_4 = _T_2 & buf_write[0]; // @[lsu_bus_buffer.scala 79:98] reg [2:0] buf_state_0; // @[Reg.scala 27:20] wire _T_5 = buf_state_0 != 3'h0; // @[lsu_bus_buffer.scala 79:129] wire _T_6 = _T_4 & _T_5; // @[lsu_bus_buffer.scala 79:113] wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 79:141] - reg [31:0] buf_addr_1; // @[lib.scala 396:16] + reg [31:0] buf_addr_1; // @[lib.scala 397:16] wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[lsu_bus_buffer.scala 79:74] wire _T_11 = _T_9 & buf_write[1]; // @[lsu_bus_buffer.scala 79:98] reg [2:0] buf_state_1; // @[Reg.scala 27:20] wire _T_12 = buf_state_1 != 3'h0; // @[lsu_bus_buffer.scala 79:129] wire _T_13 = _T_11 & _T_12; // @[lsu_bus_buffer.scala 79:113] wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 79:141] - reg [31:0] buf_addr_2; // @[lib.scala 396:16] + reg [31:0] buf_addr_2; // @[lib.scala 397:16] wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[lsu_bus_buffer.scala 79:74] wire _T_18 = _T_16 & buf_write[2]; // @[lsu_bus_buffer.scala 79:98] reg [2:0] buf_state_2; // @[Reg.scala 27:20] wire _T_19 = buf_state_2 != 3'h0; // @[lsu_bus_buffer.scala 79:129] wire _T_20 = _T_18 & _T_19; // @[lsu_bus_buffer.scala 79:113] wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 79:141] - reg [31:0] buf_addr_3; // @[lib.scala 396:16] + reg [31:0] buf_addr_3; // @[lib.scala 397:16] wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[lsu_bus_buffer.scala 79:74] wire _T_25 = _T_23 & buf_write[3]; // @[lsu_bus_buffer.scala 79:98] reg [2:0] buf_state_3; // @[Reg.scala 27:20] @@ -356,111 +356,111 @@ module lsu_bus_buffer( wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 144:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] reg [3:0] buf_ageQ_3; // @[lsu_bus_buffer.scala 508:60] - wire _T_2583 = buf_state_3 == 3'h2; // @[lsu_bus_buffer.scala 414:93] - wire _T_4094 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4117 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4121 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] - reg [1:0] _T_1780; // @[Reg.scala 27:20] - wire [2:0] obuf_tag0 = {{1'd0}, _T_1780}; // @[lsu_bus_buffer.scala 354:13] - wire _T_4128 = obuf_tag0 == 3'h3; // @[lsu_bus_buffer.scala 459:48] + wire _T_2590 = buf_state_3 == 3'h2; // @[lsu_bus_buffer.scala 414:93] + wire _T_4101 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4124 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4128 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] + reg [1:0] _T_1781; // @[Reg.scala 27:20] + wire [2:0] obuf_tag0 = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 354:13] + wire _T_4135 = obuf_tag0 == 3'h3; // @[lsu_bus_buffer.scala 459:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_363 = {{1'd0}, obuf_tag1}; // @[lsu_bus_buffer.scala 459:104] - wire _T_4129 = _GEN_363 == 3'h3; // @[lsu_bus_buffer.scala 459:104] - wire _T_4130 = obuf_merge & _T_4129; // @[lsu_bus_buffer.scala 459:91] - wire _T_4131 = _T_4128 | _T_4130; // @[lsu_bus_buffer.scala 459:77] + wire [2:0] _GEN_368 = {{1'd0}, obuf_tag1}; // @[lsu_bus_buffer.scala 459:104] + wire _T_4136 = _GEN_368 == 3'h3; // @[lsu_bus_buffer.scala 459:104] + wire _T_4137 = obuf_merge & _T_4136; // @[lsu_bus_buffer.scala 459:91] + wire _T_4138 = _T_4135 | _T_4137; // @[lsu_bus_buffer.scala 459:77] reg obuf_valid; // @[lsu_bus_buffer.scala 348:54] - wire _T_4132 = _T_4131 & obuf_valid; // @[lsu_bus_buffer.scala 459:135] - reg obuf_wr_enQ; // @[lib.scala 377:33] - wire _T_4133 = _T_4132 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 459:148] - wire _T_4155 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4239 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4257 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4265 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] - wire _GEN_285 = _T_4121 & _T_4133; // @[Conditional.scala 39:67] - wire _GEN_298 = _T_4117 ? 1'h0 : _GEN_285; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_3 = _T_4094 ? 1'h0 : _GEN_298; // @[Conditional.scala 40:58] - wire _T_2584 = _T_2583 & buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 414:103] + wire _T_4139 = _T_4138 & obuf_valid; // @[lsu_bus_buffer.scala 459:135] + reg obuf_wr_enQ; // @[Reg.scala 27:20] + wire _T_4140 = _T_4139 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 459:148] + wire _T_4162 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4246 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4264 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4272 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] + wire _GEN_290 = _T_4128 & _T_4140; // @[Conditional.scala 39:67] + wire _GEN_303 = _T_4124 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_3 = _T_4101 ? 1'h0 : _GEN_303; // @[Conditional.scala 40:58] + wire _T_2591 = _T_2590 & buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 414:103] + wire _T_2592 = ~_T_2591; // @[lsu_bus_buffer.scala 414:78] + wire _T_2593 = buf_ageQ_3[3] & _T_2592; // @[lsu_bus_buffer.scala 414:76] + wire _T_2594 = ~io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 414:140] + wire _T_2595 = _T_2593 & _T_2594; // @[lsu_bus_buffer.scala 414:138] + wire _T_2583 = buf_state_2 == 3'h2; // @[lsu_bus_buffer.scala 414:93] + wire _T_3911 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3934 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3938 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3945 = obuf_tag0 == 3'h2; // @[lsu_bus_buffer.scala 459:48] + wire _T_3946 = _GEN_368 == 3'h2; // @[lsu_bus_buffer.scala 459:104] + wire _T_3947 = obuf_merge & _T_3946; // @[lsu_bus_buffer.scala 459:91] + wire _T_3948 = _T_3945 | _T_3947; // @[lsu_bus_buffer.scala 459:77] + wire _T_3949 = _T_3948 & obuf_valid; // @[lsu_bus_buffer.scala 459:135] + wire _T_3950 = _T_3949 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 459:148] + wire _T_3972 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4056 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4074 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4082 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] + wire _GEN_213 = _T_3938 & _T_3950; // @[Conditional.scala 39:67] + wire _GEN_226 = _T_3934 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_2 = _T_3911 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] + wire _T_2584 = _T_2583 & buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 414:103] wire _T_2585 = ~_T_2584; // @[lsu_bus_buffer.scala 414:78] - wire _T_2586 = buf_ageQ_3[3] & _T_2585; // @[lsu_bus_buffer.scala 414:76] - wire _T_2587 = ~io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 414:140] - wire _T_2588 = _T_2586 & _T_2587; // @[lsu_bus_buffer.scala 414:138] - wire _T_2576 = buf_state_2 == 3'h2; // @[lsu_bus_buffer.scala 414:93] - wire _T_3904 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3927 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3931 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3938 = obuf_tag0 == 3'h2; // @[lsu_bus_buffer.scala 459:48] - wire _T_3939 = _GEN_363 == 3'h2; // @[lsu_bus_buffer.scala 459:104] - wire _T_3940 = obuf_merge & _T_3939; // @[lsu_bus_buffer.scala 459:91] - wire _T_3941 = _T_3938 | _T_3940; // @[lsu_bus_buffer.scala 459:77] - wire _T_3942 = _T_3941 & obuf_valid; // @[lsu_bus_buffer.scala 459:135] - wire _T_3943 = _T_3942 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 459:148] - wire _T_3965 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4049 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4067 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4075 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] - wire _GEN_208 = _T_3931 & _T_3943; // @[Conditional.scala 39:67] - wire _GEN_221 = _T_3927 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_2 = _T_3904 ? 1'h0 : _GEN_221; // @[Conditional.scala 40:58] - wire _T_2577 = _T_2576 & buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 414:103] + wire _T_2586 = buf_ageQ_3[2] & _T_2585; // @[lsu_bus_buffer.scala 414:76] + wire _T_2588 = _T_2586 & _T_2594; // @[lsu_bus_buffer.scala 414:138] + wire _T_2576 = buf_state_1 == 3'h2; // @[lsu_bus_buffer.scala 414:93] + wire _T_3721 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3744 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3748 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3755 = obuf_tag0 == 3'h1; // @[lsu_bus_buffer.scala 459:48] + wire _T_3756 = _GEN_368 == 3'h1; // @[lsu_bus_buffer.scala 459:104] + wire _T_3757 = obuf_merge & _T_3756; // @[lsu_bus_buffer.scala 459:91] + wire _T_3758 = _T_3755 | _T_3757; // @[lsu_bus_buffer.scala 459:77] + wire _T_3759 = _T_3758 & obuf_valid; // @[lsu_bus_buffer.scala 459:135] + wire _T_3760 = _T_3759 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 459:148] + wire _T_3782 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3866 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3884 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3892 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] + wire _GEN_136 = _T_3748 & _T_3760; // @[Conditional.scala 39:67] + wire _GEN_149 = _T_3744 ? 1'h0 : _GEN_136; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_1 = _T_3721 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] + wire _T_2577 = _T_2576 & buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 414:103] wire _T_2578 = ~_T_2577; // @[lsu_bus_buffer.scala 414:78] - wire _T_2579 = buf_ageQ_3[2] & _T_2578; // @[lsu_bus_buffer.scala 414:76] - wire _T_2581 = _T_2579 & _T_2587; // @[lsu_bus_buffer.scala 414:138] - wire _T_2569 = buf_state_1 == 3'h2; // @[lsu_bus_buffer.scala 414:93] - wire _T_3714 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3737 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3741 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3748 = obuf_tag0 == 3'h1; // @[lsu_bus_buffer.scala 459:48] - wire _T_3749 = _GEN_363 == 3'h1; // @[lsu_bus_buffer.scala 459:104] - wire _T_3750 = obuf_merge & _T_3749; // @[lsu_bus_buffer.scala 459:91] - wire _T_3751 = _T_3748 | _T_3750; // @[lsu_bus_buffer.scala 459:77] - wire _T_3752 = _T_3751 & obuf_valid; // @[lsu_bus_buffer.scala 459:135] - wire _T_3753 = _T_3752 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 459:148] - wire _T_3775 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3859 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3877 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3885 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] - wire _GEN_131 = _T_3741 & _T_3753; // @[Conditional.scala 39:67] - wire _GEN_144 = _T_3737 ? 1'h0 : _GEN_131; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_1 = _T_3714 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] - wire _T_2570 = _T_2569 & buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 414:103] + wire _T_2579 = buf_ageQ_3[1] & _T_2578; // @[lsu_bus_buffer.scala 414:76] + wire _T_2581 = _T_2579 & _T_2594; // @[lsu_bus_buffer.scala 414:138] + wire _T_2569 = buf_state_0 == 3'h2; // @[lsu_bus_buffer.scala 414:93] + wire _T_3531 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3554 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3558 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3565 = obuf_tag0 == 3'h0; // @[lsu_bus_buffer.scala 459:48] + wire _T_3566 = _GEN_368 == 3'h0; // @[lsu_bus_buffer.scala 459:104] + wire _T_3567 = obuf_merge & _T_3566; // @[lsu_bus_buffer.scala 459:91] + wire _T_3568 = _T_3565 | _T_3567; // @[lsu_bus_buffer.scala 459:77] + wire _T_3569 = _T_3568 & obuf_valid; // @[lsu_bus_buffer.scala 459:135] + wire _T_3570 = _T_3569 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 459:148] + wire _T_3592 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3676 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3694 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3702 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] + wire _GEN_59 = _T_3558 & _T_3570; // @[Conditional.scala 39:67] + wire _GEN_72 = _T_3554 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_0 = _T_3531 ? 1'h0 : _GEN_72; // @[Conditional.scala 40:58] + wire _T_2570 = _T_2569 & buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 414:103] wire _T_2571 = ~_T_2570; // @[lsu_bus_buffer.scala 414:78] - wire _T_2572 = buf_ageQ_3[1] & _T_2571; // @[lsu_bus_buffer.scala 414:76] - wire _T_2574 = _T_2572 & _T_2587; // @[lsu_bus_buffer.scala 414:138] - wire _T_2562 = buf_state_0 == 3'h2; // @[lsu_bus_buffer.scala 414:93] - wire _T_3524 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3547 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3551 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3558 = obuf_tag0 == 3'h0; // @[lsu_bus_buffer.scala 459:48] - wire _T_3559 = _GEN_363 == 3'h0; // @[lsu_bus_buffer.scala 459:104] - wire _T_3560 = obuf_merge & _T_3559; // @[lsu_bus_buffer.scala 459:91] - wire _T_3561 = _T_3558 | _T_3560; // @[lsu_bus_buffer.scala 459:77] - wire _T_3562 = _T_3561 & obuf_valid; // @[lsu_bus_buffer.scala 459:135] - wire _T_3563 = _T_3562 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 459:148] - wire _T_3585 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3669 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3687 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3695 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] - wire _GEN_54 = _T_3551 & _T_3563; // @[Conditional.scala 39:67] - wire _GEN_67 = _T_3547 ? 1'h0 : _GEN_54; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_0 = _T_3524 ? 1'h0 : _GEN_67; // @[Conditional.scala 40:58] - wire _T_2563 = _T_2562 & buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 414:103] - wire _T_2564 = ~_T_2563; // @[lsu_bus_buffer.scala 414:78] - wire _T_2565 = buf_ageQ_3[0] & _T_2564; // @[lsu_bus_buffer.scala 414:76] - wire _T_2567 = _T_2565 & _T_2587; // @[lsu_bus_buffer.scala 414:138] - wire [3:0] buf_age_3 = {_T_2588,_T_2581,_T_2574,_T_2567}; // @[Cat.scala 29:58] - wire _T_2687 = ~buf_age_3[2]; // @[lsu_bus_buffer.scala 415:89] - wire _T_2689 = _T_2687 & _T_19; // @[lsu_bus_buffer.scala 415:104] - wire _T_2681 = ~buf_age_3[1]; // @[lsu_bus_buffer.scala 415:89] - wire _T_2683 = _T_2681 & _T_12; // @[lsu_bus_buffer.scala 415:104] - wire _T_2675 = ~buf_age_3[0]; // @[lsu_bus_buffer.scala 415:89] - wire _T_2677 = _T_2675 & _T_5; // @[lsu_bus_buffer.scala 415:104] - wire [3:0] buf_age_younger_3 = {1'h0,_T_2689,_T_2683,_T_2677}; // @[Cat.scala 29:58] + wire _T_2572 = buf_ageQ_3[0] & _T_2571; // @[lsu_bus_buffer.scala 414:76] + wire _T_2574 = _T_2572 & _T_2594; // @[lsu_bus_buffer.scala 414:138] + wire [3:0] buf_age_3 = {_T_2595,_T_2588,_T_2581,_T_2574}; // @[Cat.scala 29:58] + wire _T_2694 = ~buf_age_3[2]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2696 = _T_2694 & _T_19; // @[lsu_bus_buffer.scala 415:104] + wire _T_2688 = ~buf_age_3[1]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2690 = _T_2688 & _T_12; // @[lsu_bus_buffer.scala 415:104] + wire _T_2682 = ~buf_age_3[0]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2684 = _T_2682 & _T_5; // @[lsu_bus_buffer.scala 415:104] + wire [3:0] buf_age_younger_3 = {1'h0,_T_2696,_T_2690,_T_2684}; // @[Cat.scala 29:58] wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 149:122] wire _T_256 = |_T_255; // @[lsu_bus_buffer.scala 149:144] wire _T_257 = ~_T_256; // @[lsu_bus_buffer.scala 149:99] wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[lsu_bus_buffer.scala 149:97] - reg [31:0] ibuf_addr; // @[lib.scala 396:16] + reg [31:0] ibuf_addr; // @[lib.scala 397:16] wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 155:51] reg ibuf_write; // @[Reg.scala 27:20] wire _T_513 = _T_512 & ibuf_write; // @[lsu_bus_buffer.scala 155:73] @@ -474,66 +474,66 @@ module lsu_bus_buffer( wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 149:150] wire _T_261 = _T_258 & _T_260; // @[lsu_bus_buffer.scala 149:148] reg [3:0] buf_ageQ_2; // @[lsu_bus_buffer.scala 508:60] - wire _T_2555 = buf_ageQ_2[3] & _T_2585; // @[lsu_bus_buffer.scala 414:76] - wire _T_2557 = _T_2555 & _T_2587; // @[lsu_bus_buffer.scala 414:138] - wire _T_2548 = buf_ageQ_2[2] & _T_2578; // @[lsu_bus_buffer.scala 414:76] - wire _T_2550 = _T_2548 & _T_2587; // @[lsu_bus_buffer.scala 414:138] - wire _T_2541 = buf_ageQ_2[1] & _T_2571; // @[lsu_bus_buffer.scala 414:76] - wire _T_2543 = _T_2541 & _T_2587; // @[lsu_bus_buffer.scala 414:138] - wire _T_2534 = buf_ageQ_2[0] & _T_2564; // @[lsu_bus_buffer.scala 414:76] - wire _T_2536 = _T_2534 & _T_2587; // @[lsu_bus_buffer.scala 414:138] - wire [3:0] buf_age_2 = {_T_2557,_T_2550,_T_2543,_T_2536}; // @[Cat.scala 29:58] - wire _T_2666 = ~buf_age_2[3]; // @[lsu_bus_buffer.scala 415:89] - wire _T_2668 = _T_2666 & _T_26; // @[lsu_bus_buffer.scala 415:104] - wire _T_2654 = ~buf_age_2[1]; // @[lsu_bus_buffer.scala 415:89] - wire _T_2656 = _T_2654 & _T_12; // @[lsu_bus_buffer.scala 415:104] - wire _T_2648 = ~buf_age_2[0]; // @[lsu_bus_buffer.scala 415:89] - wire _T_2650 = _T_2648 & _T_5; // @[lsu_bus_buffer.scala 415:104] - wire [3:0] buf_age_younger_2 = {_T_2668,1'h0,_T_2656,_T_2650}; // @[Cat.scala 29:58] + wire _T_2562 = buf_ageQ_2[3] & _T_2592; // @[lsu_bus_buffer.scala 414:76] + wire _T_2564 = _T_2562 & _T_2594; // @[lsu_bus_buffer.scala 414:138] + wire _T_2555 = buf_ageQ_2[2] & _T_2585; // @[lsu_bus_buffer.scala 414:76] + wire _T_2557 = _T_2555 & _T_2594; // @[lsu_bus_buffer.scala 414:138] + wire _T_2548 = buf_ageQ_2[1] & _T_2578; // @[lsu_bus_buffer.scala 414:76] + wire _T_2550 = _T_2548 & _T_2594; // @[lsu_bus_buffer.scala 414:138] + wire _T_2541 = buf_ageQ_2[0] & _T_2571; // @[lsu_bus_buffer.scala 414:76] + wire _T_2543 = _T_2541 & _T_2594; // @[lsu_bus_buffer.scala 414:138] + wire [3:0] buf_age_2 = {_T_2564,_T_2557,_T_2550,_T_2543}; // @[Cat.scala 29:58] + wire _T_2673 = ~buf_age_2[3]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2675 = _T_2673 & _T_26; // @[lsu_bus_buffer.scala 415:104] + wire _T_2661 = ~buf_age_2[1]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2663 = _T_2661 & _T_12; // @[lsu_bus_buffer.scala 415:104] + wire _T_2655 = ~buf_age_2[0]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2657 = _T_2655 & _T_5; // @[lsu_bus_buffer.scala 415:104] + wire [3:0] buf_age_younger_2 = {_T_2675,1'h0,_T_2663,_T_2657}; // @[Cat.scala 29:58] wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 149:122] wire _T_248 = |_T_247; // @[lsu_bus_buffer.scala 149:144] wire _T_249 = ~_T_248; // @[lsu_bus_buffer.scala 149:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[lsu_bus_buffer.scala 149:97] wire _T_253 = _T_250 & _T_260; // @[lsu_bus_buffer.scala 149:148] reg [3:0] buf_ageQ_1; // @[lsu_bus_buffer.scala 508:60] - wire _T_2524 = buf_ageQ_1[3] & _T_2585; // @[lsu_bus_buffer.scala 414:76] - wire _T_2526 = _T_2524 & _T_2587; // @[lsu_bus_buffer.scala 414:138] - wire _T_2517 = buf_ageQ_1[2] & _T_2578; // @[lsu_bus_buffer.scala 414:76] - wire _T_2519 = _T_2517 & _T_2587; // @[lsu_bus_buffer.scala 414:138] - wire _T_2510 = buf_ageQ_1[1] & _T_2571; // @[lsu_bus_buffer.scala 414:76] - wire _T_2512 = _T_2510 & _T_2587; // @[lsu_bus_buffer.scala 414:138] - wire _T_2503 = buf_ageQ_1[0] & _T_2564; // @[lsu_bus_buffer.scala 414:76] - wire _T_2505 = _T_2503 & _T_2587; // @[lsu_bus_buffer.scala 414:138] - wire [3:0] buf_age_1 = {_T_2526,_T_2519,_T_2512,_T_2505}; // @[Cat.scala 29:58] - wire _T_2639 = ~buf_age_1[3]; // @[lsu_bus_buffer.scala 415:89] - wire _T_2641 = _T_2639 & _T_26; // @[lsu_bus_buffer.scala 415:104] - wire _T_2633 = ~buf_age_1[2]; // @[lsu_bus_buffer.scala 415:89] - wire _T_2635 = _T_2633 & _T_19; // @[lsu_bus_buffer.scala 415:104] - wire _T_2621 = ~buf_age_1[0]; // @[lsu_bus_buffer.scala 415:89] - wire _T_2623 = _T_2621 & _T_5; // @[lsu_bus_buffer.scala 415:104] - wire [3:0] buf_age_younger_1 = {_T_2641,_T_2635,1'h0,_T_2623}; // @[Cat.scala 29:58] + wire _T_2531 = buf_ageQ_1[3] & _T_2592; // @[lsu_bus_buffer.scala 414:76] + wire _T_2533 = _T_2531 & _T_2594; // @[lsu_bus_buffer.scala 414:138] + wire _T_2524 = buf_ageQ_1[2] & _T_2585; // @[lsu_bus_buffer.scala 414:76] + wire _T_2526 = _T_2524 & _T_2594; // @[lsu_bus_buffer.scala 414:138] + wire _T_2517 = buf_ageQ_1[1] & _T_2578; // @[lsu_bus_buffer.scala 414:76] + wire _T_2519 = _T_2517 & _T_2594; // @[lsu_bus_buffer.scala 414:138] + wire _T_2510 = buf_ageQ_1[0] & _T_2571; // @[lsu_bus_buffer.scala 414:76] + wire _T_2512 = _T_2510 & _T_2594; // @[lsu_bus_buffer.scala 414:138] + wire [3:0] buf_age_1 = {_T_2533,_T_2526,_T_2519,_T_2512}; // @[Cat.scala 29:58] + wire _T_2646 = ~buf_age_1[3]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2648 = _T_2646 & _T_26; // @[lsu_bus_buffer.scala 415:104] + wire _T_2640 = ~buf_age_1[2]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2642 = _T_2640 & _T_19; // @[lsu_bus_buffer.scala 415:104] + wire _T_2628 = ~buf_age_1[0]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2630 = _T_2628 & _T_5; // @[lsu_bus_buffer.scala 415:104] + wire [3:0] buf_age_younger_1 = {_T_2648,_T_2642,1'h0,_T_2630}; // @[Cat.scala 29:58] wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 149:122] wire _T_240 = |_T_239; // @[lsu_bus_buffer.scala 149:144] wire _T_241 = ~_T_240; // @[lsu_bus_buffer.scala 149:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[lsu_bus_buffer.scala 149:97] wire _T_245 = _T_242 & _T_260; // @[lsu_bus_buffer.scala 149:148] reg [3:0] buf_ageQ_0; // @[lsu_bus_buffer.scala 508:60] - wire _T_2493 = buf_ageQ_0[3] & _T_2585; // @[lsu_bus_buffer.scala 414:76] - wire _T_2495 = _T_2493 & _T_2587; // @[lsu_bus_buffer.scala 414:138] - wire _T_2486 = buf_ageQ_0[2] & _T_2578; // @[lsu_bus_buffer.scala 414:76] - wire _T_2488 = _T_2486 & _T_2587; // @[lsu_bus_buffer.scala 414:138] - wire _T_2479 = buf_ageQ_0[1] & _T_2571; // @[lsu_bus_buffer.scala 414:76] - wire _T_2481 = _T_2479 & _T_2587; // @[lsu_bus_buffer.scala 414:138] - wire _T_2472 = buf_ageQ_0[0] & _T_2564; // @[lsu_bus_buffer.scala 414:76] - wire _T_2474 = _T_2472 & _T_2587; // @[lsu_bus_buffer.scala 414:138] - wire [3:0] buf_age_0 = {_T_2495,_T_2488,_T_2481,_T_2474}; // @[Cat.scala 29:58] - wire _T_2612 = ~buf_age_0[3]; // @[lsu_bus_buffer.scala 415:89] - wire _T_2614 = _T_2612 & _T_26; // @[lsu_bus_buffer.scala 415:104] - wire _T_2606 = ~buf_age_0[2]; // @[lsu_bus_buffer.scala 415:89] - wire _T_2608 = _T_2606 & _T_19; // @[lsu_bus_buffer.scala 415:104] - wire _T_2600 = ~buf_age_0[1]; // @[lsu_bus_buffer.scala 415:89] - wire _T_2602 = _T_2600 & _T_12; // @[lsu_bus_buffer.scala 415:104] - wire [3:0] buf_age_younger_0 = {_T_2614,_T_2608,_T_2602,1'h0}; // @[Cat.scala 29:58] + wire _T_2500 = buf_ageQ_0[3] & _T_2592; // @[lsu_bus_buffer.scala 414:76] + wire _T_2502 = _T_2500 & _T_2594; // @[lsu_bus_buffer.scala 414:138] + wire _T_2493 = buf_ageQ_0[2] & _T_2585; // @[lsu_bus_buffer.scala 414:76] + wire _T_2495 = _T_2493 & _T_2594; // @[lsu_bus_buffer.scala 414:138] + wire _T_2486 = buf_ageQ_0[1] & _T_2578; // @[lsu_bus_buffer.scala 414:76] + wire _T_2488 = _T_2486 & _T_2594; // @[lsu_bus_buffer.scala 414:138] + wire _T_2479 = buf_ageQ_0[0] & _T_2571; // @[lsu_bus_buffer.scala 414:76] + wire _T_2481 = _T_2479 & _T_2594; // @[lsu_bus_buffer.scala 414:138] + wire [3:0] buf_age_0 = {_T_2502,_T_2495,_T_2488,_T_2481}; // @[Cat.scala 29:58] + wire _T_2619 = ~buf_age_0[3]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2621 = _T_2619 & _T_26; // @[lsu_bus_buffer.scala 415:104] + wire _T_2613 = ~buf_age_0[2]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2615 = _T_2613 & _T_19; // @[lsu_bus_buffer.scala 415:104] + wire _T_2607 = ~buf_age_0[1]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2609 = _T_2607 & _T_12; // @[lsu_bus_buffer.scala 415:104] + wire [3:0] buf_age_younger_0 = {_T_2621,_T_2615,_T_2609,1'h0}; // @[Cat.scala 29:58] wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 149:122] wire _T_232 = |_T_231; // @[lsu_bus_buffer.scala 149:144] wire _T_233 = ~_T_232; // @[lsu_bus_buffer.scala 149:99] @@ -793,16 +793,16 @@ module lsu_bus_buffer( wire [7:0] _T_553 = ld_byte_ibuf_hit_hi[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] wire [31:0] ld_fwddata_buf_hi_initial = {_T_553,_T_550,_T_547,_T_544}; // @[Cat.scala 29:58] wire [7:0] _T_558 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - reg [31:0] buf_data_0; // @[lib.scala 396:16] + reg [31:0] buf_data_0; // @[lib.scala 397:16] wire [7:0] _T_560 = _T_558 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 168:91] wire [7:0] _T_563 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - reg [31:0] buf_data_1; // @[lib.scala 396:16] + reg [31:0] buf_data_1; // @[lib.scala 397:16] wire [7:0] _T_565 = _T_563 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 168:91] wire [7:0] _T_568 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - reg [31:0] buf_data_2; // @[lib.scala 396:16] + reg [31:0] buf_data_2; // @[lib.scala 397:16] wire [7:0] _T_570 = _T_568 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 168:91] wire [7:0] _T_573 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - reg [31:0] buf_data_3; // @[lib.scala 396:16] + reg [31:0] buf_data_3; // @[lib.scala 397:16] wire [7:0] _T_575 = _T_573 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 168:91] wire [7:0] _T_576 = _T_560 | _T_565; // @[lsu_bus_buffer.scala 168:123] wire [7:0] _T_577 = _T_576 | _T_570; // @[lsu_bus_buffer.scala 168:123] @@ -841,7 +841,7 @@ module lsu_bus_buffer( wire [7:0] _T_646 = _T_645 | _T_639; // @[lsu_bus_buffer.scala 171:97] wire [7:0] _T_647 = _T_646 | _T_644; // @[lsu_bus_buffer.scala 171:97] wire [31:0] _T_650 = {_T_578,_T_601,_T_624,_T_647}; // @[Cat.scala 29:58] - reg [31:0] ibuf_data; // @[lib.scala 396:16] + reg [31:0] ibuf_data; // @[lib.scala 397:16] wire [31:0] _T_651 = ld_fwddata_buf_lo_initial & ibuf_data; // @[lsu_bus_buffer.scala 172:32] wire [7:0] _T_655 = ld_byte_hitvecfn_hi_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] wire [7:0] _T_657 = _T_655 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 174:91] @@ -1024,69 +1024,69 @@ module lsu_bus_buffer( reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4430 = buf_write[3] & _T_2583; // @[lsu_bus_buffer.scala 529:64] - wire _T_4431 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 529:91] - wire _T_4432 = _T_4430 & _T_4431; // @[lsu_bus_buffer.scala 529:89] - wire _T_4425 = buf_write[2] & _T_2576; // @[lsu_bus_buffer.scala 529:64] - wire _T_4426 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 529:91] - wire _T_4427 = _T_4425 & _T_4426; // @[lsu_bus_buffer.scala 529:89] - wire [1:0] _T_4433 = _T_4432 + _T_4427; // @[lsu_bus_buffer.scala 529:142] - wire _T_4420 = buf_write[1] & _T_2569; // @[lsu_bus_buffer.scala 529:64] - wire _T_4421 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 529:91] - wire _T_4422 = _T_4420 & _T_4421; // @[lsu_bus_buffer.scala 529:89] - wire [1:0] _GEN_367 = {{1'd0}, _T_4422}; // @[lsu_bus_buffer.scala 529:142] - wire [2:0] _T_4434 = _T_4433 + _GEN_367; // @[lsu_bus_buffer.scala 529:142] - wire _T_4415 = buf_write[0] & _T_2562; // @[lsu_bus_buffer.scala 529:64] - wire _T_4416 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 529:91] - wire _T_4417 = _T_4415 & _T_4416; // @[lsu_bus_buffer.scala 529:89] - wire [2:0] _GEN_368 = {{2'd0}, _T_4417}; // @[lsu_bus_buffer.scala 529:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4434 + _GEN_368; // @[lsu_bus_buffer.scala 529:142] + wire _T_4437 = buf_write[3] & _T_2590; // @[lsu_bus_buffer.scala 529:64] + wire _T_4438 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 529:91] + wire _T_4439 = _T_4437 & _T_4438; // @[lsu_bus_buffer.scala 529:89] + wire _T_4432 = buf_write[2] & _T_2583; // @[lsu_bus_buffer.scala 529:64] + wire _T_4433 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 529:91] + wire _T_4434 = _T_4432 & _T_4433; // @[lsu_bus_buffer.scala 529:89] + wire [1:0] _T_4440 = _T_4439 + _T_4434; // @[lsu_bus_buffer.scala 529:142] + wire _T_4427 = buf_write[1] & _T_2576; // @[lsu_bus_buffer.scala 529:64] + wire _T_4428 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 529:91] + wire _T_4429 = _T_4427 & _T_4428; // @[lsu_bus_buffer.scala 529:89] + wire [1:0] _GEN_372 = {{1'd0}, _T_4429}; // @[lsu_bus_buffer.scala 529:142] + wire [2:0] _T_4441 = _T_4440 + _GEN_372; // @[lsu_bus_buffer.scala 529:142] + wire _T_4422 = buf_write[0] & _T_2569; // @[lsu_bus_buffer.scala 529:64] + wire _T_4423 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 529:91] + wire _T_4424 = _T_4422 & _T_4423; // @[lsu_bus_buffer.scala 529:89] + wire [2:0] _GEN_373 = {{2'd0}, _T_4424}; // @[lsu_bus_buffer.scala 529:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4441 + _GEN_373; // @[lsu_bus_buffer.scala 529:142] wire _T_1016 = buf_numvld_wrcmd_any == 4'h1; // @[lsu_bus_buffer.scala 266:43] - wire _T_4447 = _T_2583 & _T_4431; // @[lsu_bus_buffer.scala 530:73] - wire _T_4444 = _T_2576 & _T_4426; // @[lsu_bus_buffer.scala 530:73] - wire [1:0] _T_4448 = _T_4447 + _T_4444; // @[lsu_bus_buffer.scala 530:126] - wire _T_4441 = _T_2569 & _T_4421; // @[lsu_bus_buffer.scala 530:73] - wire [1:0] _GEN_369 = {{1'd0}, _T_4441}; // @[lsu_bus_buffer.scala 530:126] - wire [2:0] _T_4449 = _T_4448 + _GEN_369; // @[lsu_bus_buffer.scala 530:126] - wire _T_4438 = _T_2562 & _T_4416; // @[lsu_bus_buffer.scala 530:73] - wire [2:0] _GEN_370 = {{2'd0}, _T_4438}; // @[lsu_bus_buffer.scala 530:126] - wire [3:0] buf_numvld_cmd_any = _T_4449 + _GEN_370; // @[lsu_bus_buffer.scala 530:126] + wire _T_4454 = _T_2590 & _T_4438; // @[lsu_bus_buffer.scala 530:73] + wire _T_4451 = _T_2583 & _T_4433; // @[lsu_bus_buffer.scala 530:73] + wire [1:0] _T_4455 = _T_4454 + _T_4451; // @[lsu_bus_buffer.scala 530:126] + wire _T_4448 = _T_2576 & _T_4428; // @[lsu_bus_buffer.scala 530:73] + wire [1:0] _GEN_374 = {{1'd0}, _T_4448}; // @[lsu_bus_buffer.scala 530:126] + wire [2:0] _T_4456 = _T_4455 + _GEN_374; // @[lsu_bus_buffer.scala 530:126] + wire _T_4445 = _T_2569 & _T_4423; // @[lsu_bus_buffer.scala 530:73] + wire [2:0] _GEN_375 = {{2'd0}, _T_4445}; // @[lsu_bus_buffer.scala 530:126] + wire [3:0] buf_numvld_cmd_any = _T_4456 + _GEN_375; // @[lsu_bus_buffer.scala 530:126] wire _T_1017 = buf_numvld_cmd_any == 4'h1; // @[lsu_bus_buffer.scala 266:72] wire _T_1018 = _T_1016 & _T_1017; // @[lsu_bus_buffer.scala 266:51] - reg _T_1784; // @[lib.scala 377:33] - wire [2:0] obuf_wr_timer = {{2'd0}, _T_1784}; // @[lsu_bus_buffer.scala 363:17] + reg _T_1791; // @[Reg.scala 27:20] + wire [2:0] obuf_wr_timer = {{2'd0}, _T_1791}; // @[lsu_bus_buffer.scala 363:17] wire _T_1019 = obuf_wr_timer != 3'h7; // @[lsu_bus_buffer.scala 266:97] wire _T_1020 = _T_1018 & _T_1019; // @[lsu_bus_buffer.scala 266:80] wire _T_1022 = _T_1020 & _T_938; // @[lsu_bus_buffer.scala 266:114] - wire _T_1911 = |buf_age_3; // @[lsu_bus_buffer.scala 380:58] - wire _T_1912 = ~_T_1911; // @[lsu_bus_buffer.scala 380:45] - wire _T_1914 = _T_1912 & _T_2583; // @[lsu_bus_buffer.scala 380:63] - wire _T_1916 = _T_1914 & _T_4431; // @[lsu_bus_buffer.scala 380:88] - wire _T_1905 = |buf_age_2; // @[lsu_bus_buffer.scala 380:58] - wire _T_1906 = ~_T_1905; // @[lsu_bus_buffer.scala 380:45] - wire _T_1908 = _T_1906 & _T_2576; // @[lsu_bus_buffer.scala 380:63] - wire _T_1910 = _T_1908 & _T_4426; // @[lsu_bus_buffer.scala 380:88] - wire _T_1899 = |buf_age_1; // @[lsu_bus_buffer.scala 380:58] - wire _T_1900 = ~_T_1899; // @[lsu_bus_buffer.scala 380:45] - wire _T_1902 = _T_1900 & _T_2569; // @[lsu_bus_buffer.scala 380:63] - wire _T_1904 = _T_1902 & _T_4421; // @[lsu_bus_buffer.scala 380:88] - wire _T_1893 = |buf_age_0; // @[lsu_bus_buffer.scala 380:58] - wire _T_1894 = ~_T_1893; // @[lsu_bus_buffer.scala 380:45] - wire _T_1896 = _T_1894 & _T_2562; // @[lsu_bus_buffer.scala 380:63] - wire _T_1898 = _T_1896 & _T_4416; // @[lsu_bus_buffer.scala 380:88] - wire [3:0] CmdPtr0Dec = {_T_1916,_T_1910,_T_1904,_T_1898}; // @[Cat.scala 29:58] - wire [7:0] _T_1986 = {4'h0,_T_1916,_T_1910,_T_1904,_T_1898}; // @[Cat.scala 29:58] - wire _T_1989 = _T_1986[4] | _T_1986[5]; // @[lsu_bus_buffer.scala 388:42] - wire _T_1991 = _T_1989 | _T_1986[6]; // @[lsu_bus_buffer.scala 388:48] - wire _T_1993 = _T_1991 | _T_1986[7]; // @[lsu_bus_buffer.scala 388:54] - wire _T_1996 = _T_1986[2] | _T_1986[3]; // @[lsu_bus_buffer.scala 388:67] - wire _T_1998 = _T_1996 | _T_1986[6]; // @[lsu_bus_buffer.scala 388:73] - wire _T_2000 = _T_1998 | _T_1986[7]; // @[lsu_bus_buffer.scala 388:79] - wire _T_2003 = _T_1986[1] | _T_1986[3]; // @[lsu_bus_buffer.scala 388:92] - wire _T_2005 = _T_2003 | _T_1986[5]; // @[lsu_bus_buffer.scala 388:98] - wire _T_2007 = _T_2005 | _T_1986[7]; // @[lsu_bus_buffer.scala 388:104] - wire [2:0] _T_2009 = {_T_1993,_T_2000,_T_2007}; // @[Cat.scala 29:58] - wire [1:0] CmdPtr0 = _T_2009[1:0]; // @[lsu_bus_buffer.scala 393:11] + wire _T_1918 = |buf_age_3; // @[lsu_bus_buffer.scala 380:58] + wire _T_1919 = ~_T_1918; // @[lsu_bus_buffer.scala 380:45] + wire _T_1921 = _T_1919 & _T_2590; // @[lsu_bus_buffer.scala 380:63] + wire _T_1923 = _T_1921 & _T_4438; // @[lsu_bus_buffer.scala 380:88] + wire _T_1912 = |buf_age_2; // @[lsu_bus_buffer.scala 380:58] + wire _T_1913 = ~_T_1912; // @[lsu_bus_buffer.scala 380:45] + wire _T_1915 = _T_1913 & _T_2583; // @[lsu_bus_buffer.scala 380:63] + wire _T_1917 = _T_1915 & _T_4433; // @[lsu_bus_buffer.scala 380:88] + wire _T_1906 = |buf_age_1; // @[lsu_bus_buffer.scala 380:58] + wire _T_1907 = ~_T_1906; // @[lsu_bus_buffer.scala 380:45] + wire _T_1909 = _T_1907 & _T_2576; // @[lsu_bus_buffer.scala 380:63] + wire _T_1911 = _T_1909 & _T_4428; // @[lsu_bus_buffer.scala 380:88] + wire _T_1900 = |buf_age_0; // @[lsu_bus_buffer.scala 380:58] + wire _T_1901 = ~_T_1900; // @[lsu_bus_buffer.scala 380:45] + wire _T_1903 = _T_1901 & _T_2569; // @[lsu_bus_buffer.scala 380:63] + wire _T_1905 = _T_1903 & _T_4423; // @[lsu_bus_buffer.scala 380:88] + wire [3:0] CmdPtr0Dec = {_T_1923,_T_1917,_T_1911,_T_1905}; // @[Cat.scala 29:58] + wire [7:0] _T_1993 = {4'h0,_T_1923,_T_1917,_T_1911,_T_1905}; // @[Cat.scala 29:58] + wire _T_1996 = _T_1993[4] | _T_1993[5]; // @[lsu_bus_buffer.scala 388:42] + wire _T_1998 = _T_1996 | _T_1993[6]; // @[lsu_bus_buffer.scala 388:48] + wire _T_2000 = _T_1998 | _T_1993[7]; // @[lsu_bus_buffer.scala 388:54] + wire _T_2003 = _T_1993[2] | _T_1993[3]; // @[lsu_bus_buffer.scala 388:67] + wire _T_2005 = _T_2003 | _T_1993[6]; // @[lsu_bus_buffer.scala 388:73] + wire _T_2007 = _T_2005 | _T_1993[7]; // @[lsu_bus_buffer.scala 388:79] + wire _T_2010 = _T_1993[1] | _T_1993[3]; // @[lsu_bus_buffer.scala 388:92] + wire _T_2012 = _T_2010 | _T_1993[5]; // @[lsu_bus_buffer.scala 388:98] + wire _T_2014 = _T_2012 | _T_1993[7]; // @[lsu_bus_buffer.scala 388:104] + wire [2:0] _T_2016 = {_T_2000,_T_2007,_T_2014}; // @[Cat.scala 29:58] + wire [1:0] CmdPtr0 = _T_2016[1:0]; // @[lsu_bus_buffer.scala 393:11] wire _T_1023 = CmdPtr0 == 2'h0; // @[lsu_bus_buffer.scala 267:114] wire _T_1024 = CmdPtr0 == 2'h1; // @[lsu_bus_buffer.scala 267:114] wire _T_1025 = CmdPtr0 == 2'h2; // @[lsu_bus_buffer.scala 267:114] @@ -1104,11 +1104,11 @@ module lsu_bus_buffer( wire _T_1033 = _T_1032 | _T_1030; // @[Mux.scala 27:72] wire _T_1035 = ~_T_1033; // @[lsu_bus_buffer.scala 267:31] wire _T_1036 = _T_1022 & _T_1035; // @[lsu_bus_buffer.scala 267:29] - reg _T_4314; // @[Reg.scala 27:20] - reg _T_4311; // @[Reg.scala 27:20] - reg _T_4308; // @[Reg.scala 27:20] - reg _T_4305; // @[Reg.scala 27:20] - wire [3:0] buf_sideeffect = {_T_4314,_T_4311,_T_4308,_T_4305}; // @[Cat.scala 29:58] + reg _T_4321; // @[Reg.scala 27:20] + reg _T_4318; // @[Reg.scala 27:20] + reg _T_4315; // @[Reg.scala 27:20] + reg _T_4312; // @[Reg.scala 27:20] + wire [3:0] buf_sideeffect = {_T_4321,_T_4318,_T_4315,_T_4312}; // @[Cat.scala 29:58] wire _T_1045 = _T_1023 & buf_sideeffect[0]; // @[Mux.scala 27:72] wire _T_1046 = _T_1024 & buf_sideeffect[1]; // @[Mux.scala 27:72] wire _T_1047 = _T_1025 & buf_sideeffect[2]; // @[Mux.scala 27:72] @@ -1131,44 +1131,44 @@ module lsu_bus_buffer( wire obuf_force_wr_en = _T_1067 & _T_1085; // @[lsu_bus_buffer.scala 270:101] wire _T_1055 = ~obuf_force_wr_en; // @[lsu_bus_buffer.scala 268:119] wire obuf_wr_wait = _T_1054 & _T_1055; // @[lsu_bus_buffer.scala 268:117] - wire _T_4466 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 531:63] - wire _T_4470 = _T_4466 | _T_4447; // @[lsu_bus_buffer.scala 531:74] - wire _T_4461 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 531:63] - wire _T_4465 = _T_4461 | _T_4444; // @[lsu_bus_buffer.scala 531:74] - wire [1:0] _T_4471 = _T_4470 + _T_4465; // @[lsu_bus_buffer.scala 531:154] - wire _T_4456 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 531:63] - wire _T_4460 = _T_4456 | _T_4441; // @[lsu_bus_buffer.scala 531:74] - wire [1:0] _GEN_371 = {{1'd0}, _T_4460}; // @[lsu_bus_buffer.scala 531:154] - wire [2:0] _T_4472 = _T_4471 + _GEN_371; // @[lsu_bus_buffer.scala 531:154] - wire _T_4451 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 531:63] - wire _T_4455 = _T_4451 | _T_4438; // @[lsu_bus_buffer.scala 531:74] - wire [2:0] _GEN_372 = {{2'd0}, _T_4455}; // @[lsu_bus_buffer.scala 531:154] - wire [3:0] buf_numvld_pend_any = _T_4472 + _GEN_372; // @[lsu_bus_buffer.scala 531:154] + wire _T_4473 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 531:63] + wire _T_4477 = _T_4473 | _T_4454; // @[lsu_bus_buffer.scala 531:74] + wire _T_4468 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 531:63] + wire _T_4472 = _T_4468 | _T_4451; // @[lsu_bus_buffer.scala 531:74] + wire [1:0] _T_4478 = _T_4477 + _T_4472; // @[lsu_bus_buffer.scala 531:154] + wire _T_4463 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 531:63] + wire _T_4467 = _T_4463 | _T_4448; // @[lsu_bus_buffer.scala 531:74] + wire [1:0] _GEN_376 = {{1'd0}, _T_4467}; // @[lsu_bus_buffer.scala 531:154] + wire [2:0] _T_4479 = _T_4478 + _GEN_376; // @[lsu_bus_buffer.scala 531:154] + wire _T_4458 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 531:63] + wire _T_4462 = _T_4458 | _T_4445; // @[lsu_bus_buffer.scala 531:74] + wire [2:0] _GEN_377 = {{2'd0}, _T_4462}; // @[lsu_bus_buffer.scala 531:154] + wire [3:0] buf_numvld_pend_any = _T_4479 + _GEN_377; // @[lsu_bus_buffer.scala 531:154] wire _T_1087 = buf_numvld_pend_any == 4'h0; // @[lsu_bus_buffer.scala 272:53] wire _T_1088 = ibuf_byp & _T_1087; // @[lsu_bus_buffer.scala 272:31] wire _T_1089 = ~io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 272:64] wire _T_1090 = _T_1089 | io_no_dword_merge_r; // @[lsu_bus_buffer.scala 272:89] wire ibuf_buf_byp = _T_1088 & _T_1090; // @[lsu_bus_buffer.scala 272:61] wire _T_1091 = ibuf_buf_byp & io_lsu_commit_r; // @[lsu_bus_buffer.scala 287:32] - wire _T_4740 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 559:62] - wire _T_4742 = _T_4740 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 559:73] - wire _T_4743 = _T_4742 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 559:93] - wire _T_4744 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 559:62] - wire _T_4746 = _T_4744 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 559:73] - wire _T_4747 = _T_4746 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 559:93] - wire _T_4756 = _T_4743 | _T_4747; // @[lsu_bus_buffer.scala 559:153] - wire _T_4748 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 559:62] - wire _T_4750 = _T_4748 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 559:73] - wire _T_4751 = _T_4750 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 559:93] - wire _T_4757 = _T_4756 | _T_4751; // @[lsu_bus_buffer.scala 559:153] - wire _T_4752 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 559:62] - wire _T_4754 = _T_4752 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 559:73] - wire _T_4755 = _T_4754 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 559:93] - wire _T_4758 = _T_4757 | _T_4755; // @[lsu_bus_buffer.scala 559:153] + wire _T_4747 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 559:62] + wire _T_4749 = _T_4747 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 559:73] + wire _T_4750 = _T_4749 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 559:93] + wire _T_4751 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 559:62] + wire _T_4753 = _T_4751 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 559:73] + wire _T_4754 = _T_4753 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 559:93] + wire _T_4763 = _T_4750 | _T_4754; // @[lsu_bus_buffer.scala 559:153] + wire _T_4755 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 559:62] + wire _T_4757 = _T_4755 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 559:73] + wire _T_4758 = _T_4757 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 559:93] + wire _T_4764 = _T_4763 | _T_4758; // @[lsu_bus_buffer.scala 559:153] + wire _T_4759 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 559:62] + wire _T_4761 = _T_4759 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 559:73] + wire _T_4762 = _T_4761 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 559:93] + wire _T_4765 = _T_4764 | _T_4762; // @[lsu_bus_buffer.scala 559:153] reg obuf_sideeffect; // @[Reg.scala 27:20] - wire _T_4759 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 559:171] - wire _T_4760 = _T_4759 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 559:189] - wire bus_sideeffect_pend = _T_4758 | _T_4760; // @[lsu_bus_buffer.scala 559:157] + wire _T_4766 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 559:171] + wire _T_4767 = _T_4766 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 559:189] + wire bus_sideeffect_pend = _T_4765 | _T_4767; // @[lsu_bus_buffer.scala 559:157] wire _T_1092 = io_is_sideeffects_r & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 287:74] wire _T_1093 = ~_T_1092; // @[lsu_bus_buffer.scala 287:52] wire _T_1094 = _T_1091 & _T_1093; // @[lsu_bus_buffer.scala 287:50] @@ -1230,36 +1230,36 @@ module lsu_bus_buffer( wire _T_1204 = ~_T_1202; // @[lsu_bus_buffer.scala 290:150] wire _T_1205 = _T_1187 & _T_1204; // @[lsu_bus_buffer.scala 290:148] wire _T_1206 = ~_T_1205; // @[lsu_bus_buffer.scala 290:8] - wire [3:0] _T_1952 = ~CmdPtr0Dec; // @[lsu_bus_buffer.scala 381:62] - wire [3:0] _T_1953 = buf_age_3 & _T_1952; // @[lsu_bus_buffer.scala 381:59] - wire _T_1954 = |_T_1953; // @[lsu_bus_buffer.scala 381:76] - wire _T_1955 = ~_T_1954; // @[lsu_bus_buffer.scala 381:45] - wire _T_1957 = ~CmdPtr0Dec[3]; // @[lsu_bus_buffer.scala 381:83] - wire _T_1958 = _T_1955 & _T_1957; // @[lsu_bus_buffer.scala 381:81] - wire _T_1960 = _T_1958 & _T_2583; // @[lsu_bus_buffer.scala 381:98] - wire _T_1962 = _T_1960 & _T_4431; // @[lsu_bus_buffer.scala 381:123] - wire [3:0] _T_1942 = buf_age_2 & _T_1952; // @[lsu_bus_buffer.scala 381:59] - wire _T_1943 = |_T_1942; // @[lsu_bus_buffer.scala 381:76] - wire _T_1944 = ~_T_1943; // @[lsu_bus_buffer.scala 381:45] - wire _T_1946 = ~CmdPtr0Dec[2]; // @[lsu_bus_buffer.scala 381:83] - wire _T_1947 = _T_1944 & _T_1946; // @[lsu_bus_buffer.scala 381:81] - wire _T_1949 = _T_1947 & _T_2576; // @[lsu_bus_buffer.scala 381:98] - wire _T_1951 = _T_1949 & _T_4426; // @[lsu_bus_buffer.scala 381:123] - wire [3:0] _T_1931 = buf_age_1 & _T_1952; // @[lsu_bus_buffer.scala 381:59] - wire _T_1932 = |_T_1931; // @[lsu_bus_buffer.scala 381:76] - wire _T_1933 = ~_T_1932; // @[lsu_bus_buffer.scala 381:45] - wire _T_1935 = ~CmdPtr0Dec[1]; // @[lsu_bus_buffer.scala 381:83] - wire _T_1936 = _T_1933 & _T_1935; // @[lsu_bus_buffer.scala 381:81] - wire _T_1938 = _T_1936 & _T_2569; // @[lsu_bus_buffer.scala 381:98] - wire _T_1940 = _T_1938 & _T_4421; // @[lsu_bus_buffer.scala 381:123] - wire [3:0] _T_1920 = buf_age_0 & _T_1952; // @[lsu_bus_buffer.scala 381:59] - wire _T_1921 = |_T_1920; // @[lsu_bus_buffer.scala 381:76] - wire _T_1922 = ~_T_1921; // @[lsu_bus_buffer.scala 381:45] - wire _T_1924 = ~CmdPtr0Dec[0]; // @[lsu_bus_buffer.scala 381:83] - wire _T_1925 = _T_1922 & _T_1924; // @[lsu_bus_buffer.scala 381:81] - wire _T_1927 = _T_1925 & _T_2562; // @[lsu_bus_buffer.scala 381:98] - wire _T_1929 = _T_1927 & _T_4416; // @[lsu_bus_buffer.scala 381:123] - wire [3:0] CmdPtr1Dec = {_T_1962,_T_1951,_T_1940,_T_1929}; // @[Cat.scala 29:58] + wire [3:0] _T_1959 = ~CmdPtr0Dec; // @[lsu_bus_buffer.scala 381:62] + wire [3:0] _T_1960 = buf_age_3 & _T_1959; // @[lsu_bus_buffer.scala 381:59] + wire _T_1961 = |_T_1960; // @[lsu_bus_buffer.scala 381:76] + wire _T_1962 = ~_T_1961; // @[lsu_bus_buffer.scala 381:45] + wire _T_1964 = ~CmdPtr0Dec[3]; // @[lsu_bus_buffer.scala 381:83] + wire _T_1965 = _T_1962 & _T_1964; // @[lsu_bus_buffer.scala 381:81] + wire _T_1967 = _T_1965 & _T_2590; // @[lsu_bus_buffer.scala 381:98] + wire _T_1969 = _T_1967 & _T_4438; // @[lsu_bus_buffer.scala 381:123] + wire [3:0] _T_1949 = buf_age_2 & _T_1959; // @[lsu_bus_buffer.scala 381:59] + wire _T_1950 = |_T_1949; // @[lsu_bus_buffer.scala 381:76] + wire _T_1951 = ~_T_1950; // @[lsu_bus_buffer.scala 381:45] + wire _T_1953 = ~CmdPtr0Dec[2]; // @[lsu_bus_buffer.scala 381:83] + wire _T_1954 = _T_1951 & _T_1953; // @[lsu_bus_buffer.scala 381:81] + wire _T_1956 = _T_1954 & _T_2583; // @[lsu_bus_buffer.scala 381:98] + wire _T_1958 = _T_1956 & _T_4433; // @[lsu_bus_buffer.scala 381:123] + wire [3:0] _T_1938 = buf_age_1 & _T_1959; // @[lsu_bus_buffer.scala 381:59] + wire _T_1939 = |_T_1938; // @[lsu_bus_buffer.scala 381:76] + wire _T_1940 = ~_T_1939; // @[lsu_bus_buffer.scala 381:45] + wire _T_1942 = ~CmdPtr0Dec[1]; // @[lsu_bus_buffer.scala 381:83] + wire _T_1943 = _T_1940 & _T_1942; // @[lsu_bus_buffer.scala 381:81] + wire _T_1945 = _T_1943 & _T_2576; // @[lsu_bus_buffer.scala 381:98] + wire _T_1947 = _T_1945 & _T_4428; // @[lsu_bus_buffer.scala 381:123] + wire [3:0] _T_1927 = buf_age_0 & _T_1959; // @[lsu_bus_buffer.scala 381:59] + wire _T_1928 = |_T_1927; // @[lsu_bus_buffer.scala 381:76] + wire _T_1929 = ~_T_1928; // @[lsu_bus_buffer.scala 381:45] + wire _T_1931 = ~CmdPtr0Dec[0]; // @[lsu_bus_buffer.scala 381:83] + wire _T_1932 = _T_1929 & _T_1931; // @[lsu_bus_buffer.scala 381:81] + wire _T_1934 = _T_1932 & _T_2569; // @[lsu_bus_buffer.scala 381:98] + wire _T_1936 = _T_1934 & _T_4423; // @[lsu_bus_buffer.scala 381:123] + wire [3:0] CmdPtr1Dec = {_T_1969,_T_1958,_T_1947,_T_1936}; // @[Cat.scala 29:58] wire found_cmdptr1 = |CmdPtr1Dec; // @[lsu_bus_buffer.scala 386:31] wire _T_1207 = _T_1206 | found_cmdptr1; // @[lsu_bus_buffer.scala 290:181] wire [3:0] _T_1210 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] @@ -1275,13 +1275,13 @@ module lsu_bus_buffer( wire _T_1229 = _T_1148 & _T_1228; // @[lsu_bus_buffer.scala 289:164] wire _T_1230 = _T_1094 | _T_1229; // @[lsu_bus_buffer.scala 287:98] reg obuf_write; // @[Reg.scala 27:20] - reg obuf_cmd_done; // @[lib.scala 377:33] - reg obuf_data_done; // @[lib.scala 377:33] - wire _T_4814 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 563:54] - wire _T_4815 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 563:75] - wire _T_4816 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 563:153] - wire _T_4817 = _T_4814 ? _T_4815 : _T_4816; // @[lsu_bus_buffer.scala 563:39] - wire bus_cmd_ready = obuf_write ? _T_4817 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 563:23] + reg obuf_cmd_done; // @[Reg.scala 27:20] + reg obuf_data_done; // @[Reg.scala 27:20] + wire _T_4821 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 563:54] + wire _T_4822 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 563:75] + wire _T_4823 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 563:153] + wire _T_4824 = _T_4821 ? _T_4822 : _T_4823; // @[lsu_bus_buffer.scala 563:39] + wire bus_cmd_ready = obuf_write ? _T_4824 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 563:23] wire _T_1231 = ~obuf_valid; // @[lsu_bus_buffer.scala 291:48] wire _T_1232 = bus_cmd_ready | _T_1231; // @[lsu_bus_buffer.scala 291:46] reg obuf_nosend; // @[Reg.scala 27:20] @@ -1289,53 +1289,53 @@ module lsu_bus_buffer( wire _T_1234 = _T_1230 & _T_1233; // @[lsu_bus_buffer.scala 291:29] wire _T_1235 = ~obuf_wr_wait; // @[lsu_bus_buffer.scala 291:77] wire _T_1236 = _T_1234 & _T_1235; // @[lsu_bus_buffer.scala 291:75] - reg [31:0] obuf_addr; // @[lib.scala 396:16] - wire _T_4765 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 561:37] - wire _T_4766 = obuf_valid & _T_4765; // @[lsu_bus_buffer.scala 561:19] - wire _T_4768 = obuf_tag1 == 2'h0; // @[lsu_bus_buffer.scala 561:107] - wire _T_4769 = obuf_merge & _T_4768; // @[lsu_bus_buffer.scala 561:95] - wire _T_4770 = _T_3558 | _T_4769; // @[lsu_bus_buffer.scala 561:81] - wire _T_4771 = ~_T_4770; // @[lsu_bus_buffer.scala 561:61] - wire _T_4772 = _T_4766 & _T_4771; // @[lsu_bus_buffer.scala 561:59] - wire _T_4806 = _T_4740 & _T_4772; // @[Mux.scala 27:72] - wire _T_4776 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 561:37] - wire _T_4777 = obuf_valid & _T_4776; // @[lsu_bus_buffer.scala 561:19] - wire _T_4779 = obuf_tag1 == 2'h1; // @[lsu_bus_buffer.scala 561:107] - wire _T_4780 = obuf_merge & _T_4779; // @[lsu_bus_buffer.scala 561:95] - wire _T_4781 = _T_3748 | _T_4780; // @[lsu_bus_buffer.scala 561:81] - wire _T_4782 = ~_T_4781; // @[lsu_bus_buffer.scala 561:61] - wire _T_4783 = _T_4777 & _T_4782; // @[lsu_bus_buffer.scala 561:59] - wire _T_4807 = _T_4744 & _T_4783; // @[Mux.scala 27:72] - wire _T_4810 = _T_4806 | _T_4807; // @[Mux.scala 27:72] - wire _T_4787 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 561:37] - wire _T_4788 = obuf_valid & _T_4787; // @[lsu_bus_buffer.scala 561:19] - wire _T_4790 = obuf_tag1 == 2'h2; // @[lsu_bus_buffer.scala 561:107] - wire _T_4791 = obuf_merge & _T_4790; // @[lsu_bus_buffer.scala 561:95] - wire _T_4792 = _T_3938 | _T_4791; // @[lsu_bus_buffer.scala 561:81] - wire _T_4793 = ~_T_4792; // @[lsu_bus_buffer.scala 561:61] - wire _T_4794 = _T_4788 & _T_4793; // @[lsu_bus_buffer.scala 561:59] - wire _T_4808 = _T_4748 & _T_4794; // @[Mux.scala 27:72] - wire _T_4811 = _T_4810 | _T_4808; // @[Mux.scala 27:72] - wire _T_4798 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 561:37] - wire _T_4799 = obuf_valid & _T_4798; // @[lsu_bus_buffer.scala 561:19] - wire _T_4801 = obuf_tag1 == 2'h3; // @[lsu_bus_buffer.scala 561:107] - wire _T_4802 = obuf_merge & _T_4801; // @[lsu_bus_buffer.scala 561:95] - wire _T_4803 = _T_4128 | _T_4802; // @[lsu_bus_buffer.scala 561:81] - wire _T_4804 = ~_T_4803; // @[lsu_bus_buffer.scala 561:61] - wire _T_4805 = _T_4799 & _T_4804; // @[lsu_bus_buffer.scala 561:59] - wire _T_4809 = _T_4752 & _T_4805; // @[Mux.scala 27:72] - wire bus_addr_match_pending = _T_4811 | _T_4809; // @[Mux.scala 27:72] + reg [31:0] obuf_addr; // @[lib.scala 397:16] + wire _T_4772 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 561:37] + wire _T_4773 = obuf_valid & _T_4772; // @[lsu_bus_buffer.scala 561:19] + wire _T_4775 = obuf_tag1 == 2'h0; // @[lsu_bus_buffer.scala 561:107] + wire _T_4776 = obuf_merge & _T_4775; // @[lsu_bus_buffer.scala 561:95] + wire _T_4777 = _T_3565 | _T_4776; // @[lsu_bus_buffer.scala 561:81] + wire _T_4778 = ~_T_4777; // @[lsu_bus_buffer.scala 561:61] + wire _T_4779 = _T_4773 & _T_4778; // @[lsu_bus_buffer.scala 561:59] + wire _T_4813 = _T_4747 & _T_4779; // @[Mux.scala 27:72] + wire _T_4783 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 561:37] + wire _T_4784 = obuf_valid & _T_4783; // @[lsu_bus_buffer.scala 561:19] + wire _T_4786 = obuf_tag1 == 2'h1; // @[lsu_bus_buffer.scala 561:107] + wire _T_4787 = obuf_merge & _T_4786; // @[lsu_bus_buffer.scala 561:95] + wire _T_4788 = _T_3755 | _T_4787; // @[lsu_bus_buffer.scala 561:81] + wire _T_4789 = ~_T_4788; // @[lsu_bus_buffer.scala 561:61] + wire _T_4790 = _T_4784 & _T_4789; // @[lsu_bus_buffer.scala 561:59] + wire _T_4814 = _T_4751 & _T_4790; // @[Mux.scala 27:72] + wire _T_4817 = _T_4813 | _T_4814; // @[Mux.scala 27:72] + wire _T_4794 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 561:37] + wire _T_4795 = obuf_valid & _T_4794; // @[lsu_bus_buffer.scala 561:19] + wire _T_4797 = obuf_tag1 == 2'h2; // @[lsu_bus_buffer.scala 561:107] + wire _T_4798 = obuf_merge & _T_4797; // @[lsu_bus_buffer.scala 561:95] + wire _T_4799 = _T_3945 | _T_4798; // @[lsu_bus_buffer.scala 561:81] + wire _T_4800 = ~_T_4799; // @[lsu_bus_buffer.scala 561:61] + wire _T_4801 = _T_4795 & _T_4800; // @[lsu_bus_buffer.scala 561:59] + wire _T_4815 = _T_4755 & _T_4801; // @[Mux.scala 27:72] + wire _T_4818 = _T_4817 | _T_4815; // @[Mux.scala 27:72] + wire _T_4805 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 561:37] + wire _T_4806 = obuf_valid & _T_4805; // @[lsu_bus_buffer.scala 561:19] + wire _T_4808 = obuf_tag1 == 2'h3; // @[lsu_bus_buffer.scala 561:107] + wire _T_4809 = obuf_merge & _T_4808; // @[lsu_bus_buffer.scala 561:95] + wire _T_4810 = _T_4135 | _T_4809; // @[lsu_bus_buffer.scala 561:81] + wire _T_4811 = ~_T_4810; // @[lsu_bus_buffer.scala 561:61] + wire _T_4812 = _T_4806 & _T_4811; // @[lsu_bus_buffer.scala 561:59] + wire _T_4816 = _T_4759 & _T_4812; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4818 | _T_4816; // @[Mux.scala 27:72] wire _T_1237 = ~bus_addr_match_pending; // @[lsu_bus_buffer.scala 291:94] wire _T_1238 = _T_1236 & _T_1237; // @[lsu_bus_buffer.scala 291:92] wire obuf_wr_en = _T_1238 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 291:118] wire _T_1240 = obuf_valid & obuf_nosend; // @[lsu_bus_buffer.scala 293:47] wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 564:40] - wire _T_4821 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 566:35] + wire _T_4828 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 566:35] wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 565:40] - wire _T_4822 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 566:70] - wire _T_4823 = _T_4821 & _T_4822; // @[lsu_bus_buffer.scala 566:52] - wire _T_4824 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 566:112] - wire bus_cmd_sent = _T_4823 | _T_4824; // @[lsu_bus_buffer.scala 566:89] + wire _T_4829 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 566:70] + wire _T_4830 = _T_4828 & _T_4829; // @[lsu_bus_buffer.scala 566:52] + wire _T_4831 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 566:112] + wire bus_cmd_sent = _T_4830 | _T_4831; // @[lsu_bus_buffer.scala 566:89] wire _T_1241 = bus_cmd_sent | _T_1240; // @[lsu_bus_buffer.scala 293:33] wire _T_1242 = ~obuf_wr_en; // @[lsu_bus_buffer.scala 293:65] wire _T_1243 = _T_1241 & _T_1242; // @[lsu_bus_buffer.scala 293:63] @@ -1362,20 +1362,22 @@ module lsu_bus_buffer( wire [1:0] _T_1299 = _T_1298 | _T_1296; // @[Mux.scala 27:72] wire [1:0] _T_1300 = _T_1299 | _T_1297; // @[Mux.scala 27:72] wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1300; // @[lsu_bus_buffer.scala 299:23] - wire [7:0] _T_2011 = {4'h0,_T_1962,_T_1951,_T_1940,_T_1929}; // @[Cat.scala 29:58] - wire _T_2014 = _T_2011[4] | _T_2011[5]; // @[lsu_bus_buffer.scala 388:42] - wire _T_2016 = _T_2014 | _T_2011[6]; // @[lsu_bus_buffer.scala 388:48] - wire _T_2018 = _T_2016 | _T_2011[7]; // @[lsu_bus_buffer.scala 388:54] - wire _T_2021 = _T_2011[2] | _T_2011[3]; // @[lsu_bus_buffer.scala 388:67] - wire _T_2023 = _T_2021 | _T_2011[6]; // @[lsu_bus_buffer.scala 388:73] - wire _T_2025 = _T_2023 | _T_2011[7]; // @[lsu_bus_buffer.scala 388:79] - wire _T_2028 = _T_2011[1] | _T_2011[3]; // @[lsu_bus_buffer.scala 388:92] - wire _T_2030 = _T_2028 | _T_2011[5]; // @[lsu_bus_buffer.scala 388:98] - wire _T_2032 = _T_2030 | _T_2011[7]; // @[lsu_bus_buffer.scala 388:104] - wire [2:0] _T_2034 = {_T_2018,_T_2025,_T_2032}; // @[Cat.scala 29:58] - wire [1:0] CmdPtr1 = _T_2034[1:0]; // @[lsu_bus_buffer.scala 395:11] + wire [7:0] _T_2018 = {4'h0,_T_1969,_T_1958,_T_1947,_T_1936}; // @[Cat.scala 29:58] + wire _T_2021 = _T_2018[4] | _T_2018[5]; // @[lsu_bus_buffer.scala 388:42] + wire _T_2023 = _T_2021 | _T_2018[6]; // @[lsu_bus_buffer.scala 388:48] + wire _T_2025 = _T_2023 | _T_2018[7]; // @[lsu_bus_buffer.scala 388:54] + wire _T_2028 = _T_2018[2] | _T_2018[3]; // @[lsu_bus_buffer.scala 388:67] + wire _T_2030 = _T_2028 | _T_2018[6]; // @[lsu_bus_buffer.scala 388:73] + wire _T_2032 = _T_2030 | _T_2018[7]; // @[lsu_bus_buffer.scala 388:79] + wire _T_2035 = _T_2018[1] | _T_2018[3]; // @[lsu_bus_buffer.scala 388:92] + wire _T_2037 = _T_2035 | _T_2018[5]; // @[lsu_bus_buffer.scala 388:98] + wire _T_2039 = _T_2037 | _T_2018[7]; // @[lsu_bus_buffer.scala 388:104] + wire [2:0] _T_2041 = {_T_2025,_T_2032,_T_2039}; // @[Cat.scala 29:58] + wire [1:0] CmdPtr1 = _T_2041[1:0]; // @[lsu_bus_buffer.scala 395:11] wire _T_1302 = obuf_wr_en | obuf_rst; // @[lsu_bus_buffer.scala 307:39] wire _T_1303 = ~_T_1302; // @[lsu_bus_buffer.scala 307:26] + wire obuf_cmd_done_in = _T_1303 & _T_4828; // @[lsu_bus_buffer.scala 307:51] + wire obuf_data_done_in = _T_1303 & _T_4829; // @[lsu_bus_buffer.scala 310:52] wire _T_1309 = obuf_sz_in == 2'h0; // @[lsu_bus_buffer.scala 311:72] wire _T_1312 = ~obuf_addr_in[0]; // @[lsu_bus_buffer.scala 311:98] wire _T_1313 = obuf_sz_in[0] & _T_1312; // @[lsu_bus_buffer.scala 311:96] @@ -1399,7 +1401,7 @@ module lsu_bus_buffer( wire _T_1348 = obuf_valid & _T_1347; // @[lsu_bus_buffer.scala 327:18] reg obuf_rdrsp_pend; // @[Reg.scala 27:20] wire bus_rsp_read = io_lsu_axi_r_valid & io_lsu_axi_r_ready; // @[lsu_bus_buffer.scala 567:38] - reg [2:0] obuf_rdrsp_tag; // @[lib.scala 377:33] + reg [2:0] obuf_rdrsp_tag; // @[Reg.scala 27:20] wire _T_1349 = io_lsu_axi_r_bits_id == obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 327:90] wire _T_1350 = bus_rsp_read & _T_1349; // @[lsu_bus_buffer.scala 327:70] wire _T_1351 = ~_T_1350; // @[lsu_bus_buffer.scala 327:55] @@ -1413,7 +1415,7 @@ module lsu_bus_buffer( wire _T_1328 = _T_1324 & _T_1351; // @[lsu_bus_buffer.scala 319:80] wire _T_1330 = bus_cmd_sent & _T_1341; // @[lsu_bus_buffer.scala 320:19] wire _T_1331 = _T_1328 | _T_1330; // @[lsu_bus_buffer.scala 319:139] - wire obuf_rdrsp_pend_in = _T_1331 & _T_2587; // @[lsu_bus_buffer.scala 320:35] + wire obuf_rdrsp_pend_in = _T_1331 & _T_2594; // @[lsu_bus_buffer.scala 320:35] wire obuf_rdrsp_pend_en = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 321:47] wire [7:0] _T_1356 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1357 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] @@ -1545,1284 +1547,1285 @@ module lsu_bus_buffer( wire [55:0] _T_1618 = {_T_1612,_T_1607,_T_1602,_T_1597,_T_1592,_T_1587,_T_1582}; // @[Cat.scala 29:58] wire _T_1771 = obuf_wr_en | obuf_valid; // @[lsu_bus_buffer.scala 348:58] wire _T_1772 = ~obuf_rst; // @[lsu_bus_buffer.scala 348:93] + wire _T_1780 = io_lsu_bus_obuf_c1_clken & obuf_wr_en; // @[lib.scala 384:57] reg [1:0] obuf_sz; // @[Reg.scala 27:20] reg [7:0] obuf_byteen; // @[Reg.scala 27:20] - reg [63:0] obuf_data; // @[lib.scala 396:16] - wire _T_1785 = buf_state_0 == 3'h0; // @[lsu_bus_buffer.scala 366:65] - wire _T_1786 = ibuf_tag == 2'h0; // @[lsu_bus_buffer.scala 367:30] - wire _T_1787 = ibuf_valid & _T_1786; // @[lsu_bus_buffer.scala 367:19] - wire _T_1788 = WrPtr0_r == 2'h0; // @[lsu_bus_buffer.scala 368:18] - wire _T_1789 = WrPtr1_r == 2'h0; // @[lsu_bus_buffer.scala 368:57] - wire _T_1790 = io_ldst_dual_r & _T_1789; // @[lsu_bus_buffer.scala 368:45] - wire _T_1791 = _T_1788 | _T_1790; // @[lsu_bus_buffer.scala 368:27] - wire _T_1792 = io_lsu_busreq_r & _T_1791; // @[lsu_bus_buffer.scala 367:58] - wire _T_1793 = _T_1787 | _T_1792; // @[lsu_bus_buffer.scala 367:39] - wire _T_1794 = ~_T_1793; // @[lsu_bus_buffer.scala 367:5] - wire _T_1795 = _T_1785 & _T_1794; // @[lsu_bus_buffer.scala 366:76] - wire _T_1796 = buf_state_1 == 3'h0; // @[lsu_bus_buffer.scala 366:65] - wire _T_1797 = ibuf_tag == 2'h1; // @[lsu_bus_buffer.scala 367:30] - wire _T_1798 = ibuf_valid & _T_1797; // @[lsu_bus_buffer.scala 367:19] - wire _T_1799 = WrPtr0_r == 2'h1; // @[lsu_bus_buffer.scala 368:18] - wire _T_1800 = WrPtr1_r == 2'h1; // @[lsu_bus_buffer.scala 368:57] - wire _T_1801 = io_ldst_dual_r & _T_1800; // @[lsu_bus_buffer.scala 368:45] - wire _T_1802 = _T_1799 | _T_1801; // @[lsu_bus_buffer.scala 368:27] - wire _T_1803 = io_lsu_busreq_r & _T_1802; // @[lsu_bus_buffer.scala 367:58] - wire _T_1804 = _T_1798 | _T_1803; // @[lsu_bus_buffer.scala 367:39] - wire _T_1805 = ~_T_1804; // @[lsu_bus_buffer.scala 367:5] - wire _T_1806 = _T_1796 & _T_1805; // @[lsu_bus_buffer.scala 366:76] - wire _T_1807 = buf_state_2 == 3'h0; // @[lsu_bus_buffer.scala 366:65] - wire _T_1808 = ibuf_tag == 2'h2; // @[lsu_bus_buffer.scala 367:30] - wire _T_1809 = ibuf_valid & _T_1808; // @[lsu_bus_buffer.scala 367:19] - wire _T_1810 = WrPtr0_r == 2'h2; // @[lsu_bus_buffer.scala 368:18] - wire _T_1811 = WrPtr1_r == 2'h2; // @[lsu_bus_buffer.scala 368:57] - wire _T_1812 = io_ldst_dual_r & _T_1811; // @[lsu_bus_buffer.scala 368:45] - wire _T_1813 = _T_1810 | _T_1812; // @[lsu_bus_buffer.scala 368:27] - wire _T_1814 = io_lsu_busreq_r & _T_1813; // @[lsu_bus_buffer.scala 367:58] - wire _T_1815 = _T_1809 | _T_1814; // @[lsu_bus_buffer.scala 367:39] - wire _T_1816 = ~_T_1815; // @[lsu_bus_buffer.scala 367:5] - wire _T_1817 = _T_1807 & _T_1816; // @[lsu_bus_buffer.scala 366:76] - wire _T_1818 = buf_state_3 == 3'h0; // @[lsu_bus_buffer.scala 366:65] - wire _T_1819 = ibuf_tag == 2'h3; // @[lsu_bus_buffer.scala 367:30] - wire _T_1821 = WrPtr0_r == 2'h3; // @[lsu_bus_buffer.scala 368:18] - wire _T_1822 = WrPtr1_r == 2'h3; // @[lsu_bus_buffer.scala 368:57] - wire [1:0] _T_1830 = _T_1817 ? 2'h2 : 2'h3; // @[Mux.scala 98:16] - wire [1:0] _T_1831 = _T_1806 ? 2'h1 : _T_1830; // @[Mux.scala 98:16] - wire [1:0] WrPtr0_m = _T_1795 ? 2'h0 : _T_1831; // @[Mux.scala 98:16] - wire _T_1836 = WrPtr0_m == 2'h0; // @[lsu_bus_buffer.scala 373:33] - wire _T_1837 = io_lsu_busreq_m & _T_1836; // @[lsu_bus_buffer.scala 373:22] - wire _T_1838 = _T_1787 | _T_1837; // @[lsu_bus_buffer.scala 372:112] - wire _T_1844 = _T_1838 | _T_1792; // @[lsu_bus_buffer.scala 373:42] - wire _T_1845 = ~_T_1844; // @[lsu_bus_buffer.scala 372:78] - wire _T_1846 = _T_1785 & _T_1845; // @[lsu_bus_buffer.scala 372:76] - wire _T_1850 = WrPtr0_m == 2'h1; // @[lsu_bus_buffer.scala 373:33] - wire _T_1851 = io_lsu_busreq_m & _T_1850; // @[lsu_bus_buffer.scala 373:22] - wire _T_1852 = _T_1798 | _T_1851; // @[lsu_bus_buffer.scala 372:112] - wire _T_1858 = _T_1852 | _T_1803; // @[lsu_bus_buffer.scala 373:42] - wire _T_1859 = ~_T_1858; // @[lsu_bus_buffer.scala 372:78] - wire _T_1860 = _T_1796 & _T_1859; // @[lsu_bus_buffer.scala 372:76] - wire _T_1864 = WrPtr0_m == 2'h2; // @[lsu_bus_buffer.scala 373:33] - wire _T_1865 = io_lsu_busreq_m & _T_1864; // @[lsu_bus_buffer.scala 373:22] - wire _T_1866 = _T_1809 | _T_1865; // @[lsu_bus_buffer.scala 372:112] - wire _T_1872 = _T_1866 | _T_1814; // @[lsu_bus_buffer.scala 373:42] - wire _T_1873 = ~_T_1872; // @[lsu_bus_buffer.scala 372:78] - wire _T_1874 = _T_1807 & _T_1873; // @[lsu_bus_buffer.scala 372:76] + reg [63:0] obuf_data; // @[lib.scala 397:16] + wire _T_1792 = buf_state_0 == 3'h0; // @[lsu_bus_buffer.scala 366:65] + wire _T_1793 = ibuf_tag == 2'h0; // @[lsu_bus_buffer.scala 367:30] + wire _T_1794 = ibuf_valid & _T_1793; // @[lsu_bus_buffer.scala 367:19] + wire _T_1795 = WrPtr0_r == 2'h0; // @[lsu_bus_buffer.scala 368:18] + wire _T_1796 = WrPtr1_r == 2'h0; // @[lsu_bus_buffer.scala 368:57] + wire _T_1797 = io_ldst_dual_r & _T_1796; // @[lsu_bus_buffer.scala 368:45] + wire _T_1798 = _T_1795 | _T_1797; // @[lsu_bus_buffer.scala 368:27] + wire _T_1799 = io_lsu_busreq_r & _T_1798; // @[lsu_bus_buffer.scala 367:58] + wire _T_1800 = _T_1794 | _T_1799; // @[lsu_bus_buffer.scala 367:39] + wire _T_1801 = ~_T_1800; // @[lsu_bus_buffer.scala 367:5] + wire _T_1802 = _T_1792 & _T_1801; // @[lsu_bus_buffer.scala 366:76] + wire _T_1803 = buf_state_1 == 3'h0; // @[lsu_bus_buffer.scala 366:65] + wire _T_1804 = ibuf_tag == 2'h1; // @[lsu_bus_buffer.scala 367:30] + wire _T_1805 = ibuf_valid & _T_1804; // @[lsu_bus_buffer.scala 367:19] + wire _T_1806 = WrPtr0_r == 2'h1; // @[lsu_bus_buffer.scala 368:18] + wire _T_1807 = WrPtr1_r == 2'h1; // @[lsu_bus_buffer.scala 368:57] + wire _T_1808 = io_ldst_dual_r & _T_1807; // @[lsu_bus_buffer.scala 368:45] + wire _T_1809 = _T_1806 | _T_1808; // @[lsu_bus_buffer.scala 368:27] + wire _T_1810 = io_lsu_busreq_r & _T_1809; // @[lsu_bus_buffer.scala 367:58] + wire _T_1811 = _T_1805 | _T_1810; // @[lsu_bus_buffer.scala 367:39] + wire _T_1812 = ~_T_1811; // @[lsu_bus_buffer.scala 367:5] + wire _T_1813 = _T_1803 & _T_1812; // @[lsu_bus_buffer.scala 366:76] + wire _T_1814 = buf_state_2 == 3'h0; // @[lsu_bus_buffer.scala 366:65] + wire _T_1815 = ibuf_tag == 2'h2; // @[lsu_bus_buffer.scala 367:30] + wire _T_1816 = ibuf_valid & _T_1815; // @[lsu_bus_buffer.scala 367:19] + wire _T_1817 = WrPtr0_r == 2'h2; // @[lsu_bus_buffer.scala 368:18] + wire _T_1818 = WrPtr1_r == 2'h2; // @[lsu_bus_buffer.scala 368:57] + wire _T_1819 = io_ldst_dual_r & _T_1818; // @[lsu_bus_buffer.scala 368:45] + wire _T_1820 = _T_1817 | _T_1819; // @[lsu_bus_buffer.scala 368:27] + wire _T_1821 = io_lsu_busreq_r & _T_1820; // @[lsu_bus_buffer.scala 367:58] + wire _T_1822 = _T_1816 | _T_1821; // @[lsu_bus_buffer.scala 367:39] + wire _T_1823 = ~_T_1822; // @[lsu_bus_buffer.scala 367:5] + wire _T_1824 = _T_1814 & _T_1823; // @[lsu_bus_buffer.scala 366:76] + wire _T_1825 = buf_state_3 == 3'h0; // @[lsu_bus_buffer.scala 366:65] + wire _T_1826 = ibuf_tag == 2'h3; // @[lsu_bus_buffer.scala 367:30] + wire _T_1828 = WrPtr0_r == 2'h3; // @[lsu_bus_buffer.scala 368:18] + wire _T_1829 = WrPtr1_r == 2'h3; // @[lsu_bus_buffer.scala 368:57] + wire [1:0] _T_1837 = _T_1824 ? 2'h2 : 2'h3; // @[Mux.scala 98:16] + wire [1:0] _T_1838 = _T_1813 ? 2'h1 : _T_1837; // @[Mux.scala 98:16] + wire [1:0] WrPtr0_m = _T_1802 ? 2'h0 : _T_1838; // @[Mux.scala 98:16] + wire _T_1843 = WrPtr0_m == 2'h0; // @[lsu_bus_buffer.scala 373:33] + wire _T_1844 = io_lsu_busreq_m & _T_1843; // @[lsu_bus_buffer.scala 373:22] + wire _T_1845 = _T_1794 | _T_1844; // @[lsu_bus_buffer.scala 372:112] + wire _T_1851 = _T_1845 | _T_1799; // @[lsu_bus_buffer.scala 373:42] + wire _T_1852 = ~_T_1851; // @[lsu_bus_buffer.scala 372:78] + wire _T_1853 = _T_1792 & _T_1852; // @[lsu_bus_buffer.scala 372:76] + wire _T_1857 = WrPtr0_m == 2'h1; // @[lsu_bus_buffer.scala 373:33] + wire _T_1858 = io_lsu_busreq_m & _T_1857; // @[lsu_bus_buffer.scala 373:22] + wire _T_1859 = _T_1805 | _T_1858; // @[lsu_bus_buffer.scala 372:112] + wire _T_1865 = _T_1859 | _T_1810; // @[lsu_bus_buffer.scala 373:42] + wire _T_1866 = ~_T_1865; // @[lsu_bus_buffer.scala 372:78] + wire _T_1867 = _T_1803 & _T_1866; // @[lsu_bus_buffer.scala 372:76] + wire _T_1871 = WrPtr0_m == 2'h2; // @[lsu_bus_buffer.scala 373:33] + wire _T_1872 = io_lsu_busreq_m & _T_1871; // @[lsu_bus_buffer.scala 373:22] + wire _T_1873 = _T_1816 | _T_1872; // @[lsu_bus_buffer.scala 372:112] + wire _T_1879 = _T_1873 | _T_1821; // @[lsu_bus_buffer.scala 373:42] + wire _T_1880 = ~_T_1879; // @[lsu_bus_buffer.scala 372:78] + wire _T_1881 = _T_1814 & _T_1880; // @[lsu_bus_buffer.scala 372:76] reg [3:0] buf_rspageQ_0; // @[lsu_bus_buffer.scala 509:63] - wire _T_2710 = buf_state_3 == 3'h5; // @[lsu_bus_buffer.scala 416:102] - wire _T_2711 = buf_rspageQ_0[3] & _T_2710; // @[lsu_bus_buffer.scala 416:87] - wire _T_2707 = buf_state_2 == 3'h5; // @[lsu_bus_buffer.scala 416:102] - wire _T_2708 = buf_rspageQ_0[2] & _T_2707; // @[lsu_bus_buffer.scala 416:87] - wire _T_2704 = buf_state_1 == 3'h5; // @[lsu_bus_buffer.scala 416:102] - wire _T_2705 = buf_rspageQ_0[1] & _T_2704; // @[lsu_bus_buffer.scala 416:87] - wire _T_2701 = buf_state_0 == 3'h5; // @[lsu_bus_buffer.scala 416:102] - wire _T_2702 = buf_rspageQ_0[0] & _T_2701; // @[lsu_bus_buffer.scala 416:87] - wire [3:0] buf_rsp_pickage_0 = {_T_2711,_T_2708,_T_2705,_T_2702}; // @[Cat.scala 29:58] - wire _T_1965 = |buf_rsp_pickage_0; // @[lsu_bus_buffer.scala 384:65] - wire _T_1966 = ~_T_1965; // @[lsu_bus_buffer.scala 384:44] - wire _T_1968 = _T_1966 & _T_2701; // @[lsu_bus_buffer.scala 384:70] + wire _T_2717 = buf_state_3 == 3'h5; // @[lsu_bus_buffer.scala 416:102] + wire _T_2718 = buf_rspageQ_0[3] & _T_2717; // @[lsu_bus_buffer.scala 416:87] + wire _T_2714 = buf_state_2 == 3'h5; // @[lsu_bus_buffer.scala 416:102] + wire _T_2715 = buf_rspageQ_0[2] & _T_2714; // @[lsu_bus_buffer.scala 416:87] + wire _T_2711 = buf_state_1 == 3'h5; // @[lsu_bus_buffer.scala 416:102] + wire _T_2712 = buf_rspageQ_0[1] & _T_2711; // @[lsu_bus_buffer.scala 416:87] + wire _T_2708 = buf_state_0 == 3'h5; // @[lsu_bus_buffer.scala 416:102] + wire _T_2709 = buf_rspageQ_0[0] & _T_2708; // @[lsu_bus_buffer.scala 416:87] + wire [3:0] buf_rsp_pickage_0 = {_T_2718,_T_2715,_T_2712,_T_2709}; // @[Cat.scala 29:58] + wire _T_1972 = |buf_rsp_pickage_0; // @[lsu_bus_buffer.scala 384:65] + wire _T_1973 = ~_T_1972; // @[lsu_bus_buffer.scala 384:44] + wire _T_1975 = _T_1973 & _T_2708; // @[lsu_bus_buffer.scala 384:70] reg [3:0] buf_rspageQ_1; // @[lsu_bus_buffer.scala 509:63] - wire _T_2726 = buf_rspageQ_1[3] & _T_2710; // @[lsu_bus_buffer.scala 416:87] - wire _T_2723 = buf_rspageQ_1[2] & _T_2707; // @[lsu_bus_buffer.scala 416:87] - wire _T_2720 = buf_rspageQ_1[1] & _T_2704; // @[lsu_bus_buffer.scala 416:87] - wire _T_2717 = buf_rspageQ_1[0] & _T_2701; // @[lsu_bus_buffer.scala 416:87] - wire [3:0] buf_rsp_pickage_1 = {_T_2726,_T_2723,_T_2720,_T_2717}; // @[Cat.scala 29:58] - wire _T_1969 = |buf_rsp_pickage_1; // @[lsu_bus_buffer.scala 384:65] - wire _T_1970 = ~_T_1969; // @[lsu_bus_buffer.scala 384:44] - wire _T_1972 = _T_1970 & _T_2704; // @[lsu_bus_buffer.scala 384:70] + wire _T_2733 = buf_rspageQ_1[3] & _T_2717; // @[lsu_bus_buffer.scala 416:87] + wire _T_2730 = buf_rspageQ_1[2] & _T_2714; // @[lsu_bus_buffer.scala 416:87] + wire _T_2727 = buf_rspageQ_1[1] & _T_2711; // @[lsu_bus_buffer.scala 416:87] + wire _T_2724 = buf_rspageQ_1[0] & _T_2708; // @[lsu_bus_buffer.scala 416:87] + wire [3:0] buf_rsp_pickage_1 = {_T_2733,_T_2730,_T_2727,_T_2724}; // @[Cat.scala 29:58] + wire _T_1976 = |buf_rsp_pickage_1; // @[lsu_bus_buffer.scala 384:65] + wire _T_1977 = ~_T_1976; // @[lsu_bus_buffer.scala 384:44] + wire _T_1979 = _T_1977 & _T_2711; // @[lsu_bus_buffer.scala 384:70] reg [3:0] buf_rspageQ_2; // @[lsu_bus_buffer.scala 509:63] - wire _T_2741 = buf_rspageQ_2[3] & _T_2710; // @[lsu_bus_buffer.scala 416:87] - wire _T_2738 = buf_rspageQ_2[2] & _T_2707; // @[lsu_bus_buffer.scala 416:87] - wire _T_2735 = buf_rspageQ_2[1] & _T_2704; // @[lsu_bus_buffer.scala 416:87] - wire _T_2732 = buf_rspageQ_2[0] & _T_2701; // @[lsu_bus_buffer.scala 416:87] - wire [3:0] buf_rsp_pickage_2 = {_T_2741,_T_2738,_T_2735,_T_2732}; // @[Cat.scala 29:58] - wire _T_1973 = |buf_rsp_pickage_2; // @[lsu_bus_buffer.scala 384:65] - wire _T_1974 = ~_T_1973; // @[lsu_bus_buffer.scala 384:44] - wire _T_1976 = _T_1974 & _T_2707; // @[lsu_bus_buffer.scala 384:70] + wire _T_2748 = buf_rspageQ_2[3] & _T_2717; // @[lsu_bus_buffer.scala 416:87] + wire _T_2745 = buf_rspageQ_2[2] & _T_2714; // @[lsu_bus_buffer.scala 416:87] + wire _T_2742 = buf_rspageQ_2[1] & _T_2711; // @[lsu_bus_buffer.scala 416:87] + wire _T_2739 = buf_rspageQ_2[0] & _T_2708; // @[lsu_bus_buffer.scala 416:87] + wire [3:0] buf_rsp_pickage_2 = {_T_2748,_T_2745,_T_2742,_T_2739}; // @[Cat.scala 29:58] + wire _T_1980 = |buf_rsp_pickage_2; // @[lsu_bus_buffer.scala 384:65] + wire _T_1981 = ~_T_1980; // @[lsu_bus_buffer.scala 384:44] + wire _T_1983 = _T_1981 & _T_2714; // @[lsu_bus_buffer.scala 384:70] reg [3:0] buf_rspageQ_3; // @[lsu_bus_buffer.scala 509:63] - wire _T_2756 = buf_rspageQ_3[3] & _T_2710; // @[lsu_bus_buffer.scala 416:87] - wire _T_2753 = buf_rspageQ_3[2] & _T_2707; // @[lsu_bus_buffer.scala 416:87] - wire _T_2750 = buf_rspageQ_3[1] & _T_2704; // @[lsu_bus_buffer.scala 416:87] - wire _T_2747 = buf_rspageQ_3[0] & _T_2701; // @[lsu_bus_buffer.scala 416:87] - wire [3:0] buf_rsp_pickage_3 = {_T_2756,_T_2753,_T_2750,_T_2747}; // @[Cat.scala 29:58] - wire _T_1977 = |buf_rsp_pickage_3; // @[lsu_bus_buffer.scala 384:65] - wire _T_1978 = ~_T_1977; // @[lsu_bus_buffer.scala 384:44] - wire _T_1980 = _T_1978 & _T_2710; // @[lsu_bus_buffer.scala 384:70] - wire [7:0] _T_2036 = {4'h0,_T_1980,_T_1976,_T_1972,_T_1968}; // @[Cat.scala 29:58] - wire _T_2039 = _T_2036[4] | _T_2036[5]; // @[lsu_bus_buffer.scala 388:42] - wire _T_2041 = _T_2039 | _T_2036[6]; // @[lsu_bus_buffer.scala 388:48] - wire _T_2043 = _T_2041 | _T_2036[7]; // @[lsu_bus_buffer.scala 388:54] - wire _T_2046 = _T_2036[2] | _T_2036[3]; // @[lsu_bus_buffer.scala 388:67] - wire _T_2048 = _T_2046 | _T_2036[6]; // @[lsu_bus_buffer.scala 388:73] - wire _T_2050 = _T_2048 | _T_2036[7]; // @[lsu_bus_buffer.scala 388:79] - wire _T_2053 = _T_2036[1] | _T_2036[3]; // @[lsu_bus_buffer.scala 388:92] - wire _T_2055 = _T_2053 | _T_2036[5]; // @[lsu_bus_buffer.scala 388:98] - wire _T_2057 = _T_2055 | _T_2036[7]; // @[lsu_bus_buffer.scala 388:104] - wire [2:0] _T_2059 = {_T_2043,_T_2050,_T_2057}; // @[Cat.scala 29:58] - wire _T_3528 = ibuf_byp | io_ldst_dual_r; // @[lsu_bus_buffer.scala 446:77] - wire _T_3529 = ~ibuf_merge_en; // @[lsu_bus_buffer.scala 446:97] - wire _T_3530 = _T_3528 & _T_3529; // @[lsu_bus_buffer.scala 446:95] - wire _T_3531 = 2'h0 == WrPtr0_r; // @[lsu_bus_buffer.scala 446:117] - wire _T_3532 = _T_3530 & _T_3531; // @[lsu_bus_buffer.scala 446:112] - wire _T_3533 = ibuf_byp & io_ldst_dual_r; // @[lsu_bus_buffer.scala 446:144] - wire _T_3534 = 2'h0 == WrPtr1_r; // @[lsu_bus_buffer.scala 446:166] - wire _T_3535 = _T_3533 & _T_3534; // @[lsu_bus_buffer.scala 446:161] - wire _T_3536 = _T_3532 | _T_3535; // @[lsu_bus_buffer.scala 446:132] - wire _T_3537 = _T_853 & _T_3536; // @[lsu_bus_buffer.scala 446:63] - wire _T_3538 = 2'h0 == ibuf_tag; // @[lsu_bus_buffer.scala 446:206] - wire _T_3539 = ibuf_drain_vld & _T_3538; // @[lsu_bus_buffer.scala 446:201] - wire _T_3540 = _T_3537 | _T_3539; // @[lsu_bus_buffer.scala 446:183] + wire _T_2763 = buf_rspageQ_3[3] & _T_2717; // @[lsu_bus_buffer.scala 416:87] + wire _T_2760 = buf_rspageQ_3[2] & _T_2714; // @[lsu_bus_buffer.scala 416:87] + wire _T_2757 = buf_rspageQ_3[1] & _T_2711; // @[lsu_bus_buffer.scala 416:87] + wire _T_2754 = buf_rspageQ_3[0] & _T_2708; // @[lsu_bus_buffer.scala 416:87] + wire [3:0] buf_rsp_pickage_3 = {_T_2763,_T_2760,_T_2757,_T_2754}; // @[Cat.scala 29:58] + wire _T_1984 = |buf_rsp_pickage_3; // @[lsu_bus_buffer.scala 384:65] + wire _T_1985 = ~_T_1984; // @[lsu_bus_buffer.scala 384:44] + wire _T_1987 = _T_1985 & _T_2717; // @[lsu_bus_buffer.scala 384:70] + wire [7:0] _T_2043 = {4'h0,_T_1987,_T_1983,_T_1979,_T_1975}; // @[Cat.scala 29:58] + wire _T_2046 = _T_2043[4] | _T_2043[5]; // @[lsu_bus_buffer.scala 388:42] + wire _T_2048 = _T_2046 | _T_2043[6]; // @[lsu_bus_buffer.scala 388:48] + wire _T_2050 = _T_2048 | _T_2043[7]; // @[lsu_bus_buffer.scala 388:54] + wire _T_2053 = _T_2043[2] | _T_2043[3]; // @[lsu_bus_buffer.scala 388:67] + wire _T_2055 = _T_2053 | _T_2043[6]; // @[lsu_bus_buffer.scala 388:73] + wire _T_2057 = _T_2055 | _T_2043[7]; // @[lsu_bus_buffer.scala 388:79] + wire _T_2060 = _T_2043[1] | _T_2043[3]; // @[lsu_bus_buffer.scala 388:92] + wire _T_2062 = _T_2060 | _T_2043[5]; // @[lsu_bus_buffer.scala 388:98] + wire _T_2064 = _T_2062 | _T_2043[7]; // @[lsu_bus_buffer.scala 388:104] + wire [2:0] _T_2066 = {_T_2050,_T_2057,_T_2064}; // @[Cat.scala 29:58] + wire _T_3535 = ibuf_byp | io_ldst_dual_r; // @[lsu_bus_buffer.scala 446:77] + wire _T_3536 = ~ibuf_merge_en; // @[lsu_bus_buffer.scala 446:97] + wire _T_3537 = _T_3535 & _T_3536; // @[lsu_bus_buffer.scala 446:95] + wire _T_3538 = 2'h0 == WrPtr0_r; // @[lsu_bus_buffer.scala 446:117] + wire _T_3539 = _T_3537 & _T_3538; // @[lsu_bus_buffer.scala 446:112] + wire _T_3540 = ibuf_byp & io_ldst_dual_r; // @[lsu_bus_buffer.scala 446:144] + wire _T_3541 = 2'h0 == WrPtr1_r; // @[lsu_bus_buffer.scala 446:166] + wire _T_3542 = _T_3540 & _T_3541; // @[lsu_bus_buffer.scala 446:161] + wire _T_3543 = _T_3539 | _T_3542; // @[lsu_bus_buffer.scala 446:132] + wire _T_3544 = _T_853 & _T_3543; // @[lsu_bus_buffer.scala 446:63] + wire _T_3545 = 2'h0 == ibuf_tag; // @[lsu_bus_buffer.scala 446:206] + wire _T_3546 = ibuf_drain_vld & _T_3545; // @[lsu_bus_buffer.scala 446:201] + wire _T_3547 = _T_3544 | _T_3546; // @[lsu_bus_buffer.scala 446:183] wire bus_rsp_write = io_lsu_axi_b_valid & io_lsu_axi_b_ready; // @[lsu_bus_buffer.scala 568:39] - wire _T_3628 = io_lsu_axi_b_bits_id == 3'h0; // @[lsu_bus_buffer.scala 473:73] - wire _T_3629 = bus_rsp_write & _T_3628; // @[lsu_bus_buffer.scala 473:52] - wire _T_3630 = io_lsu_axi_r_bits_id == 3'h0; // @[lsu_bus_buffer.scala 474:46] - reg _T_4291; // @[Reg.scala 27:20] - reg _T_4289; // @[Reg.scala 27:20] - reg _T_4287; // @[Reg.scala 27:20] - reg _T_4285; // @[Reg.scala 27:20] - wire [3:0] buf_ldfwd = {_T_4291,_T_4289,_T_4287,_T_4285}; // @[Cat.scala 29:58] + wire _T_3635 = io_lsu_axi_b_bits_id == 3'h0; // @[lsu_bus_buffer.scala 473:73] + wire _T_3636 = bus_rsp_write & _T_3635; // @[lsu_bus_buffer.scala 473:52] + wire _T_3637 = io_lsu_axi_r_bits_id == 3'h0; // @[lsu_bus_buffer.scala 474:46] + reg _T_4298; // @[Reg.scala 27:20] + reg _T_4296; // @[Reg.scala 27:20] + reg _T_4294; // @[Reg.scala 27:20] + reg _T_4292; // @[Reg.scala 27:20] + wire [3:0] buf_ldfwd = {_T_4298,_T_4296,_T_4294,_T_4292}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_373 = {{1'd0}, buf_ldfwdtag_0}; // @[lsu_bus_buffer.scala 475:47] - wire _T_3632 = io_lsu_axi_r_bits_id == _GEN_373; // @[lsu_bus_buffer.scala 475:47] - wire _T_3633 = buf_ldfwd[0] & _T_3632; // @[lsu_bus_buffer.scala 475:27] - wire _T_3634 = _T_3630 | _T_3633; // @[lsu_bus_buffer.scala 474:77] - wire _T_3635 = buf_dual_0 & buf_dualhi_0; // @[lsu_bus_buffer.scala 476:26] - wire _T_3637 = ~buf_write[0]; // @[lsu_bus_buffer.scala 476:44] - wire _T_3638 = _T_3635 & _T_3637; // @[lsu_bus_buffer.scala 476:42] - wire _T_3639 = _T_3638 & buf_samedw_0; // @[lsu_bus_buffer.scala 476:58] + wire [2:0] _GEN_378 = {{1'd0}, buf_ldfwdtag_0}; // @[lsu_bus_buffer.scala 475:47] + wire _T_3639 = io_lsu_axi_r_bits_id == _GEN_378; // @[lsu_bus_buffer.scala 475:47] + wire _T_3640 = buf_ldfwd[0] & _T_3639; // @[lsu_bus_buffer.scala 475:27] + wire _T_3641 = _T_3637 | _T_3640; // @[lsu_bus_buffer.scala 474:77] + wire _T_3642 = buf_dual_0 & buf_dualhi_0; // @[lsu_bus_buffer.scala 476:26] + wire _T_3644 = ~buf_write[0]; // @[lsu_bus_buffer.scala 476:44] + wire _T_3645 = _T_3642 & _T_3644; // @[lsu_bus_buffer.scala 476:42] + wire _T_3646 = _T_3645 & buf_samedw_0; // @[lsu_bus_buffer.scala 476:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_374 = {{1'd0}, buf_dualtag_0}; // @[lsu_bus_buffer.scala 476:94] - wire _T_3640 = io_lsu_axi_r_bits_id == _GEN_374; // @[lsu_bus_buffer.scala 476:94] - wire _T_3641 = _T_3639 & _T_3640; // @[lsu_bus_buffer.scala 476:74] - wire _T_3642 = _T_3634 | _T_3641; // @[lsu_bus_buffer.scala 475:71] - wire _T_3643 = bus_rsp_read & _T_3642; // @[lsu_bus_buffer.scala 474:25] - wire _T_3644 = _T_3629 | _T_3643; // @[lsu_bus_buffer.scala 473:105] - wire _GEN_44 = _T_3585 & _T_3644; // @[Conditional.scala 39:67] - wire _GEN_63 = _T_3551 ? 1'h0 : _GEN_44; // @[Conditional.scala 39:67] - wire _GEN_75 = _T_3547 ? 1'h0 : _GEN_63; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_0 = _T_3524 ? 1'h0 : _GEN_75; // @[Conditional.scala 40:58] - wire [3:0] _T_3679 = buf_ldfwd >> buf_dualtag_0; // @[lsu_bus_buffer.scala 489:21] + wire [2:0] _GEN_379 = {{1'd0}, buf_dualtag_0}; // @[lsu_bus_buffer.scala 476:94] + wire _T_3647 = io_lsu_axi_r_bits_id == _GEN_379; // @[lsu_bus_buffer.scala 476:94] + wire _T_3648 = _T_3646 & _T_3647; // @[lsu_bus_buffer.scala 476:74] + wire _T_3649 = _T_3641 | _T_3648; // @[lsu_bus_buffer.scala 475:71] + wire _T_3650 = bus_rsp_read & _T_3649; // @[lsu_bus_buffer.scala 474:25] + wire _T_3651 = _T_3636 | _T_3650; // @[lsu_bus_buffer.scala 473:105] + wire _GEN_49 = _T_3592 & _T_3651; // @[Conditional.scala 39:67] + wire _GEN_68 = _T_3558 ? 1'h0 : _GEN_49; // @[Conditional.scala 39:67] + wire _GEN_80 = _T_3554 ? 1'h0 : _GEN_68; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_0 = _T_3531 ? 1'h0 : _GEN_80; // @[Conditional.scala 40:58] + wire [3:0] _T_3686 = buf_ldfwd >> buf_dualtag_0; // @[lsu_bus_buffer.scala 489:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_24 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 489:58] - wire [1:0] _GEN_25 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_24; // @[lsu_bus_buffer.scala 489:58] - wire [1:0] _GEN_26 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_25; // @[lsu_bus_buffer.scala 489:58] - wire [2:0] _GEN_376 = {{1'd0}, _GEN_26}; // @[lsu_bus_buffer.scala 489:58] - wire _T_3681 = io_lsu_axi_r_bits_id == _GEN_376; // @[lsu_bus_buffer.scala 489:58] - wire _T_3682 = _T_3679[0] & _T_3681; // @[lsu_bus_buffer.scala 489:38] - wire _T_3683 = _T_3640 | _T_3682; // @[lsu_bus_buffer.scala 488:95] - wire _T_3684 = bus_rsp_read & _T_3683; // @[lsu_bus_buffer.scala 488:45] - wire _GEN_38 = _T_3669 & _T_3684; // @[Conditional.scala 39:67] - wire _GEN_45 = _T_3585 ? buf_resp_state_bus_en_0 : _GEN_38; // @[Conditional.scala 39:67] - wire _GEN_55 = _T_3551 ? buf_cmd_state_bus_en_0 : _GEN_45; // @[Conditional.scala 39:67] - wire _GEN_68 = _T_3547 ? 1'h0 : _GEN_55; // @[Conditional.scala 39:67] - wire buf_state_bus_en_0 = _T_3524 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] - wire _T_3564 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 461:49] - wire _T_3565 = _T_3564 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 461:70] - wire [1:0] RspPtr = _T_2059[1:0]; // @[lsu_bus_buffer.scala 396:10] - wire _T_3690 = RspPtr == 2'h0; // @[lsu_bus_buffer.scala 495:37] - wire _T_3691 = buf_dualtag_0 == RspPtr; // @[lsu_bus_buffer.scala 495:98] - wire _T_3692 = buf_dual_0 & _T_3691; // @[lsu_bus_buffer.scala 495:80] - wire _T_3693 = _T_3690 | _T_3692; // @[lsu_bus_buffer.scala 495:65] - wire _T_3694 = _T_3693 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 495:112] - wire _GEN_33 = _T_3687 ? _T_3694 : _T_3695; // @[Conditional.scala 39:67] - wire _GEN_39 = _T_3669 ? _T_3565 : _GEN_33; // @[Conditional.scala 39:67] - wire _GEN_46 = _T_3585 ? _T_3565 : _GEN_39; // @[Conditional.scala 39:67] - wire _GEN_56 = _T_3551 ? _T_3565 : _GEN_46; // @[Conditional.scala 39:67] - wire _GEN_66 = _T_3547 ? obuf_rdrsp_pend_en : _GEN_56; // @[Conditional.scala 39:67] - wire buf_state_en_0 = _T_3524 ? _T_3540 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_2061 = _T_1785 & buf_state_en_0; // @[lsu_bus_buffer.scala 408:94] - wire _T_2067 = ibuf_drain_vld & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 410:23] - wire _T_2069 = _T_2067 & _T_3528; // @[lsu_bus_buffer.scala 410:41] - wire _T_2071 = _T_2069 & _T_1788; // @[lsu_bus_buffer.scala 410:71] - wire _T_2073 = _T_2071 & _T_1786; // @[lsu_bus_buffer.scala 410:92] - wire _T_2074 = _T_4455 | _T_2073; // @[lsu_bus_buffer.scala 409:86] - wire _T_2075 = ibuf_byp & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 411:17] - wire _T_2076 = _T_2075 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 411:35] - wire _T_2078 = _T_2076 & _T_1789; // @[lsu_bus_buffer.scala 411:52] - wire _T_2080 = _T_2078 & _T_1788; // @[lsu_bus_buffer.scala 411:73] - wire _T_2081 = _T_2074 | _T_2080; // @[lsu_bus_buffer.scala 410:114] - wire _T_2082 = _T_2061 & _T_2081; // @[lsu_bus_buffer.scala 408:113] - wire _T_2084 = _T_2082 | buf_age_0[0]; // @[lsu_bus_buffer.scala 411:97] - wire _T_2098 = _T_2071 & _T_1797; // @[lsu_bus_buffer.scala 410:92] - wire _T_2099 = _T_4460 | _T_2098; // @[lsu_bus_buffer.scala 409:86] - wire _T_2105 = _T_2078 & _T_1799; // @[lsu_bus_buffer.scala 411:73] - wire _T_2106 = _T_2099 | _T_2105; // @[lsu_bus_buffer.scala 410:114] - wire _T_2107 = _T_2061 & _T_2106; // @[lsu_bus_buffer.scala 408:113] - wire _T_2109 = _T_2107 | buf_age_0[1]; // @[lsu_bus_buffer.scala 411:97] - wire _T_2123 = _T_2071 & _T_1808; // @[lsu_bus_buffer.scala 410:92] - wire _T_2124 = _T_4465 | _T_2123; // @[lsu_bus_buffer.scala 409:86] - wire _T_2130 = _T_2078 & _T_1810; // @[lsu_bus_buffer.scala 411:73] - wire _T_2131 = _T_2124 | _T_2130; // @[lsu_bus_buffer.scala 410:114] - wire _T_2132 = _T_2061 & _T_2131; // @[lsu_bus_buffer.scala 408:113] - wire _T_2134 = _T_2132 | buf_age_0[2]; // @[lsu_bus_buffer.scala 411:97] - wire _T_2148 = _T_2071 & _T_1819; // @[lsu_bus_buffer.scala 410:92] - wire _T_2149 = _T_4470 | _T_2148; // @[lsu_bus_buffer.scala 409:86] - wire _T_2155 = _T_2078 & _T_1821; // @[lsu_bus_buffer.scala 411:73] - wire _T_2156 = _T_2149 | _T_2155; // @[lsu_bus_buffer.scala 410:114] - wire _T_2157 = _T_2061 & _T_2156; // @[lsu_bus_buffer.scala 408:113] - wire _T_2159 = _T_2157 | buf_age_0[3]; // @[lsu_bus_buffer.scala 411:97] - wire [2:0] _T_2161 = {_T_2159,_T_2134,_T_2109}; // @[Cat.scala 29:58] - wire _T_3721 = 2'h1 == WrPtr0_r; // @[lsu_bus_buffer.scala 446:117] - wire _T_3722 = _T_3530 & _T_3721; // @[lsu_bus_buffer.scala 446:112] - wire _T_3724 = 2'h1 == WrPtr1_r; // @[lsu_bus_buffer.scala 446:166] - wire _T_3725 = _T_3533 & _T_3724; // @[lsu_bus_buffer.scala 446:161] - wire _T_3726 = _T_3722 | _T_3725; // @[lsu_bus_buffer.scala 446:132] - wire _T_3727 = _T_853 & _T_3726; // @[lsu_bus_buffer.scala 446:63] - wire _T_3728 = 2'h1 == ibuf_tag; // @[lsu_bus_buffer.scala 446:206] - wire _T_3729 = ibuf_drain_vld & _T_3728; // @[lsu_bus_buffer.scala 446:201] - wire _T_3730 = _T_3727 | _T_3729; // @[lsu_bus_buffer.scala 446:183] - wire _T_3818 = io_lsu_axi_b_bits_id == 3'h1; // @[lsu_bus_buffer.scala 473:73] - wire _T_3819 = bus_rsp_write & _T_3818; // @[lsu_bus_buffer.scala 473:52] - wire _T_3820 = io_lsu_axi_r_bits_id == 3'h1; // @[lsu_bus_buffer.scala 474:46] - wire [2:0] _GEN_377 = {{1'd0}, buf_ldfwdtag_1}; // @[lsu_bus_buffer.scala 475:47] - wire _T_3822 = io_lsu_axi_r_bits_id == _GEN_377; // @[lsu_bus_buffer.scala 475:47] - wire _T_3823 = buf_ldfwd[1] & _T_3822; // @[lsu_bus_buffer.scala 475:27] - wire _T_3824 = _T_3820 | _T_3823; // @[lsu_bus_buffer.scala 474:77] - wire _T_3825 = buf_dual_1 & buf_dualhi_1; // @[lsu_bus_buffer.scala 476:26] - wire _T_3827 = ~buf_write[1]; // @[lsu_bus_buffer.scala 476:44] - wire _T_3828 = _T_3825 & _T_3827; // @[lsu_bus_buffer.scala 476:42] - wire _T_3829 = _T_3828 & buf_samedw_1; // @[lsu_bus_buffer.scala 476:58] + wire [1:0] _GEN_29 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_30 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_29; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_31 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_30; // @[lsu_bus_buffer.scala 489:58] + wire [2:0] _GEN_381 = {{1'd0}, _GEN_31}; // @[lsu_bus_buffer.scala 489:58] + wire _T_3688 = io_lsu_axi_r_bits_id == _GEN_381; // @[lsu_bus_buffer.scala 489:58] + wire _T_3689 = _T_3686[0] & _T_3688; // @[lsu_bus_buffer.scala 489:38] + wire _T_3690 = _T_3647 | _T_3689; // @[lsu_bus_buffer.scala 488:95] + wire _T_3691 = bus_rsp_read & _T_3690; // @[lsu_bus_buffer.scala 488:45] + wire _GEN_43 = _T_3676 & _T_3691; // @[Conditional.scala 39:67] + wire _GEN_50 = _T_3592 ? buf_resp_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_60 = _T_3558 ? buf_cmd_state_bus_en_0 : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_3554 ? 1'h0 : _GEN_60; // @[Conditional.scala 39:67] + wire buf_state_bus_en_0 = _T_3531 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] + wire _T_3571 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 461:49] + wire _T_3572 = _T_3571 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 461:70] + wire [1:0] RspPtr = _T_2066[1:0]; // @[lsu_bus_buffer.scala 396:10] + wire _T_3697 = RspPtr == 2'h0; // @[lsu_bus_buffer.scala 495:37] + wire _T_3698 = buf_dualtag_0 == RspPtr; // @[lsu_bus_buffer.scala 495:98] + wire _T_3699 = buf_dual_0 & _T_3698; // @[lsu_bus_buffer.scala 495:80] + wire _T_3700 = _T_3697 | _T_3699; // @[lsu_bus_buffer.scala 495:65] + wire _T_3701 = _T_3700 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 495:112] + wire _GEN_38 = _T_3694 ? _T_3701 : _T_3702; // @[Conditional.scala 39:67] + wire _GEN_44 = _T_3676 ? _T_3572 : _GEN_38; // @[Conditional.scala 39:67] + wire _GEN_51 = _T_3592 ? _T_3572 : _GEN_44; // @[Conditional.scala 39:67] + wire _GEN_61 = _T_3558 ? _T_3572 : _GEN_51; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_3554 ? obuf_rdrsp_pend_en : _GEN_61; // @[Conditional.scala 39:67] + wire buf_state_en_0 = _T_3531 ? _T_3547 : _GEN_71; // @[Conditional.scala 40:58] + wire _T_2068 = _T_1792 & buf_state_en_0; // @[lsu_bus_buffer.scala 408:94] + wire _T_2074 = ibuf_drain_vld & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 410:23] + wire _T_2076 = _T_2074 & _T_3535; // @[lsu_bus_buffer.scala 410:41] + wire _T_2078 = _T_2076 & _T_1795; // @[lsu_bus_buffer.scala 410:71] + wire _T_2080 = _T_2078 & _T_1793; // @[lsu_bus_buffer.scala 410:92] + wire _T_2081 = _T_4462 | _T_2080; // @[lsu_bus_buffer.scala 409:86] + wire _T_2082 = ibuf_byp & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 411:17] + wire _T_2083 = _T_2082 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 411:35] + wire _T_2085 = _T_2083 & _T_1796; // @[lsu_bus_buffer.scala 411:52] + wire _T_2087 = _T_2085 & _T_1795; // @[lsu_bus_buffer.scala 411:73] + wire _T_2088 = _T_2081 | _T_2087; // @[lsu_bus_buffer.scala 410:114] + wire _T_2089 = _T_2068 & _T_2088; // @[lsu_bus_buffer.scala 408:113] + wire _T_2091 = _T_2089 | buf_age_0[0]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2105 = _T_2078 & _T_1804; // @[lsu_bus_buffer.scala 410:92] + wire _T_2106 = _T_4467 | _T_2105; // @[lsu_bus_buffer.scala 409:86] + wire _T_2112 = _T_2085 & _T_1806; // @[lsu_bus_buffer.scala 411:73] + wire _T_2113 = _T_2106 | _T_2112; // @[lsu_bus_buffer.scala 410:114] + wire _T_2114 = _T_2068 & _T_2113; // @[lsu_bus_buffer.scala 408:113] + wire _T_2116 = _T_2114 | buf_age_0[1]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2130 = _T_2078 & _T_1815; // @[lsu_bus_buffer.scala 410:92] + wire _T_2131 = _T_4472 | _T_2130; // @[lsu_bus_buffer.scala 409:86] + wire _T_2137 = _T_2085 & _T_1817; // @[lsu_bus_buffer.scala 411:73] + wire _T_2138 = _T_2131 | _T_2137; // @[lsu_bus_buffer.scala 410:114] + wire _T_2139 = _T_2068 & _T_2138; // @[lsu_bus_buffer.scala 408:113] + wire _T_2141 = _T_2139 | buf_age_0[2]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2155 = _T_2078 & _T_1826; // @[lsu_bus_buffer.scala 410:92] + wire _T_2156 = _T_4477 | _T_2155; // @[lsu_bus_buffer.scala 409:86] + wire _T_2162 = _T_2085 & _T_1828; // @[lsu_bus_buffer.scala 411:73] + wire _T_2163 = _T_2156 | _T_2162; // @[lsu_bus_buffer.scala 410:114] + wire _T_2164 = _T_2068 & _T_2163; // @[lsu_bus_buffer.scala 408:113] + wire _T_2166 = _T_2164 | buf_age_0[3]; // @[lsu_bus_buffer.scala 411:97] + wire [2:0] _T_2168 = {_T_2166,_T_2141,_T_2116}; // @[Cat.scala 29:58] + wire _T_3728 = 2'h1 == WrPtr0_r; // @[lsu_bus_buffer.scala 446:117] + wire _T_3729 = _T_3537 & _T_3728; // @[lsu_bus_buffer.scala 446:112] + wire _T_3731 = 2'h1 == WrPtr1_r; // @[lsu_bus_buffer.scala 446:166] + wire _T_3732 = _T_3540 & _T_3731; // @[lsu_bus_buffer.scala 446:161] + wire _T_3733 = _T_3729 | _T_3732; // @[lsu_bus_buffer.scala 446:132] + wire _T_3734 = _T_853 & _T_3733; // @[lsu_bus_buffer.scala 446:63] + wire _T_3735 = 2'h1 == ibuf_tag; // @[lsu_bus_buffer.scala 446:206] + wire _T_3736 = ibuf_drain_vld & _T_3735; // @[lsu_bus_buffer.scala 446:201] + wire _T_3737 = _T_3734 | _T_3736; // @[lsu_bus_buffer.scala 446:183] + wire _T_3825 = io_lsu_axi_b_bits_id == 3'h1; // @[lsu_bus_buffer.scala 473:73] + wire _T_3826 = bus_rsp_write & _T_3825; // @[lsu_bus_buffer.scala 473:52] + wire _T_3827 = io_lsu_axi_r_bits_id == 3'h1; // @[lsu_bus_buffer.scala 474:46] + wire [2:0] _GEN_382 = {{1'd0}, buf_ldfwdtag_1}; // @[lsu_bus_buffer.scala 475:47] + wire _T_3829 = io_lsu_axi_r_bits_id == _GEN_382; // @[lsu_bus_buffer.scala 475:47] + wire _T_3830 = buf_ldfwd[1] & _T_3829; // @[lsu_bus_buffer.scala 475:27] + wire _T_3831 = _T_3827 | _T_3830; // @[lsu_bus_buffer.scala 474:77] + wire _T_3832 = buf_dual_1 & buf_dualhi_1; // @[lsu_bus_buffer.scala 476:26] + wire _T_3834 = ~buf_write[1]; // @[lsu_bus_buffer.scala 476:44] + wire _T_3835 = _T_3832 & _T_3834; // @[lsu_bus_buffer.scala 476:42] + wire _T_3836 = _T_3835 & buf_samedw_1; // @[lsu_bus_buffer.scala 476:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_378 = {{1'd0}, buf_dualtag_1}; // @[lsu_bus_buffer.scala 476:94] - wire _T_3830 = io_lsu_axi_r_bits_id == _GEN_378; // @[lsu_bus_buffer.scala 476:94] - wire _T_3831 = _T_3829 & _T_3830; // @[lsu_bus_buffer.scala 476:74] - wire _T_3832 = _T_3824 | _T_3831; // @[lsu_bus_buffer.scala 475:71] - wire _T_3833 = bus_rsp_read & _T_3832; // @[lsu_bus_buffer.scala 474:25] - wire _T_3834 = _T_3819 | _T_3833; // @[lsu_bus_buffer.scala 473:105] - wire _GEN_121 = _T_3775 & _T_3834; // @[Conditional.scala 39:67] - wire _GEN_140 = _T_3741 ? 1'h0 : _GEN_121; // @[Conditional.scala 39:67] - wire _GEN_152 = _T_3737 ? 1'h0 : _GEN_140; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_1 = _T_3714 ? 1'h0 : _GEN_152; // @[Conditional.scala 40:58] - wire [3:0] _T_3869 = buf_ldfwd >> buf_dualtag_1; // @[lsu_bus_buffer.scala 489:21] - wire [1:0] _GEN_101 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 489:58] - wire [1:0] _GEN_102 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_101; // @[lsu_bus_buffer.scala 489:58] - wire [1:0] _GEN_103 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_102; // @[lsu_bus_buffer.scala 489:58] - wire [2:0] _GEN_380 = {{1'd0}, _GEN_103}; // @[lsu_bus_buffer.scala 489:58] - wire _T_3871 = io_lsu_axi_r_bits_id == _GEN_380; // @[lsu_bus_buffer.scala 489:58] - wire _T_3872 = _T_3869[0] & _T_3871; // @[lsu_bus_buffer.scala 489:38] - wire _T_3873 = _T_3830 | _T_3872; // @[lsu_bus_buffer.scala 488:95] - wire _T_3874 = bus_rsp_read & _T_3873; // @[lsu_bus_buffer.scala 488:45] - wire _GEN_115 = _T_3859 & _T_3874; // @[Conditional.scala 39:67] - wire _GEN_122 = _T_3775 ? buf_resp_state_bus_en_1 : _GEN_115; // @[Conditional.scala 39:67] - wire _GEN_132 = _T_3741 ? buf_cmd_state_bus_en_1 : _GEN_122; // @[Conditional.scala 39:67] - wire _GEN_145 = _T_3737 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] - wire buf_state_bus_en_1 = _T_3714 ? 1'h0 : _GEN_145; // @[Conditional.scala 40:58] - wire _T_3754 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 461:49] - wire _T_3755 = _T_3754 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 461:70] - wire _T_3880 = RspPtr == 2'h1; // @[lsu_bus_buffer.scala 495:37] - wire _T_3881 = buf_dualtag_1 == RspPtr; // @[lsu_bus_buffer.scala 495:98] - wire _T_3882 = buf_dual_1 & _T_3881; // @[lsu_bus_buffer.scala 495:80] - wire _T_3883 = _T_3880 | _T_3882; // @[lsu_bus_buffer.scala 495:65] - wire _T_3884 = _T_3883 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 495:112] - wire _GEN_110 = _T_3877 ? _T_3884 : _T_3885; // @[Conditional.scala 39:67] - wire _GEN_116 = _T_3859 ? _T_3755 : _GEN_110; // @[Conditional.scala 39:67] - wire _GEN_123 = _T_3775 ? _T_3755 : _GEN_116; // @[Conditional.scala 39:67] - wire _GEN_133 = _T_3741 ? _T_3755 : _GEN_123; // @[Conditional.scala 39:67] - wire _GEN_143 = _T_3737 ? obuf_rdrsp_pend_en : _GEN_133; // @[Conditional.scala 39:67] - wire buf_state_en_1 = _T_3714 ? _T_3730 : _GEN_143; // @[Conditional.scala 40:58] - wire _T_2163 = _T_1796 & buf_state_en_1; // @[lsu_bus_buffer.scala 408:94] - wire _T_2173 = _T_2069 & _T_1799; // @[lsu_bus_buffer.scala 410:71] - wire _T_2175 = _T_2173 & _T_1786; // @[lsu_bus_buffer.scala 410:92] - wire _T_2176 = _T_4455 | _T_2175; // @[lsu_bus_buffer.scala 409:86] - wire _T_2180 = _T_2076 & _T_1800; // @[lsu_bus_buffer.scala 411:52] - wire _T_2182 = _T_2180 & _T_1788; // @[lsu_bus_buffer.scala 411:73] - wire _T_2183 = _T_2176 | _T_2182; // @[lsu_bus_buffer.scala 410:114] - wire _T_2184 = _T_2163 & _T_2183; // @[lsu_bus_buffer.scala 408:113] - wire _T_2186 = _T_2184 | buf_age_1[0]; // @[lsu_bus_buffer.scala 411:97] - wire _T_2200 = _T_2173 & _T_1797; // @[lsu_bus_buffer.scala 410:92] - wire _T_2201 = _T_4460 | _T_2200; // @[lsu_bus_buffer.scala 409:86] - wire _T_2207 = _T_2180 & _T_1799; // @[lsu_bus_buffer.scala 411:73] - wire _T_2208 = _T_2201 | _T_2207; // @[lsu_bus_buffer.scala 410:114] - wire _T_2209 = _T_2163 & _T_2208; // @[lsu_bus_buffer.scala 408:113] - wire _T_2211 = _T_2209 | buf_age_1[1]; // @[lsu_bus_buffer.scala 411:97] - wire _T_2225 = _T_2173 & _T_1808; // @[lsu_bus_buffer.scala 410:92] - wire _T_2226 = _T_4465 | _T_2225; // @[lsu_bus_buffer.scala 409:86] - wire _T_2232 = _T_2180 & _T_1810; // @[lsu_bus_buffer.scala 411:73] - wire _T_2233 = _T_2226 | _T_2232; // @[lsu_bus_buffer.scala 410:114] - wire _T_2234 = _T_2163 & _T_2233; // @[lsu_bus_buffer.scala 408:113] - wire _T_2236 = _T_2234 | buf_age_1[2]; // @[lsu_bus_buffer.scala 411:97] - wire _T_2250 = _T_2173 & _T_1819; // @[lsu_bus_buffer.scala 410:92] - wire _T_2251 = _T_4470 | _T_2250; // @[lsu_bus_buffer.scala 409:86] - wire _T_2257 = _T_2180 & _T_1821; // @[lsu_bus_buffer.scala 411:73] - wire _T_2258 = _T_2251 | _T_2257; // @[lsu_bus_buffer.scala 410:114] - wire _T_2259 = _T_2163 & _T_2258; // @[lsu_bus_buffer.scala 408:113] - wire _T_2261 = _T_2259 | buf_age_1[3]; // @[lsu_bus_buffer.scala 411:97] - wire [2:0] _T_2263 = {_T_2261,_T_2236,_T_2211}; // @[Cat.scala 29:58] - wire _T_3911 = 2'h2 == WrPtr0_r; // @[lsu_bus_buffer.scala 446:117] - wire _T_3912 = _T_3530 & _T_3911; // @[lsu_bus_buffer.scala 446:112] - wire _T_3914 = 2'h2 == WrPtr1_r; // @[lsu_bus_buffer.scala 446:166] - wire _T_3915 = _T_3533 & _T_3914; // @[lsu_bus_buffer.scala 446:161] - wire _T_3916 = _T_3912 | _T_3915; // @[lsu_bus_buffer.scala 446:132] - wire _T_3917 = _T_853 & _T_3916; // @[lsu_bus_buffer.scala 446:63] - wire _T_3918 = 2'h2 == ibuf_tag; // @[lsu_bus_buffer.scala 446:206] - wire _T_3919 = ibuf_drain_vld & _T_3918; // @[lsu_bus_buffer.scala 446:201] - wire _T_3920 = _T_3917 | _T_3919; // @[lsu_bus_buffer.scala 446:183] - wire _T_4008 = io_lsu_axi_b_bits_id == 3'h2; // @[lsu_bus_buffer.scala 473:73] - wire _T_4009 = bus_rsp_write & _T_4008; // @[lsu_bus_buffer.scala 473:52] - wire _T_4010 = io_lsu_axi_r_bits_id == 3'h2; // @[lsu_bus_buffer.scala 474:46] - wire [2:0] _GEN_381 = {{1'd0}, buf_ldfwdtag_2}; // @[lsu_bus_buffer.scala 475:47] - wire _T_4012 = io_lsu_axi_r_bits_id == _GEN_381; // @[lsu_bus_buffer.scala 475:47] - wire _T_4013 = buf_ldfwd[2] & _T_4012; // @[lsu_bus_buffer.scala 475:27] - wire _T_4014 = _T_4010 | _T_4013; // @[lsu_bus_buffer.scala 474:77] - wire _T_4015 = buf_dual_2 & buf_dualhi_2; // @[lsu_bus_buffer.scala 476:26] - wire _T_4017 = ~buf_write[2]; // @[lsu_bus_buffer.scala 476:44] - wire _T_4018 = _T_4015 & _T_4017; // @[lsu_bus_buffer.scala 476:42] - wire _T_4019 = _T_4018 & buf_samedw_2; // @[lsu_bus_buffer.scala 476:58] + wire [2:0] _GEN_383 = {{1'd0}, buf_dualtag_1}; // @[lsu_bus_buffer.scala 476:94] + wire _T_3837 = io_lsu_axi_r_bits_id == _GEN_383; // @[lsu_bus_buffer.scala 476:94] + wire _T_3838 = _T_3836 & _T_3837; // @[lsu_bus_buffer.scala 476:74] + wire _T_3839 = _T_3831 | _T_3838; // @[lsu_bus_buffer.scala 475:71] + wire _T_3840 = bus_rsp_read & _T_3839; // @[lsu_bus_buffer.scala 474:25] + wire _T_3841 = _T_3826 | _T_3840; // @[lsu_bus_buffer.scala 473:105] + wire _GEN_126 = _T_3782 & _T_3841; // @[Conditional.scala 39:67] + wire _GEN_145 = _T_3748 ? 1'h0 : _GEN_126; // @[Conditional.scala 39:67] + wire _GEN_157 = _T_3744 ? 1'h0 : _GEN_145; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_1 = _T_3721 ? 1'h0 : _GEN_157; // @[Conditional.scala 40:58] + wire [3:0] _T_3876 = buf_ldfwd >> buf_dualtag_1; // @[lsu_bus_buffer.scala 489:21] + wire [1:0] _GEN_106 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_107 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_106; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_108 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_107; // @[lsu_bus_buffer.scala 489:58] + wire [2:0] _GEN_385 = {{1'd0}, _GEN_108}; // @[lsu_bus_buffer.scala 489:58] + wire _T_3878 = io_lsu_axi_r_bits_id == _GEN_385; // @[lsu_bus_buffer.scala 489:58] + wire _T_3879 = _T_3876[0] & _T_3878; // @[lsu_bus_buffer.scala 489:38] + wire _T_3880 = _T_3837 | _T_3879; // @[lsu_bus_buffer.scala 488:95] + wire _T_3881 = bus_rsp_read & _T_3880; // @[lsu_bus_buffer.scala 488:45] + wire _GEN_120 = _T_3866 & _T_3881; // @[Conditional.scala 39:67] + wire _GEN_127 = _T_3782 ? buf_resp_state_bus_en_1 : _GEN_120; // @[Conditional.scala 39:67] + wire _GEN_137 = _T_3748 ? buf_cmd_state_bus_en_1 : _GEN_127; // @[Conditional.scala 39:67] + wire _GEN_150 = _T_3744 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] + wire buf_state_bus_en_1 = _T_3721 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] + wire _T_3761 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 461:49] + wire _T_3762 = _T_3761 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 461:70] + wire _T_3887 = RspPtr == 2'h1; // @[lsu_bus_buffer.scala 495:37] + wire _T_3888 = buf_dualtag_1 == RspPtr; // @[lsu_bus_buffer.scala 495:98] + wire _T_3889 = buf_dual_1 & _T_3888; // @[lsu_bus_buffer.scala 495:80] + wire _T_3890 = _T_3887 | _T_3889; // @[lsu_bus_buffer.scala 495:65] + wire _T_3891 = _T_3890 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 495:112] + wire _GEN_115 = _T_3884 ? _T_3891 : _T_3892; // @[Conditional.scala 39:67] + wire _GEN_121 = _T_3866 ? _T_3762 : _GEN_115; // @[Conditional.scala 39:67] + wire _GEN_128 = _T_3782 ? _T_3762 : _GEN_121; // @[Conditional.scala 39:67] + wire _GEN_138 = _T_3748 ? _T_3762 : _GEN_128; // @[Conditional.scala 39:67] + wire _GEN_148 = _T_3744 ? obuf_rdrsp_pend_en : _GEN_138; // @[Conditional.scala 39:67] + wire buf_state_en_1 = _T_3721 ? _T_3737 : _GEN_148; // @[Conditional.scala 40:58] + wire _T_2170 = _T_1803 & buf_state_en_1; // @[lsu_bus_buffer.scala 408:94] + wire _T_2180 = _T_2076 & _T_1806; // @[lsu_bus_buffer.scala 410:71] + wire _T_2182 = _T_2180 & _T_1793; // @[lsu_bus_buffer.scala 410:92] + wire _T_2183 = _T_4462 | _T_2182; // @[lsu_bus_buffer.scala 409:86] + wire _T_2187 = _T_2083 & _T_1807; // @[lsu_bus_buffer.scala 411:52] + wire _T_2189 = _T_2187 & _T_1795; // @[lsu_bus_buffer.scala 411:73] + wire _T_2190 = _T_2183 | _T_2189; // @[lsu_bus_buffer.scala 410:114] + wire _T_2191 = _T_2170 & _T_2190; // @[lsu_bus_buffer.scala 408:113] + wire _T_2193 = _T_2191 | buf_age_1[0]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2207 = _T_2180 & _T_1804; // @[lsu_bus_buffer.scala 410:92] + wire _T_2208 = _T_4467 | _T_2207; // @[lsu_bus_buffer.scala 409:86] + wire _T_2214 = _T_2187 & _T_1806; // @[lsu_bus_buffer.scala 411:73] + wire _T_2215 = _T_2208 | _T_2214; // @[lsu_bus_buffer.scala 410:114] + wire _T_2216 = _T_2170 & _T_2215; // @[lsu_bus_buffer.scala 408:113] + wire _T_2218 = _T_2216 | buf_age_1[1]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2232 = _T_2180 & _T_1815; // @[lsu_bus_buffer.scala 410:92] + wire _T_2233 = _T_4472 | _T_2232; // @[lsu_bus_buffer.scala 409:86] + wire _T_2239 = _T_2187 & _T_1817; // @[lsu_bus_buffer.scala 411:73] + wire _T_2240 = _T_2233 | _T_2239; // @[lsu_bus_buffer.scala 410:114] + wire _T_2241 = _T_2170 & _T_2240; // @[lsu_bus_buffer.scala 408:113] + wire _T_2243 = _T_2241 | buf_age_1[2]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2257 = _T_2180 & _T_1826; // @[lsu_bus_buffer.scala 410:92] + wire _T_2258 = _T_4477 | _T_2257; // @[lsu_bus_buffer.scala 409:86] + wire _T_2264 = _T_2187 & _T_1828; // @[lsu_bus_buffer.scala 411:73] + wire _T_2265 = _T_2258 | _T_2264; // @[lsu_bus_buffer.scala 410:114] + wire _T_2266 = _T_2170 & _T_2265; // @[lsu_bus_buffer.scala 408:113] + wire _T_2268 = _T_2266 | buf_age_1[3]; // @[lsu_bus_buffer.scala 411:97] + wire [2:0] _T_2270 = {_T_2268,_T_2243,_T_2218}; // @[Cat.scala 29:58] + wire _T_3918 = 2'h2 == WrPtr0_r; // @[lsu_bus_buffer.scala 446:117] + wire _T_3919 = _T_3537 & _T_3918; // @[lsu_bus_buffer.scala 446:112] + wire _T_3921 = 2'h2 == WrPtr1_r; // @[lsu_bus_buffer.scala 446:166] + wire _T_3922 = _T_3540 & _T_3921; // @[lsu_bus_buffer.scala 446:161] + wire _T_3923 = _T_3919 | _T_3922; // @[lsu_bus_buffer.scala 446:132] + wire _T_3924 = _T_853 & _T_3923; // @[lsu_bus_buffer.scala 446:63] + wire _T_3925 = 2'h2 == ibuf_tag; // @[lsu_bus_buffer.scala 446:206] + wire _T_3926 = ibuf_drain_vld & _T_3925; // @[lsu_bus_buffer.scala 446:201] + wire _T_3927 = _T_3924 | _T_3926; // @[lsu_bus_buffer.scala 446:183] + wire _T_4015 = io_lsu_axi_b_bits_id == 3'h2; // @[lsu_bus_buffer.scala 473:73] + wire _T_4016 = bus_rsp_write & _T_4015; // @[lsu_bus_buffer.scala 473:52] + wire _T_4017 = io_lsu_axi_r_bits_id == 3'h2; // @[lsu_bus_buffer.scala 474:46] + wire [2:0] _GEN_386 = {{1'd0}, buf_ldfwdtag_2}; // @[lsu_bus_buffer.scala 475:47] + wire _T_4019 = io_lsu_axi_r_bits_id == _GEN_386; // @[lsu_bus_buffer.scala 475:47] + wire _T_4020 = buf_ldfwd[2] & _T_4019; // @[lsu_bus_buffer.scala 475:27] + wire _T_4021 = _T_4017 | _T_4020; // @[lsu_bus_buffer.scala 474:77] + wire _T_4022 = buf_dual_2 & buf_dualhi_2; // @[lsu_bus_buffer.scala 476:26] + wire _T_4024 = ~buf_write[2]; // @[lsu_bus_buffer.scala 476:44] + wire _T_4025 = _T_4022 & _T_4024; // @[lsu_bus_buffer.scala 476:42] + wire _T_4026 = _T_4025 & buf_samedw_2; // @[lsu_bus_buffer.scala 476:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_382 = {{1'd0}, buf_dualtag_2}; // @[lsu_bus_buffer.scala 476:94] - wire _T_4020 = io_lsu_axi_r_bits_id == _GEN_382; // @[lsu_bus_buffer.scala 476:94] - wire _T_4021 = _T_4019 & _T_4020; // @[lsu_bus_buffer.scala 476:74] - wire _T_4022 = _T_4014 | _T_4021; // @[lsu_bus_buffer.scala 475:71] - wire _T_4023 = bus_rsp_read & _T_4022; // @[lsu_bus_buffer.scala 474:25] - wire _T_4024 = _T_4009 | _T_4023; // @[lsu_bus_buffer.scala 473:105] - wire _GEN_198 = _T_3965 & _T_4024; // @[Conditional.scala 39:67] - wire _GEN_217 = _T_3931 ? 1'h0 : _GEN_198; // @[Conditional.scala 39:67] - wire _GEN_229 = _T_3927 ? 1'h0 : _GEN_217; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_2 = _T_3904 ? 1'h0 : _GEN_229; // @[Conditional.scala 40:58] - wire [3:0] _T_4059 = buf_ldfwd >> buf_dualtag_2; // @[lsu_bus_buffer.scala 489:21] - wire [1:0] _GEN_178 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 489:58] - wire [1:0] _GEN_179 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_178; // @[lsu_bus_buffer.scala 489:58] - wire [1:0] _GEN_180 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_179; // @[lsu_bus_buffer.scala 489:58] - wire [2:0] _GEN_384 = {{1'd0}, _GEN_180}; // @[lsu_bus_buffer.scala 489:58] - wire _T_4061 = io_lsu_axi_r_bits_id == _GEN_384; // @[lsu_bus_buffer.scala 489:58] - wire _T_4062 = _T_4059[0] & _T_4061; // @[lsu_bus_buffer.scala 489:38] - wire _T_4063 = _T_4020 | _T_4062; // @[lsu_bus_buffer.scala 488:95] - wire _T_4064 = bus_rsp_read & _T_4063; // @[lsu_bus_buffer.scala 488:45] - wire _GEN_192 = _T_4049 & _T_4064; // @[Conditional.scala 39:67] - wire _GEN_199 = _T_3965 ? buf_resp_state_bus_en_2 : _GEN_192; // @[Conditional.scala 39:67] - wire _GEN_209 = _T_3931 ? buf_cmd_state_bus_en_2 : _GEN_199; // @[Conditional.scala 39:67] - wire _GEN_222 = _T_3927 ? 1'h0 : _GEN_209; // @[Conditional.scala 39:67] - wire buf_state_bus_en_2 = _T_3904 ? 1'h0 : _GEN_222; // @[Conditional.scala 40:58] - wire _T_3944 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 461:49] - wire _T_3945 = _T_3944 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 461:70] - wire _T_4070 = RspPtr == 2'h2; // @[lsu_bus_buffer.scala 495:37] - wire _T_4071 = buf_dualtag_2 == RspPtr; // @[lsu_bus_buffer.scala 495:98] - wire _T_4072 = buf_dual_2 & _T_4071; // @[lsu_bus_buffer.scala 495:80] - wire _T_4073 = _T_4070 | _T_4072; // @[lsu_bus_buffer.scala 495:65] - wire _T_4074 = _T_4073 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 495:112] - wire _GEN_187 = _T_4067 ? _T_4074 : _T_4075; // @[Conditional.scala 39:67] - wire _GEN_193 = _T_4049 ? _T_3945 : _GEN_187; // @[Conditional.scala 39:67] - wire _GEN_200 = _T_3965 ? _T_3945 : _GEN_193; // @[Conditional.scala 39:67] - wire _GEN_210 = _T_3931 ? _T_3945 : _GEN_200; // @[Conditional.scala 39:67] - wire _GEN_220 = _T_3927 ? obuf_rdrsp_pend_en : _GEN_210; // @[Conditional.scala 39:67] - wire buf_state_en_2 = _T_3904 ? _T_3920 : _GEN_220; // @[Conditional.scala 40:58] - wire _T_2265 = _T_1807 & buf_state_en_2; // @[lsu_bus_buffer.scala 408:94] - wire _T_2275 = _T_2069 & _T_1810; // @[lsu_bus_buffer.scala 410:71] - wire _T_2277 = _T_2275 & _T_1786; // @[lsu_bus_buffer.scala 410:92] - wire _T_2278 = _T_4455 | _T_2277; // @[lsu_bus_buffer.scala 409:86] - wire _T_2282 = _T_2076 & _T_1811; // @[lsu_bus_buffer.scala 411:52] - wire _T_2284 = _T_2282 & _T_1788; // @[lsu_bus_buffer.scala 411:73] - wire _T_2285 = _T_2278 | _T_2284; // @[lsu_bus_buffer.scala 410:114] - wire _T_2286 = _T_2265 & _T_2285; // @[lsu_bus_buffer.scala 408:113] - wire _T_2288 = _T_2286 | buf_age_2[0]; // @[lsu_bus_buffer.scala 411:97] - wire _T_2302 = _T_2275 & _T_1797; // @[lsu_bus_buffer.scala 410:92] - wire _T_2303 = _T_4460 | _T_2302; // @[lsu_bus_buffer.scala 409:86] - wire _T_2309 = _T_2282 & _T_1799; // @[lsu_bus_buffer.scala 411:73] - wire _T_2310 = _T_2303 | _T_2309; // @[lsu_bus_buffer.scala 410:114] - wire _T_2311 = _T_2265 & _T_2310; // @[lsu_bus_buffer.scala 408:113] - wire _T_2313 = _T_2311 | buf_age_2[1]; // @[lsu_bus_buffer.scala 411:97] - wire _T_2327 = _T_2275 & _T_1808; // @[lsu_bus_buffer.scala 410:92] - wire _T_2328 = _T_4465 | _T_2327; // @[lsu_bus_buffer.scala 409:86] - wire _T_2334 = _T_2282 & _T_1810; // @[lsu_bus_buffer.scala 411:73] - wire _T_2335 = _T_2328 | _T_2334; // @[lsu_bus_buffer.scala 410:114] - wire _T_2336 = _T_2265 & _T_2335; // @[lsu_bus_buffer.scala 408:113] - wire _T_2338 = _T_2336 | buf_age_2[2]; // @[lsu_bus_buffer.scala 411:97] - wire _T_2352 = _T_2275 & _T_1819; // @[lsu_bus_buffer.scala 410:92] - wire _T_2353 = _T_4470 | _T_2352; // @[lsu_bus_buffer.scala 409:86] - wire _T_2359 = _T_2282 & _T_1821; // @[lsu_bus_buffer.scala 411:73] - wire _T_2360 = _T_2353 | _T_2359; // @[lsu_bus_buffer.scala 410:114] - wire _T_2361 = _T_2265 & _T_2360; // @[lsu_bus_buffer.scala 408:113] - wire _T_2363 = _T_2361 | buf_age_2[3]; // @[lsu_bus_buffer.scala 411:97] - wire [2:0] _T_2365 = {_T_2363,_T_2338,_T_2313}; // @[Cat.scala 29:58] - wire _T_4101 = 2'h3 == WrPtr0_r; // @[lsu_bus_buffer.scala 446:117] - wire _T_4102 = _T_3530 & _T_4101; // @[lsu_bus_buffer.scala 446:112] - wire _T_4104 = 2'h3 == WrPtr1_r; // @[lsu_bus_buffer.scala 446:166] - wire _T_4105 = _T_3533 & _T_4104; // @[lsu_bus_buffer.scala 446:161] - wire _T_4106 = _T_4102 | _T_4105; // @[lsu_bus_buffer.scala 446:132] - wire _T_4107 = _T_853 & _T_4106; // @[lsu_bus_buffer.scala 446:63] - wire _T_4108 = 2'h3 == ibuf_tag; // @[lsu_bus_buffer.scala 446:206] - wire _T_4109 = ibuf_drain_vld & _T_4108; // @[lsu_bus_buffer.scala 446:201] - wire _T_4110 = _T_4107 | _T_4109; // @[lsu_bus_buffer.scala 446:183] - wire _T_4198 = io_lsu_axi_b_bits_id == 3'h3; // @[lsu_bus_buffer.scala 473:73] - wire _T_4199 = bus_rsp_write & _T_4198; // @[lsu_bus_buffer.scala 473:52] - wire _T_4200 = io_lsu_axi_r_bits_id == 3'h3; // @[lsu_bus_buffer.scala 474:46] - wire [2:0] _GEN_385 = {{1'd0}, buf_ldfwdtag_3}; // @[lsu_bus_buffer.scala 475:47] - wire _T_4202 = io_lsu_axi_r_bits_id == _GEN_385; // @[lsu_bus_buffer.scala 475:47] - wire _T_4203 = buf_ldfwd[3] & _T_4202; // @[lsu_bus_buffer.scala 475:27] - wire _T_4204 = _T_4200 | _T_4203; // @[lsu_bus_buffer.scala 474:77] - wire _T_4205 = buf_dual_3 & buf_dualhi_3; // @[lsu_bus_buffer.scala 476:26] - wire _T_4207 = ~buf_write[3]; // @[lsu_bus_buffer.scala 476:44] - wire _T_4208 = _T_4205 & _T_4207; // @[lsu_bus_buffer.scala 476:42] - wire _T_4209 = _T_4208 & buf_samedw_3; // @[lsu_bus_buffer.scala 476:58] + wire [2:0] _GEN_387 = {{1'd0}, buf_dualtag_2}; // @[lsu_bus_buffer.scala 476:94] + wire _T_4027 = io_lsu_axi_r_bits_id == _GEN_387; // @[lsu_bus_buffer.scala 476:94] + wire _T_4028 = _T_4026 & _T_4027; // @[lsu_bus_buffer.scala 476:74] + wire _T_4029 = _T_4021 | _T_4028; // @[lsu_bus_buffer.scala 475:71] + wire _T_4030 = bus_rsp_read & _T_4029; // @[lsu_bus_buffer.scala 474:25] + wire _T_4031 = _T_4016 | _T_4030; // @[lsu_bus_buffer.scala 473:105] + wire _GEN_203 = _T_3972 & _T_4031; // @[Conditional.scala 39:67] + wire _GEN_222 = _T_3938 ? 1'h0 : _GEN_203; // @[Conditional.scala 39:67] + wire _GEN_234 = _T_3934 ? 1'h0 : _GEN_222; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_2 = _T_3911 ? 1'h0 : _GEN_234; // @[Conditional.scala 40:58] + wire [3:0] _T_4066 = buf_ldfwd >> buf_dualtag_2; // @[lsu_bus_buffer.scala 489:21] + wire [1:0] _GEN_183 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_184 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_183; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_185 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_184; // @[lsu_bus_buffer.scala 489:58] + wire [2:0] _GEN_389 = {{1'd0}, _GEN_185}; // @[lsu_bus_buffer.scala 489:58] + wire _T_4068 = io_lsu_axi_r_bits_id == _GEN_389; // @[lsu_bus_buffer.scala 489:58] + wire _T_4069 = _T_4066[0] & _T_4068; // @[lsu_bus_buffer.scala 489:38] + wire _T_4070 = _T_4027 | _T_4069; // @[lsu_bus_buffer.scala 488:95] + wire _T_4071 = bus_rsp_read & _T_4070; // @[lsu_bus_buffer.scala 488:45] + wire _GEN_197 = _T_4056 & _T_4071; // @[Conditional.scala 39:67] + wire _GEN_204 = _T_3972 ? buf_resp_state_bus_en_2 : _GEN_197; // @[Conditional.scala 39:67] + wire _GEN_214 = _T_3938 ? buf_cmd_state_bus_en_2 : _GEN_204; // @[Conditional.scala 39:67] + wire _GEN_227 = _T_3934 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] + wire buf_state_bus_en_2 = _T_3911 ? 1'h0 : _GEN_227; // @[Conditional.scala 40:58] + wire _T_3951 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 461:49] + wire _T_3952 = _T_3951 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 461:70] + wire _T_4077 = RspPtr == 2'h2; // @[lsu_bus_buffer.scala 495:37] + wire _T_4078 = buf_dualtag_2 == RspPtr; // @[lsu_bus_buffer.scala 495:98] + wire _T_4079 = buf_dual_2 & _T_4078; // @[lsu_bus_buffer.scala 495:80] + wire _T_4080 = _T_4077 | _T_4079; // @[lsu_bus_buffer.scala 495:65] + wire _T_4081 = _T_4080 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 495:112] + wire _GEN_192 = _T_4074 ? _T_4081 : _T_4082; // @[Conditional.scala 39:67] + wire _GEN_198 = _T_4056 ? _T_3952 : _GEN_192; // @[Conditional.scala 39:67] + wire _GEN_205 = _T_3972 ? _T_3952 : _GEN_198; // @[Conditional.scala 39:67] + wire _GEN_215 = _T_3938 ? _T_3952 : _GEN_205; // @[Conditional.scala 39:67] + wire _GEN_225 = _T_3934 ? obuf_rdrsp_pend_en : _GEN_215; // @[Conditional.scala 39:67] + wire buf_state_en_2 = _T_3911 ? _T_3927 : _GEN_225; // @[Conditional.scala 40:58] + wire _T_2272 = _T_1814 & buf_state_en_2; // @[lsu_bus_buffer.scala 408:94] + wire _T_2282 = _T_2076 & _T_1817; // @[lsu_bus_buffer.scala 410:71] + wire _T_2284 = _T_2282 & _T_1793; // @[lsu_bus_buffer.scala 410:92] + wire _T_2285 = _T_4462 | _T_2284; // @[lsu_bus_buffer.scala 409:86] + wire _T_2289 = _T_2083 & _T_1818; // @[lsu_bus_buffer.scala 411:52] + wire _T_2291 = _T_2289 & _T_1795; // @[lsu_bus_buffer.scala 411:73] + wire _T_2292 = _T_2285 | _T_2291; // @[lsu_bus_buffer.scala 410:114] + wire _T_2293 = _T_2272 & _T_2292; // @[lsu_bus_buffer.scala 408:113] + wire _T_2295 = _T_2293 | buf_age_2[0]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2309 = _T_2282 & _T_1804; // @[lsu_bus_buffer.scala 410:92] + wire _T_2310 = _T_4467 | _T_2309; // @[lsu_bus_buffer.scala 409:86] + wire _T_2316 = _T_2289 & _T_1806; // @[lsu_bus_buffer.scala 411:73] + wire _T_2317 = _T_2310 | _T_2316; // @[lsu_bus_buffer.scala 410:114] + wire _T_2318 = _T_2272 & _T_2317; // @[lsu_bus_buffer.scala 408:113] + wire _T_2320 = _T_2318 | buf_age_2[1]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2334 = _T_2282 & _T_1815; // @[lsu_bus_buffer.scala 410:92] + wire _T_2335 = _T_4472 | _T_2334; // @[lsu_bus_buffer.scala 409:86] + wire _T_2341 = _T_2289 & _T_1817; // @[lsu_bus_buffer.scala 411:73] + wire _T_2342 = _T_2335 | _T_2341; // @[lsu_bus_buffer.scala 410:114] + wire _T_2343 = _T_2272 & _T_2342; // @[lsu_bus_buffer.scala 408:113] + wire _T_2345 = _T_2343 | buf_age_2[2]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2359 = _T_2282 & _T_1826; // @[lsu_bus_buffer.scala 410:92] + wire _T_2360 = _T_4477 | _T_2359; // @[lsu_bus_buffer.scala 409:86] + wire _T_2366 = _T_2289 & _T_1828; // @[lsu_bus_buffer.scala 411:73] + wire _T_2367 = _T_2360 | _T_2366; // @[lsu_bus_buffer.scala 410:114] + wire _T_2368 = _T_2272 & _T_2367; // @[lsu_bus_buffer.scala 408:113] + wire _T_2370 = _T_2368 | buf_age_2[3]; // @[lsu_bus_buffer.scala 411:97] + wire [2:0] _T_2372 = {_T_2370,_T_2345,_T_2320}; // @[Cat.scala 29:58] + wire _T_4108 = 2'h3 == WrPtr0_r; // @[lsu_bus_buffer.scala 446:117] + wire _T_4109 = _T_3537 & _T_4108; // @[lsu_bus_buffer.scala 446:112] + wire _T_4111 = 2'h3 == WrPtr1_r; // @[lsu_bus_buffer.scala 446:166] + wire _T_4112 = _T_3540 & _T_4111; // @[lsu_bus_buffer.scala 446:161] + wire _T_4113 = _T_4109 | _T_4112; // @[lsu_bus_buffer.scala 446:132] + wire _T_4114 = _T_853 & _T_4113; // @[lsu_bus_buffer.scala 446:63] + wire _T_4115 = 2'h3 == ibuf_tag; // @[lsu_bus_buffer.scala 446:206] + wire _T_4116 = ibuf_drain_vld & _T_4115; // @[lsu_bus_buffer.scala 446:201] + wire _T_4117 = _T_4114 | _T_4116; // @[lsu_bus_buffer.scala 446:183] + wire _T_4205 = io_lsu_axi_b_bits_id == 3'h3; // @[lsu_bus_buffer.scala 473:73] + wire _T_4206 = bus_rsp_write & _T_4205; // @[lsu_bus_buffer.scala 473:52] + wire _T_4207 = io_lsu_axi_r_bits_id == 3'h3; // @[lsu_bus_buffer.scala 474:46] + wire [2:0] _GEN_390 = {{1'd0}, buf_ldfwdtag_3}; // @[lsu_bus_buffer.scala 475:47] + wire _T_4209 = io_lsu_axi_r_bits_id == _GEN_390; // @[lsu_bus_buffer.scala 475:47] + wire _T_4210 = buf_ldfwd[3] & _T_4209; // @[lsu_bus_buffer.scala 475:27] + wire _T_4211 = _T_4207 | _T_4210; // @[lsu_bus_buffer.scala 474:77] + wire _T_4212 = buf_dual_3 & buf_dualhi_3; // @[lsu_bus_buffer.scala 476:26] + wire _T_4214 = ~buf_write[3]; // @[lsu_bus_buffer.scala 476:44] + wire _T_4215 = _T_4212 & _T_4214; // @[lsu_bus_buffer.scala 476:42] + wire _T_4216 = _T_4215 & buf_samedw_3; // @[lsu_bus_buffer.scala 476:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_386 = {{1'd0}, buf_dualtag_3}; // @[lsu_bus_buffer.scala 476:94] - wire _T_4210 = io_lsu_axi_r_bits_id == _GEN_386; // @[lsu_bus_buffer.scala 476:94] - wire _T_4211 = _T_4209 & _T_4210; // @[lsu_bus_buffer.scala 476:74] - wire _T_4212 = _T_4204 | _T_4211; // @[lsu_bus_buffer.scala 475:71] - wire _T_4213 = bus_rsp_read & _T_4212; // @[lsu_bus_buffer.scala 474:25] - wire _T_4214 = _T_4199 | _T_4213; // @[lsu_bus_buffer.scala 473:105] - wire _GEN_275 = _T_4155 & _T_4214; // @[Conditional.scala 39:67] - wire _GEN_294 = _T_4121 ? 1'h0 : _GEN_275; // @[Conditional.scala 39:67] - wire _GEN_306 = _T_4117 ? 1'h0 : _GEN_294; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_3 = _T_4094 ? 1'h0 : _GEN_306; // @[Conditional.scala 40:58] - wire [3:0] _T_4249 = buf_ldfwd >> buf_dualtag_3; // @[lsu_bus_buffer.scala 489:21] - wire [1:0] _GEN_255 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 489:58] - wire [1:0] _GEN_256 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_255; // @[lsu_bus_buffer.scala 489:58] - wire [1:0] _GEN_257 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_256; // @[lsu_bus_buffer.scala 489:58] - wire [2:0] _GEN_388 = {{1'd0}, _GEN_257}; // @[lsu_bus_buffer.scala 489:58] - wire _T_4251 = io_lsu_axi_r_bits_id == _GEN_388; // @[lsu_bus_buffer.scala 489:58] - wire _T_4252 = _T_4249[0] & _T_4251; // @[lsu_bus_buffer.scala 489:38] - wire _T_4253 = _T_4210 | _T_4252; // @[lsu_bus_buffer.scala 488:95] - wire _T_4254 = bus_rsp_read & _T_4253; // @[lsu_bus_buffer.scala 488:45] - wire _GEN_269 = _T_4239 & _T_4254; // @[Conditional.scala 39:67] - wire _GEN_276 = _T_4155 ? buf_resp_state_bus_en_3 : _GEN_269; // @[Conditional.scala 39:67] - wire _GEN_286 = _T_4121 ? buf_cmd_state_bus_en_3 : _GEN_276; // @[Conditional.scala 39:67] - wire _GEN_299 = _T_4117 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] - wire buf_state_bus_en_3 = _T_4094 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire _T_4134 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 461:49] - wire _T_4135 = _T_4134 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 461:70] - wire _T_4260 = RspPtr == 2'h3; // @[lsu_bus_buffer.scala 495:37] - wire _T_4261 = buf_dualtag_3 == RspPtr; // @[lsu_bus_buffer.scala 495:98] - wire _T_4262 = buf_dual_3 & _T_4261; // @[lsu_bus_buffer.scala 495:80] - wire _T_4263 = _T_4260 | _T_4262; // @[lsu_bus_buffer.scala 495:65] - wire _T_4264 = _T_4263 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 495:112] - wire _GEN_264 = _T_4257 ? _T_4264 : _T_4265; // @[Conditional.scala 39:67] - wire _GEN_270 = _T_4239 ? _T_4135 : _GEN_264; // @[Conditional.scala 39:67] - wire _GEN_277 = _T_4155 ? _T_4135 : _GEN_270; // @[Conditional.scala 39:67] - wire _GEN_287 = _T_4121 ? _T_4135 : _GEN_277; // @[Conditional.scala 39:67] - wire _GEN_297 = _T_4117 ? obuf_rdrsp_pend_en : _GEN_287; // @[Conditional.scala 39:67] - wire buf_state_en_3 = _T_4094 ? _T_4110 : _GEN_297; // @[Conditional.scala 40:58] - wire _T_2367 = _T_1818 & buf_state_en_3; // @[lsu_bus_buffer.scala 408:94] - wire _T_2377 = _T_2069 & _T_1821; // @[lsu_bus_buffer.scala 410:71] - wire _T_2379 = _T_2377 & _T_1786; // @[lsu_bus_buffer.scala 410:92] - wire _T_2380 = _T_4455 | _T_2379; // @[lsu_bus_buffer.scala 409:86] - wire _T_2384 = _T_2076 & _T_1822; // @[lsu_bus_buffer.scala 411:52] - wire _T_2386 = _T_2384 & _T_1788; // @[lsu_bus_buffer.scala 411:73] - wire _T_2387 = _T_2380 | _T_2386; // @[lsu_bus_buffer.scala 410:114] - wire _T_2388 = _T_2367 & _T_2387; // @[lsu_bus_buffer.scala 408:113] - wire _T_2390 = _T_2388 | buf_age_3[0]; // @[lsu_bus_buffer.scala 411:97] - wire _T_2404 = _T_2377 & _T_1797; // @[lsu_bus_buffer.scala 410:92] - wire _T_2405 = _T_4460 | _T_2404; // @[lsu_bus_buffer.scala 409:86] - wire _T_2411 = _T_2384 & _T_1799; // @[lsu_bus_buffer.scala 411:73] - wire _T_2412 = _T_2405 | _T_2411; // @[lsu_bus_buffer.scala 410:114] - wire _T_2413 = _T_2367 & _T_2412; // @[lsu_bus_buffer.scala 408:113] - wire _T_2415 = _T_2413 | buf_age_3[1]; // @[lsu_bus_buffer.scala 411:97] - wire _T_2429 = _T_2377 & _T_1808; // @[lsu_bus_buffer.scala 410:92] - wire _T_2430 = _T_4465 | _T_2429; // @[lsu_bus_buffer.scala 409:86] - wire _T_2436 = _T_2384 & _T_1810; // @[lsu_bus_buffer.scala 411:73] - wire _T_2437 = _T_2430 | _T_2436; // @[lsu_bus_buffer.scala 410:114] - wire _T_2438 = _T_2367 & _T_2437; // @[lsu_bus_buffer.scala 408:113] - wire _T_2440 = _T_2438 | buf_age_3[2]; // @[lsu_bus_buffer.scala 411:97] - wire _T_2454 = _T_2377 & _T_1819; // @[lsu_bus_buffer.scala 410:92] - wire _T_2455 = _T_4470 | _T_2454; // @[lsu_bus_buffer.scala 409:86] - wire _T_2461 = _T_2384 & _T_1821; // @[lsu_bus_buffer.scala 411:73] - wire _T_2462 = _T_2455 | _T_2461; // @[lsu_bus_buffer.scala 410:114] - wire _T_2463 = _T_2367 & _T_2462; // @[lsu_bus_buffer.scala 408:113] - wire _T_2465 = _T_2463 | buf_age_3[3]; // @[lsu_bus_buffer.scala 411:97] - wire [2:0] _T_2467 = {_T_2465,_T_2440,_T_2415}; // @[Cat.scala 29:58] - wire _T_2763 = buf_state_0 == 3'h6; // @[lsu_bus_buffer.scala 419:47] - wire _T_2764 = _T_1785 | _T_2763; // @[lsu_bus_buffer.scala 419:32] - wire _T_2765 = ~_T_2764; // @[lsu_bus_buffer.scala 419:6] - wire _T_2773 = _T_2765 | _T_2073; // @[lsu_bus_buffer.scala 419:59] - wire _T_2780 = _T_2773 | _T_2080; // @[lsu_bus_buffer.scala 420:110] - wire _T_2781 = _T_2061 & _T_2780; // @[lsu_bus_buffer.scala 418:112] - wire _T_2785 = buf_state_1 == 3'h6; // @[lsu_bus_buffer.scala 419:47] - wire _T_2786 = _T_1796 | _T_2785; // @[lsu_bus_buffer.scala 419:32] - wire _T_2787 = ~_T_2786; // @[lsu_bus_buffer.scala 419:6] - wire _T_2795 = _T_2787 | _T_2098; // @[lsu_bus_buffer.scala 419:59] - wire _T_2802 = _T_2795 | _T_2105; // @[lsu_bus_buffer.scala 420:110] - wire _T_2803 = _T_2061 & _T_2802; // @[lsu_bus_buffer.scala 418:112] - wire _T_2807 = buf_state_2 == 3'h6; // @[lsu_bus_buffer.scala 419:47] - wire _T_2808 = _T_1807 | _T_2807; // @[lsu_bus_buffer.scala 419:32] - wire _T_2809 = ~_T_2808; // @[lsu_bus_buffer.scala 419:6] - wire _T_2817 = _T_2809 | _T_2123; // @[lsu_bus_buffer.scala 419:59] - wire _T_2824 = _T_2817 | _T_2130; // @[lsu_bus_buffer.scala 420:110] - wire _T_2825 = _T_2061 & _T_2824; // @[lsu_bus_buffer.scala 418:112] - wire _T_2829 = buf_state_3 == 3'h6; // @[lsu_bus_buffer.scala 419:47] - wire _T_2830 = _T_1818 | _T_2829; // @[lsu_bus_buffer.scala 419:32] - wire _T_2831 = ~_T_2830; // @[lsu_bus_buffer.scala 419:6] - wire _T_2839 = _T_2831 | _T_2148; // @[lsu_bus_buffer.scala 419:59] - wire _T_2846 = _T_2839 | _T_2155; // @[lsu_bus_buffer.scala 420:110] - wire _T_2847 = _T_2061 & _T_2846; // @[lsu_bus_buffer.scala 418:112] - wire [3:0] buf_rspage_set_0 = {_T_2847,_T_2825,_T_2803,_T_2781}; // @[Cat.scala 29:58] - wire _T_2864 = _T_2765 | _T_2175; // @[lsu_bus_buffer.scala 419:59] - wire _T_2871 = _T_2864 | _T_2182; // @[lsu_bus_buffer.scala 420:110] - wire _T_2872 = _T_2163 & _T_2871; // @[lsu_bus_buffer.scala 418:112] - wire _T_2886 = _T_2787 | _T_2200; // @[lsu_bus_buffer.scala 419:59] - wire _T_2893 = _T_2886 | _T_2207; // @[lsu_bus_buffer.scala 420:110] - wire _T_2894 = _T_2163 & _T_2893; // @[lsu_bus_buffer.scala 418:112] - wire _T_2908 = _T_2809 | _T_2225; // @[lsu_bus_buffer.scala 419:59] - wire _T_2915 = _T_2908 | _T_2232; // @[lsu_bus_buffer.scala 420:110] - wire _T_2916 = _T_2163 & _T_2915; // @[lsu_bus_buffer.scala 418:112] - wire _T_2930 = _T_2831 | _T_2250; // @[lsu_bus_buffer.scala 419:59] - wire _T_2937 = _T_2930 | _T_2257; // @[lsu_bus_buffer.scala 420:110] - wire _T_2938 = _T_2163 & _T_2937; // @[lsu_bus_buffer.scala 418:112] - wire [3:0] buf_rspage_set_1 = {_T_2938,_T_2916,_T_2894,_T_2872}; // @[Cat.scala 29:58] - wire _T_2955 = _T_2765 | _T_2277; // @[lsu_bus_buffer.scala 419:59] - wire _T_2962 = _T_2955 | _T_2284; // @[lsu_bus_buffer.scala 420:110] - wire _T_2963 = _T_2265 & _T_2962; // @[lsu_bus_buffer.scala 418:112] - wire _T_2977 = _T_2787 | _T_2302; // @[lsu_bus_buffer.scala 419:59] - wire _T_2984 = _T_2977 | _T_2309; // @[lsu_bus_buffer.scala 420:110] - wire _T_2985 = _T_2265 & _T_2984; // @[lsu_bus_buffer.scala 418:112] - wire _T_2999 = _T_2809 | _T_2327; // @[lsu_bus_buffer.scala 419:59] - wire _T_3006 = _T_2999 | _T_2334; // @[lsu_bus_buffer.scala 420:110] - wire _T_3007 = _T_2265 & _T_3006; // @[lsu_bus_buffer.scala 418:112] - wire _T_3021 = _T_2831 | _T_2352; // @[lsu_bus_buffer.scala 419:59] - wire _T_3028 = _T_3021 | _T_2359; // @[lsu_bus_buffer.scala 420:110] - wire _T_3029 = _T_2265 & _T_3028; // @[lsu_bus_buffer.scala 418:112] - wire [3:0] buf_rspage_set_2 = {_T_3029,_T_3007,_T_2985,_T_2963}; // @[Cat.scala 29:58] - wire _T_3046 = _T_2765 | _T_2379; // @[lsu_bus_buffer.scala 419:59] - wire _T_3053 = _T_3046 | _T_2386; // @[lsu_bus_buffer.scala 420:110] - wire _T_3054 = _T_2367 & _T_3053; // @[lsu_bus_buffer.scala 418:112] - wire _T_3068 = _T_2787 | _T_2404; // @[lsu_bus_buffer.scala 419:59] - wire _T_3075 = _T_3068 | _T_2411; // @[lsu_bus_buffer.scala 420:110] - wire _T_3076 = _T_2367 & _T_3075; // @[lsu_bus_buffer.scala 418:112] - wire _T_3090 = _T_2809 | _T_2429; // @[lsu_bus_buffer.scala 419:59] - wire _T_3097 = _T_3090 | _T_2436; // @[lsu_bus_buffer.scala 420:110] - wire _T_3098 = _T_2367 & _T_3097; // @[lsu_bus_buffer.scala 418:112] - wire _T_3112 = _T_2831 | _T_2454; // @[lsu_bus_buffer.scala 419:59] - wire _T_3119 = _T_3112 | _T_2461; // @[lsu_bus_buffer.scala 420:110] - wire _T_3120 = _T_2367 & _T_3119; // @[lsu_bus_buffer.scala 418:112] - wire [3:0] buf_rspage_set_3 = {_T_3120,_T_3098,_T_3076,_T_3054}; // @[Cat.scala 29:58] - wire _T_3211 = _T_2829 | _T_1818; // @[lsu_bus_buffer.scala 423:110] - wire _T_3212 = ~_T_3211; // @[lsu_bus_buffer.scala 423:84] - wire _T_3213 = buf_rspageQ_0[3] & _T_3212; // @[lsu_bus_buffer.scala 423:82] - wire _T_3215 = _T_3213 & _T_2587; // @[lsu_bus_buffer.scala 423:145] - wire _T_3203 = _T_2807 | _T_1807; // @[lsu_bus_buffer.scala 423:110] - wire _T_3204 = ~_T_3203; // @[lsu_bus_buffer.scala 423:84] - wire _T_3205 = buf_rspageQ_0[2] & _T_3204; // @[lsu_bus_buffer.scala 423:82] - wire _T_3207 = _T_3205 & _T_2587; // @[lsu_bus_buffer.scala 423:145] - wire _T_3195 = _T_2785 | _T_1796; // @[lsu_bus_buffer.scala 423:110] - wire _T_3196 = ~_T_3195; // @[lsu_bus_buffer.scala 423:84] - wire _T_3197 = buf_rspageQ_0[1] & _T_3196; // @[lsu_bus_buffer.scala 423:82] - wire _T_3199 = _T_3197 & _T_2587; // @[lsu_bus_buffer.scala 423:145] - wire _T_3187 = _T_2763 | _T_1785; // @[lsu_bus_buffer.scala 423:110] - wire _T_3188 = ~_T_3187; // @[lsu_bus_buffer.scala 423:84] - wire _T_3189 = buf_rspageQ_0[0] & _T_3188; // @[lsu_bus_buffer.scala 423:82] - wire _T_3191 = _T_3189 & _T_2587; // @[lsu_bus_buffer.scala 423:145] - wire [3:0] buf_rspage_0 = {_T_3215,_T_3207,_T_3199,_T_3191}; // @[Cat.scala 29:58] - wire _T_3126 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[lsu_bus_buffer.scala 422:88] - wire _T_3129 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[lsu_bus_buffer.scala 422:88] - wire _T_3132 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[lsu_bus_buffer.scala 422:88] - wire _T_3135 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[lsu_bus_buffer.scala 422:88] - wire [2:0] _T_3137 = {_T_3135,_T_3132,_T_3129}; // @[Cat.scala 29:58] - wire _T_3248 = buf_rspageQ_1[3] & _T_3212; // @[lsu_bus_buffer.scala 423:82] - wire _T_3250 = _T_3248 & _T_2587; // @[lsu_bus_buffer.scala 423:145] - wire _T_3240 = buf_rspageQ_1[2] & _T_3204; // @[lsu_bus_buffer.scala 423:82] - wire _T_3242 = _T_3240 & _T_2587; // @[lsu_bus_buffer.scala 423:145] - wire _T_3232 = buf_rspageQ_1[1] & _T_3196; // @[lsu_bus_buffer.scala 423:82] - wire _T_3234 = _T_3232 & _T_2587; // @[lsu_bus_buffer.scala 423:145] - wire _T_3224 = buf_rspageQ_1[0] & _T_3188; // @[lsu_bus_buffer.scala 423:82] - wire _T_3226 = _T_3224 & _T_2587; // @[lsu_bus_buffer.scala 423:145] - wire [3:0] buf_rspage_1 = {_T_3250,_T_3242,_T_3234,_T_3226}; // @[Cat.scala 29:58] - wire _T_3141 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[lsu_bus_buffer.scala 422:88] - wire _T_3144 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[lsu_bus_buffer.scala 422:88] - wire _T_3147 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[lsu_bus_buffer.scala 422:88] - wire _T_3150 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[lsu_bus_buffer.scala 422:88] - wire [2:0] _T_3152 = {_T_3150,_T_3147,_T_3144}; // @[Cat.scala 29:58] - wire _T_3283 = buf_rspageQ_2[3] & _T_3212; // @[lsu_bus_buffer.scala 423:82] - wire _T_3285 = _T_3283 & _T_2587; // @[lsu_bus_buffer.scala 423:145] - wire _T_3275 = buf_rspageQ_2[2] & _T_3204; // @[lsu_bus_buffer.scala 423:82] - wire _T_3277 = _T_3275 & _T_2587; // @[lsu_bus_buffer.scala 423:145] - wire _T_3267 = buf_rspageQ_2[1] & _T_3196; // @[lsu_bus_buffer.scala 423:82] - wire _T_3269 = _T_3267 & _T_2587; // @[lsu_bus_buffer.scala 423:145] - wire _T_3259 = buf_rspageQ_2[0] & _T_3188; // @[lsu_bus_buffer.scala 423:82] - wire _T_3261 = _T_3259 & _T_2587; // @[lsu_bus_buffer.scala 423:145] - wire [3:0] buf_rspage_2 = {_T_3285,_T_3277,_T_3269,_T_3261}; // @[Cat.scala 29:58] - wire _T_3156 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[lsu_bus_buffer.scala 422:88] - wire _T_3159 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[lsu_bus_buffer.scala 422:88] - wire _T_3162 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[lsu_bus_buffer.scala 422:88] - wire _T_3165 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[lsu_bus_buffer.scala 422:88] - wire [2:0] _T_3167 = {_T_3165,_T_3162,_T_3159}; // @[Cat.scala 29:58] - wire _T_3318 = buf_rspageQ_3[3] & _T_3212; // @[lsu_bus_buffer.scala 423:82] - wire _T_3320 = _T_3318 & _T_2587; // @[lsu_bus_buffer.scala 423:145] - wire _T_3310 = buf_rspageQ_3[2] & _T_3204; // @[lsu_bus_buffer.scala 423:82] - wire _T_3312 = _T_3310 & _T_2587; // @[lsu_bus_buffer.scala 423:145] - wire _T_3302 = buf_rspageQ_3[1] & _T_3196; // @[lsu_bus_buffer.scala 423:82] - wire _T_3304 = _T_3302 & _T_2587; // @[lsu_bus_buffer.scala 423:145] - wire _T_3294 = buf_rspageQ_3[0] & _T_3188; // @[lsu_bus_buffer.scala 423:82] - wire _T_3296 = _T_3294 & _T_2587; // @[lsu_bus_buffer.scala 423:145] - wire [3:0] buf_rspage_3 = {_T_3320,_T_3312,_T_3304,_T_3296}; // @[Cat.scala 29:58] - wire _T_3171 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[lsu_bus_buffer.scala 422:88] - wire _T_3174 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[lsu_bus_buffer.scala 422:88] - wire _T_3177 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[lsu_bus_buffer.scala 422:88] - wire _T_3180 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[lsu_bus_buffer.scala 422:88] - wire [2:0] _T_3182 = {_T_3180,_T_3177,_T_3174}; // @[Cat.scala 29:58] - wire _T_3325 = ibuf_drain_vld & _T_1786; // @[lsu_bus_buffer.scala 428:63] - wire _T_3327 = ibuf_drain_vld & _T_1797; // @[lsu_bus_buffer.scala 428:63] - wire _T_3329 = ibuf_drain_vld & _T_1808; // @[lsu_bus_buffer.scala 428:63] - wire _T_3331 = ibuf_drain_vld & _T_1819; // @[lsu_bus_buffer.scala 428:63] - wire [3:0] ibuf_drainvec_vld = {_T_3331,_T_3329,_T_3327,_T_3325}; // @[Cat.scala 29:58] - wire _T_3339 = _T_3533 & _T_1789; // @[lsu_bus_buffer.scala 430:35] - wire _T_3348 = _T_3533 & _T_1800; // @[lsu_bus_buffer.scala 430:35] - wire _T_3357 = _T_3533 & _T_1811; // @[lsu_bus_buffer.scala 430:35] - wire _T_3366 = _T_3533 & _T_1822; // @[lsu_bus_buffer.scala 430:35] - wire _T_3396 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 432:45] - wire _T_3398 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 432:45] - wire _T_3400 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 432:45] - wire _T_3402 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 432:45] - wire [3:0] buf_dual_in = {_T_3402,_T_3400,_T_3398,_T_3396}; // @[Cat.scala 29:58] - wire _T_3407 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 433:47] - wire _T_3409 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 433:47] - wire _T_3411 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 433:47] - wire _T_3413 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 433:47] - wire [3:0] buf_samedw_in = {_T_3413,_T_3411,_T_3409,_T_3407}; // @[Cat.scala 29:58] - wire _T_3418 = ibuf_nomerge | ibuf_force_drain; // @[lsu_bus_buffer.scala 434:84] - wire _T_3419 = ibuf_drainvec_vld[0] ? _T_3418 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 434:48] - wire _T_3422 = ibuf_drainvec_vld[1] ? _T_3418 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 434:48] - wire _T_3425 = ibuf_drainvec_vld[2] ? _T_3418 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 434:48] - wire _T_3428 = ibuf_drainvec_vld[3] ? _T_3418 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 434:48] - wire [3:0] buf_nomerge_in = {_T_3428,_T_3425,_T_3422,_T_3419}; // @[Cat.scala 29:58] - wire _T_3436 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3339; // @[lsu_bus_buffer.scala 435:47] - wire _T_3441 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3348; // @[lsu_bus_buffer.scala 435:47] - wire _T_3446 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3357; // @[lsu_bus_buffer.scala 435:47] - wire _T_3451 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3366; // @[lsu_bus_buffer.scala 435:47] - wire [3:0] buf_dualhi_in = {_T_3451,_T_3446,_T_3441,_T_3436}; // @[Cat.scala 29:58] - wire _T_3480 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 437:51] - wire _T_3482 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 437:51] - wire _T_3484 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 437:51] - wire _T_3486 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 437:51] - wire [3:0] buf_sideeffect_in = {_T_3486,_T_3484,_T_3482,_T_3480}; // @[Cat.scala 29:58] - wire _T_3491 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 438:47] - wire _T_3493 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 438:47] - wire _T_3495 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 438:47] - wire _T_3497 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 438:47] - wire [3:0] buf_unsign_in = {_T_3497,_T_3495,_T_3493,_T_3491}; // @[Cat.scala 29:58] - wire _T_3514 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 440:46] - wire _T_3516 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 440:46] - wire _T_3518 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 440:46] - wire _T_3520 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 440:46] - wire [3:0] buf_write_in = {_T_3520,_T_3518,_T_3516,_T_3514}; // @[Cat.scala 29:58] - wire _T_3553 = obuf_nosend & bus_rsp_read; // @[lsu_bus_buffer.scala 458:89] - wire _T_3555 = _T_3553 & _T_1349; // @[lsu_bus_buffer.scala 458:104] - wire _T_3568 = buf_state_en_0 & _T_3637; // @[lsu_bus_buffer.scala 463:44] - wire _T_3569 = _T_3568 & obuf_nosend; // @[lsu_bus_buffer.scala 463:60] - wire _T_3571 = _T_3569 & _T_2587; // @[lsu_bus_buffer.scala 463:74] - wire _T_3574 = _T_3564 & obuf_nosend; // @[lsu_bus_buffer.scala 465:67] - wire _T_3575 = _T_3574 & bus_rsp_read; // @[lsu_bus_buffer.scala 465:81] - wire _T_4830 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 572:64] - wire bus_rsp_read_error = bus_rsp_read & _T_4830; // @[lsu_bus_buffer.scala 572:38] - wire _T_3578 = _T_3574 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 466:82] - wire _T_3651 = bus_rsp_read_error & _T_3630; // @[lsu_bus_buffer.scala 480:91] - wire _T_3653 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 481:31] - wire _T_3655 = _T_3653 & _T_3632; // @[lsu_bus_buffer.scala 481:46] - wire _T_3656 = _T_3651 | _T_3655; // @[lsu_bus_buffer.scala 480:143] - wire _T_4828 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 571:66] - wire bus_rsp_write_error = bus_rsp_write & _T_4828; // @[lsu_bus_buffer.scala 571:40] - wire _T_3658 = bus_rsp_write_error & _T_3628; // @[lsu_bus_buffer.scala 482:33] - wire _T_3659 = _T_3656 | _T_3658; // @[lsu_bus_buffer.scala 481:88] - wire _T_3660 = _T_3564 & _T_3659; // @[lsu_bus_buffer.scala 480:68] - wire _GEN_48 = _T_3585 & _T_3660; // @[Conditional.scala 39:67] - wire _GEN_61 = _T_3551 ? _T_3578 : _GEN_48; // @[Conditional.scala 39:67] - wire _GEN_73 = _T_3547 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] - wire buf_error_en_0 = _T_3524 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] - wire _T_3587 = buf_write[0] & bus_rsp_write_error; // @[lsu_bus_buffer.scala 470:71] - wire _T_3588 = io_dec_tlu_force_halt | _T_3587; // @[lsu_bus_buffer.scala 470:55] - wire _T_3590 = ~buf_samedw_0; // @[lsu_bus_buffer.scala 471:30] - wire _T_3591 = buf_dual_0 & _T_3590; // @[lsu_bus_buffer.scala 471:28] - wire _T_3594 = _T_3591 & _T_3637; // @[lsu_bus_buffer.scala 471:45] - wire [2:0] _GEN_20 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] - wire [2:0] _GEN_21 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_20; // @[lsu_bus_buffer.scala 471:90] - wire [2:0] _GEN_22 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_21; // @[lsu_bus_buffer.scala 471:90] - wire _T_3595 = _GEN_22 != 3'h4; // @[lsu_bus_buffer.scala 471:90] - wire _T_3596 = _T_3594 & _T_3595; // @[lsu_bus_buffer.scala 471:61] - wire _T_4478 = _T_2710 | _T_2707; // @[lsu_bus_buffer.scala 532:93] - wire _T_4479 = _T_4478 | _T_2704; // @[lsu_bus_buffer.scala 532:93] - wire any_done_wait_state = _T_4479 | _T_2701; // @[lsu_bus_buffer.scala 532:93] - wire _T_3598 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] - wire _T_3604 = buf_dualtag_0 == 2'h0; // @[lsu_bus_buffer.scala 60:118] - wire _T_3606 = buf_dualtag_0 == 2'h1; // @[lsu_bus_buffer.scala 60:118] - wire _T_3608 = buf_dualtag_0 == 2'h2; // @[lsu_bus_buffer.scala 60:118] - wire _T_3610 = buf_dualtag_0 == 2'h3; // @[lsu_bus_buffer.scala 60:118] - wire _T_3612 = _T_3604 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3613 = _T_3606 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3614 = _T_3608 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3615 = _T_3610 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3616 = _T_3612 | _T_3613; // @[Mux.scala 27:72] - wire _T_3617 = _T_3616 | _T_3614; // @[Mux.scala 27:72] - wire _T_3618 = _T_3617 | _T_3615; // @[Mux.scala 27:72] - wire _T_3620 = _T_3594 & _T_3618; // @[lsu_bus_buffer.scala 472:101] - wire _T_3621 = _GEN_22 == 3'h4; // @[lsu_bus_buffer.scala 472:167] - wire _T_3622 = _T_3620 & _T_3621; // @[lsu_bus_buffer.scala 472:138] - wire _T_3623 = _T_3622 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] - wire _T_3624 = _T_3598 | _T_3623; // @[lsu_bus_buffer.scala 472:53] - wire _T_3647 = buf_state_bus_en_0 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] - wire _T_3648 = _T_3647 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] - wire _T_3661 = ~buf_error_en_0; // @[lsu_bus_buffer.scala 483:50] - wire _T_3662 = buf_state_en_0 & _T_3661; // @[lsu_bus_buffer.scala 483:48] - wire _T_3674 = buf_ldfwd[0] | _T_3679[0]; // @[lsu_bus_buffer.scala 487:90] - wire _T_3675 = _T_3674 | any_done_wait_state; // @[lsu_bus_buffer.scala 487:118] - wire _GEN_28 = _T_3695 | io_dec_tlu_force_halt; // @[Conditional.scala 39:67] - wire _GEN_31 = _T_3695 ? buf_state_en_0 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] - wire _GEN_35 = _T_3687 ? io_dec_tlu_force_halt : _GEN_28; // @[Conditional.scala 39:67] - wire _GEN_36 = _T_3687 ? io_dec_tlu_force_halt : _GEN_31; // @[Conditional.scala 39:67] - wire _GEN_41 = _T_3669 ? io_dec_tlu_force_halt : _GEN_35; // @[Conditional.scala 39:67] - wire _GEN_42 = _T_3669 ? io_dec_tlu_force_halt : _GEN_36; // @[Conditional.scala 39:67] - wire _GEN_47 = _T_3585 & _T_3648; // @[Conditional.scala 39:67] - wire _GEN_51 = _T_3585 ? io_dec_tlu_force_halt : _GEN_41; // @[Conditional.scala 39:67] - wire _GEN_52 = _T_3585 ? io_dec_tlu_force_halt : _GEN_42; // @[Conditional.scala 39:67] - wire _GEN_58 = _T_3551 ? _T_3571 : _GEN_52; // @[Conditional.scala 39:67] - wire _GEN_60 = _T_3551 ? _T_3575 : _GEN_47; // @[Conditional.scala 39:67] - wire _GEN_64 = _T_3551 ? io_dec_tlu_force_halt : _GEN_51; // @[Conditional.scala 39:67] - wire _GEN_70 = _T_3547 ? io_dec_tlu_force_halt : _GEN_58; // @[Conditional.scala 39:67] - wire _GEN_72 = _T_3547 ? 1'h0 : _GEN_60; // @[Conditional.scala 39:67] - wire _GEN_76 = _T_3547 ? io_dec_tlu_force_halt : _GEN_64; // @[Conditional.scala 39:67] - wire buf_wr_en_0 = _T_3524 & buf_state_en_0; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_0 = _T_3524 ? io_dec_tlu_force_halt : _GEN_70; // @[Conditional.scala 40:58] - wire buf_rst_0 = _T_3524 ? io_dec_tlu_force_halt : _GEN_76; // @[Conditional.scala 40:58] - wire _T_3758 = buf_state_en_1 & _T_3827; // @[lsu_bus_buffer.scala 463:44] - wire _T_3759 = _T_3758 & obuf_nosend; // @[lsu_bus_buffer.scala 463:60] - wire _T_3761 = _T_3759 & _T_2587; // @[lsu_bus_buffer.scala 463:74] - wire _T_3764 = _T_3754 & obuf_nosend; // @[lsu_bus_buffer.scala 465:67] - wire _T_3765 = _T_3764 & bus_rsp_read; // @[lsu_bus_buffer.scala 465:81] - wire _T_3768 = _T_3764 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 466:82] - wire _T_3841 = bus_rsp_read_error & _T_3820; // @[lsu_bus_buffer.scala 480:91] - wire _T_3843 = bus_rsp_read_error & buf_ldfwd[1]; // @[lsu_bus_buffer.scala 481:31] - wire _T_3845 = _T_3843 & _T_3822; // @[lsu_bus_buffer.scala 481:46] - wire _T_3846 = _T_3841 | _T_3845; // @[lsu_bus_buffer.scala 480:143] - wire _T_3848 = bus_rsp_write_error & _T_3818; // @[lsu_bus_buffer.scala 482:33] - wire _T_3849 = _T_3846 | _T_3848; // @[lsu_bus_buffer.scala 481:88] - wire _T_3850 = _T_3754 & _T_3849; // @[lsu_bus_buffer.scala 480:68] - wire _GEN_125 = _T_3775 & _T_3850; // @[Conditional.scala 39:67] - wire _GEN_138 = _T_3741 ? _T_3768 : _GEN_125; // @[Conditional.scala 39:67] - wire _GEN_150 = _T_3737 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] - wire buf_error_en_1 = _T_3714 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire _T_3777 = buf_write[1] & bus_rsp_write_error; // @[lsu_bus_buffer.scala 470:71] - wire _T_3778 = io_dec_tlu_force_halt | _T_3777; // @[lsu_bus_buffer.scala 470:55] - wire _T_3780 = ~buf_samedw_1; // @[lsu_bus_buffer.scala 471:30] - wire _T_3781 = buf_dual_1 & _T_3780; // @[lsu_bus_buffer.scala 471:28] - wire _T_3784 = _T_3781 & _T_3827; // @[lsu_bus_buffer.scala 471:45] - wire [2:0] _GEN_97 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] - wire [2:0] _GEN_98 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_97; // @[lsu_bus_buffer.scala 471:90] - wire [2:0] _GEN_99 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_98; // @[lsu_bus_buffer.scala 471:90] - wire _T_3785 = _GEN_99 != 3'h4; // @[lsu_bus_buffer.scala 471:90] - wire _T_3786 = _T_3784 & _T_3785; // @[lsu_bus_buffer.scala 471:61] - wire _T_3788 = buf_ldfwd[1] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] - wire _T_3794 = buf_dualtag_1 == 2'h0; // @[lsu_bus_buffer.scala 60:118] - wire _T_3796 = buf_dualtag_1 == 2'h1; // @[lsu_bus_buffer.scala 60:118] - wire _T_3798 = buf_dualtag_1 == 2'h2; // @[lsu_bus_buffer.scala 60:118] - wire _T_3800 = buf_dualtag_1 == 2'h3; // @[lsu_bus_buffer.scala 60:118] - wire _T_3802 = _T_3794 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3803 = _T_3796 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3804 = _T_3798 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3805 = _T_3800 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3806 = _T_3802 | _T_3803; // @[Mux.scala 27:72] - wire _T_3807 = _T_3806 | _T_3804; // @[Mux.scala 27:72] - wire _T_3808 = _T_3807 | _T_3805; // @[Mux.scala 27:72] - wire _T_3810 = _T_3784 & _T_3808; // @[lsu_bus_buffer.scala 472:101] - wire _T_3811 = _GEN_99 == 3'h4; // @[lsu_bus_buffer.scala 472:167] - wire _T_3812 = _T_3810 & _T_3811; // @[lsu_bus_buffer.scala 472:138] - wire _T_3813 = _T_3812 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] - wire _T_3814 = _T_3788 | _T_3813; // @[lsu_bus_buffer.scala 472:53] - wire _T_3837 = buf_state_bus_en_1 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] - wire _T_3838 = _T_3837 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] - wire _T_3851 = ~buf_error_en_1; // @[lsu_bus_buffer.scala 483:50] - wire _T_3852 = buf_state_en_1 & _T_3851; // @[lsu_bus_buffer.scala 483:48] - wire _T_3864 = buf_ldfwd[1] | _T_3869[0]; // @[lsu_bus_buffer.scala 487:90] - wire _T_3865 = _T_3864 | any_done_wait_state; // @[lsu_bus_buffer.scala 487:118] - wire _GEN_105 = _T_3885 | io_dec_tlu_force_halt; // @[Conditional.scala 39:67] - wire _GEN_108 = _T_3885 ? buf_state_en_1 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] - wire _GEN_112 = _T_3877 ? io_dec_tlu_force_halt : _GEN_105; // @[Conditional.scala 39:67] - wire _GEN_113 = _T_3877 ? io_dec_tlu_force_halt : _GEN_108; // @[Conditional.scala 39:67] - wire _GEN_118 = _T_3859 ? io_dec_tlu_force_halt : _GEN_112; // @[Conditional.scala 39:67] - wire _GEN_119 = _T_3859 ? io_dec_tlu_force_halt : _GEN_113; // @[Conditional.scala 39:67] - wire _GEN_124 = _T_3775 & _T_3838; // @[Conditional.scala 39:67] - wire _GEN_128 = _T_3775 ? io_dec_tlu_force_halt : _GEN_118; // @[Conditional.scala 39:67] - wire _GEN_129 = _T_3775 ? io_dec_tlu_force_halt : _GEN_119; // @[Conditional.scala 39:67] - wire _GEN_135 = _T_3741 ? _T_3761 : _GEN_129; // @[Conditional.scala 39:67] - wire _GEN_137 = _T_3741 ? _T_3765 : _GEN_124; // @[Conditional.scala 39:67] - wire _GEN_141 = _T_3741 ? io_dec_tlu_force_halt : _GEN_128; // @[Conditional.scala 39:67] - wire _GEN_147 = _T_3737 ? io_dec_tlu_force_halt : _GEN_135; // @[Conditional.scala 39:67] - wire _GEN_149 = _T_3737 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] - wire _GEN_153 = _T_3737 ? io_dec_tlu_force_halt : _GEN_141; // @[Conditional.scala 39:67] - wire buf_wr_en_1 = _T_3714 & buf_state_en_1; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_1 = _T_3714 ? io_dec_tlu_force_halt : _GEN_147; // @[Conditional.scala 40:58] - wire buf_rst_1 = _T_3714 ? io_dec_tlu_force_halt : _GEN_153; // @[Conditional.scala 40:58] - wire _T_3948 = buf_state_en_2 & _T_4017; // @[lsu_bus_buffer.scala 463:44] - wire _T_3949 = _T_3948 & obuf_nosend; // @[lsu_bus_buffer.scala 463:60] - wire _T_3951 = _T_3949 & _T_2587; // @[lsu_bus_buffer.scala 463:74] - wire _T_3954 = _T_3944 & obuf_nosend; // @[lsu_bus_buffer.scala 465:67] - wire _T_3955 = _T_3954 & bus_rsp_read; // @[lsu_bus_buffer.scala 465:81] - wire _T_3958 = _T_3954 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 466:82] - wire _T_4031 = bus_rsp_read_error & _T_4010; // @[lsu_bus_buffer.scala 480:91] - wire _T_4033 = bus_rsp_read_error & buf_ldfwd[2]; // @[lsu_bus_buffer.scala 481:31] - wire _T_4035 = _T_4033 & _T_4012; // @[lsu_bus_buffer.scala 481:46] - wire _T_4036 = _T_4031 | _T_4035; // @[lsu_bus_buffer.scala 480:143] - wire _T_4038 = bus_rsp_write_error & _T_4008; // @[lsu_bus_buffer.scala 482:33] - wire _T_4039 = _T_4036 | _T_4038; // @[lsu_bus_buffer.scala 481:88] - wire _T_4040 = _T_3944 & _T_4039; // @[lsu_bus_buffer.scala 480:68] - wire _GEN_202 = _T_3965 & _T_4040; // @[Conditional.scala 39:67] - wire _GEN_215 = _T_3931 ? _T_3958 : _GEN_202; // @[Conditional.scala 39:67] - wire _GEN_227 = _T_3927 ? 1'h0 : _GEN_215; // @[Conditional.scala 39:67] - wire buf_error_en_2 = _T_3904 ? 1'h0 : _GEN_227; // @[Conditional.scala 40:58] - wire _T_3967 = buf_write[2] & bus_rsp_write_error; // @[lsu_bus_buffer.scala 470:71] - wire _T_3968 = io_dec_tlu_force_halt | _T_3967; // @[lsu_bus_buffer.scala 470:55] - wire _T_3970 = ~buf_samedw_2; // @[lsu_bus_buffer.scala 471:30] - wire _T_3971 = buf_dual_2 & _T_3970; // @[lsu_bus_buffer.scala 471:28] - wire _T_3974 = _T_3971 & _T_4017; // @[lsu_bus_buffer.scala 471:45] - wire [2:0] _GEN_174 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] - wire [2:0] _GEN_175 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_174; // @[lsu_bus_buffer.scala 471:90] - wire [2:0] _GEN_176 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_175; // @[lsu_bus_buffer.scala 471:90] - wire _T_3975 = _GEN_176 != 3'h4; // @[lsu_bus_buffer.scala 471:90] - wire _T_3976 = _T_3974 & _T_3975; // @[lsu_bus_buffer.scala 471:61] - wire _T_3978 = buf_ldfwd[2] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] - wire _T_3984 = buf_dualtag_2 == 2'h0; // @[lsu_bus_buffer.scala 60:118] - wire _T_3986 = buf_dualtag_2 == 2'h1; // @[lsu_bus_buffer.scala 60:118] - wire _T_3988 = buf_dualtag_2 == 2'h2; // @[lsu_bus_buffer.scala 60:118] - wire _T_3990 = buf_dualtag_2 == 2'h3; // @[lsu_bus_buffer.scala 60:118] - wire _T_3992 = _T_3984 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3993 = _T_3986 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3994 = _T_3988 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3995 = _T_3990 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3996 = _T_3992 | _T_3993; // @[Mux.scala 27:72] - wire _T_3997 = _T_3996 | _T_3994; // @[Mux.scala 27:72] - wire _T_3998 = _T_3997 | _T_3995; // @[Mux.scala 27:72] - wire _T_4000 = _T_3974 & _T_3998; // @[lsu_bus_buffer.scala 472:101] - wire _T_4001 = _GEN_176 == 3'h4; // @[lsu_bus_buffer.scala 472:167] - wire _T_4002 = _T_4000 & _T_4001; // @[lsu_bus_buffer.scala 472:138] - wire _T_4003 = _T_4002 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] - wire _T_4004 = _T_3978 | _T_4003; // @[lsu_bus_buffer.scala 472:53] - wire _T_4027 = buf_state_bus_en_2 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] - wire _T_4028 = _T_4027 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] - wire _T_4041 = ~buf_error_en_2; // @[lsu_bus_buffer.scala 483:50] - wire _T_4042 = buf_state_en_2 & _T_4041; // @[lsu_bus_buffer.scala 483:48] - wire _T_4054 = buf_ldfwd[2] | _T_4059[0]; // @[lsu_bus_buffer.scala 487:90] - wire _T_4055 = _T_4054 | any_done_wait_state; // @[lsu_bus_buffer.scala 487:118] - wire _GEN_182 = _T_4075 | io_dec_tlu_force_halt; // @[Conditional.scala 39:67] - wire _GEN_185 = _T_4075 ? buf_state_en_2 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] - wire _GEN_189 = _T_4067 ? io_dec_tlu_force_halt : _GEN_182; // @[Conditional.scala 39:67] - wire _GEN_190 = _T_4067 ? io_dec_tlu_force_halt : _GEN_185; // @[Conditional.scala 39:67] - wire _GEN_195 = _T_4049 ? io_dec_tlu_force_halt : _GEN_189; // @[Conditional.scala 39:67] - wire _GEN_196 = _T_4049 ? io_dec_tlu_force_halt : _GEN_190; // @[Conditional.scala 39:67] - wire _GEN_201 = _T_3965 & _T_4028; // @[Conditional.scala 39:67] - wire _GEN_205 = _T_3965 ? io_dec_tlu_force_halt : _GEN_195; // @[Conditional.scala 39:67] - wire _GEN_206 = _T_3965 ? io_dec_tlu_force_halt : _GEN_196; // @[Conditional.scala 39:67] - wire _GEN_212 = _T_3931 ? _T_3951 : _GEN_206; // @[Conditional.scala 39:67] - wire _GEN_214 = _T_3931 ? _T_3955 : _GEN_201; // @[Conditional.scala 39:67] - wire _GEN_218 = _T_3931 ? io_dec_tlu_force_halt : _GEN_205; // @[Conditional.scala 39:67] - wire _GEN_224 = _T_3927 ? io_dec_tlu_force_halt : _GEN_212; // @[Conditional.scala 39:67] - wire _GEN_226 = _T_3927 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] - wire _GEN_230 = _T_3927 ? io_dec_tlu_force_halt : _GEN_218; // @[Conditional.scala 39:67] - wire buf_wr_en_2 = _T_3904 & buf_state_en_2; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_2 = _T_3904 ? io_dec_tlu_force_halt : _GEN_224; // @[Conditional.scala 40:58] - wire buf_rst_2 = _T_3904 ? io_dec_tlu_force_halt : _GEN_230; // @[Conditional.scala 40:58] - wire _T_4138 = buf_state_en_3 & _T_4207; // @[lsu_bus_buffer.scala 463:44] - wire _T_4139 = _T_4138 & obuf_nosend; // @[lsu_bus_buffer.scala 463:60] - wire _T_4141 = _T_4139 & _T_2587; // @[lsu_bus_buffer.scala 463:74] - wire _T_4144 = _T_4134 & obuf_nosend; // @[lsu_bus_buffer.scala 465:67] - wire _T_4145 = _T_4144 & bus_rsp_read; // @[lsu_bus_buffer.scala 465:81] - wire _T_4148 = _T_4144 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 466:82] - wire _T_4221 = bus_rsp_read_error & _T_4200; // @[lsu_bus_buffer.scala 480:91] - wire _T_4223 = bus_rsp_read_error & buf_ldfwd[3]; // @[lsu_bus_buffer.scala 481:31] - wire _T_4225 = _T_4223 & _T_4202; // @[lsu_bus_buffer.scala 481:46] - wire _T_4226 = _T_4221 | _T_4225; // @[lsu_bus_buffer.scala 480:143] - wire _T_4228 = bus_rsp_write_error & _T_4198; // @[lsu_bus_buffer.scala 482:33] - wire _T_4229 = _T_4226 | _T_4228; // @[lsu_bus_buffer.scala 481:88] - wire _T_4230 = _T_4134 & _T_4229; // @[lsu_bus_buffer.scala 480:68] - wire _GEN_279 = _T_4155 & _T_4230; // @[Conditional.scala 39:67] - wire _GEN_292 = _T_4121 ? _T_4148 : _GEN_279; // @[Conditional.scala 39:67] - wire _GEN_304 = _T_4117 ? 1'h0 : _GEN_292; // @[Conditional.scala 39:67] - wire buf_error_en_3 = _T_4094 ? 1'h0 : _GEN_304; // @[Conditional.scala 40:58] - wire _T_4157 = buf_write[3] & bus_rsp_write_error; // @[lsu_bus_buffer.scala 470:71] - wire _T_4158 = io_dec_tlu_force_halt | _T_4157; // @[lsu_bus_buffer.scala 470:55] - wire _T_4160 = ~buf_samedw_3; // @[lsu_bus_buffer.scala 471:30] - wire _T_4161 = buf_dual_3 & _T_4160; // @[lsu_bus_buffer.scala 471:28] - wire _T_4164 = _T_4161 & _T_4207; // @[lsu_bus_buffer.scala 471:45] - wire [2:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] - wire [2:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_251; // @[lsu_bus_buffer.scala 471:90] - wire [2:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_252; // @[lsu_bus_buffer.scala 471:90] - wire _T_4165 = _GEN_253 != 3'h4; // @[lsu_bus_buffer.scala 471:90] - wire _T_4166 = _T_4164 & _T_4165; // @[lsu_bus_buffer.scala 471:61] - wire _T_4168 = buf_ldfwd[3] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] - wire _T_4174 = buf_dualtag_3 == 2'h0; // @[lsu_bus_buffer.scala 60:118] - wire _T_4176 = buf_dualtag_3 == 2'h1; // @[lsu_bus_buffer.scala 60:118] - wire _T_4178 = buf_dualtag_3 == 2'h2; // @[lsu_bus_buffer.scala 60:118] - wire _T_4180 = buf_dualtag_3 == 2'h3; // @[lsu_bus_buffer.scala 60:118] - wire _T_4182 = _T_4174 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_4183 = _T_4176 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_4184 = _T_4178 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_4185 = _T_4180 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_4186 = _T_4182 | _T_4183; // @[Mux.scala 27:72] - wire _T_4187 = _T_4186 | _T_4184; // @[Mux.scala 27:72] - wire _T_4188 = _T_4187 | _T_4185; // @[Mux.scala 27:72] - wire _T_4190 = _T_4164 & _T_4188; // @[lsu_bus_buffer.scala 472:101] - wire _T_4191 = _GEN_253 == 3'h4; // @[lsu_bus_buffer.scala 472:167] - wire _T_4192 = _T_4190 & _T_4191; // @[lsu_bus_buffer.scala 472:138] - wire _T_4193 = _T_4192 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] - wire _T_4194 = _T_4168 | _T_4193; // @[lsu_bus_buffer.scala 472:53] - wire _T_4217 = buf_state_bus_en_3 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] - wire _T_4218 = _T_4217 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] - wire _T_4231 = ~buf_error_en_3; // @[lsu_bus_buffer.scala 483:50] - wire _T_4232 = buf_state_en_3 & _T_4231; // @[lsu_bus_buffer.scala 483:48] - wire _T_4244 = buf_ldfwd[3] | _T_4249[0]; // @[lsu_bus_buffer.scala 487:90] - wire _T_4245 = _T_4244 | any_done_wait_state; // @[lsu_bus_buffer.scala 487:118] - wire _GEN_259 = _T_4265 | io_dec_tlu_force_halt; // @[Conditional.scala 39:67] - wire _GEN_262 = _T_4265 ? buf_state_en_3 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] - wire _GEN_266 = _T_4257 ? io_dec_tlu_force_halt : _GEN_259; // @[Conditional.scala 39:67] - wire _GEN_267 = _T_4257 ? io_dec_tlu_force_halt : _GEN_262; // @[Conditional.scala 39:67] - wire _GEN_272 = _T_4239 ? io_dec_tlu_force_halt : _GEN_266; // @[Conditional.scala 39:67] - wire _GEN_273 = _T_4239 ? io_dec_tlu_force_halt : _GEN_267; // @[Conditional.scala 39:67] - wire _GEN_278 = _T_4155 & _T_4218; // @[Conditional.scala 39:67] - wire _GEN_282 = _T_4155 ? io_dec_tlu_force_halt : _GEN_272; // @[Conditional.scala 39:67] - wire _GEN_283 = _T_4155 ? io_dec_tlu_force_halt : _GEN_273; // @[Conditional.scala 39:67] - wire _GEN_289 = _T_4121 ? _T_4141 : _GEN_283; // @[Conditional.scala 39:67] - wire _GEN_291 = _T_4121 ? _T_4145 : _GEN_278; // @[Conditional.scala 39:67] - wire _GEN_295 = _T_4121 ? io_dec_tlu_force_halt : _GEN_282; // @[Conditional.scala 39:67] - wire _GEN_301 = _T_4117 ? io_dec_tlu_force_halt : _GEN_289; // @[Conditional.scala 39:67] - wire _GEN_303 = _T_4117 ? 1'h0 : _GEN_291; // @[Conditional.scala 39:67] - wire _GEN_307 = _T_4117 ? io_dec_tlu_force_halt : _GEN_295; // @[Conditional.scala 39:67] - wire buf_wr_en_3 = _T_4094 & buf_state_en_3; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_3 = _T_4094 ? io_dec_tlu_force_halt : _GEN_301; // @[Conditional.scala 40:58] - wire buf_rst_3 = _T_4094 ? io_dec_tlu_force_halt : _GEN_307; // @[Conditional.scala 40:58] - reg _T_4320; // @[Reg.scala 27:20] - reg _T_4323; // @[Reg.scala 27:20] - reg _T_4326; // @[Reg.scala 27:20] - reg _T_4329; // @[Reg.scala 27:20] - wire [3:0] buf_unsign = {_T_4329,_T_4326,_T_4323,_T_4320}; // @[Cat.scala 29:58] - reg _T_4395; // @[lsu_bus_buffer.scala 526:80] - reg _T_4390; // @[lsu_bus_buffer.scala 526:80] - reg _T_4385; // @[lsu_bus_buffer.scala 526:80] - reg _T_4380; // @[lsu_bus_buffer.scala 526:80] - wire [3:0] buf_error = {_T_4395,_T_4390,_T_4385,_T_4380}; // @[Cat.scala 29:58] - wire _T_4377 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 526:84] - wire _T_4378 = ~buf_rst_0; // @[lsu_bus_buffer.scala 526:126] - wire _T_4382 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 526:84] - wire _T_4383 = ~buf_rst_1; // @[lsu_bus_buffer.scala 526:126] - wire _T_4387 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 526:84] - wire _T_4388 = ~buf_rst_2; // @[lsu_bus_buffer.scala 526:126] - wire _T_4392 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 526:84] - wire _T_4393 = ~buf_rst_3; // @[lsu_bus_buffer.scala 526:126] - wire [1:0] _T_4399 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4400 = io_ldst_dual_m ? _T_4399 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 528:28] - wire [1:0] _T_4401 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4402 = io_ldst_dual_r ? _T_4401 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 528:94] - wire [2:0] _T_4403 = _T_4400 + _T_4402; // @[lsu_bus_buffer.scala 528:88] - wire [2:0] _GEN_393 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 528:154] - wire [3:0] _T_4404 = _T_4403 + _GEN_393; // @[lsu_bus_buffer.scala 528:154] - wire [1:0] _T_4409 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 528:217] - wire [1:0] _GEN_394 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 528:217] - wire [2:0] _T_4410 = _T_4409 + _GEN_394; // @[lsu_bus_buffer.scala 528:217] - wire [2:0] _GEN_395 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 528:217] - wire [3:0] _T_4411 = _T_4410 + _GEN_395; // @[lsu_bus_buffer.scala 528:217] - wire [3:0] buf_numvld_any = _T_4404 + _T_4411; // @[lsu_bus_buffer.scala 528:169] - wire _T_4482 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 534:52] - wire _T_4483 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 534:92] - wire _T_4484 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 534:121] - wire _T_4486 = |buf_state_0; // @[lsu_bus_buffer.scala 535:52] - wire _T_4487 = |buf_state_1; // @[lsu_bus_buffer.scala 535:52] - wire _T_4488 = |buf_state_2; // @[lsu_bus_buffer.scala 535:52] - wire _T_4489 = |buf_state_3; // @[lsu_bus_buffer.scala 535:52] - wire _T_4490 = _T_4486 | _T_4487; // @[lsu_bus_buffer.scala 535:65] - wire _T_4491 = _T_4490 | _T_4488; // @[lsu_bus_buffer.scala 535:65] - wire _T_4492 = _T_4491 | _T_4489; // @[lsu_bus_buffer.scala 535:65] - wire _T_4493 = ~_T_4492; // @[lsu_bus_buffer.scala 535:34] - wire _T_4495 = _T_4493 & _T_852; // @[lsu_bus_buffer.scala 535:70] - wire _T_4498 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 537:64] - wire _T_4499 = _T_4498 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 537:85] - wire _T_4500 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 537:112] - wire _T_4501 = _T_4499 & _T_4500; // @[lsu_bus_buffer.scala 537:110] - wire _T_4502 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 537:129] - wire _T_4504 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 540:74] + wire [2:0] _GEN_391 = {{1'd0}, buf_dualtag_3}; // @[lsu_bus_buffer.scala 476:94] + wire _T_4217 = io_lsu_axi_r_bits_id == _GEN_391; // @[lsu_bus_buffer.scala 476:94] + wire _T_4218 = _T_4216 & _T_4217; // @[lsu_bus_buffer.scala 476:74] + wire _T_4219 = _T_4211 | _T_4218; // @[lsu_bus_buffer.scala 475:71] + wire _T_4220 = bus_rsp_read & _T_4219; // @[lsu_bus_buffer.scala 474:25] + wire _T_4221 = _T_4206 | _T_4220; // @[lsu_bus_buffer.scala 473:105] + wire _GEN_280 = _T_4162 & _T_4221; // @[Conditional.scala 39:67] + wire _GEN_299 = _T_4128 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] + wire _GEN_311 = _T_4124 ? 1'h0 : _GEN_299; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_3 = _T_4101 ? 1'h0 : _GEN_311; // @[Conditional.scala 40:58] + wire [3:0] _T_4256 = buf_ldfwd >> buf_dualtag_3; // @[lsu_bus_buffer.scala 489:21] + wire [1:0] _GEN_260 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_261 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_260; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_262 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_261; // @[lsu_bus_buffer.scala 489:58] + wire [2:0] _GEN_393 = {{1'd0}, _GEN_262}; // @[lsu_bus_buffer.scala 489:58] + wire _T_4258 = io_lsu_axi_r_bits_id == _GEN_393; // @[lsu_bus_buffer.scala 489:58] + wire _T_4259 = _T_4256[0] & _T_4258; // @[lsu_bus_buffer.scala 489:38] + wire _T_4260 = _T_4217 | _T_4259; // @[lsu_bus_buffer.scala 488:95] + wire _T_4261 = bus_rsp_read & _T_4260; // @[lsu_bus_buffer.scala 488:45] + wire _GEN_274 = _T_4246 & _T_4261; // @[Conditional.scala 39:67] + wire _GEN_281 = _T_4162 ? buf_resp_state_bus_en_3 : _GEN_274; // @[Conditional.scala 39:67] + wire _GEN_291 = _T_4128 ? buf_cmd_state_bus_en_3 : _GEN_281; // @[Conditional.scala 39:67] + wire _GEN_304 = _T_4124 ? 1'h0 : _GEN_291; // @[Conditional.scala 39:67] + wire buf_state_bus_en_3 = _T_4101 ? 1'h0 : _GEN_304; // @[Conditional.scala 40:58] + wire _T_4141 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 461:49] + wire _T_4142 = _T_4141 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 461:70] + wire _T_4267 = RspPtr == 2'h3; // @[lsu_bus_buffer.scala 495:37] + wire _T_4268 = buf_dualtag_3 == RspPtr; // @[lsu_bus_buffer.scala 495:98] + wire _T_4269 = buf_dual_3 & _T_4268; // @[lsu_bus_buffer.scala 495:80] + wire _T_4270 = _T_4267 | _T_4269; // @[lsu_bus_buffer.scala 495:65] + wire _T_4271 = _T_4270 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 495:112] + wire _GEN_269 = _T_4264 ? _T_4271 : _T_4272; // @[Conditional.scala 39:67] + wire _GEN_275 = _T_4246 ? _T_4142 : _GEN_269; // @[Conditional.scala 39:67] + wire _GEN_282 = _T_4162 ? _T_4142 : _GEN_275; // @[Conditional.scala 39:67] + wire _GEN_292 = _T_4128 ? _T_4142 : _GEN_282; // @[Conditional.scala 39:67] + wire _GEN_302 = _T_4124 ? obuf_rdrsp_pend_en : _GEN_292; // @[Conditional.scala 39:67] + wire buf_state_en_3 = _T_4101 ? _T_4117 : _GEN_302; // @[Conditional.scala 40:58] + wire _T_2374 = _T_1825 & buf_state_en_3; // @[lsu_bus_buffer.scala 408:94] + wire _T_2384 = _T_2076 & _T_1828; // @[lsu_bus_buffer.scala 410:71] + wire _T_2386 = _T_2384 & _T_1793; // @[lsu_bus_buffer.scala 410:92] + wire _T_2387 = _T_4462 | _T_2386; // @[lsu_bus_buffer.scala 409:86] + wire _T_2391 = _T_2083 & _T_1829; // @[lsu_bus_buffer.scala 411:52] + wire _T_2393 = _T_2391 & _T_1795; // @[lsu_bus_buffer.scala 411:73] + wire _T_2394 = _T_2387 | _T_2393; // @[lsu_bus_buffer.scala 410:114] + wire _T_2395 = _T_2374 & _T_2394; // @[lsu_bus_buffer.scala 408:113] + wire _T_2397 = _T_2395 | buf_age_3[0]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2411 = _T_2384 & _T_1804; // @[lsu_bus_buffer.scala 410:92] + wire _T_2412 = _T_4467 | _T_2411; // @[lsu_bus_buffer.scala 409:86] + wire _T_2418 = _T_2391 & _T_1806; // @[lsu_bus_buffer.scala 411:73] + wire _T_2419 = _T_2412 | _T_2418; // @[lsu_bus_buffer.scala 410:114] + wire _T_2420 = _T_2374 & _T_2419; // @[lsu_bus_buffer.scala 408:113] + wire _T_2422 = _T_2420 | buf_age_3[1]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2436 = _T_2384 & _T_1815; // @[lsu_bus_buffer.scala 410:92] + wire _T_2437 = _T_4472 | _T_2436; // @[lsu_bus_buffer.scala 409:86] + wire _T_2443 = _T_2391 & _T_1817; // @[lsu_bus_buffer.scala 411:73] + wire _T_2444 = _T_2437 | _T_2443; // @[lsu_bus_buffer.scala 410:114] + wire _T_2445 = _T_2374 & _T_2444; // @[lsu_bus_buffer.scala 408:113] + wire _T_2447 = _T_2445 | buf_age_3[2]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2461 = _T_2384 & _T_1826; // @[lsu_bus_buffer.scala 410:92] + wire _T_2462 = _T_4477 | _T_2461; // @[lsu_bus_buffer.scala 409:86] + wire _T_2468 = _T_2391 & _T_1828; // @[lsu_bus_buffer.scala 411:73] + wire _T_2469 = _T_2462 | _T_2468; // @[lsu_bus_buffer.scala 410:114] + wire _T_2470 = _T_2374 & _T_2469; // @[lsu_bus_buffer.scala 408:113] + wire _T_2472 = _T_2470 | buf_age_3[3]; // @[lsu_bus_buffer.scala 411:97] + wire [2:0] _T_2474 = {_T_2472,_T_2447,_T_2422}; // @[Cat.scala 29:58] + wire _T_2770 = buf_state_0 == 3'h6; // @[lsu_bus_buffer.scala 419:47] + wire _T_2771 = _T_1792 | _T_2770; // @[lsu_bus_buffer.scala 419:32] + wire _T_2772 = ~_T_2771; // @[lsu_bus_buffer.scala 419:6] + wire _T_2780 = _T_2772 | _T_2080; // @[lsu_bus_buffer.scala 419:59] + wire _T_2787 = _T_2780 | _T_2087; // @[lsu_bus_buffer.scala 420:110] + wire _T_2788 = _T_2068 & _T_2787; // @[lsu_bus_buffer.scala 418:112] + wire _T_2792 = buf_state_1 == 3'h6; // @[lsu_bus_buffer.scala 419:47] + wire _T_2793 = _T_1803 | _T_2792; // @[lsu_bus_buffer.scala 419:32] + wire _T_2794 = ~_T_2793; // @[lsu_bus_buffer.scala 419:6] + wire _T_2802 = _T_2794 | _T_2105; // @[lsu_bus_buffer.scala 419:59] + wire _T_2809 = _T_2802 | _T_2112; // @[lsu_bus_buffer.scala 420:110] + wire _T_2810 = _T_2068 & _T_2809; // @[lsu_bus_buffer.scala 418:112] + wire _T_2814 = buf_state_2 == 3'h6; // @[lsu_bus_buffer.scala 419:47] + wire _T_2815 = _T_1814 | _T_2814; // @[lsu_bus_buffer.scala 419:32] + wire _T_2816 = ~_T_2815; // @[lsu_bus_buffer.scala 419:6] + wire _T_2824 = _T_2816 | _T_2130; // @[lsu_bus_buffer.scala 419:59] + wire _T_2831 = _T_2824 | _T_2137; // @[lsu_bus_buffer.scala 420:110] + wire _T_2832 = _T_2068 & _T_2831; // @[lsu_bus_buffer.scala 418:112] + wire _T_2836 = buf_state_3 == 3'h6; // @[lsu_bus_buffer.scala 419:47] + wire _T_2837 = _T_1825 | _T_2836; // @[lsu_bus_buffer.scala 419:32] + wire _T_2838 = ~_T_2837; // @[lsu_bus_buffer.scala 419:6] + wire _T_2846 = _T_2838 | _T_2155; // @[lsu_bus_buffer.scala 419:59] + wire _T_2853 = _T_2846 | _T_2162; // @[lsu_bus_buffer.scala 420:110] + wire _T_2854 = _T_2068 & _T_2853; // @[lsu_bus_buffer.scala 418:112] + wire [3:0] buf_rspage_set_0 = {_T_2854,_T_2832,_T_2810,_T_2788}; // @[Cat.scala 29:58] + wire _T_2871 = _T_2772 | _T_2182; // @[lsu_bus_buffer.scala 419:59] + wire _T_2878 = _T_2871 | _T_2189; // @[lsu_bus_buffer.scala 420:110] + wire _T_2879 = _T_2170 & _T_2878; // @[lsu_bus_buffer.scala 418:112] + wire _T_2893 = _T_2794 | _T_2207; // @[lsu_bus_buffer.scala 419:59] + wire _T_2900 = _T_2893 | _T_2214; // @[lsu_bus_buffer.scala 420:110] + wire _T_2901 = _T_2170 & _T_2900; // @[lsu_bus_buffer.scala 418:112] + wire _T_2915 = _T_2816 | _T_2232; // @[lsu_bus_buffer.scala 419:59] + wire _T_2922 = _T_2915 | _T_2239; // @[lsu_bus_buffer.scala 420:110] + wire _T_2923 = _T_2170 & _T_2922; // @[lsu_bus_buffer.scala 418:112] + wire _T_2937 = _T_2838 | _T_2257; // @[lsu_bus_buffer.scala 419:59] + wire _T_2944 = _T_2937 | _T_2264; // @[lsu_bus_buffer.scala 420:110] + wire _T_2945 = _T_2170 & _T_2944; // @[lsu_bus_buffer.scala 418:112] + wire [3:0] buf_rspage_set_1 = {_T_2945,_T_2923,_T_2901,_T_2879}; // @[Cat.scala 29:58] + wire _T_2962 = _T_2772 | _T_2284; // @[lsu_bus_buffer.scala 419:59] + wire _T_2969 = _T_2962 | _T_2291; // @[lsu_bus_buffer.scala 420:110] + wire _T_2970 = _T_2272 & _T_2969; // @[lsu_bus_buffer.scala 418:112] + wire _T_2984 = _T_2794 | _T_2309; // @[lsu_bus_buffer.scala 419:59] + wire _T_2991 = _T_2984 | _T_2316; // @[lsu_bus_buffer.scala 420:110] + wire _T_2992 = _T_2272 & _T_2991; // @[lsu_bus_buffer.scala 418:112] + wire _T_3006 = _T_2816 | _T_2334; // @[lsu_bus_buffer.scala 419:59] + wire _T_3013 = _T_3006 | _T_2341; // @[lsu_bus_buffer.scala 420:110] + wire _T_3014 = _T_2272 & _T_3013; // @[lsu_bus_buffer.scala 418:112] + wire _T_3028 = _T_2838 | _T_2359; // @[lsu_bus_buffer.scala 419:59] + wire _T_3035 = _T_3028 | _T_2366; // @[lsu_bus_buffer.scala 420:110] + wire _T_3036 = _T_2272 & _T_3035; // @[lsu_bus_buffer.scala 418:112] + wire [3:0] buf_rspage_set_2 = {_T_3036,_T_3014,_T_2992,_T_2970}; // @[Cat.scala 29:58] + wire _T_3053 = _T_2772 | _T_2386; // @[lsu_bus_buffer.scala 419:59] + wire _T_3060 = _T_3053 | _T_2393; // @[lsu_bus_buffer.scala 420:110] + wire _T_3061 = _T_2374 & _T_3060; // @[lsu_bus_buffer.scala 418:112] + wire _T_3075 = _T_2794 | _T_2411; // @[lsu_bus_buffer.scala 419:59] + wire _T_3082 = _T_3075 | _T_2418; // @[lsu_bus_buffer.scala 420:110] + wire _T_3083 = _T_2374 & _T_3082; // @[lsu_bus_buffer.scala 418:112] + wire _T_3097 = _T_2816 | _T_2436; // @[lsu_bus_buffer.scala 419:59] + wire _T_3104 = _T_3097 | _T_2443; // @[lsu_bus_buffer.scala 420:110] + wire _T_3105 = _T_2374 & _T_3104; // @[lsu_bus_buffer.scala 418:112] + wire _T_3119 = _T_2838 | _T_2461; // @[lsu_bus_buffer.scala 419:59] + wire _T_3126 = _T_3119 | _T_2468; // @[lsu_bus_buffer.scala 420:110] + wire _T_3127 = _T_2374 & _T_3126; // @[lsu_bus_buffer.scala 418:112] + wire [3:0] buf_rspage_set_3 = {_T_3127,_T_3105,_T_3083,_T_3061}; // @[Cat.scala 29:58] + wire _T_3218 = _T_2836 | _T_1825; // @[lsu_bus_buffer.scala 423:110] + wire _T_3219 = ~_T_3218; // @[lsu_bus_buffer.scala 423:84] + wire _T_3220 = buf_rspageQ_0[3] & _T_3219; // @[lsu_bus_buffer.scala 423:82] + wire _T_3222 = _T_3220 & _T_2594; // @[lsu_bus_buffer.scala 423:145] + wire _T_3210 = _T_2814 | _T_1814; // @[lsu_bus_buffer.scala 423:110] + wire _T_3211 = ~_T_3210; // @[lsu_bus_buffer.scala 423:84] + wire _T_3212 = buf_rspageQ_0[2] & _T_3211; // @[lsu_bus_buffer.scala 423:82] + wire _T_3214 = _T_3212 & _T_2594; // @[lsu_bus_buffer.scala 423:145] + wire _T_3202 = _T_2792 | _T_1803; // @[lsu_bus_buffer.scala 423:110] + wire _T_3203 = ~_T_3202; // @[lsu_bus_buffer.scala 423:84] + wire _T_3204 = buf_rspageQ_0[1] & _T_3203; // @[lsu_bus_buffer.scala 423:82] + wire _T_3206 = _T_3204 & _T_2594; // @[lsu_bus_buffer.scala 423:145] + wire _T_3194 = _T_2770 | _T_1792; // @[lsu_bus_buffer.scala 423:110] + wire _T_3195 = ~_T_3194; // @[lsu_bus_buffer.scala 423:84] + wire _T_3196 = buf_rspageQ_0[0] & _T_3195; // @[lsu_bus_buffer.scala 423:82] + wire _T_3198 = _T_3196 & _T_2594; // @[lsu_bus_buffer.scala 423:145] + wire [3:0] buf_rspage_0 = {_T_3222,_T_3214,_T_3206,_T_3198}; // @[Cat.scala 29:58] + wire _T_3133 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3136 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3139 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3142 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[lsu_bus_buffer.scala 422:88] + wire [2:0] _T_3144 = {_T_3142,_T_3139,_T_3136}; // @[Cat.scala 29:58] + wire _T_3255 = buf_rspageQ_1[3] & _T_3219; // @[lsu_bus_buffer.scala 423:82] + wire _T_3257 = _T_3255 & _T_2594; // @[lsu_bus_buffer.scala 423:145] + wire _T_3247 = buf_rspageQ_1[2] & _T_3211; // @[lsu_bus_buffer.scala 423:82] + wire _T_3249 = _T_3247 & _T_2594; // @[lsu_bus_buffer.scala 423:145] + wire _T_3239 = buf_rspageQ_1[1] & _T_3203; // @[lsu_bus_buffer.scala 423:82] + wire _T_3241 = _T_3239 & _T_2594; // @[lsu_bus_buffer.scala 423:145] + wire _T_3231 = buf_rspageQ_1[0] & _T_3195; // @[lsu_bus_buffer.scala 423:82] + wire _T_3233 = _T_3231 & _T_2594; // @[lsu_bus_buffer.scala 423:145] + wire [3:0] buf_rspage_1 = {_T_3257,_T_3249,_T_3241,_T_3233}; // @[Cat.scala 29:58] + wire _T_3148 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3151 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3154 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3157 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[lsu_bus_buffer.scala 422:88] + wire [2:0] _T_3159 = {_T_3157,_T_3154,_T_3151}; // @[Cat.scala 29:58] + wire _T_3290 = buf_rspageQ_2[3] & _T_3219; // @[lsu_bus_buffer.scala 423:82] + wire _T_3292 = _T_3290 & _T_2594; // @[lsu_bus_buffer.scala 423:145] + wire _T_3282 = buf_rspageQ_2[2] & _T_3211; // @[lsu_bus_buffer.scala 423:82] + wire _T_3284 = _T_3282 & _T_2594; // @[lsu_bus_buffer.scala 423:145] + wire _T_3274 = buf_rspageQ_2[1] & _T_3203; // @[lsu_bus_buffer.scala 423:82] + wire _T_3276 = _T_3274 & _T_2594; // @[lsu_bus_buffer.scala 423:145] + wire _T_3266 = buf_rspageQ_2[0] & _T_3195; // @[lsu_bus_buffer.scala 423:82] + wire _T_3268 = _T_3266 & _T_2594; // @[lsu_bus_buffer.scala 423:145] + wire [3:0] buf_rspage_2 = {_T_3292,_T_3284,_T_3276,_T_3268}; // @[Cat.scala 29:58] + wire _T_3163 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3166 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3169 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3172 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[lsu_bus_buffer.scala 422:88] + wire [2:0] _T_3174 = {_T_3172,_T_3169,_T_3166}; // @[Cat.scala 29:58] + wire _T_3325 = buf_rspageQ_3[3] & _T_3219; // @[lsu_bus_buffer.scala 423:82] + wire _T_3327 = _T_3325 & _T_2594; // @[lsu_bus_buffer.scala 423:145] + wire _T_3317 = buf_rspageQ_3[2] & _T_3211; // @[lsu_bus_buffer.scala 423:82] + wire _T_3319 = _T_3317 & _T_2594; // @[lsu_bus_buffer.scala 423:145] + wire _T_3309 = buf_rspageQ_3[1] & _T_3203; // @[lsu_bus_buffer.scala 423:82] + wire _T_3311 = _T_3309 & _T_2594; // @[lsu_bus_buffer.scala 423:145] + wire _T_3301 = buf_rspageQ_3[0] & _T_3195; // @[lsu_bus_buffer.scala 423:82] + wire _T_3303 = _T_3301 & _T_2594; // @[lsu_bus_buffer.scala 423:145] + wire [3:0] buf_rspage_3 = {_T_3327,_T_3319,_T_3311,_T_3303}; // @[Cat.scala 29:58] + wire _T_3178 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3181 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3184 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3187 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[lsu_bus_buffer.scala 422:88] + wire [2:0] _T_3189 = {_T_3187,_T_3184,_T_3181}; // @[Cat.scala 29:58] + wire _T_3332 = ibuf_drain_vld & _T_1793; // @[lsu_bus_buffer.scala 428:63] + wire _T_3334 = ibuf_drain_vld & _T_1804; // @[lsu_bus_buffer.scala 428:63] + wire _T_3336 = ibuf_drain_vld & _T_1815; // @[lsu_bus_buffer.scala 428:63] + wire _T_3338 = ibuf_drain_vld & _T_1826; // @[lsu_bus_buffer.scala 428:63] + wire [3:0] ibuf_drainvec_vld = {_T_3338,_T_3336,_T_3334,_T_3332}; // @[Cat.scala 29:58] + wire _T_3346 = _T_3540 & _T_1796; // @[lsu_bus_buffer.scala 430:35] + wire _T_3355 = _T_3540 & _T_1807; // @[lsu_bus_buffer.scala 430:35] + wire _T_3364 = _T_3540 & _T_1818; // @[lsu_bus_buffer.scala 430:35] + wire _T_3373 = _T_3540 & _T_1829; // @[lsu_bus_buffer.scala 430:35] + wire _T_3403 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 432:45] + wire _T_3405 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 432:45] + wire _T_3407 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 432:45] + wire _T_3409 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 432:45] + wire [3:0] buf_dual_in = {_T_3409,_T_3407,_T_3405,_T_3403}; // @[Cat.scala 29:58] + wire _T_3414 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 433:47] + wire _T_3416 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 433:47] + wire _T_3418 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 433:47] + wire _T_3420 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 433:47] + wire [3:0] buf_samedw_in = {_T_3420,_T_3418,_T_3416,_T_3414}; // @[Cat.scala 29:58] + wire _T_3425 = ibuf_nomerge | ibuf_force_drain; // @[lsu_bus_buffer.scala 434:84] + wire _T_3426 = ibuf_drainvec_vld[0] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 434:48] + wire _T_3429 = ibuf_drainvec_vld[1] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 434:48] + wire _T_3432 = ibuf_drainvec_vld[2] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 434:48] + wire _T_3435 = ibuf_drainvec_vld[3] ? _T_3425 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 434:48] + wire [3:0] buf_nomerge_in = {_T_3435,_T_3432,_T_3429,_T_3426}; // @[Cat.scala 29:58] + wire _T_3443 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3346; // @[lsu_bus_buffer.scala 435:47] + wire _T_3448 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3355; // @[lsu_bus_buffer.scala 435:47] + wire _T_3453 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3364; // @[lsu_bus_buffer.scala 435:47] + wire _T_3458 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3373; // @[lsu_bus_buffer.scala 435:47] + wire [3:0] buf_dualhi_in = {_T_3458,_T_3453,_T_3448,_T_3443}; // @[Cat.scala 29:58] + wire _T_3487 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 437:51] + wire _T_3489 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 437:51] + wire _T_3491 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 437:51] + wire _T_3493 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 437:51] + wire [3:0] buf_sideeffect_in = {_T_3493,_T_3491,_T_3489,_T_3487}; // @[Cat.scala 29:58] + wire _T_3498 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 438:47] + wire _T_3500 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 438:47] + wire _T_3502 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 438:47] + wire _T_3504 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 438:47] + wire [3:0] buf_unsign_in = {_T_3504,_T_3502,_T_3500,_T_3498}; // @[Cat.scala 29:58] + wire _T_3521 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 440:46] + wire _T_3523 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 440:46] + wire _T_3525 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 440:46] + wire _T_3527 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 440:46] + wire [3:0] buf_write_in = {_T_3527,_T_3525,_T_3523,_T_3521}; // @[Cat.scala 29:58] + wire _T_3560 = obuf_nosend & bus_rsp_read; // @[lsu_bus_buffer.scala 458:89] + wire _T_3562 = _T_3560 & _T_1349; // @[lsu_bus_buffer.scala 458:104] + wire _T_3575 = buf_state_en_0 & _T_3644; // @[lsu_bus_buffer.scala 463:44] + wire _T_3576 = _T_3575 & obuf_nosend; // @[lsu_bus_buffer.scala 463:60] + wire _T_3578 = _T_3576 & _T_2594; // @[lsu_bus_buffer.scala 463:74] + wire _T_3581 = _T_3571 & obuf_nosend; // @[lsu_bus_buffer.scala 465:67] + wire _T_3582 = _T_3581 & bus_rsp_read; // @[lsu_bus_buffer.scala 465:81] + wire _T_4837 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 572:64] + wire bus_rsp_read_error = bus_rsp_read & _T_4837; // @[lsu_bus_buffer.scala 572:38] + wire _T_3585 = _T_3581 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 466:82] + wire _T_3658 = bus_rsp_read_error & _T_3637; // @[lsu_bus_buffer.scala 480:91] + wire _T_3660 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 481:31] + wire _T_3662 = _T_3660 & _T_3639; // @[lsu_bus_buffer.scala 481:46] + wire _T_3663 = _T_3658 | _T_3662; // @[lsu_bus_buffer.scala 480:143] + wire _T_4835 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 571:66] + wire bus_rsp_write_error = bus_rsp_write & _T_4835; // @[lsu_bus_buffer.scala 571:40] + wire _T_3665 = bus_rsp_write_error & _T_3635; // @[lsu_bus_buffer.scala 482:33] + wire _T_3666 = _T_3663 | _T_3665; // @[lsu_bus_buffer.scala 481:88] + wire _T_3667 = _T_3571 & _T_3666; // @[lsu_bus_buffer.scala 480:68] + wire _GEN_53 = _T_3592 & _T_3667; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_3558 ? _T_3585 : _GEN_53; // @[Conditional.scala 39:67] + wire _GEN_78 = _T_3554 ? 1'h0 : _GEN_66; // @[Conditional.scala 39:67] + wire buf_error_en_0 = _T_3531 ? 1'h0 : _GEN_78; // @[Conditional.scala 40:58] + wire _T_3594 = buf_write[0] & bus_rsp_write_error; // @[lsu_bus_buffer.scala 470:71] + wire _T_3595 = io_dec_tlu_force_halt | _T_3594; // @[lsu_bus_buffer.scala 470:55] + wire _T_3597 = ~buf_samedw_0; // @[lsu_bus_buffer.scala 471:30] + wire _T_3598 = buf_dual_0 & _T_3597; // @[lsu_bus_buffer.scala 471:28] + wire _T_3601 = _T_3598 & _T_3644; // @[lsu_bus_buffer.scala 471:45] + wire [2:0] _GEN_25 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_26 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_25; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_27 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_26; // @[lsu_bus_buffer.scala 471:90] + wire _T_3602 = _GEN_27 != 3'h4; // @[lsu_bus_buffer.scala 471:90] + wire _T_3603 = _T_3601 & _T_3602; // @[lsu_bus_buffer.scala 471:61] + wire _T_4485 = _T_2717 | _T_2714; // @[lsu_bus_buffer.scala 532:93] + wire _T_4486 = _T_4485 | _T_2711; // @[lsu_bus_buffer.scala 532:93] + wire any_done_wait_state = _T_4486 | _T_2708; // @[lsu_bus_buffer.scala 532:93] + wire _T_3605 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] + wire _T_3611 = buf_dualtag_0 == 2'h0; // @[lsu_bus_buffer.scala 60:118] + wire _T_3613 = buf_dualtag_0 == 2'h1; // @[lsu_bus_buffer.scala 60:118] + wire _T_3615 = buf_dualtag_0 == 2'h2; // @[lsu_bus_buffer.scala 60:118] + wire _T_3617 = buf_dualtag_0 == 2'h3; // @[lsu_bus_buffer.scala 60:118] + wire _T_3619 = _T_3611 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3620 = _T_3613 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3621 = _T_3615 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3622 = _T_3617 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3623 = _T_3619 | _T_3620; // @[Mux.scala 27:72] + wire _T_3624 = _T_3623 | _T_3621; // @[Mux.scala 27:72] + wire _T_3625 = _T_3624 | _T_3622; // @[Mux.scala 27:72] + wire _T_3627 = _T_3601 & _T_3625; // @[lsu_bus_buffer.scala 472:101] + wire _T_3628 = _GEN_27 == 3'h4; // @[lsu_bus_buffer.scala 472:167] + wire _T_3629 = _T_3627 & _T_3628; // @[lsu_bus_buffer.scala 472:138] + wire _T_3630 = _T_3629 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] + wire _T_3631 = _T_3605 | _T_3630; // @[lsu_bus_buffer.scala 472:53] + wire _T_3654 = buf_state_bus_en_0 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] + wire _T_3655 = _T_3654 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] + wire _T_3668 = ~buf_error_en_0; // @[lsu_bus_buffer.scala 483:50] + wire _T_3669 = buf_state_en_0 & _T_3668; // @[lsu_bus_buffer.scala 483:48] + wire _T_3681 = buf_ldfwd[0] | _T_3686[0]; // @[lsu_bus_buffer.scala 487:90] + wire _T_3682 = _T_3681 | any_done_wait_state; // @[lsu_bus_buffer.scala 487:118] + wire _GEN_33 = _T_3702 | io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_36 = _T_3702 ? buf_state_en_0 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_40 = _T_3694 ? io_dec_tlu_force_halt : _GEN_33; // @[Conditional.scala 39:67] + wire _GEN_41 = _T_3694 ? io_dec_tlu_force_halt : _GEN_36; // @[Conditional.scala 39:67] + wire _GEN_46 = _T_3676 ? io_dec_tlu_force_halt : _GEN_40; // @[Conditional.scala 39:67] + wire _GEN_47 = _T_3676 ? io_dec_tlu_force_halt : _GEN_41; // @[Conditional.scala 39:67] + wire _GEN_52 = _T_3592 & _T_3655; // @[Conditional.scala 39:67] + wire _GEN_56 = _T_3592 ? io_dec_tlu_force_halt : _GEN_46; // @[Conditional.scala 39:67] + wire _GEN_57 = _T_3592 ? io_dec_tlu_force_halt : _GEN_47; // @[Conditional.scala 39:67] + wire _GEN_63 = _T_3558 ? _T_3578 : _GEN_57; // @[Conditional.scala 39:67] + wire _GEN_65 = _T_3558 ? _T_3582 : _GEN_52; // @[Conditional.scala 39:67] + wire _GEN_69 = _T_3558 ? io_dec_tlu_force_halt : _GEN_56; // @[Conditional.scala 39:67] + wire _GEN_75 = _T_3554 ? io_dec_tlu_force_halt : _GEN_63; // @[Conditional.scala 39:67] + wire _GEN_77 = _T_3554 ? 1'h0 : _GEN_65; // @[Conditional.scala 39:67] + wire _GEN_81 = _T_3554 ? io_dec_tlu_force_halt : _GEN_69; // @[Conditional.scala 39:67] + wire buf_wr_en_0 = _T_3531 & buf_state_en_0; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_0 = _T_3531 ? io_dec_tlu_force_halt : _GEN_75; // @[Conditional.scala 40:58] + wire buf_rst_0 = _T_3531 ? io_dec_tlu_force_halt : _GEN_81; // @[Conditional.scala 40:58] + wire _T_3765 = buf_state_en_1 & _T_3834; // @[lsu_bus_buffer.scala 463:44] + wire _T_3766 = _T_3765 & obuf_nosend; // @[lsu_bus_buffer.scala 463:60] + wire _T_3768 = _T_3766 & _T_2594; // @[lsu_bus_buffer.scala 463:74] + wire _T_3771 = _T_3761 & obuf_nosend; // @[lsu_bus_buffer.scala 465:67] + wire _T_3772 = _T_3771 & bus_rsp_read; // @[lsu_bus_buffer.scala 465:81] + wire _T_3775 = _T_3771 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 466:82] + wire _T_3848 = bus_rsp_read_error & _T_3827; // @[lsu_bus_buffer.scala 480:91] + wire _T_3850 = bus_rsp_read_error & buf_ldfwd[1]; // @[lsu_bus_buffer.scala 481:31] + wire _T_3852 = _T_3850 & _T_3829; // @[lsu_bus_buffer.scala 481:46] + wire _T_3853 = _T_3848 | _T_3852; // @[lsu_bus_buffer.scala 480:143] + wire _T_3855 = bus_rsp_write_error & _T_3825; // @[lsu_bus_buffer.scala 482:33] + wire _T_3856 = _T_3853 | _T_3855; // @[lsu_bus_buffer.scala 481:88] + wire _T_3857 = _T_3761 & _T_3856; // @[lsu_bus_buffer.scala 480:68] + wire _GEN_130 = _T_3782 & _T_3857; // @[Conditional.scala 39:67] + wire _GEN_143 = _T_3748 ? _T_3775 : _GEN_130; // @[Conditional.scala 39:67] + wire _GEN_155 = _T_3744 ? 1'h0 : _GEN_143; // @[Conditional.scala 39:67] + wire buf_error_en_1 = _T_3721 ? 1'h0 : _GEN_155; // @[Conditional.scala 40:58] + wire _T_3784 = buf_write[1] & bus_rsp_write_error; // @[lsu_bus_buffer.scala 470:71] + wire _T_3785 = io_dec_tlu_force_halt | _T_3784; // @[lsu_bus_buffer.scala 470:55] + wire _T_3787 = ~buf_samedw_1; // @[lsu_bus_buffer.scala 471:30] + wire _T_3788 = buf_dual_1 & _T_3787; // @[lsu_bus_buffer.scala 471:28] + wire _T_3791 = _T_3788 & _T_3834; // @[lsu_bus_buffer.scala 471:45] + wire [2:0] _GEN_102 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_103 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_102; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_104 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_103; // @[lsu_bus_buffer.scala 471:90] + wire _T_3792 = _GEN_104 != 3'h4; // @[lsu_bus_buffer.scala 471:90] + wire _T_3793 = _T_3791 & _T_3792; // @[lsu_bus_buffer.scala 471:61] + wire _T_3795 = buf_ldfwd[1] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] + wire _T_3801 = buf_dualtag_1 == 2'h0; // @[lsu_bus_buffer.scala 60:118] + wire _T_3803 = buf_dualtag_1 == 2'h1; // @[lsu_bus_buffer.scala 60:118] + wire _T_3805 = buf_dualtag_1 == 2'h2; // @[lsu_bus_buffer.scala 60:118] + wire _T_3807 = buf_dualtag_1 == 2'h3; // @[lsu_bus_buffer.scala 60:118] + wire _T_3809 = _T_3801 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3810 = _T_3803 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3811 = _T_3805 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3812 = _T_3807 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3813 = _T_3809 | _T_3810; // @[Mux.scala 27:72] + wire _T_3814 = _T_3813 | _T_3811; // @[Mux.scala 27:72] + wire _T_3815 = _T_3814 | _T_3812; // @[Mux.scala 27:72] + wire _T_3817 = _T_3791 & _T_3815; // @[lsu_bus_buffer.scala 472:101] + wire _T_3818 = _GEN_104 == 3'h4; // @[lsu_bus_buffer.scala 472:167] + wire _T_3819 = _T_3817 & _T_3818; // @[lsu_bus_buffer.scala 472:138] + wire _T_3820 = _T_3819 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] + wire _T_3821 = _T_3795 | _T_3820; // @[lsu_bus_buffer.scala 472:53] + wire _T_3844 = buf_state_bus_en_1 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] + wire _T_3845 = _T_3844 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] + wire _T_3858 = ~buf_error_en_1; // @[lsu_bus_buffer.scala 483:50] + wire _T_3859 = buf_state_en_1 & _T_3858; // @[lsu_bus_buffer.scala 483:48] + wire _T_3871 = buf_ldfwd[1] | _T_3876[0]; // @[lsu_bus_buffer.scala 487:90] + wire _T_3872 = _T_3871 | any_done_wait_state; // @[lsu_bus_buffer.scala 487:118] + wire _GEN_110 = _T_3892 | io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_113 = _T_3892 ? buf_state_en_1 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_117 = _T_3884 ? io_dec_tlu_force_halt : _GEN_110; // @[Conditional.scala 39:67] + wire _GEN_118 = _T_3884 ? io_dec_tlu_force_halt : _GEN_113; // @[Conditional.scala 39:67] + wire _GEN_123 = _T_3866 ? io_dec_tlu_force_halt : _GEN_117; // @[Conditional.scala 39:67] + wire _GEN_124 = _T_3866 ? io_dec_tlu_force_halt : _GEN_118; // @[Conditional.scala 39:67] + wire _GEN_129 = _T_3782 & _T_3845; // @[Conditional.scala 39:67] + wire _GEN_133 = _T_3782 ? io_dec_tlu_force_halt : _GEN_123; // @[Conditional.scala 39:67] + wire _GEN_134 = _T_3782 ? io_dec_tlu_force_halt : _GEN_124; // @[Conditional.scala 39:67] + wire _GEN_140 = _T_3748 ? _T_3768 : _GEN_134; // @[Conditional.scala 39:67] + wire _GEN_142 = _T_3748 ? _T_3772 : _GEN_129; // @[Conditional.scala 39:67] + wire _GEN_146 = _T_3748 ? io_dec_tlu_force_halt : _GEN_133; // @[Conditional.scala 39:67] + wire _GEN_152 = _T_3744 ? io_dec_tlu_force_halt : _GEN_140; // @[Conditional.scala 39:67] + wire _GEN_154 = _T_3744 ? 1'h0 : _GEN_142; // @[Conditional.scala 39:67] + wire _GEN_158 = _T_3744 ? io_dec_tlu_force_halt : _GEN_146; // @[Conditional.scala 39:67] + wire buf_wr_en_1 = _T_3721 & buf_state_en_1; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_1 = _T_3721 ? io_dec_tlu_force_halt : _GEN_152; // @[Conditional.scala 40:58] + wire buf_rst_1 = _T_3721 ? io_dec_tlu_force_halt : _GEN_158; // @[Conditional.scala 40:58] + wire _T_3955 = buf_state_en_2 & _T_4024; // @[lsu_bus_buffer.scala 463:44] + wire _T_3956 = _T_3955 & obuf_nosend; // @[lsu_bus_buffer.scala 463:60] + wire _T_3958 = _T_3956 & _T_2594; // @[lsu_bus_buffer.scala 463:74] + wire _T_3961 = _T_3951 & obuf_nosend; // @[lsu_bus_buffer.scala 465:67] + wire _T_3962 = _T_3961 & bus_rsp_read; // @[lsu_bus_buffer.scala 465:81] + wire _T_3965 = _T_3961 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 466:82] + wire _T_4038 = bus_rsp_read_error & _T_4017; // @[lsu_bus_buffer.scala 480:91] + wire _T_4040 = bus_rsp_read_error & buf_ldfwd[2]; // @[lsu_bus_buffer.scala 481:31] + wire _T_4042 = _T_4040 & _T_4019; // @[lsu_bus_buffer.scala 481:46] + wire _T_4043 = _T_4038 | _T_4042; // @[lsu_bus_buffer.scala 480:143] + wire _T_4045 = bus_rsp_write_error & _T_4015; // @[lsu_bus_buffer.scala 482:33] + wire _T_4046 = _T_4043 | _T_4045; // @[lsu_bus_buffer.scala 481:88] + wire _T_4047 = _T_3951 & _T_4046; // @[lsu_bus_buffer.scala 480:68] + wire _GEN_207 = _T_3972 & _T_4047; // @[Conditional.scala 39:67] + wire _GEN_220 = _T_3938 ? _T_3965 : _GEN_207; // @[Conditional.scala 39:67] + wire _GEN_232 = _T_3934 ? 1'h0 : _GEN_220; // @[Conditional.scala 39:67] + wire buf_error_en_2 = _T_3911 ? 1'h0 : _GEN_232; // @[Conditional.scala 40:58] + wire _T_3974 = buf_write[2] & bus_rsp_write_error; // @[lsu_bus_buffer.scala 470:71] + wire _T_3975 = io_dec_tlu_force_halt | _T_3974; // @[lsu_bus_buffer.scala 470:55] + wire _T_3977 = ~buf_samedw_2; // @[lsu_bus_buffer.scala 471:30] + wire _T_3978 = buf_dual_2 & _T_3977; // @[lsu_bus_buffer.scala 471:28] + wire _T_3981 = _T_3978 & _T_4024; // @[lsu_bus_buffer.scala 471:45] + wire [2:0] _GEN_179 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_180 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_179; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_181 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_180; // @[lsu_bus_buffer.scala 471:90] + wire _T_3982 = _GEN_181 != 3'h4; // @[lsu_bus_buffer.scala 471:90] + wire _T_3983 = _T_3981 & _T_3982; // @[lsu_bus_buffer.scala 471:61] + wire _T_3985 = buf_ldfwd[2] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] + wire _T_3991 = buf_dualtag_2 == 2'h0; // @[lsu_bus_buffer.scala 60:118] + wire _T_3993 = buf_dualtag_2 == 2'h1; // @[lsu_bus_buffer.scala 60:118] + wire _T_3995 = buf_dualtag_2 == 2'h2; // @[lsu_bus_buffer.scala 60:118] + wire _T_3997 = buf_dualtag_2 == 2'h3; // @[lsu_bus_buffer.scala 60:118] + wire _T_3999 = _T_3991 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4000 = _T_3993 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4001 = _T_3995 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4002 = _T_3997 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4003 = _T_3999 | _T_4000; // @[Mux.scala 27:72] + wire _T_4004 = _T_4003 | _T_4001; // @[Mux.scala 27:72] + wire _T_4005 = _T_4004 | _T_4002; // @[Mux.scala 27:72] + wire _T_4007 = _T_3981 & _T_4005; // @[lsu_bus_buffer.scala 472:101] + wire _T_4008 = _GEN_181 == 3'h4; // @[lsu_bus_buffer.scala 472:167] + wire _T_4009 = _T_4007 & _T_4008; // @[lsu_bus_buffer.scala 472:138] + wire _T_4010 = _T_4009 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] + wire _T_4011 = _T_3985 | _T_4010; // @[lsu_bus_buffer.scala 472:53] + wire _T_4034 = buf_state_bus_en_2 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] + wire _T_4035 = _T_4034 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] + wire _T_4048 = ~buf_error_en_2; // @[lsu_bus_buffer.scala 483:50] + wire _T_4049 = buf_state_en_2 & _T_4048; // @[lsu_bus_buffer.scala 483:48] + wire _T_4061 = buf_ldfwd[2] | _T_4066[0]; // @[lsu_bus_buffer.scala 487:90] + wire _T_4062 = _T_4061 | any_done_wait_state; // @[lsu_bus_buffer.scala 487:118] + wire _GEN_187 = _T_4082 | io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_190 = _T_4082 ? buf_state_en_2 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_194 = _T_4074 ? io_dec_tlu_force_halt : _GEN_187; // @[Conditional.scala 39:67] + wire _GEN_195 = _T_4074 ? io_dec_tlu_force_halt : _GEN_190; // @[Conditional.scala 39:67] + wire _GEN_200 = _T_4056 ? io_dec_tlu_force_halt : _GEN_194; // @[Conditional.scala 39:67] + wire _GEN_201 = _T_4056 ? io_dec_tlu_force_halt : _GEN_195; // @[Conditional.scala 39:67] + wire _GEN_206 = _T_3972 & _T_4035; // @[Conditional.scala 39:67] + wire _GEN_210 = _T_3972 ? io_dec_tlu_force_halt : _GEN_200; // @[Conditional.scala 39:67] + wire _GEN_211 = _T_3972 ? io_dec_tlu_force_halt : _GEN_201; // @[Conditional.scala 39:67] + wire _GEN_217 = _T_3938 ? _T_3958 : _GEN_211; // @[Conditional.scala 39:67] + wire _GEN_219 = _T_3938 ? _T_3962 : _GEN_206; // @[Conditional.scala 39:67] + wire _GEN_223 = _T_3938 ? io_dec_tlu_force_halt : _GEN_210; // @[Conditional.scala 39:67] + wire _GEN_229 = _T_3934 ? io_dec_tlu_force_halt : _GEN_217; // @[Conditional.scala 39:67] + wire _GEN_231 = _T_3934 ? 1'h0 : _GEN_219; // @[Conditional.scala 39:67] + wire _GEN_235 = _T_3934 ? io_dec_tlu_force_halt : _GEN_223; // @[Conditional.scala 39:67] + wire buf_wr_en_2 = _T_3911 & buf_state_en_2; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_2 = _T_3911 ? io_dec_tlu_force_halt : _GEN_229; // @[Conditional.scala 40:58] + wire buf_rst_2 = _T_3911 ? io_dec_tlu_force_halt : _GEN_235; // @[Conditional.scala 40:58] + wire _T_4145 = buf_state_en_3 & _T_4214; // @[lsu_bus_buffer.scala 463:44] + wire _T_4146 = _T_4145 & obuf_nosend; // @[lsu_bus_buffer.scala 463:60] + wire _T_4148 = _T_4146 & _T_2594; // @[lsu_bus_buffer.scala 463:74] + wire _T_4151 = _T_4141 & obuf_nosend; // @[lsu_bus_buffer.scala 465:67] + wire _T_4152 = _T_4151 & bus_rsp_read; // @[lsu_bus_buffer.scala 465:81] + wire _T_4155 = _T_4151 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 466:82] + wire _T_4228 = bus_rsp_read_error & _T_4207; // @[lsu_bus_buffer.scala 480:91] + wire _T_4230 = bus_rsp_read_error & buf_ldfwd[3]; // @[lsu_bus_buffer.scala 481:31] + wire _T_4232 = _T_4230 & _T_4209; // @[lsu_bus_buffer.scala 481:46] + wire _T_4233 = _T_4228 | _T_4232; // @[lsu_bus_buffer.scala 480:143] + wire _T_4235 = bus_rsp_write_error & _T_4205; // @[lsu_bus_buffer.scala 482:33] + wire _T_4236 = _T_4233 | _T_4235; // @[lsu_bus_buffer.scala 481:88] + wire _T_4237 = _T_4141 & _T_4236; // @[lsu_bus_buffer.scala 480:68] + wire _GEN_284 = _T_4162 & _T_4237; // @[Conditional.scala 39:67] + wire _GEN_297 = _T_4128 ? _T_4155 : _GEN_284; // @[Conditional.scala 39:67] + wire _GEN_309 = _T_4124 ? 1'h0 : _GEN_297; // @[Conditional.scala 39:67] + wire buf_error_en_3 = _T_4101 ? 1'h0 : _GEN_309; // @[Conditional.scala 40:58] + wire _T_4164 = buf_write[3] & bus_rsp_write_error; // @[lsu_bus_buffer.scala 470:71] + wire _T_4165 = io_dec_tlu_force_halt | _T_4164; // @[lsu_bus_buffer.scala 470:55] + wire _T_4167 = ~buf_samedw_3; // @[lsu_bus_buffer.scala 471:30] + wire _T_4168 = buf_dual_3 & _T_4167; // @[lsu_bus_buffer.scala 471:28] + wire _T_4171 = _T_4168 & _T_4214; // @[lsu_bus_buffer.scala 471:45] + wire [2:0] _GEN_256 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_257 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_256; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_258 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_257; // @[lsu_bus_buffer.scala 471:90] + wire _T_4172 = _GEN_258 != 3'h4; // @[lsu_bus_buffer.scala 471:90] + wire _T_4173 = _T_4171 & _T_4172; // @[lsu_bus_buffer.scala 471:61] + wire _T_4175 = buf_ldfwd[3] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] + wire _T_4181 = buf_dualtag_3 == 2'h0; // @[lsu_bus_buffer.scala 60:118] + wire _T_4183 = buf_dualtag_3 == 2'h1; // @[lsu_bus_buffer.scala 60:118] + wire _T_4185 = buf_dualtag_3 == 2'h2; // @[lsu_bus_buffer.scala 60:118] + wire _T_4187 = buf_dualtag_3 == 2'h3; // @[lsu_bus_buffer.scala 60:118] + wire _T_4189 = _T_4181 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4190 = _T_4183 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4191 = _T_4185 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4192 = _T_4187 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4193 = _T_4189 | _T_4190; // @[Mux.scala 27:72] + wire _T_4194 = _T_4193 | _T_4191; // @[Mux.scala 27:72] + wire _T_4195 = _T_4194 | _T_4192; // @[Mux.scala 27:72] + wire _T_4197 = _T_4171 & _T_4195; // @[lsu_bus_buffer.scala 472:101] + wire _T_4198 = _GEN_258 == 3'h4; // @[lsu_bus_buffer.scala 472:167] + wire _T_4199 = _T_4197 & _T_4198; // @[lsu_bus_buffer.scala 472:138] + wire _T_4200 = _T_4199 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] + wire _T_4201 = _T_4175 | _T_4200; // @[lsu_bus_buffer.scala 472:53] + wire _T_4224 = buf_state_bus_en_3 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] + wire _T_4225 = _T_4224 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] + wire _T_4238 = ~buf_error_en_3; // @[lsu_bus_buffer.scala 483:50] + wire _T_4239 = buf_state_en_3 & _T_4238; // @[lsu_bus_buffer.scala 483:48] + wire _T_4251 = buf_ldfwd[3] | _T_4256[0]; // @[lsu_bus_buffer.scala 487:90] + wire _T_4252 = _T_4251 | any_done_wait_state; // @[lsu_bus_buffer.scala 487:118] + wire _GEN_264 = _T_4272 | io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_267 = _T_4272 ? buf_state_en_3 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_271 = _T_4264 ? io_dec_tlu_force_halt : _GEN_264; // @[Conditional.scala 39:67] + wire _GEN_272 = _T_4264 ? io_dec_tlu_force_halt : _GEN_267; // @[Conditional.scala 39:67] + wire _GEN_277 = _T_4246 ? io_dec_tlu_force_halt : _GEN_271; // @[Conditional.scala 39:67] + wire _GEN_278 = _T_4246 ? io_dec_tlu_force_halt : _GEN_272; // @[Conditional.scala 39:67] + wire _GEN_283 = _T_4162 & _T_4225; // @[Conditional.scala 39:67] + wire _GEN_287 = _T_4162 ? io_dec_tlu_force_halt : _GEN_277; // @[Conditional.scala 39:67] + wire _GEN_288 = _T_4162 ? io_dec_tlu_force_halt : _GEN_278; // @[Conditional.scala 39:67] + wire _GEN_294 = _T_4128 ? _T_4148 : _GEN_288; // @[Conditional.scala 39:67] + wire _GEN_296 = _T_4128 ? _T_4152 : _GEN_283; // @[Conditional.scala 39:67] + wire _GEN_300 = _T_4128 ? io_dec_tlu_force_halt : _GEN_287; // @[Conditional.scala 39:67] + wire _GEN_306 = _T_4124 ? io_dec_tlu_force_halt : _GEN_294; // @[Conditional.scala 39:67] + wire _GEN_308 = _T_4124 ? 1'h0 : _GEN_296; // @[Conditional.scala 39:67] + wire _GEN_312 = _T_4124 ? io_dec_tlu_force_halt : _GEN_300; // @[Conditional.scala 39:67] + wire buf_wr_en_3 = _T_4101 & buf_state_en_3; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_3 = _T_4101 ? io_dec_tlu_force_halt : _GEN_306; // @[Conditional.scala 40:58] + wire buf_rst_3 = _T_4101 ? io_dec_tlu_force_halt : _GEN_312; // @[Conditional.scala 40:58] + reg _T_4327; // @[Reg.scala 27:20] + reg _T_4330; // @[Reg.scala 27:20] + reg _T_4333; // @[Reg.scala 27:20] + reg _T_4336; // @[Reg.scala 27:20] + wire [3:0] buf_unsign = {_T_4336,_T_4333,_T_4330,_T_4327}; // @[Cat.scala 29:58] + reg _T_4402; // @[lsu_bus_buffer.scala 526:80] + reg _T_4397; // @[lsu_bus_buffer.scala 526:80] + reg _T_4392; // @[lsu_bus_buffer.scala 526:80] + reg _T_4387; // @[lsu_bus_buffer.scala 526:80] + wire [3:0] buf_error = {_T_4402,_T_4397,_T_4392,_T_4387}; // @[Cat.scala 29:58] + wire _T_4384 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 526:84] + wire _T_4385 = ~buf_rst_0; // @[lsu_bus_buffer.scala 526:126] + wire _T_4389 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 526:84] + wire _T_4390 = ~buf_rst_1; // @[lsu_bus_buffer.scala 526:126] + wire _T_4394 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 526:84] + wire _T_4395 = ~buf_rst_2; // @[lsu_bus_buffer.scala 526:126] + wire _T_4399 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 526:84] + wire _T_4400 = ~buf_rst_3; // @[lsu_bus_buffer.scala 526:126] + wire [1:0] _T_4406 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4407 = io_ldst_dual_m ? _T_4406 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 528:28] + wire [1:0] _T_4408 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4409 = io_ldst_dual_r ? _T_4408 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 528:94] + wire [2:0] _T_4410 = _T_4407 + _T_4409; // @[lsu_bus_buffer.scala 528:88] + wire [2:0] _GEN_398 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 528:154] + wire [3:0] _T_4411 = _T_4410 + _GEN_398; // @[lsu_bus_buffer.scala 528:154] + wire [1:0] _T_4416 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 528:217] + wire [1:0] _GEN_399 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 528:217] + wire [2:0] _T_4417 = _T_4416 + _GEN_399; // @[lsu_bus_buffer.scala 528:217] + wire [2:0] _GEN_400 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 528:217] + wire [3:0] _T_4418 = _T_4417 + _GEN_400; // @[lsu_bus_buffer.scala 528:217] + wire [3:0] buf_numvld_any = _T_4411 + _T_4418; // @[lsu_bus_buffer.scala 528:169] + wire _T_4489 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 534:52] + wire _T_4490 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 534:92] + wire _T_4491 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 534:121] + wire _T_4493 = |buf_state_0; // @[lsu_bus_buffer.scala 535:52] + wire _T_4494 = |buf_state_1; // @[lsu_bus_buffer.scala 535:52] + wire _T_4495 = |buf_state_2; // @[lsu_bus_buffer.scala 535:52] + wire _T_4496 = |buf_state_3; // @[lsu_bus_buffer.scala 535:52] + wire _T_4497 = _T_4493 | _T_4494; // @[lsu_bus_buffer.scala 535:65] + wire _T_4498 = _T_4497 | _T_4495; // @[lsu_bus_buffer.scala 535:65] + wire _T_4499 = _T_4498 | _T_4496; // @[lsu_bus_buffer.scala 535:65] + wire _T_4500 = ~_T_4499; // @[lsu_bus_buffer.scala 535:34] + wire _T_4502 = _T_4500 & _T_852; // @[lsu_bus_buffer.scala 535:70] + wire _T_4505 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 537:64] + wire _T_4506 = _T_4505 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 537:85] + wire _T_4507 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 537:112] + wire _T_4508 = _T_4506 & _T_4507; // @[lsu_bus_buffer.scala 537:110] + wire _T_4509 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 537:129] + wire _T_4511 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 540:74] reg lsu_nonblock_load_valid_r; // @[lsu_bus_buffer.scala 625:66] - wire _T_4518 = _T_2763 & _T_3637; // @[Mux.scala 27:72] - wire _T_4519 = _T_2785 & _T_3827; // @[Mux.scala 27:72] - wire _T_4520 = _T_2807 & _T_4017; // @[Mux.scala 27:72] - wire _T_4521 = _T_2829 & _T_4207; // @[Mux.scala 27:72] - wire _T_4522 = _T_4518 | _T_4519; // @[Mux.scala 27:72] - wire _T_4523 = _T_4522 | _T_4520; // @[Mux.scala 27:72] - wire lsu_nonblock_load_data_ready = _T_4523 | _T_4521; // @[Mux.scala 27:72] - wire _T_4529 = buf_error[0] & _T_3637; // @[lsu_bus_buffer.scala 543:121] - wire _T_4534 = buf_error[1] & _T_3827; // @[lsu_bus_buffer.scala 543:121] - wire _T_4539 = buf_error[2] & _T_4017; // @[lsu_bus_buffer.scala 543:121] - wire _T_4544 = buf_error[3] & _T_4207; // @[lsu_bus_buffer.scala 543:121] - wire _T_4545 = _T_2763 & _T_4529; // @[Mux.scala 27:72] - wire _T_4546 = _T_2785 & _T_4534; // @[Mux.scala 27:72] - wire _T_4547 = _T_2807 & _T_4539; // @[Mux.scala 27:72] - wire _T_4548 = _T_2829 & _T_4544; // @[Mux.scala 27:72] - wire _T_4549 = _T_4545 | _T_4546; // @[Mux.scala 27:72] - wire _T_4550 = _T_4549 | _T_4547; // @[Mux.scala 27:72] - wire _T_4557 = ~buf_dual_0; // @[lsu_bus_buffer.scala 544:122] - wire _T_4558 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 544:137] - wire _T_4559 = _T_4557 | _T_4558; // @[lsu_bus_buffer.scala 544:135] - wire _T_4560 = _T_4518 & _T_4559; // @[lsu_bus_buffer.scala 544:119] - wire _T_4565 = ~buf_dual_1; // @[lsu_bus_buffer.scala 544:122] - wire _T_4566 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 544:137] - wire _T_4567 = _T_4565 | _T_4566; // @[lsu_bus_buffer.scala 544:135] - wire _T_4568 = _T_4519 & _T_4567; // @[lsu_bus_buffer.scala 544:119] - wire _T_4573 = ~buf_dual_2; // @[lsu_bus_buffer.scala 544:122] - wire _T_4574 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 544:137] - wire _T_4575 = _T_4573 | _T_4574; // @[lsu_bus_buffer.scala 544:135] - wire _T_4576 = _T_4520 & _T_4575; // @[lsu_bus_buffer.scala 544:119] - wire _T_4581 = ~buf_dual_3; // @[lsu_bus_buffer.scala 544:122] - wire _T_4582 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 544:137] - wire _T_4583 = _T_4581 | _T_4582; // @[lsu_bus_buffer.scala 544:135] - wire _T_4584 = _T_4521 & _T_4583; // @[lsu_bus_buffer.scala 544:119] - wire [1:0] _T_4587 = _T_4576 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4588 = _T_4584 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_396 = {{1'd0}, _T_4568}; // @[Mux.scala 27:72] - wire [1:0] _T_4590 = _GEN_396 | _T_4587; // @[Mux.scala 27:72] - wire [31:0] _T_4625 = _T_4560 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4626 = _T_4568 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4627 = _T_4576 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4628 = _T_4584 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4629 = _T_4625 | _T_4626; // @[Mux.scala 27:72] - wire [31:0] _T_4630 = _T_4629 | _T_4627; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_lo = _T_4630 | _T_4628; // @[Mux.scala 27:72] - wire _T_4637 = _T_4518 & _T_3635; // @[lsu_bus_buffer.scala 546:105] - wire _T_4643 = _T_4519 & _T_3825; // @[lsu_bus_buffer.scala 546:105] - wire _T_4649 = _T_4520 & _T_4015; // @[lsu_bus_buffer.scala 546:105] - wire _T_4655 = _T_4521 & _T_4205; // @[lsu_bus_buffer.scala 546:105] - wire [31:0] _T_4656 = _T_4637 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4657 = _T_4643 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4658 = _T_4649 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4659 = _T_4655 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4660 = _T_4656 | _T_4657; // @[Mux.scala 27:72] - wire [31:0] _T_4661 = _T_4660 | _T_4658; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_hi = _T_4661 | _T_4659; // @[Mux.scala 27:72] - wire _T_4663 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h0; // @[lsu_bus_buffer.scala 61:123] - wire _T_4664 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h1; // @[lsu_bus_buffer.scala 61:123] - wire _T_4665 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h2; // @[lsu_bus_buffer.scala 61:123] - wire _T_4666 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h3; // @[lsu_bus_buffer.scala 61:123] - wire [31:0] _T_4667 = _T_4663 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4668 = _T_4664 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4669 = _T_4665 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4670 = _T_4666 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4671 = _T_4667 | _T_4668; // @[Mux.scala 27:72] - wire [31:0] _T_4672 = _T_4671 | _T_4669; // @[Mux.scala 27:72] - wire [31:0] _T_4673 = _T_4672 | _T_4670; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4673[1:0]; // @[lsu_bus_buffer.scala 547:96] - wire [1:0] _T_4679 = _T_4663 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4680 = _T_4664 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4681 = _T_4665 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4682 = _T_4666 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4683 = _T_4679 | _T_4680; // @[Mux.scala 27:72] - wire [1:0] _T_4684 = _T_4683 | _T_4681; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_sz = _T_4684 | _T_4682; // @[Mux.scala 27:72] - wire _T_4694 = _T_4663 & buf_unsign[0]; // @[Mux.scala 27:72] - wire _T_4695 = _T_4664 & buf_unsign[1]; // @[Mux.scala 27:72] - wire _T_4696 = _T_4665 & buf_unsign[2]; // @[Mux.scala 27:72] - wire _T_4697 = _T_4666 & buf_unsign[3]; // @[Mux.scala 27:72] - wire _T_4698 = _T_4694 | _T_4695; // @[Mux.scala 27:72] - wire _T_4699 = _T_4698 | _T_4696; // @[Mux.scala 27:72] - wire lsu_nonblock_unsign = _T_4699 | _T_4697; // @[Mux.scala 27:72] - wire [63:0] _T_4701 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [3:0] _GEN_397 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 551:121] - wire [5:0] _T_4702 = _GEN_397 * 4'h8; // @[lsu_bus_buffer.scala 551:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4701 >> _T_4702; // @[lsu_bus_buffer.scala 551:92] - wire _T_4703 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 553:82] - wire _T_4705 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 554:94] - wire _T_4706 = lsu_nonblock_unsign & _T_4705; // @[lsu_bus_buffer.scala 554:76] - wire [31:0] _T_4708 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4709 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 555:45] - wire _T_4710 = lsu_nonblock_unsign & _T_4709; // @[lsu_bus_buffer.scala 555:26] - wire [31:0] _T_4712 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4713 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 556:6] - wire _T_4715 = _T_4713 & _T_4705; // @[lsu_bus_buffer.scala 556:27] - wire [23:0] _T_4718 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4720 = {_T_4718,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4723 = _T_4713 & _T_4709; // @[lsu_bus_buffer.scala 557:27] - wire [15:0] _T_4726 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4728 = {_T_4726,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4729 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 558:21] - wire [31:0] _T_4730 = _T_4706 ? _T_4708 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4731 = _T_4710 ? _T_4712 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4732 = _T_4715 ? _T_4720 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4733 = _T_4723 ? _T_4728 : 32'h0; // @[Mux.scala 27:72] - wire [63:0] _T_4734 = _T_4729 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4735 = _T_4730 | _T_4731; // @[Mux.scala 27:72] - wire [31:0] _T_4736 = _T_4735 | _T_4732; // @[Mux.scala 27:72] - wire [31:0] _T_4737 = _T_4736 | _T_4733; // @[Mux.scala 27:72] - wire [63:0] _GEN_398 = {{32'd0}, _T_4737}; // @[Mux.scala 27:72] - wire [63:0] _T_4738 = _GEN_398 | _T_4734; // @[Mux.scala 27:72] - wire _T_4832 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 576:37] - wire _T_4833 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 576:52] - wire _T_4834 = _T_4832 & _T_4833; // @[lsu_bus_buffer.scala 576:50] - wire [31:0] _T_4838 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] - wire [2:0] _T_4840 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4845 = ~obuf_data_done; // @[lsu_bus_buffer.scala 588:51] - wire _T_4846 = _T_4832 & _T_4845; // @[lsu_bus_buffer.scala 588:49] - wire [7:0] _T_4850 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4853 = obuf_valid & _T_1341; // @[lsu_bus_buffer.scala 593:37] - wire _T_4855 = _T_4853 & _T_1347; // @[lsu_bus_buffer.scala 593:51] - wire _T_4867 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 606:126] - wire _T_4869 = _T_4867 & buf_write[0]; // @[lsu_bus_buffer.scala 606:141] - wire _T_4872 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 606:126] - wire _T_4874 = _T_4872 & buf_write[1]; // @[lsu_bus_buffer.scala 606:141] - wire _T_4877 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 606:126] - wire _T_4879 = _T_4877 & buf_write[2]; // @[lsu_bus_buffer.scala 606:141] - wire _T_4882 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 606:126] - wire _T_4884 = _T_4882 & buf_write[3]; // @[lsu_bus_buffer.scala 606:141] - wire _T_4885 = _T_2763 & _T_4869; // @[Mux.scala 27:72] - wire _T_4886 = _T_2785 & _T_4874; // @[Mux.scala 27:72] - wire _T_4887 = _T_2807 & _T_4879; // @[Mux.scala 27:72] - wire _T_4888 = _T_2829 & _T_4884; // @[Mux.scala 27:72] - wire _T_4889 = _T_4885 | _T_4886; // @[Mux.scala 27:72] - wire _T_4890 = _T_4889 | _T_4887; // @[Mux.scala 27:72] - wire _T_4900 = _T_2785 & buf_error[1]; // @[lsu_bus_buffer.scala 607:93] - wire _T_4902 = _T_4900 & buf_write[1]; // @[lsu_bus_buffer.scala 607:108] - wire _T_4905 = _T_2807 & buf_error[2]; // @[lsu_bus_buffer.scala 607:93] - wire _T_4907 = _T_4905 & buf_write[2]; // @[lsu_bus_buffer.scala 607:108] - wire _T_4910 = _T_2829 & buf_error[3]; // @[lsu_bus_buffer.scala 607:93] - wire _T_4912 = _T_4910 & buf_write[3]; // @[lsu_bus_buffer.scala 607:108] - wire [1:0] _T_4915 = _T_4907 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4916 = _T_4912 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_399 = {{1'd0}, _T_4902}; // @[Mux.scala 27:72] - wire [1:0] _T_4918 = _GEN_399 | _T_4915; // @[Mux.scala 27:72] - wire [1:0] lsu_imprecise_error_store_tag = _T_4918 | _T_4916; // @[Mux.scala 27:72] - wire _T_4920 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 609:97] - wire [31:0] _GEN_356 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 610:53] - wire [31:0] _GEN_357 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_356; // @[lsu_bus_buffer.scala 610:53] - wire [31:0] _GEN_358 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_357; // @[lsu_bus_buffer.scala 610:53] - wire [31:0] _GEN_360 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 610:53] - wire [31:0] _GEN_361 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_360; // @[lsu_bus_buffer.scala 610:53] - wire [31:0] _GEN_362 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_361; // @[lsu_bus_buffer.scala 610:53] - wire _T_4925 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 616:82] - wire _T_4928 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 617:60] - wire _T_4931 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 620:61] - wire _T_4932 = io_lsu_axi_aw_valid & _T_4931; // @[lsu_bus_buffer.scala 620:59] - wire _T_4933 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 620:107] - wire _T_4934 = io_lsu_axi_w_valid & _T_4933; // @[lsu_bus_buffer.scala 620:105] - wire _T_4935 = _T_4932 | _T_4934; // @[lsu_bus_buffer.scala 620:83] - wire _T_4936 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 620:153] - wire _T_4937 = io_lsu_axi_ar_valid & _T_4936; // @[lsu_bus_buffer.scala 620:151] - wire _T_4941 = ~io_flush_r; // @[lsu_bus_buffer.scala 624:75] - wire _T_4942 = io_lsu_busreq_m & _T_4941; // @[lsu_bus_buffer.scala 624:73] - reg _T_4945; // @[lsu_bus_buffer.scala 624:56] - rvclkhdr rvclkhdr ( // @[lib.scala 390:23] + wire _T_4525 = _T_2770 & _T_3644; // @[Mux.scala 27:72] + wire _T_4526 = _T_2792 & _T_3834; // @[Mux.scala 27:72] + wire _T_4527 = _T_2814 & _T_4024; // @[Mux.scala 27:72] + wire _T_4528 = _T_2836 & _T_4214; // @[Mux.scala 27:72] + wire _T_4529 = _T_4525 | _T_4526; // @[Mux.scala 27:72] + wire _T_4530 = _T_4529 | _T_4527; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4530 | _T_4528; // @[Mux.scala 27:72] + wire _T_4536 = buf_error[0] & _T_3644; // @[lsu_bus_buffer.scala 543:121] + wire _T_4541 = buf_error[1] & _T_3834; // @[lsu_bus_buffer.scala 543:121] + wire _T_4546 = buf_error[2] & _T_4024; // @[lsu_bus_buffer.scala 543:121] + wire _T_4551 = buf_error[3] & _T_4214; // @[lsu_bus_buffer.scala 543:121] + wire _T_4552 = _T_2770 & _T_4536; // @[Mux.scala 27:72] + wire _T_4553 = _T_2792 & _T_4541; // @[Mux.scala 27:72] + wire _T_4554 = _T_2814 & _T_4546; // @[Mux.scala 27:72] + wire _T_4555 = _T_2836 & _T_4551; // @[Mux.scala 27:72] + wire _T_4556 = _T_4552 | _T_4553; // @[Mux.scala 27:72] + wire _T_4557 = _T_4556 | _T_4554; // @[Mux.scala 27:72] + wire _T_4564 = ~buf_dual_0; // @[lsu_bus_buffer.scala 544:122] + wire _T_4565 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 544:137] + wire _T_4566 = _T_4564 | _T_4565; // @[lsu_bus_buffer.scala 544:135] + wire _T_4567 = _T_4525 & _T_4566; // @[lsu_bus_buffer.scala 544:119] + wire _T_4572 = ~buf_dual_1; // @[lsu_bus_buffer.scala 544:122] + wire _T_4573 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 544:137] + wire _T_4574 = _T_4572 | _T_4573; // @[lsu_bus_buffer.scala 544:135] + wire _T_4575 = _T_4526 & _T_4574; // @[lsu_bus_buffer.scala 544:119] + wire _T_4580 = ~buf_dual_2; // @[lsu_bus_buffer.scala 544:122] + wire _T_4581 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 544:137] + wire _T_4582 = _T_4580 | _T_4581; // @[lsu_bus_buffer.scala 544:135] + wire _T_4583 = _T_4527 & _T_4582; // @[lsu_bus_buffer.scala 544:119] + wire _T_4588 = ~buf_dual_3; // @[lsu_bus_buffer.scala 544:122] + wire _T_4589 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 544:137] + wire _T_4590 = _T_4588 | _T_4589; // @[lsu_bus_buffer.scala 544:135] + wire _T_4591 = _T_4528 & _T_4590; // @[lsu_bus_buffer.scala 544:119] + wire [1:0] _T_4594 = _T_4583 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4595 = _T_4591 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_401 = {{1'd0}, _T_4575}; // @[Mux.scala 27:72] + wire [1:0] _T_4597 = _GEN_401 | _T_4594; // @[Mux.scala 27:72] + wire [31:0] _T_4632 = _T_4567 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4633 = _T_4575 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4634 = _T_4583 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4635 = _T_4591 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4636 = _T_4632 | _T_4633; // @[Mux.scala 27:72] + wire [31:0] _T_4637 = _T_4636 | _T_4634; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4637 | _T_4635; // @[Mux.scala 27:72] + wire _T_4644 = _T_4525 & _T_3642; // @[lsu_bus_buffer.scala 546:105] + wire _T_4650 = _T_4526 & _T_3832; // @[lsu_bus_buffer.scala 546:105] + wire _T_4656 = _T_4527 & _T_4022; // @[lsu_bus_buffer.scala 546:105] + wire _T_4662 = _T_4528 & _T_4212; // @[lsu_bus_buffer.scala 546:105] + wire [31:0] _T_4663 = _T_4644 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4664 = _T_4650 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4665 = _T_4656 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4666 = _T_4662 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4667 = _T_4663 | _T_4664; // @[Mux.scala 27:72] + wire [31:0] _T_4668 = _T_4667 | _T_4665; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4668 | _T_4666; // @[Mux.scala 27:72] + wire _T_4670 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h0; // @[lsu_bus_buffer.scala 61:123] + wire _T_4671 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h1; // @[lsu_bus_buffer.scala 61:123] + wire _T_4672 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h2; // @[lsu_bus_buffer.scala 61:123] + wire _T_4673 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h3; // @[lsu_bus_buffer.scala 61:123] + wire [31:0] _T_4674 = _T_4670 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4675 = _T_4671 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4676 = _T_4672 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4677 = _T_4673 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4678 = _T_4674 | _T_4675; // @[Mux.scala 27:72] + wire [31:0] _T_4679 = _T_4678 | _T_4676; // @[Mux.scala 27:72] + wire [31:0] _T_4680 = _T_4679 | _T_4677; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_addr_offset = _T_4680[1:0]; // @[lsu_bus_buffer.scala 547:96] + wire [1:0] _T_4686 = _T_4670 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4687 = _T_4671 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4688 = _T_4672 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4689 = _T_4673 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4690 = _T_4686 | _T_4687; // @[Mux.scala 27:72] + wire [1:0] _T_4691 = _T_4690 | _T_4688; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4691 | _T_4689; // @[Mux.scala 27:72] + wire _T_4701 = _T_4670 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4702 = _T_4671 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4703 = _T_4672 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4704 = _T_4673 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4705 = _T_4701 | _T_4702; // @[Mux.scala 27:72] + wire _T_4706 = _T_4705 | _T_4703; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4706 | _T_4704; // @[Mux.scala 27:72] + wire [63:0] _T_4708 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire [3:0] _GEN_402 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 551:121] + wire [5:0] _T_4709 = _GEN_402 * 4'h8; // @[lsu_bus_buffer.scala 551:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4708 >> _T_4709; // @[lsu_bus_buffer.scala 551:92] + wire _T_4710 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 553:82] + wire _T_4712 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 554:94] + wire _T_4713 = lsu_nonblock_unsign & _T_4712; // @[lsu_bus_buffer.scala 554:76] + wire [31:0] _T_4715 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4716 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 555:45] + wire _T_4717 = lsu_nonblock_unsign & _T_4716; // @[lsu_bus_buffer.scala 555:26] + wire [31:0] _T_4719 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4720 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 556:6] + wire _T_4722 = _T_4720 & _T_4712; // @[lsu_bus_buffer.scala 556:27] + wire [23:0] _T_4725 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4727 = {_T_4725,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4730 = _T_4720 & _T_4716; // @[lsu_bus_buffer.scala 557:27] + wire [15:0] _T_4733 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4735 = {_T_4733,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4736 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 558:21] + wire [31:0] _T_4737 = _T_4713 ? _T_4715 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4738 = _T_4717 ? _T_4719 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4739 = _T_4722 ? _T_4727 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4740 = _T_4730 ? _T_4735 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4741 = _T_4736 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4742 = _T_4737 | _T_4738; // @[Mux.scala 27:72] + wire [31:0] _T_4743 = _T_4742 | _T_4739; // @[Mux.scala 27:72] + wire [31:0] _T_4744 = _T_4743 | _T_4740; // @[Mux.scala 27:72] + wire [63:0] _GEN_403 = {{32'd0}, _T_4744}; // @[Mux.scala 27:72] + wire [63:0] _T_4745 = _GEN_403 | _T_4741; // @[Mux.scala 27:72] + wire _T_4839 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 576:37] + wire _T_4840 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 576:52] + wire _T_4841 = _T_4839 & _T_4840; // @[lsu_bus_buffer.scala 576:50] + wire [31:0] _T_4845 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4847 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4852 = ~obuf_data_done; // @[lsu_bus_buffer.scala 588:51] + wire _T_4853 = _T_4839 & _T_4852; // @[lsu_bus_buffer.scala 588:49] + wire [7:0] _T_4857 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4860 = obuf_valid & _T_1341; // @[lsu_bus_buffer.scala 593:37] + wire _T_4862 = _T_4860 & _T_1347; // @[lsu_bus_buffer.scala 593:51] + wire _T_4874 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 606:126] + wire _T_4876 = _T_4874 & buf_write[0]; // @[lsu_bus_buffer.scala 606:141] + wire _T_4879 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 606:126] + wire _T_4881 = _T_4879 & buf_write[1]; // @[lsu_bus_buffer.scala 606:141] + wire _T_4884 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 606:126] + wire _T_4886 = _T_4884 & buf_write[2]; // @[lsu_bus_buffer.scala 606:141] + wire _T_4889 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 606:126] + wire _T_4891 = _T_4889 & buf_write[3]; // @[lsu_bus_buffer.scala 606:141] + wire _T_4892 = _T_2770 & _T_4876; // @[Mux.scala 27:72] + wire _T_4893 = _T_2792 & _T_4881; // @[Mux.scala 27:72] + wire _T_4894 = _T_2814 & _T_4886; // @[Mux.scala 27:72] + wire _T_4895 = _T_2836 & _T_4891; // @[Mux.scala 27:72] + wire _T_4896 = _T_4892 | _T_4893; // @[Mux.scala 27:72] + wire _T_4897 = _T_4896 | _T_4894; // @[Mux.scala 27:72] + wire _T_4907 = _T_2792 & buf_error[1]; // @[lsu_bus_buffer.scala 607:93] + wire _T_4909 = _T_4907 & buf_write[1]; // @[lsu_bus_buffer.scala 607:108] + wire _T_4912 = _T_2814 & buf_error[2]; // @[lsu_bus_buffer.scala 607:93] + wire _T_4914 = _T_4912 & buf_write[2]; // @[lsu_bus_buffer.scala 607:108] + wire _T_4917 = _T_2836 & buf_error[3]; // @[lsu_bus_buffer.scala 607:93] + wire _T_4919 = _T_4917 & buf_write[3]; // @[lsu_bus_buffer.scala 607:108] + wire [1:0] _T_4922 = _T_4914 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4923 = _T_4919 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_404 = {{1'd0}, _T_4909}; // @[Mux.scala 27:72] + wire [1:0] _T_4925 = _GEN_404 | _T_4922; // @[Mux.scala 27:72] + wire [1:0] lsu_imprecise_error_store_tag = _T_4925 | _T_4923; // @[Mux.scala 27:72] + wire _T_4927 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 609:97] + wire [31:0] _GEN_361 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 610:53] + wire [31:0] _GEN_362 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_361; // @[lsu_bus_buffer.scala 610:53] + wire [31:0] _GEN_363 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_362; // @[lsu_bus_buffer.scala 610:53] + wire [31:0] _GEN_365 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 610:53] + wire [31:0] _GEN_366 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_365; // @[lsu_bus_buffer.scala 610:53] + wire [31:0] _GEN_367 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_366; // @[lsu_bus_buffer.scala 610:53] + wire _T_4932 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 616:82] + wire _T_4935 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 617:60] + wire _T_4938 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 620:61] + wire _T_4939 = io_lsu_axi_aw_valid & _T_4938; // @[lsu_bus_buffer.scala 620:59] + wire _T_4940 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 620:107] + wire _T_4941 = io_lsu_axi_w_valid & _T_4940; // @[lsu_bus_buffer.scala 620:105] + wire _T_4942 = _T_4939 | _T_4941; // @[lsu_bus_buffer.scala 620:83] + wire _T_4943 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 620:153] + wire _T_4944 = io_lsu_axi_ar_valid & _T_4943; // @[lsu_bus_buffer.scala 620:151] + wire _T_4948 = ~io_flush_r; // @[lsu_bus_buffer.scala 624:75] + wire _T_4949 = io_lsu_busreq_m & _T_4948; // @[lsu_bus_buffer.scala 624:73] + reg _T_4952; // @[lsu_bus_buffer.scala 624:56] + rvclkhdr rvclkhdr ( // @[lib.scala 391:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), .io_en(rvclkhdr_io_en) ); - rvclkhdr rvclkhdr_1 ( // @[lib.scala 390:23] + rvclkhdr rvclkhdr_1 ( // @[lib.scala 391:23] .io_l1clk(rvclkhdr_1_io_l1clk), .io_clk(rvclkhdr_1_io_clk), .io_en(rvclkhdr_1_io_en) ); - rvclkhdr rvclkhdr_2 ( // @[lib.scala 390:23] + rvclkhdr rvclkhdr_2 ( // @[lib.scala 391:23] .io_l1clk(rvclkhdr_2_io_l1clk), .io_clk(rvclkhdr_2_io_clk), .io_en(rvclkhdr_2_io_en) ); - rvclkhdr rvclkhdr_3 ( // @[lib.scala 390:23] + rvclkhdr rvclkhdr_3 ( // @[lib.scala 391:23] .io_l1clk(rvclkhdr_3_io_l1clk), .io_clk(rvclkhdr_3_io_clk), .io_en(rvclkhdr_3_io_en) ); - rvclkhdr rvclkhdr_4 ( // @[lib.scala 390:23] + rvclkhdr rvclkhdr_4 ( // @[lib.scala 391:23] .io_l1clk(rvclkhdr_4_io_l1clk), .io_clk(rvclkhdr_4_io_clk), .io_en(rvclkhdr_4_io_en) ); - rvclkhdr rvclkhdr_5 ( // @[lib.scala 390:23] + rvclkhdr rvclkhdr_5 ( // @[lib.scala 391:23] .io_l1clk(rvclkhdr_5_io_l1clk), .io_clk(rvclkhdr_5_io_clk), .io_en(rvclkhdr_5_io_en) ); - rvclkhdr rvclkhdr_6 ( // @[lib.scala 390:23] + rvclkhdr rvclkhdr_6 ( // @[lib.scala 391:23] .io_l1clk(rvclkhdr_6_io_l1clk), .io_clk(rvclkhdr_6_io_clk), .io_en(rvclkhdr_6_io_en) ); - rvclkhdr rvclkhdr_7 ( // @[lib.scala 390:23] + rvclkhdr rvclkhdr_7 ( // @[lib.scala 391:23] .io_l1clk(rvclkhdr_7_io_l1clk), .io_clk(rvclkhdr_7_io_clk), .io_en(rvclkhdr_7_io_en) ); - rvclkhdr rvclkhdr_8 ( // @[lib.scala 390:23] + rvclkhdr rvclkhdr_8 ( // @[lib.scala 391:23] .io_l1clk(rvclkhdr_8_io_l1clk), .io_clk(rvclkhdr_8_io_clk), .io_en(rvclkhdr_8_io_en) ); - rvclkhdr rvclkhdr_9 ( // @[lib.scala 390:23] + rvclkhdr rvclkhdr_9 ( // @[lib.scala 391:23] .io_l1clk(rvclkhdr_9_io_l1clk), .io_clk(rvclkhdr_9_io_clk), .io_en(rvclkhdr_9_io_en) ); - rvclkhdr rvclkhdr_10 ( // @[lib.scala 390:23] + rvclkhdr rvclkhdr_10 ( // @[lib.scala 391:23] .io_l1clk(rvclkhdr_10_io_l1clk), .io_clk(rvclkhdr_10_io_clk), .io_en(rvclkhdr_10_io_en) ); - rvclkhdr rvclkhdr_11 ( // @[lib.scala 390:23] + rvclkhdr rvclkhdr_11 ( // @[lib.scala 391:23] .io_l1clk(rvclkhdr_11_io_l1clk), .io_clk(rvclkhdr_11_io_clk), .io_en(rvclkhdr_11_io_en) ); - assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4925 | _T_4824; // @[lsu_bus_buffer.scala 616:35] - assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4928 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 617:41] + assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4932 | _T_4831; // @[lsu_bus_buffer.scala 616:35] + assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4935 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 617:41] assign io_tlu_busbuff_lsu_pmu_bus_error = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 618:36] - assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4935 | _T_4937; // @[lsu_bus_buffer.scala 620:35] - assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4920; // @[lsu_bus_buffer.scala 609:47] - assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4890 | _T_4888; // @[lsu_bus_buffer.scala 606:48] - assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_358 : _GEN_362; // @[lsu_bus_buffer.scala 610:47] - assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4501 & _T_4502; // @[lsu_bus_buffer.scala 537:45] - assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1795 ? 2'h0 : _T_1831; // @[lsu_bus_buffer.scala 538:43] - assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4504; // @[lsu_bus_buffer.scala 540:43] + assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4942 | _T_4944; // @[lsu_bus_buffer.scala 620:35] + assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4927; // @[lsu_bus_buffer.scala 609:47] + assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4897 | _T_4895; // @[lsu_bus_buffer.scala 606:48] + assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_363 : _GEN_367; // @[lsu_bus_buffer.scala 610:47] + assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4508 & _T_4509; // @[lsu_bus_buffer.scala 537:45] + assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1802 ? 2'h0 : _T_1838; // @[lsu_bus_buffer.scala 538:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4511; // @[lsu_bus_buffer.scala 540:43] assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[lsu_bus_buffer.scala 541:47] - assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4703; // @[lsu_bus_buffer.scala 553:48] - assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4550 | _T_4548; // @[lsu_bus_buffer.scala 543:48] - assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4590 | _T_4588; // @[lsu_bus_buffer.scala 544:46] - assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4738[31:0]; // @[lsu_bus_buffer.scala 554:42] - assign io_lsu_axi_aw_valid = _T_4834 & _T_1237; // @[lsu_bus_buffer.scala 576:23] - assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1780}; // @[lsu_bus_buffer.scala 577:25] - assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4838; // @[lsu_bus_buffer.scala 578:27] + assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4710; // @[lsu_bus_buffer.scala 553:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4557 | _T_4555; // @[lsu_bus_buffer.scala 543:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4597 | _T_4595; // @[lsu_bus_buffer.scala 544:46] + assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4745[31:0]; // @[lsu_bus_buffer.scala 554:42] + assign io_lsu_axi_aw_valid = _T_4841 & _T_1237; // @[lsu_bus_buffer.scala 576:23] + assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 577:25] + assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4845; // @[lsu_bus_buffer.scala 578:27] assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 582:29] assign io_lsu_axi_aw_bits_len = 8'h0; // @[lsu_bus_buffer.scala 583:26] - assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4840 : 3'h3; // @[lsu_bus_buffer.scala 579:27] + assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4847 : 3'h3; // @[lsu_bus_buffer.scala 579:27] assign io_lsu_axi_aw_bits_burst = 2'h1; // @[lsu_bus_buffer.scala 584:28] assign io_lsu_axi_aw_bits_lock = 1'h0; // @[lsu_bus_buffer.scala 586:27] assign io_lsu_axi_aw_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 581:28] assign io_lsu_axi_aw_bits_prot = 3'h1; // @[lsu_bus_buffer.scala 580:27] assign io_lsu_axi_aw_bits_qos = 4'h0; // @[lsu_bus_buffer.scala 585:26] - assign io_lsu_axi_w_valid = _T_4846 & _T_1237; // @[lsu_bus_buffer.scala 588:22] + assign io_lsu_axi_w_valid = _T_4853 & _T_1237; // @[lsu_bus_buffer.scala 588:22] assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 590:26] - assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4850; // @[lsu_bus_buffer.scala 589:26] + assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4857; // @[lsu_bus_buffer.scala 589:26] assign io_lsu_axi_w_bits_last = 1'h1; // @[lsu_bus_buffer.scala 591:26] assign io_lsu_axi_b_ready = 1'h1; // @[lsu_bus_buffer.scala 604:22] - assign io_lsu_axi_ar_valid = _T_4855 & _T_1237; // @[lsu_bus_buffer.scala 593:23] - assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1780}; // @[lsu_bus_buffer.scala 594:25] - assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4838; // @[lsu_bus_buffer.scala 595:27] + assign io_lsu_axi_ar_valid = _T_4862 & _T_1237; // @[lsu_bus_buffer.scala 593:23] + assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 594:25] + assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4845; // @[lsu_bus_buffer.scala 595:27] assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 599:29] assign io_lsu_axi_ar_bits_len = 8'h0; // @[lsu_bus_buffer.scala 600:26] - assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4840 : 3'h3; // @[lsu_bus_buffer.scala 596:27] + assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4847 : 3'h3; // @[lsu_bus_buffer.scala 596:27] assign io_lsu_axi_ar_bits_burst = 2'h1; // @[lsu_bus_buffer.scala 601:28] assign io_lsu_axi_ar_bits_lock = 1'h0; // @[lsu_bus_buffer.scala 603:27] assign io_lsu_axi_ar_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 598:28] assign io_lsu_axi_ar_bits_prot = 3'h1; // @[lsu_bus_buffer.scala 597:27] assign io_lsu_axi_ar_bits_qos = 4'h0; // @[lsu_bus_buffer.scala 602:26] assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 605:22] - assign io_lsu_busreq_r = _T_4945; // @[lsu_bus_buffer.scala 624:19] + assign io_lsu_busreq_r = _T_4952; // @[lsu_bus_buffer.scala 624:19] assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 533:30] - assign io_lsu_bus_buffer_full_any = _T_4482 ? _T_4483 : _T_4484; // @[lsu_bus_buffer.scala 534:30] - assign io_lsu_bus_buffer_empty_any = _T_4495 & _T_1231; // @[lsu_bus_buffer.scala 535:31] + assign io_lsu_bus_buffer_full_any = _T_4489 ? _T_4490 : _T_4491; // @[lsu_bus_buffer.scala 534:30] + assign io_lsu_bus_buffer_empty_any = _T_4502 & _T_1231; // @[lsu_bus_buffer.scala 535:31] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[lsu_bus_buffer.scala 141:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[lsu_bus_buffer.scala 142:25] assign io_ld_fwddata_buf_lo = _T_650 | _T_651; // @[lsu_bus_buffer.scala 168:24] assign io_ld_fwddata_buf_hi = _T_747 | _T_748; // @[lsu_bus_buffer.scala 174:24] - assign rvclkhdr_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_io_en = _T_853 & _T_854; // @[lib.scala 393:17] - assign rvclkhdr_1_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_1_io_en = _T_853 & _T_854; // @[lib.scala 393:17] - assign rvclkhdr_2_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_2_io_en = _T_1238 & io_lsu_bus_clk_en; // @[lib.scala 393:17] - assign rvclkhdr_3_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_3_io_en = _T_1238 & io_lsu_bus_clk_en; // @[lib.scala 393:17] - assign rvclkhdr_4_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_4_io_en = _T_3524 & buf_state_en_0; // @[lib.scala 393:17] - assign rvclkhdr_5_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_5_io_en = _T_3714 & buf_state_en_1; // @[lib.scala 393:17] - assign rvclkhdr_6_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_6_io_en = _T_3904 & buf_state_en_2; // @[lib.scala 393:17] - assign rvclkhdr_7_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_7_io_en = _T_4094 & buf_state_en_3; // @[lib.scala 393:17] - assign rvclkhdr_8_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_8_io_en = _T_3524 ? buf_state_en_0 : _GEN_72; // @[lib.scala 393:17] - assign rvclkhdr_9_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_9_io_en = _T_3714 ? buf_state_en_1 : _GEN_149; // @[lib.scala 393:17] - assign rvclkhdr_10_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_10_io_en = _T_3904 ? buf_state_en_2 : _GEN_226; // @[lib.scala 393:17] - assign rvclkhdr_11_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_11_io_en = _T_4094 ? buf_state_en_3 : _GEN_303; // @[lib.scala 393:17] + assign rvclkhdr_io_clk = clock; // @[lib.scala 393:18] + assign rvclkhdr_io_en = _T_853 & _T_854; // @[lib.scala 394:17] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 393:18] + assign rvclkhdr_1_io_en = _T_853 & _T_854; // @[lib.scala 394:17] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 393:18] + assign rvclkhdr_2_io_en = _T_1238 & io_lsu_bus_clk_en; // @[lib.scala 394:17] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 393:18] + assign rvclkhdr_3_io_en = _T_1238 & io_lsu_bus_clk_en; // @[lib.scala 394:17] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 393:18] + assign rvclkhdr_4_io_en = _T_3531 & buf_state_en_0; // @[lib.scala 394:17] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 393:18] + assign rvclkhdr_5_io_en = _T_3721 & buf_state_en_1; // @[lib.scala 394:17] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 393:18] + assign rvclkhdr_6_io_en = _T_3911 & buf_state_en_2; // @[lib.scala 394:17] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 393:18] + assign rvclkhdr_7_io_en = _T_4101 & buf_state_en_3; // @[lib.scala 394:17] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 393:18] + assign rvclkhdr_8_io_en = _T_3531 ? buf_state_en_0 : _GEN_77; // @[lib.scala 394:17] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 393:18] + assign rvclkhdr_9_io_en = _T_3721 ? buf_state_en_1 : _GEN_154; // @[lib.scala 394:17] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 393:18] + assign rvclkhdr_10_io_en = _T_3911 ? buf_state_en_2 : _GEN_231; // @[lib.scala 394:17] + assign rvclkhdr_11_io_clk = clock; // @[lib.scala 393:18] + assign rvclkhdr_11_io_en = _T_4101 ? buf_state_en_3 : _GEN_308; // @[lib.scala 394:17] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -2861,13 +2864,13 @@ initial begin _RAND_0 = {1{`RANDOM}}; buf_addr_0 = _RAND_0[31:0]; _RAND_1 = {1{`RANDOM}}; - _T_4344 = _RAND_1[0:0]; + _T_4351 = _RAND_1[0:0]; _RAND_2 = {1{`RANDOM}}; - _T_4341 = _RAND_2[0:0]; + _T_4348 = _RAND_2[0:0]; _RAND_3 = {1{`RANDOM}}; - _T_4338 = _RAND_3[0:0]; + _T_4345 = _RAND_3[0:0]; _RAND_4 = {1{`RANDOM}}; - _T_4335 = _RAND_4[0:0]; + _T_4342 = _RAND_4[0:0]; _RAND_5 = {1{`RANDOM}}; buf_state_0 = _RAND_5[2:0]; _RAND_6 = {1{`RANDOM}}; @@ -2893,7 +2896,7 @@ initial begin _RAND_16 = {1{`RANDOM}}; buf_ageQ_3 = _RAND_16[3:0]; _RAND_17 = {1{`RANDOM}}; - _T_1780 = _RAND_17[1:0]; + _T_1781 = _RAND_17[1:0]; _RAND_18 = {1{`RANDOM}}; obuf_merge = _RAND_18[0:0]; _RAND_19 = {1{`RANDOM}}; @@ -2949,7 +2952,7 @@ initial begin _RAND_44 = {1{`RANDOM}}; ibuf_sz = _RAND_44[1:0]; _RAND_45 = {1{`RANDOM}}; - _T_1784 = _RAND_45[0:0]; + _T_1791 = _RAND_45[0:0]; _RAND_46 = {1{`RANDOM}}; buf_nomerge_0 = _RAND_46[0:0]; _RAND_47 = {1{`RANDOM}}; @@ -2959,13 +2962,13 @@ initial begin _RAND_49 = {1{`RANDOM}}; buf_nomerge_3 = _RAND_49[0:0]; _RAND_50 = {1{`RANDOM}}; - _T_4314 = _RAND_50[0:0]; + _T_4321 = _RAND_50[0:0]; _RAND_51 = {1{`RANDOM}}; - _T_4311 = _RAND_51[0:0]; + _T_4318 = _RAND_51[0:0]; _RAND_52 = {1{`RANDOM}}; - _T_4308 = _RAND_52[0:0]; + _T_4315 = _RAND_52[0:0]; _RAND_53 = {1{`RANDOM}}; - _T_4305 = _RAND_53[0:0]; + _T_4312 = _RAND_53[0:0]; _RAND_54 = {1{`RANDOM}}; obuf_sideeffect = _RAND_54[0:0]; _RAND_55 = {1{`RANDOM}}; @@ -3029,13 +3032,13 @@ initial begin _RAND_84 = {1{`RANDOM}}; buf_rspageQ_3 = _RAND_84[3:0]; _RAND_85 = {1{`RANDOM}}; - _T_4291 = _RAND_85[0:0]; + _T_4298 = _RAND_85[0:0]; _RAND_86 = {1{`RANDOM}}; - _T_4289 = _RAND_86[0:0]; + _T_4296 = _RAND_86[0:0]; _RAND_87 = {1{`RANDOM}}; - _T_4287 = _RAND_87[0:0]; + _T_4294 = _RAND_87[0:0]; _RAND_88 = {1{`RANDOM}}; - _T_4285 = _RAND_88[0:0]; + _T_4292 = _RAND_88[0:0]; _RAND_89 = {1{`RANDOM}}; buf_ldfwdtag_0 = _RAND_89[1:0]; _RAND_90 = {1{`RANDOM}}; @@ -3053,40 +3056,40 @@ initial begin _RAND_96 = {1{`RANDOM}}; buf_dualtag_3 = _RAND_96[1:0]; _RAND_97 = {1{`RANDOM}}; - _T_4320 = _RAND_97[0:0]; + _T_4327 = _RAND_97[0:0]; _RAND_98 = {1{`RANDOM}}; - _T_4323 = _RAND_98[0:0]; + _T_4330 = _RAND_98[0:0]; _RAND_99 = {1{`RANDOM}}; - _T_4326 = _RAND_99[0:0]; + _T_4333 = _RAND_99[0:0]; _RAND_100 = {1{`RANDOM}}; - _T_4329 = _RAND_100[0:0]; + _T_4336 = _RAND_100[0:0]; _RAND_101 = {1{`RANDOM}}; - _T_4395 = _RAND_101[0:0]; + _T_4402 = _RAND_101[0:0]; _RAND_102 = {1{`RANDOM}}; - _T_4390 = _RAND_102[0:0]; + _T_4397 = _RAND_102[0:0]; _RAND_103 = {1{`RANDOM}}; - _T_4385 = _RAND_103[0:0]; + _T_4392 = _RAND_103[0:0]; _RAND_104 = {1{`RANDOM}}; - _T_4380 = _RAND_104[0:0]; + _T_4387 = _RAND_104[0:0]; _RAND_105 = {1{`RANDOM}}; lsu_nonblock_load_valid_r = _RAND_105[0:0]; _RAND_106 = {1{`RANDOM}}; - _T_4945 = _RAND_106[0:0]; + _T_4952 = _RAND_106[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin buf_addr_0 = 32'h0; end if (reset) begin - _T_4344 = 1'h0; + _T_4351 = 1'h0; end if (reset) begin - _T_4341 = 1'h0; + _T_4348 = 1'h0; end if (reset) begin - _T_4338 = 1'h0; + _T_4345 = 1'h0; end if (reset) begin - _T_4335 = 1'h0; + _T_4342 = 1'h0; end if (reset) begin buf_state_0 = 3'h0; @@ -3125,7 +3128,7 @@ initial begin buf_ageQ_3 = 4'h0; end if (reset) begin - _T_1780 = 2'h0; + _T_1781 = 2'h0; end if (reset) begin obuf_merge = 1'h0; @@ -3209,7 +3212,7 @@ initial begin ibuf_sz = 2'h0; end if (reset) begin - _T_1784 = 1'h0; + _T_1791 = 1'h0; end if (reset) begin buf_nomerge_0 = 1'h0; @@ -3224,16 +3227,16 @@ initial begin buf_nomerge_3 = 1'h0; end if (reset) begin - _T_4314 = 1'h0; + _T_4321 = 1'h0; end if (reset) begin - _T_4311 = 1'h0; + _T_4318 = 1'h0; end if (reset) begin - _T_4308 = 1'h0; + _T_4315 = 1'h0; end if (reset) begin - _T_4305 = 1'h0; + _T_4312 = 1'h0; end if (reset) begin obuf_sideeffect = 1'h0; @@ -3329,16 +3332,16 @@ initial begin buf_rspageQ_3 = 4'h0; end if (reset) begin - _T_4291 = 1'h0; + _T_4298 = 1'h0; end if (reset) begin - _T_4289 = 1'h0; + _T_4296 = 1'h0; end if (reset) begin - _T_4287 = 1'h0; + _T_4294 = 1'h0; end if (reset) begin - _T_4285 = 1'h0; + _T_4292 = 1'h0; end if (reset) begin buf_ldfwdtag_0 = 2'h0; @@ -3365,34 +3368,34 @@ initial begin buf_dualtag_3 = 2'h0; end if (reset) begin - _T_4320 = 1'h0; + _T_4327 = 1'h0; end if (reset) begin - _T_4323 = 1'h0; + _T_4330 = 1'h0; end if (reset) begin - _T_4326 = 1'h0; + _T_4333 = 1'h0; end if (reset) begin - _T_4329 = 1'h0; + _T_4336 = 1'h0; end if (reset) begin - _T_4395 = 1'h0; + _T_4402 = 1'h0; end if (reset) begin - _T_4390 = 1'h0; + _T_4397 = 1'h0; end if (reset) begin - _T_4385 = 1'h0; + _T_4392 = 1'h0; end if (reset) begin - _T_4380 = 1'h0; + _T_4387 = 1'h0; end if (reset) begin lsu_nonblock_load_valid_r = 1'h0; end if (reset) begin - _T_4945 = 1'h0; + _T_4952 = 1'h0; end `endif // RANDOMIZE end // initial @@ -3405,7 +3408,7 @@ end // initial buf_addr_0 <= 32'h0; end else if (ibuf_drainvec_vld[0]) begin buf_addr_0 <= ibuf_addr; - end else if (_T_3339) begin + end else if (_T_3346) begin buf_addr_0 <= io_end_addr_r; end else begin buf_addr_0 <= io_lsu_addr_r; @@ -3413,75 +3416,75 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4344 <= 1'h0; + _T_4351 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4344 <= buf_write_in[3]; + _T_4351 <= buf_write_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4341 <= 1'h0; + _T_4348 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4341 <= buf_write_in[2]; + _T_4348 <= buf_write_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4338 <= 1'h0; + _T_4345 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4338 <= buf_write_in[1]; + _T_4345 <= buf_write_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4335 <= 1'h0; + _T_4342 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4335 <= buf_write_in[0]; + _T_4342 <= buf_write_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_state_0 <= 3'h0; end else if (buf_state_en_0) begin - if (_T_3524) begin + if (_T_3531) begin if (io_lsu_bus_clk_en) begin buf_state_0 <= 3'h2; end else begin buf_state_0 <= 3'h1; end - end else if (_T_3547) begin + end else if (_T_3554) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin buf_state_0 <= 3'h2; end - end else if (_T_3551) begin + end else if (_T_3558) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3555) begin + end else if (_T_3562) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h3; end - end else if (_T_3585) begin - if (_T_3588) begin + end else if (_T_3592) begin + if (_T_3595) begin buf_state_0 <= 3'h0; - end else if (_T_3596) begin + end else if (_T_3603) begin buf_state_0 <= 3'h4; - end else if (_T_3624) begin + end else if (_T_3631) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3669) begin + end else if (_T_3676) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3675) begin + end else if (_T_3682) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3687) begin + end else if (_T_3694) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin @@ -3497,7 +3500,7 @@ end // initial buf_addr_1 <= 32'h0; end else if (ibuf_drainvec_vld[1]) begin buf_addr_1 <= ibuf_addr; - end else if (_T_3348) begin + end else if (_T_3355) begin buf_addr_1 <= io_end_addr_r; end else begin buf_addr_1 <= io_lsu_addr_r; @@ -3507,45 +3510,45 @@ end // initial if (reset) begin buf_state_1 <= 3'h0; end else if (buf_state_en_1) begin - if (_T_3714) begin + if (_T_3721) begin if (io_lsu_bus_clk_en) begin buf_state_1 <= 3'h2; end else begin buf_state_1 <= 3'h1; end - end else if (_T_3737) begin + end else if (_T_3744) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin buf_state_1 <= 3'h2; end - end else if (_T_3741) begin + end else if (_T_3748) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3555) begin + end else if (_T_3562) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h3; end - end else if (_T_3775) begin - if (_T_3778) begin + end else if (_T_3782) begin + if (_T_3785) begin buf_state_1 <= 3'h0; - end else if (_T_3786) begin + end else if (_T_3793) begin buf_state_1 <= 3'h4; - end else if (_T_3814) begin + end else if (_T_3821) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3859) begin + end else if (_T_3866) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3865) begin + end else if (_T_3872) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3877) begin + end else if (_T_3884) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin @@ -3561,7 +3564,7 @@ end // initial buf_addr_2 <= 32'h0; end else if (ibuf_drainvec_vld[2]) begin buf_addr_2 <= ibuf_addr; - end else if (_T_3357) begin + end else if (_T_3364) begin buf_addr_2 <= io_end_addr_r; end else begin buf_addr_2 <= io_lsu_addr_r; @@ -3571,45 +3574,45 @@ end // initial if (reset) begin buf_state_2 <= 3'h0; end else if (buf_state_en_2) begin - if (_T_3904) begin + if (_T_3911) begin if (io_lsu_bus_clk_en) begin buf_state_2 <= 3'h2; end else begin buf_state_2 <= 3'h1; end - end else if (_T_3927) begin + end else if (_T_3934) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin buf_state_2 <= 3'h2; end - end else if (_T_3931) begin + end else if (_T_3938) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_3555) begin + end else if (_T_3562) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h3; end - end else if (_T_3965) begin - if (_T_3968) begin + end else if (_T_3972) begin + if (_T_3975) begin buf_state_2 <= 3'h0; - end else if (_T_3976) begin + end else if (_T_3983) begin buf_state_2 <= 3'h4; - end else if (_T_4004) begin + end else if (_T_4011) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_4049) begin + end else if (_T_4056) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_4055) begin + end else if (_T_4062) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_4067) begin + end else if (_T_4074) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin @@ -3625,7 +3628,7 @@ end // initial buf_addr_3 <= 32'h0; end else if (ibuf_drainvec_vld[3]) begin buf_addr_3 <= ibuf_addr; - end else if (_T_3366) begin + end else if (_T_3373) begin buf_addr_3 <= io_end_addr_r; end else begin buf_addr_3 <= io_lsu_addr_r; @@ -3635,45 +3638,45 @@ end // initial if (reset) begin buf_state_3 <= 3'h0; end else if (buf_state_en_3) begin - if (_T_4094) begin + if (_T_4101) begin if (io_lsu_bus_clk_en) begin buf_state_3 <= 3'h2; end else begin buf_state_3 <= 3'h1; end - end else if (_T_4117) begin + end else if (_T_4124) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin buf_state_3 <= 3'h2; end - end else if (_T_4121) begin + end else if (_T_4128) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_3555) begin + end else if (_T_3562) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h3; end - end else if (_T_4155) begin - if (_T_4158) begin + end else if (_T_4162) begin + if (_T_4165) begin buf_state_3 <= 3'h0; - end else if (_T_4166) begin + end else if (_T_4173) begin buf_state_3 <= 3'h4; - end else if (_T_4194) begin + end else if (_T_4201) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4239) begin + end else if (_T_4246) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_4245) begin + end else if (_T_4252) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4257) begin + end else if (_T_4264) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin @@ -3690,7 +3693,7 @@ end // initial end else if (buf_wr_en_3) begin if (ibuf_drainvec_vld[3]) begin buf_byteen_3 <= ibuf_byteen_out; - end else if (_T_3366) begin + end else if (_T_3373) begin buf_byteen_3 <= ldst_byteen_hi_r; end else begin buf_byteen_3 <= ldst_byteen_lo_r; @@ -3703,7 +3706,7 @@ end // initial end else if (buf_wr_en_2) begin if (ibuf_drainvec_vld[2]) begin buf_byteen_2 <= ibuf_byteen_out; - end else if (_T_3357) begin + end else if (_T_3364) begin buf_byteen_2 <= ldst_byteen_hi_r; end else begin buf_byteen_2 <= ldst_byteen_lo_r; @@ -3716,7 +3719,7 @@ end // initial end else if (buf_wr_en_1) begin if (ibuf_drainvec_vld[1]) begin buf_byteen_1 <= ibuf_byteen_out; - end else if (_T_3348) begin + end else if (_T_3355) begin buf_byteen_1 <= ldst_byteen_hi_r; end else begin buf_byteen_1 <= ldst_byteen_lo_r; @@ -3729,7 +3732,7 @@ end // initial end else if (buf_wr_en_0) begin if (ibuf_drainvec_vld[0]) begin buf_byteen_0 <= ibuf_byteen_out; - end else if (_T_3339) begin + end else if (_T_3346) begin buf_byteen_0 <= ldst_byteen_hi_r; end else begin buf_byteen_0 <= ldst_byteen_lo_r; @@ -3740,31 +3743,31 @@ end // initial if (reset) begin buf_ageQ_3 <= 4'h0; end else begin - buf_ageQ_3 <= {_T_2467,_T_2390}; + buf_ageQ_3 <= {_T_2474,_T_2397}; end end - always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + always @(posedge clock or posedge reset) begin if (reset) begin - _T_1780 <= 2'h0; - end else if (obuf_wr_en) begin + _T_1781 <= 2'h0; + end else if (_T_1780) begin if (ibuf_buf_byp) begin - _T_1780 <= WrPtr0_r; + _T_1781 <= WrPtr0_r; end else begin - _T_1780 <= CmdPtr0; + _T_1781 <= CmdPtr0; end end end - always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + always @(posedge clock or posedge reset) begin if (reset) begin obuf_merge <= 1'h0; - end else if (obuf_wr_en) begin + end else if (_T_1780) begin obuf_merge <= obuf_merge_en; end end - always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + always @(posedge clock or posedge reset) begin if (reset) begin obuf_tag1 <= 2'h0; - end else if (obuf_wr_en) begin + end else if (_T_1780) begin if (ibuf_buf_byp) begin obuf_tag1 <= WrPtr1_r; end else begin @@ -3779,11 +3782,11 @@ end // initial obuf_valid <= _T_1771 & _T_1772; end end - always @(posedge io_lsu_busm_clk or posedge reset) begin + always @(posedge clock or posedge reset) begin if (reset) begin obuf_wr_enQ <= 1'h0; - end else begin - obuf_wr_enQ <= _T_1238 & io_lsu_bus_clk_en; + end else if (io_lsu_busm_clken) begin + obuf_wr_enQ <= obuf_wr_en; end end always @(posedge rvclkhdr_io_l1clk or posedge reset) begin @@ -3826,35 +3829,35 @@ end // initial if (reset) begin buf_ageQ_2 <= 4'h0; end else begin - buf_ageQ_2 <= {_T_2365,_T_2288}; + buf_ageQ_2 <= {_T_2372,_T_2295}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_1 <= 4'h0; end else begin - buf_ageQ_1 <= {_T_2263,_T_2186}; + buf_ageQ_1 <= {_T_2270,_T_2193}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_0 <= 4'h0; end else begin - buf_ageQ_0 <= {_T_2161,_T_2084}; + buf_ageQ_0 <= {_T_2168,_T_2091}; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin buf_data_0 <= 32'h0; - end else if (_T_3524) begin - if (_T_3539) begin + end else if (_T_3531) begin + if (_T_3546) begin buf_data_0 <= ibuf_data_out; end else begin buf_data_0 <= store_data_lo_r; end - end else if (_T_3547) begin + end else if (_T_3554) begin buf_data_0 <= 32'h0; - end else if (_T_3551) begin + end else if (_T_3558) begin if (buf_error_en_0) begin buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; end else if (buf_addr_0[2]) begin @@ -3862,8 +3865,8 @@ end // initial end else begin buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; end - end else if (_T_3585) begin - if (_T_3662) begin + end else if (_T_3592) begin + if (_T_3669) begin if (buf_addr_0[2]) begin buf_data_0 <= io_lsu_axi_r_bits_data[63:32]; end else begin @@ -3879,15 +3882,15 @@ end // initial always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin if (reset) begin buf_data_1 <= 32'h0; - end else if (_T_3714) begin - if (_T_3729) begin + end else if (_T_3721) begin + if (_T_3736) begin buf_data_1 <= ibuf_data_out; end else begin buf_data_1 <= store_data_lo_r; end - end else if (_T_3737) begin + end else if (_T_3744) begin buf_data_1 <= 32'h0; - end else if (_T_3741) begin + end else if (_T_3748) begin if (buf_error_en_1) begin buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; end else if (buf_addr_1[2]) begin @@ -3895,8 +3898,8 @@ end // initial end else begin buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; end - end else if (_T_3775) begin - if (_T_3852) begin + end else if (_T_3782) begin + if (_T_3859) begin if (buf_addr_1[2]) begin buf_data_1 <= io_lsu_axi_r_bits_data[63:32]; end else begin @@ -3912,15 +3915,15 @@ end // initial always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin if (reset) begin buf_data_2 <= 32'h0; - end else if (_T_3904) begin - if (_T_3919) begin + end else if (_T_3911) begin + if (_T_3926) begin buf_data_2 <= ibuf_data_out; end else begin buf_data_2 <= store_data_lo_r; end - end else if (_T_3927) begin + end else if (_T_3934) begin buf_data_2 <= 32'h0; - end else if (_T_3931) begin + end else if (_T_3938) begin if (buf_error_en_2) begin buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; end else if (buf_addr_2[2]) begin @@ -3928,8 +3931,8 @@ end // initial end else begin buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; end - end else if (_T_3965) begin - if (_T_4042) begin + end else if (_T_3972) begin + if (_T_4049) begin if (buf_addr_2[2]) begin buf_data_2 <= io_lsu_axi_r_bits_data[63:32]; end else begin @@ -3945,15 +3948,15 @@ end // initial always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin if (reset) begin buf_data_3 <= 32'h0; - end else if (_T_4094) begin - if (_T_4109) begin + end else if (_T_4101) begin + if (_T_4116) begin buf_data_3 <= ibuf_data_out; end else begin buf_data_3 <= store_data_lo_r; end - end else if (_T_4117) begin + end else if (_T_4124) begin buf_data_3 <= 32'h0; - end else if (_T_4121) begin + end else if (_T_4128) begin if (buf_error_en_3) begin buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; end else if (buf_addr_3[2]) begin @@ -3961,8 +3964,8 @@ end // initial end else begin buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; end - end else if (_T_4155) begin - if (_T_4232) begin + end else if (_T_4162) begin + if (_T_4239) begin if (buf_addr_3[2]) begin buf_data_3 <= io_lsu_axi_r_bits_data[63:32]; end else begin @@ -4001,11 +4004,11 @@ end // initial always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin WrPtr1_r <= 2'h0; - end else if (_T_1846) begin + end else if (_T_1853) begin WrPtr1_r <= 2'h0; - end else if (_T_1860) begin + end else if (_T_1867) begin WrPtr1_r <= 2'h1; - end else if (_T_1874) begin + end else if (_T_1881) begin WrPtr1_r <= 2'h2; end else begin WrPtr1_r <= 2'h3; @@ -4014,11 +4017,11 @@ end // initial always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin WrPtr0_r <= 2'h0; - end else if (_T_1795) begin + end else if (_T_1802) begin WrPtr0_r <= 2'h0; - end else if (_T_1806) begin + end else if (_T_1813) begin WrPtr0_r <= 2'h1; - end else if (_T_1817) begin + end else if (_T_1824) begin WrPtr0_r <= 2'h2; end else begin WrPtr0_r <= 2'h3; @@ -4079,11 +4082,11 @@ end // initial ibuf_sz <= ibuf_sz_in; end end - always @(posedge io_lsu_busm_clk or posedge reset) begin + always @(posedge clock or posedge reset) begin if (reset) begin - _T_1784 <= 1'h0; - end else begin - _T_1784 <= _T_1303 & _T_4822; + _T_1791 <= 1'h0; + end else if (obuf_wr_en) begin + _T_1791 <= obuf_data_done_in; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin @@ -4116,36 +4119,36 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4314 <= 1'h0; + _T_4321 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4314 <= buf_sideeffect_in[3]; + _T_4321 <= buf_sideeffect_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4311 <= 1'h0; + _T_4318 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4311 <= buf_sideeffect_in[2]; + _T_4318 <= buf_sideeffect_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4308 <= 1'h0; + _T_4315 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4308 <= buf_sideeffect_in[1]; + _T_4315 <= buf_sideeffect_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4305 <= 1'h0; + _T_4312 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4305 <= buf_sideeffect_in[0]; + _T_4312 <= buf_sideeffect_in[0]; end end - always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + always @(posedge clock or posedge reset) begin if (reset) begin obuf_sideeffect <= 1'h0; - end else if (obuf_wr_en) begin + end else if (_T_1780) begin if (ibuf_buf_byp) begin obuf_sideeffect <= io_is_sideeffects_r; end else begin @@ -4209,10 +4212,10 @@ end // initial buf_samedw_0 <= buf_samedw_in[0]; end end - always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + always @(posedge clock or posedge reset) begin if (reset) begin obuf_write <= 1'h0; - end else if (obuf_wr_en) begin + end else if (_T_1780) begin if (ibuf_buf_byp) begin obuf_write <= io_lsu_pkt_r_bits_store; end else begin @@ -4220,18 +4223,18 @@ end // initial end end end - always @(posedge io_lsu_busm_clk or posedge reset) begin + always @(posedge clock or posedge reset) begin if (reset) begin obuf_cmd_done <= 1'h0; - end else begin - obuf_cmd_done <= _T_1303 & _T_4821; + end else if (io_lsu_busm_clken) begin + obuf_cmd_done <= obuf_cmd_done_in; end end - always @(posedge io_lsu_busm_clk or posedge reset) begin + always @(posedge clock or posedge reset) begin if (reset) begin obuf_data_done <= 1'h0; - end else begin - obuf_data_done <= _T_1303 & _T_4822; + end else if (io_lsu_busm_clken) begin + obuf_data_done <= obuf_data_done_in; end end always @(posedge io_lsu_free_c2_clk or posedge reset) begin @@ -4301,11 +4304,13 @@ end // initial obuf_rdrsp_pend <= obuf_rdrsp_pend_in; end end - always @(posedge io_lsu_busm_clk or posedge reset) begin + always @(posedge clock or posedge reset) begin if (reset) begin obuf_rdrsp_tag <= 3'h0; - end else if (_T_1330) begin - obuf_rdrsp_tag <= obuf_tag0; + end else if (io_lsu_busm_clken) begin + if (_T_1330) begin + obuf_rdrsp_tag <= obuf_tag0; + end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin @@ -4336,10 +4341,10 @@ end // initial buf_dualhi_0 <= buf_dualhi_in[0]; end end - always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + always @(posedge clock or posedge reset) begin if (reset) begin obuf_sz <= 2'h0; - end else if (obuf_wr_en) begin + end else if (_T_1780) begin if (ibuf_buf_byp) begin obuf_sz <= ibuf_sz_in; end else begin @@ -4347,10 +4352,10 @@ end // initial end end end - always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + always @(posedge clock or posedge reset) begin if (reset) begin obuf_byteen <= 8'h0; - end else if (obuf_wr_en) begin + end else if (_T_1780) begin obuf_byteen <= obuf_byteen_in; end end @@ -4365,79 +4370,79 @@ end // initial if (reset) begin buf_rspageQ_0 <= 4'h0; end else begin - buf_rspageQ_0 <= {_T_3137,_T_3126}; + buf_rspageQ_0 <= {_T_3144,_T_3133}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_1 <= 4'h0; end else begin - buf_rspageQ_1 <= {_T_3152,_T_3141}; + buf_rspageQ_1 <= {_T_3159,_T_3148}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_2 <= 4'h0; end else begin - buf_rspageQ_2 <= {_T_3167,_T_3156}; + buf_rspageQ_2 <= {_T_3174,_T_3163}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_3 <= 4'h0; end else begin - buf_rspageQ_3 <= {_T_3182,_T_3171}; + buf_rspageQ_3 <= {_T_3189,_T_3178}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4291 <= 1'h0; + _T_4298 <= 1'h0; end else if (buf_ldfwd_en_3) begin - if (_T_4094) begin - _T_4291 <= 1'h0; - end else if (_T_4117) begin - _T_4291 <= 1'h0; + if (_T_4101) begin + _T_4298 <= 1'h0; + end else if (_T_4124) begin + _T_4298 <= 1'h0; end else begin - _T_4291 <= _T_4121; + _T_4298 <= _T_4128; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4289 <= 1'h0; + _T_4296 <= 1'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3904) begin - _T_4289 <= 1'h0; - end else if (_T_3927) begin - _T_4289 <= 1'h0; + if (_T_3911) begin + _T_4296 <= 1'h0; + end else if (_T_3934) begin + _T_4296 <= 1'h0; end else begin - _T_4289 <= _T_3931; + _T_4296 <= _T_3938; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4287 <= 1'h0; + _T_4294 <= 1'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3714) begin - _T_4287 <= 1'h0; - end else if (_T_3737) begin - _T_4287 <= 1'h0; + if (_T_3721) begin + _T_4294 <= 1'h0; + end else if (_T_3744) begin + _T_4294 <= 1'h0; end else begin - _T_4287 <= _T_3741; + _T_4294 <= _T_3748; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4285 <= 1'h0; + _T_4292 <= 1'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3524) begin - _T_4285 <= 1'h0; - end else if (_T_3547) begin - _T_4285 <= 1'h0; + if (_T_3531) begin + _T_4292 <= 1'h0; + end else if (_T_3554) begin + _T_4292 <= 1'h0; end else begin - _T_4285 <= _T_3551; + _T_4292 <= _T_3558; end end end @@ -4445,11 +4450,11 @@ end // initial if (reset) begin buf_ldfwdtag_0 <= 2'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3524) begin + if (_T_3531) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3547) begin + end else if (_T_3554) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3551) begin + end else if (_T_3558) begin buf_ldfwdtag_0 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_0 <= 2'h0; @@ -4462,7 +4467,7 @@ end // initial end else if (buf_wr_en_0) begin if (ibuf_drainvec_vld[0]) begin buf_dualtag_0 <= ibuf_dualtag; - end else if (_T_3339) begin + end else if (_T_3346) begin buf_dualtag_0 <= WrPtr0_r; end else begin buf_dualtag_0 <= WrPtr1_r; @@ -4473,11 +4478,11 @@ end // initial if (reset) begin buf_ldfwdtag_3 <= 2'h0; end else if (buf_ldfwd_en_3) begin - if (_T_4094) begin + if (_T_4101) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_4117) begin + end else if (_T_4124) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_4121) begin + end else if (_T_4128) begin buf_ldfwdtag_3 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_3 <= 2'h0; @@ -4488,11 +4493,11 @@ end // initial if (reset) begin buf_ldfwdtag_2 <= 2'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3904) begin + if (_T_3911) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3927) begin + end else if (_T_3934) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3931) begin + end else if (_T_3938) begin buf_ldfwdtag_2 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_2 <= 2'h0; @@ -4503,11 +4508,11 @@ end // initial if (reset) begin buf_ldfwdtag_1 <= 2'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3714) begin + if (_T_3721) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3737) begin + end else if (_T_3744) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3741) begin + end else if (_T_3748) begin buf_ldfwdtag_1 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_1 <= 2'h0; @@ -4520,7 +4525,7 @@ end // initial end else if (buf_wr_en_1) begin if (ibuf_drainvec_vld[1]) begin buf_dualtag_1 <= ibuf_dualtag; - end else if (_T_3348) begin + end else if (_T_3355) begin buf_dualtag_1 <= WrPtr0_r; end else begin buf_dualtag_1 <= WrPtr1_r; @@ -4533,7 +4538,7 @@ end // initial end else if (buf_wr_en_2) begin if (ibuf_drainvec_vld[2]) begin buf_dualtag_2 <= ibuf_dualtag; - end else if (_T_3357) begin + end else if (_T_3364) begin buf_dualtag_2 <= WrPtr0_r; end else begin buf_dualtag_2 <= WrPtr1_r; @@ -4546,7 +4551,7 @@ end // initial end else if (buf_wr_en_3) begin if (ibuf_drainvec_vld[3]) begin buf_dualtag_3 <= ibuf_dualtag; - end else if (_T_3366) begin + end else if (_T_3373) begin buf_dualtag_3 <= WrPtr0_r; end else begin buf_dualtag_3 <= WrPtr1_r; @@ -4555,58 +4560,58 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4320 <= 1'h0; + _T_4327 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4320 <= buf_unsign_in[0]; + _T_4327 <= buf_unsign_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4323 <= 1'h0; + _T_4330 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4323 <= buf_unsign_in[1]; + _T_4330 <= buf_unsign_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4326 <= 1'h0; + _T_4333 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4326 <= buf_unsign_in[2]; + _T_4333 <= buf_unsign_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4329 <= 1'h0; + _T_4336 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4329 <= buf_unsign_in[3]; + _T_4336 <= buf_unsign_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4395 <= 1'h0; + _T_4402 <= 1'h0; end else begin - _T_4395 <= _T_4392 & _T_4393; + _T_4402 <= _T_4399 & _T_4400; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4390 <= 1'h0; + _T_4397 <= 1'h0; end else begin - _T_4390 <= _T_4387 & _T_4388; + _T_4397 <= _T_4394 & _T_4395; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4385 <= 1'h0; + _T_4392 <= 1'h0; end else begin - _T_4385 <= _T_4382 & _T_4383; + _T_4392 <= _T_4389 & _T_4390; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4380 <= 1'h0; + _T_4387 <= 1'h0; end else begin - _T_4380 <= _T_4377 & _T_4378; + _T_4387 <= _T_4384 & _T_4385; end end always @(posedge io_lsu_c2_r_clk or posedge reset) begin @@ -4618,9 +4623,9 @@ end // initial end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin - _T_4945 <= 1'h0; + _T_4952 <= 1'h0; end else begin - _T_4945 <= _T_4942 & _T_4502; + _T_4952 <= _T_4949 & _T_4509; end end endmodule diff --git a/src/main/scala/lib/lib.scala b/src/main/scala/lib/lib.scala index 1520e594..a86f83e5 100644 --- a/src/main/scala/lib/lib.scala +++ b/src/main/scala/lib/lib.scala @@ -370,18 +370,19 @@ trait lib extends param{ in_range := (addr(31,MASK_BITS) === start_addr(31,MASK_BITS)).asUInt (in_range,in_region) } + object rvdff_fpga { def apply(din: UInt, clk: Clock, clken: Bool,rawclk:Clock):UInt = { if (RV_FPGA_OPTIMIZE) - withClock (clk) {RegEnable (din, 0.U, clken)} - else withClock(clk){RegNext (din, 0.U)} + withClock(rawclk) {RegEnable (din, 0.U, clken)} + else RegNext (din, 0.U) } } object rvdffs_fpga { def apply(din: UInt, en:Bool,clk: Clock, clken: Bool,rawclk:Clock):UInt = { if (RV_FPGA_OPTIMIZE) - withClock (clk) {RegEnable (din, 0.U, clken & en)} - else withClock (clk) {RegEnable (din, 0.U,en)} + withClock (rawclk) {RegEnable (din, 0.U, (clken & en))} + else RegEnable (din, 0.U,en) } } ////rvdffe /////////////////////////////////////////////////////////////////////// diff --git a/src/main/scala/lib/param.scala b/src/main/scala/lib/param.scala index 52d0ea25..de31826b 100644 --- a/src/main/scala/lib/param.scala +++ b/src/main/scala/lib/param.scala @@ -155,5 +155,5 @@ trait param { val SB_BUS_PRTY = 0x2 val SB_BUS_TAG = 0x1 val TIMER_LEGAL_EN = 0x1 - val RV_FPGA_OPTIMIZE = 0x0 + val RV_FPGA_OPTIMIZE = 0x1 } diff --git a/target/scala-2.12/classes/lib/lib$rvdff_fpga$.class b/target/scala-2.12/classes/lib/lib$rvdff_fpga$.class index 0478df24a82935439bbed4ec9f45f4391e7ce325..1c954f8e624c7b0b31e1ae6ab3a60bf5a66987fe 100644 GIT binary patch delta 831 zcmZ9K%TH5L5XOI}w72c$Mz2N7Lj*Bkpv4e{Ac`BKQG|*Jf}kP-)`B3SAOebj_`tVt zeBjQ7i7OLnObz&4xH56$+CRg!Iv4N}Z!+h6=bJe*-^{sR)4!*hpMT812TGWkH7DH_ z?H!$c(eC1++GwmgI@q7|rWaKBeaLlBcRz>#ISe8#Rv@3EVg00+zT2Vj8 zIiYuZcP4kxY0BZGqFZMh>-Af2rO|DQlvDbrdt43ZanD*~(9d~>bf&RA?}91tqQc6L zba%EDiIo>LD3bCE8Z25EHE}bh!@hoXML+dDv#xR7s0BTq3$fr}@k#VJa6hd0c#3li-4NY!(~acacslM*y0 zIQ)j@rohn^YS<3ErY%k^L05tv34JMPlej0V7gs3erHcCyVVSU~K~||DLTZ>Pi6~hV z!D)KsByz~)j0knm8qSJX2W8Rc+!d59oSSL=#mwq(+NhM16BCRx9QrFRoM2>0iOVUD z;pCLMPR-!r4f>^$^94C4`4!WG{Vt(xA#5R9xa3Sre@M<49iJ8NVpmBhV*la`dOj?sV%7&2tBw(IF$zvaXZXy!bK2EZu0O yRy+{aCEka!XA0UOZ@7_C%GknIwuzN-Q__|4NFq{{{3>jsc%hMnw^uwC2fqNA*p>PK delta 1040 zcmZuv+fGwK6kXHXX-`k&SfmBi0;ZT2FjX)J))%8O0V*I^1QoT^a*+4}9g zL}xP`v4EkC&l@J$3GS9kJ>4dp#3=?f#~kqo2K{|OhlDc>mQ{ru>`_pK9uxcs@ISh$ zO+lH$UIyk<(2qSb6bx{mwBIl&6LE-7>k3N4Yl+%|ea*qKs3SuZF<}T7c)h-o4fCMh zX&%9-j3~w!N@gbPM(W}c9mGqqlC2mw;WDo9U;2aQ1SVukCK<_eO51t2p|SkBOoTTW z6sI>d(Br1zadj|govscABe*R?hbg`!McIA6)A&Joh-n!Q@Q56n;XjR5BgZ`pS@?u6 z8|M>xGOHMyDSt{A^PXz;H1DnWj50A6QO>Xkmj*9jOTt}Mxg(83Kne}t(DV`c87z(V z9Q28*wRlE<2^v?6geE+vWCMXPbX) zbc$k%N(j?oj*rOpgy^=BGO@>+#OaD8&T3QWOW|B)3g@Xg(TOG_ZV;R#EJUr@3UrZ@ z_^dci{0wsxn=}Ng1gL6}cxbd$$h5Mwp&7s|CNgqcNSZ*Gx3Q@Fj z5+W{CRaM4a+#^VwBA;eqtyGc5EFj#W2Jn*p+sH==Cdf1tK5MoGUJsvQ!@w1 uf?cRZ9qQ>G_R*+Y!CKd0kEyB_t$0Iqg^UqzHENjfGIPevQ)75btM~_xu)m!E diff --git a/target/scala-2.12/classes/lib/lib$rvdffe$.class b/target/scala-2.12/classes/lib/lib$rvdffe$.class index 1cf5f427fa60a286ffa4c9afb8f7e4aacf705eac..4286e9b35e2116cec2a4bf66b22a01a20a7b13c5 100644 GIT binary patch delta 488 zcmZY3KS*0~5C`zz7quEHuZbwhOJb5IFXU+<##T`2V#h4O#e%q0(Jt2L(!ohn@%bkT zv0tM?5>qLqxRjPhCp$RQ$)SaIDioKB)V2;q#G(bSt}b`S!F}#~+I!ObW~8RF11ie5 zu6k#>ruv4*Z4RWz(vO~`&4Kap#}j6!Q`C-dZQaFncM1s}y8oe#2c8t}IPG_O>@7&W7k>_73^ zjHB#)GleJ!Q52#i#D)-MA)X7d$@A#3gwI)Fx?(Mosy${%eGp4Ywwx8=ZY)u;<~Fz& z(KQV3sQ25d*jHEb$oH^iNWE{RBtyD1V+4@nAV;H;R&h9!o%T5cLX%N<-u3n}+xPpis>e!)s5Q)k7$>RF6 z1y@&%!c&K>0lNyGI*5^%z=MYpOENk{p&;~L-v7%B&+~u&He& za>ybUcx;hBEQ7Oc0iU%QOvM8%b0bcy@U~6%+XnaTfIIp=N%#o(sq;Ed5;^te+&G7m zX-u=ITRGW{neb!sBCJ4eVNxDqN?v1HzF`L4m_;Avc$7N8yefM5ul>G$pL1@Z0DS5evnu1;r&STD!Bd?Pfrz#aW_)cec) diff --git a/target/scala-2.12/classes/lib/lib$rvdffs_fpga$.class b/target/scala-2.12/classes/lib/lib$rvdffs_fpga$.class index 6a5cfca4d9ea975401a447a32d01215e3bd0ce9a..b673334b592694b4fbd570f78bb0c5c02214ad2f 100644 GIT binary patch delta 969 zcmZ9K*-sNu6vn^XWu_g944_B>g%B6gmY{+x5)C58B~?&B#l4gPD&3&Cp-@n9N5Sic z8>ko)6B8*~0wltVF)=>)re3(0pf!z3z+vRoTRkbM%N+T6+W=L@U zqiI!-nc+bT9~SJ)$3F`>rXX4+_|e9H2*sv$9G576g7V9yZT`l9h*J!id_c_9i|F8e zVvcauj5FxuQ({G77~K*DJq#&xSJ)Kr1wCG+GT813_(LMjGg#(w<$-`#L@!@!@|iB- zvV@Dc!oQgo6T@{02J~^++|CAguX)7Aaa+PI+({5{mm$&X^3^xEDwL4Y=5cvF9f}3_ z(Vql{L0&Dr4jafzLppSLNFQPhMhIlfZEumSt_iGQn1D@(L8L{n%4)P*4US1{0ixJG z1LsSmMzG5s!5)1Sbx|C2L~(@lH&jKBNAwn^g0b#P&o)z<;;rJhC+1eQ-($&Q8~-d z6xKk8D2Uh74w-T?nrJZuaqahfYssp&k?kL0WYaeLI~wyiZj6!^o0c9-_-j&~h-1A- zV4WI3O6>5TLCHvkl^UpB?M8-FS(YW-L_cZjIFiY#c%p3*4*>O>faW#KTjN)5eM-KL tqGx0?$g6+}Yfyv|tV1c*(<;F|I$nh5v?G}@BFsmDb{*}XVHlwVegQCd#F_vA delta 1160 zcmZuvYi|=*5IwWDckRv6Y*H|Bc%_knU(|T3FQ%D z9^us%T6#-)wY(ve7E}x}B1kPlLP&h#5AYul-)JSo+%-Wh>PmO-%$a-VoSC^VL;sA? zpS~XY6TnQ|ysbZ0sPWD->L+j1+1x~+LX!u&Z(7OEJ;;4>q za7=9X&7b(a&Yd{UC+iYUs=a|%d`cU^Q#Yl}KrepO;l&9t;P0W+;+6kO!~SKS=Pmf4@;)bW7qxQT z$0WjiL=>DxsDNoxqakno5IzHnSojc$L5y@!#+D6Ze{cd1uJB)j5^d3tz*TlWU=Y-g zQItoN_TU<0bDK(ZSdKP!nHXd7B}BTi5l*zefUOUZTUpstL3#7zd)Qt?-$V2>T)_S!4!Q1;BEBo)2d+q} zS6soW)WKq<(3(O)FzU#e-Dumu<_Yta0)6{Virx=n2e8{^jSc?qd5+M5op1^hf*K%zd0?>IGLKvfy zzcQ+D^ak(KSxhthyf@+#%toB&7-y@5wf+Y?&$k-Uir@HN6%ps1fte)R=+@}IRl@Ha F#T!H<;{5;s diff --git a/target/scala-2.12/classes/lib/lib.class b/target/scala-2.12/classes/lib/lib.class index 28d345750b61a58bbb8df355962ddb5e948468a0..c9511ed750be3c09808e79fba5752a9f34280445 100644 GIT binary patch delta 146 zcmdn6op}QgsU2WkK3O4AY_jbEf94ee5|bBf5@B31dC37g#x;`@GNdQ(?`ECMd{7w3 zySP_ovhhJ_&J_%Pj4K(k8P{wMKe%6*anH?#tk2W7+E%d47$Vt07Y>Jz5oCK delta 16 YcmX@So$>H?#tk2W7@0SJ47$Vt07YvDy#N3J