From 1cdcb71e7e303ac660fc68048bc492bc47fdfc9e Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Mon, 9 Nov 2020 12:28:03 +0500 Subject: [PATCH] Bus-buffer testing start --- el2_lsu_bus_buffer.anno.json | 63 - el2_lsu_bus_buffer.fir | 10312 ++++++++-------- el2_lsu_bus_buffer.v | 4596 ++++--- src/main/scala/lsu/el2_lsu_bus_buffer.scala | 26 +- .../scala-2.12/classes/lsu/BusBufmain$.class | Bin 3935 -> 3935 bytes .../lsu/BusBufmain$delayedInit$body.class | Bin 757 -> 757 bytes .../lsu/el2_lsu_bus_buffer$$anon$1.class | Bin 16128 -> 14886 bytes .../classes/lsu/el2_lsu_bus_buffer.class | Bin 569524 -> 551435 bytes 8 files changed, 7438 insertions(+), 7559 deletions(-) diff --git a/el2_lsu_bus_buffer.anno.json b/el2_lsu_bus_buffer.anno.json index d621698d..98a1b42d 100644 --- a/el2_lsu_bus_buffer.anno.json +++ b/el2_lsu_bus_buffer.anno.json @@ -1,23 +1,4 @@ [ - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_wdata_in", - "sources":[ - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_dual_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_no_dword_merge_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_addr_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_end_addr_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_store", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_load", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_no_word_merge_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_dec_tlu_wb_coalescing_disable", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_store_data_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_word", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_by", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_half" - ] - }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ld_fwddata_buf_lo", @@ -82,15 +63,6 @@ "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_nonblock_load_data_error" ] }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_WrPtr1_m", - "sources":[ - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_m", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_dual_r" - ] - }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_imprecise_error_addr_any", @@ -176,41 +148,6 @@ "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_dual_r" ] }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_test", - "sources":[ - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_word", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_by", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_half" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_data_en", - "sources":[ - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_bus_clk_en", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_dec_tlu_force_halt", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_commit_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_rvalid", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_rready", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_dual_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_dec_tlu_wb_coalescing_disable", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_load", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_no_word_merge_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_is_sideeffects_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_m_load", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_m", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_addr_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_addr_m", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_store", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_rid", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_bvalid", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_bready", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_bid" - ] - }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_nonblock_load_data", diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index 8d8bffc4..8e36a5d1 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -291,182 +291,182 @@ circuit el2_lsu_bus_buffer : module el2_lsu_bus_buffer : input clock : Clock input reset : AsyncReset - output io : {flip scan_mode : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_pkt_r : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, flip lsu_axi_awready : UInt<1>, flip lsu_axi_wready : UInt<1>, flip lsu_axi_bvalid : UInt<1>, flip lsu_axi_bresp : UInt<2>, flip lsu_axi_bid : UInt<3>, flip lsu_axi_arready : UInt<1>, flip lsu_axi_rvalid : UInt<1>, flip lsu_axi_rid : UInt<3>, flip lsu_axi_rdata : UInt<64>, flip lsu_axi_rresp : UInt<2>, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>, lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>, lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, lsu_axi_awvalid : UInt<1>, lsu_axi_awid : UInt<3>, lsu_axi_awaddr : UInt<32>, lsu_axi_awregion : UInt<4>, lsu_axi_awlen : UInt<8>, lsu_axi_awsize : UInt<3>, lsu_axi_awburst : UInt<2>, lsu_axi_awlock : UInt<1>, lsu_axi_awcache : UInt<4>, lsu_axi_awprot : UInt<3>, lsu_axi_awqos : UInt<4>, lsu_axi_wvalid : UInt<1>, lsu_axi_wdata : UInt<64>, lsu_axi_wstrb : UInt<8>, lsu_axi_wlast : UInt<1>, lsu_axi_bready : UInt<1>, lsu_axi_arvalid : UInt<1>, lsu_axi_arid : UInt<3>, lsu_axi_araddr : UInt<32>, lsu_axi_arregion : UInt<4>, lsu_axi_arlen : UInt<8>, lsu_axi_arsize : UInt<3>, lsu_axi_arburst : UInt<2>, lsu_axi_arlock : UInt<1>, lsu_axi_arcache : UInt<4>, lsu_axi_arprot : UInt<3>, lsu_axi_arqos : UInt<4>, lsu_axi_rready : UInt<1>, test : UInt, data_hi : UInt, data_lo : UInt, data_en : UInt, Cmdptr0 : UInt, Cmdptr1 : UInt, WrPtr1_r : UInt, WrPtr1_m : UInt, wdata_in : UInt, buf_state : UInt} + output io : {flip scan_mode : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_pkt_r : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, flip lsu_axi_awready : UInt<1>, flip lsu_axi_wready : UInt<1>, flip lsu_axi_bvalid : UInt<1>, flip lsu_axi_bresp : UInt<2>, flip lsu_axi_bid : UInt<3>, flip lsu_axi_arready : UInt<1>, flip lsu_axi_rvalid : UInt<1>, flip lsu_axi_rid : UInt<3>, flip lsu_axi_rdata : UInt<64>, flip lsu_axi_rresp : UInt<2>, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>, lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>, lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, lsu_axi_awvalid : UInt<1>, lsu_axi_awid : UInt<3>, lsu_axi_awaddr : UInt<32>, lsu_axi_awregion : UInt<4>, lsu_axi_awlen : UInt<8>, lsu_axi_awsize : UInt<3>, lsu_axi_awburst : UInt<2>, lsu_axi_awlock : UInt<1>, lsu_axi_awcache : UInt<4>, lsu_axi_awprot : UInt<3>, lsu_axi_awqos : UInt<4>, lsu_axi_wvalid : UInt<1>, lsu_axi_wdata : UInt<64>, lsu_axi_wstrb : UInt<8>, lsu_axi_wlast : UInt<1>, lsu_axi_bready : UInt<1>, lsu_axi_arvalid : UInt<1>, lsu_axi_arid : UInt<3>, lsu_axi_araddr : UInt<32>, lsu_axi_arregion : UInt<4>, lsu_axi_arlen : UInt<8>, lsu_axi_arsize : UInt<3>, lsu_axi_arburst : UInt<2>, lsu_axi_arlock : UInt<1>, lsu_axi_arcache : UInt<4>, lsu_axi_arprot : UInt<3>, lsu_axi_arqos : UInt<4>, lsu_axi_rready : UInt<1>} - wire buf_addr : UInt<32>[4] @[el2_lsu_bus_buffer.scala 129:22] - wire buf_state : UInt<3>[4] @[el2_lsu_bus_buffer.scala 130:23] + wire buf_addr : UInt<32>[4] @[el2_lsu_bus_buffer.scala 119:22] + wire buf_state : UInt<3>[4] @[el2_lsu_bus_buffer.scala 120:23] wire buf_write : UInt<4> buf_write <= UInt<1>("h00") wire CmdPtr0 : UInt<2> CmdPtr0 <= UInt<1>("h00") - node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[el2_lsu_bus_buffer.scala 135:46] - node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[el2_lsu_bus_buffer.scala 136:46] - node _T = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 138:66] - node _T_1 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 138:89] - node _T_2 = eq(_T, _T_1) @[el2_lsu_bus_buffer.scala 138:74] - node _T_3 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 138:109] - node _T_4 = and(_T_2, _T_3) @[el2_lsu_bus_buffer.scala 138:98] - node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 138:129] - node _T_6 = and(_T_4, _T_5) @[el2_lsu_bus_buffer.scala 138:113] - node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 138:141] - node _T_7 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 138:66] - node _T_8 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 138:89] - node _T_9 = eq(_T_7, _T_8) @[el2_lsu_bus_buffer.scala 138:74] - node _T_10 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 138:109] - node _T_11 = and(_T_9, _T_10) @[el2_lsu_bus_buffer.scala 138:98] - node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 138:129] - node _T_13 = and(_T_11, _T_12) @[el2_lsu_bus_buffer.scala 138:113] - node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 138:141] - node _T_14 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 138:66] - node _T_15 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 138:89] - node _T_16 = eq(_T_14, _T_15) @[el2_lsu_bus_buffer.scala 138:74] - node _T_17 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 138:109] - node _T_18 = and(_T_16, _T_17) @[el2_lsu_bus_buffer.scala 138:98] - node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 138:129] - node _T_20 = and(_T_18, _T_19) @[el2_lsu_bus_buffer.scala 138:113] - node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 138:141] - node _T_21 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 138:66] - node _T_22 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 138:89] - node _T_23 = eq(_T_21, _T_22) @[el2_lsu_bus_buffer.scala 138:74] - node _T_24 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 138:109] - node _T_25 = and(_T_23, _T_24) @[el2_lsu_bus_buffer.scala 138:98] - node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 138:129] - node _T_27 = and(_T_25, _T_26) @[el2_lsu_bus_buffer.scala 138:113] - node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 138:141] - node _T_28 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 139:66] - node _T_29 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 139:89] - node _T_30 = eq(_T_28, _T_29) @[el2_lsu_bus_buffer.scala 139:74] - node _T_31 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 139:109] - node _T_32 = and(_T_30, _T_31) @[el2_lsu_bus_buffer.scala 139:98] - node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 139:129] - node _T_34 = and(_T_32, _T_33) @[el2_lsu_bus_buffer.scala 139:113] - node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 139:141] - node _T_35 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 139:66] - node _T_36 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 139:89] - node _T_37 = eq(_T_35, _T_36) @[el2_lsu_bus_buffer.scala 139:74] - node _T_38 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 139:109] - node _T_39 = and(_T_37, _T_38) @[el2_lsu_bus_buffer.scala 139:98] - node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 139:129] - node _T_41 = and(_T_39, _T_40) @[el2_lsu_bus_buffer.scala 139:113] - node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 139:141] - node _T_42 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 139:66] - node _T_43 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 139:89] - node _T_44 = eq(_T_42, _T_43) @[el2_lsu_bus_buffer.scala 139:74] - node _T_45 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 139:109] - node _T_46 = and(_T_44, _T_45) @[el2_lsu_bus_buffer.scala 139:98] - node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 139:129] - node _T_48 = and(_T_46, _T_47) @[el2_lsu_bus_buffer.scala 139:113] - node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 139:141] - node _T_49 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 139:66] - node _T_50 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 139:89] - node _T_51 = eq(_T_49, _T_50) @[el2_lsu_bus_buffer.scala 139:74] - node _T_52 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 139:109] - node _T_53 = and(_T_51, _T_52) @[el2_lsu_bus_buffer.scala 139:98] - node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 139:129] - node _T_55 = and(_T_53, _T_54) @[el2_lsu_bus_buffer.scala 139:113] - node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 139:141] - wire ld_byte_hitvecfn_lo : UInt<4>[4] @[el2_lsu_bus_buffer.scala 140:33] + node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[el2_lsu_bus_buffer.scala 125:46] + node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[el2_lsu_bus_buffer.scala 126:46] + node _T = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 128:66] + node _T_1 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 128:89] + node _T_2 = eq(_T, _T_1) @[el2_lsu_bus_buffer.scala 128:74] + node _T_3 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 128:109] + node _T_4 = and(_T_2, _T_3) @[el2_lsu_bus_buffer.scala 128:98] + node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 128:129] + node _T_6 = and(_T_4, _T_5) @[el2_lsu_bus_buffer.scala 128:113] + node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 128:141] + node _T_7 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 128:66] + node _T_8 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 128:89] + node _T_9 = eq(_T_7, _T_8) @[el2_lsu_bus_buffer.scala 128:74] + node _T_10 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 128:109] + node _T_11 = and(_T_9, _T_10) @[el2_lsu_bus_buffer.scala 128:98] + node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 128:129] + node _T_13 = and(_T_11, _T_12) @[el2_lsu_bus_buffer.scala 128:113] + node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 128:141] + node _T_14 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 128:66] + node _T_15 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 128:89] + node _T_16 = eq(_T_14, _T_15) @[el2_lsu_bus_buffer.scala 128:74] + node _T_17 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 128:109] + node _T_18 = and(_T_16, _T_17) @[el2_lsu_bus_buffer.scala 128:98] + node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 128:129] + node _T_20 = and(_T_18, _T_19) @[el2_lsu_bus_buffer.scala 128:113] + node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 128:141] + node _T_21 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 128:66] + node _T_22 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 128:89] + node _T_23 = eq(_T_21, _T_22) @[el2_lsu_bus_buffer.scala 128:74] + node _T_24 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 128:109] + node _T_25 = and(_T_23, _T_24) @[el2_lsu_bus_buffer.scala 128:98] + node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 128:129] + node _T_27 = and(_T_25, _T_26) @[el2_lsu_bus_buffer.scala 128:113] + node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 128:141] + node _T_28 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 129:66] + node _T_29 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 129:89] + node _T_30 = eq(_T_28, _T_29) @[el2_lsu_bus_buffer.scala 129:74] + node _T_31 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 129:109] + node _T_32 = and(_T_30, _T_31) @[el2_lsu_bus_buffer.scala 129:98] + node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 129:129] + node _T_34 = and(_T_32, _T_33) @[el2_lsu_bus_buffer.scala 129:113] + node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 129:141] + node _T_35 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 129:66] + node _T_36 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 129:89] + node _T_37 = eq(_T_35, _T_36) @[el2_lsu_bus_buffer.scala 129:74] + node _T_38 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 129:109] + node _T_39 = and(_T_37, _T_38) @[el2_lsu_bus_buffer.scala 129:98] + node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 129:129] + node _T_41 = and(_T_39, _T_40) @[el2_lsu_bus_buffer.scala 129:113] + node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 129:141] + node _T_42 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 129:66] + node _T_43 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 129:89] + node _T_44 = eq(_T_42, _T_43) @[el2_lsu_bus_buffer.scala 129:74] + node _T_45 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 129:109] + node _T_46 = and(_T_44, _T_45) @[el2_lsu_bus_buffer.scala 129:98] + node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 129:129] + node _T_48 = and(_T_46, _T_47) @[el2_lsu_bus_buffer.scala 129:113] + node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 129:141] + node _T_49 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 129:66] + node _T_50 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 129:89] + node _T_51 = eq(_T_49, _T_50) @[el2_lsu_bus_buffer.scala 129:74] + node _T_52 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 129:109] + node _T_53 = and(_T_51, _T_52) @[el2_lsu_bus_buffer.scala 129:98] + node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 129:129] + node _T_55 = and(_T_53, _T_54) @[el2_lsu_bus_buffer.scala 129:113] + node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 129:141] + wire ld_byte_hitvecfn_lo : UInt<4>[4] @[el2_lsu_bus_buffer.scala 130:33] wire ld_byte_ibuf_hit_lo : UInt<4> ld_byte_ibuf_hit_lo <= UInt<1>("h00") - wire ld_byte_hitvecfn_hi : UInt<4>[4] @[el2_lsu_bus_buffer.scala 142:33] + wire ld_byte_hitvecfn_hi : UInt<4>[4] @[el2_lsu_bus_buffer.scala 132:33] wire ld_byte_ibuf_hit_hi : UInt<4> ld_byte_ibuf_hit_hi <= UInt<1>("h00") - wire buf_byteen : UInt<4>[4] @[el2_lsu_bus_buffer.scala 144:24] - buf_byteen[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:14] - buf_byteen[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:14] - buf_byteen[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:14] - buf_byteen[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:14] - wire buf_nxtstate : UInt<3>[4] @[el2_lsu_bus_buffer.scala 146:26] - buf_nxtstate[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:16] - buf_nxtstate[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:16] - buf_nxtstate[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:16] - buf_nxtstate[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:16] - wire buf_wr_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 148:23] - buf_wr_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:13] - buf_wr_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:13] - buf_wr_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:13] - buf_wr_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:13] - wire buf_data_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 150:25] - buf_data_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:15] - buf_data_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:15] - buf_data_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:15] - buf_data_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:15] - wire buf_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 152:30] - buf_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:20] - buf_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:20] - buf_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:20] - buf_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:20] - wire buf_ldfwd_in : UInt<1>[4] @[el2_lsu_bus_buffer.scala 154:26] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:16] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:16] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:16] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:16] - wire buf_ldfwd_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 156:26] - buf_ldfwd_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:16] - buf_ldfwd_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:16] - buf_ldfwd_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:16] - buf_ldfwd_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:16] - wire buf_data_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 158:25] - buf_data_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:15] - buf_data_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:15] - buf_data_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:15] - buf_data_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:15] - wire buf_ldfwdtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 160:29] - buf_ldfwdtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:19] - buf_ldfwdtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:19] - buf_ldfwdtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:19] - buf_ldfwdtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:19] - wire buf_error_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 162:26] - buf_error_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 163:16] - buf_error_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 163:16] - buf_error_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 163:16] - buf_error_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 163:16] + wire buf_byteen : UInt<4>[4] @[el2_lsu_bus_buffer.scala 134:24] + buf_byteen[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 135:14] + buf_byteen[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 135:14] + buf_byteen[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 135:14] + buf_byteen[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 135:14] + wire buf_nxtstate : UInt<3>[4] @[el2_lsu_bus_buffer.scala 136:26] + buf_nxtstate[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 137:16] + buf_nxtstate[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 137:16] + buf_nxtstate[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 137:16] + buf_nxtstate[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 137:16] + wire buf_wr_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 138:23] + buf_wr_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 139:13] + buf_wr_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 139:13] + buf_wr_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 139:13] + buf_wr_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 139:13] + wire buf_data_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 140:25] + buf_data_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:15] + buf_data_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:15] + buf_data_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:15] + buf_data_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:15] + wire buf_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 142:30] + buf_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:20] + buf_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:20] + buf_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:20] + buf_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:20] + wire buf_ldfwd_in : UInt<1>[4] @[el2_lsu_bus_buffer.scala 144:26] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:16] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:16] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:16] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:16] + wire buf_ldfwd_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 146:26] + buf_ldfwd_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:16] + buf_ldfwd_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:16] + buf_ldfwd_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:16] + buf_ldfwd_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:16] + wire buf_data_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 148:25] + buf_data_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:15] + buf_data_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:15] + buf_data_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:15] + buf_data_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:15] + wire buf_ldfwdtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 150:29] + buf_ldfwdtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:19] + buf_ldfwdtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:19] + buf_ldfwdtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:19] + buf_ldfwdtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:19] + wire buf_error_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 152:26] + buf_error_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] + buf_error_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] + buf_error_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] + buf_error_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] wire bus_rsp_read_error : UInt<1> bus_rsp_read_error <= UInt<1>("h00") wire bus_rsp_rdata : UInt<64> bus_rsp_rdata <= UInt<1>("h00") wire bus_rsp_write_error : UInt<1> bus_rsp_write_error <= UInt<1>("h00") - wire buf_dualtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 167:25] - buf_dualtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 168:15] - buf_dualtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 168:15] - buf_dualtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 168:15] - buf_dualtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 168:15] + wire buf_dualtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 157:25] + buf_dualtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 158:15] + buf_dualtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 158:15] + buf_dualtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 158:15] + buf_dualtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 158:15] wire buf_ldfwd : UInt<4> buf_ldfwd <= UInt<1>("h00") - wire buf_resp_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 170:35] - buf_resp_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:25] - buf_resp_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:25] - buf_resp_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:25] - buf_resp_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:25] + wire buf_resp_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 160:35] + buf_resp_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:25] + buf_resp_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:25] + buf_resp_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:25] + buf_resp_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:25] wire any_done_wait_state : UInt<1> any_done_wait_state <= UInt<1>("h00") wire bus_rsp_write : UInt<1> bus_rsp_write <= UInt<1>("h00") wire bus_rsp_write_tag : UInt<3> bus_rsp_write_tag <= UInt<1>("h00") - wire buf_ldfwdtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 175:26] - buf_ldfwdtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:16] - buf_ldfwdtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:16] - buf_ldfwdtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:16] - buf_ldfwdtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:16] - wire buf_rst : UInt<1>[4] @[el2_lsu_bus_buffer.scala 177:21] - buf_rst[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 178:11] - buf_rst[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 178:11] - buf_rst[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 178:11] - buf_rst[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 178:11] + wire buf_ldfwdtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 165:26] + buf_ldfwdtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 166:16] + buf_ldfwdtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 166:16] + buf_ldfwdtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 166:16] + buf_ldfwdtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 166:16] + wire buf_rst : UInt<1>[4] @[el2_lsu_bus_buffer.scala 167:21] + buf_rst[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 168:11] + buf_rst[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 168:11] + buf_rst[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 168:11] + buf_rst[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 168:11] wire ibuf_drainvec_vld : UInt<4> ibuf_drainvec_vld <= UInt<1>("h00") - wire buf_byteen_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 180:27] - buf_byteen_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 181:17] - buf_byteen_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 181:17] - buf_byteen_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 181:17] - buf_byteen_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 181:17] - wire buf_addr_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 182:25] - buf_addr_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 183:15] - buf_addr_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 183:15] - buf_addr_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 183:15] - buf_addr_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 183:15] + wire buf_byteen_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 170:27] + buf_byteen_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:17] + buf_byteen_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:17] + buf_byteen_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:17] + buf_byteen_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:17] + wire buf_addr_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 172:25] + buf_addr_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 173:15] + buf_addr_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 173:15] + buf_addr_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 173:15] + buf_addr_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 173:15] wire buf_dual_in : UInt<4> buf_dual_in <= UInt<1>("h00") wire buf_samedw_in : UInt<4> @@ -475,20 +475,20 @@ circuit el2_lsu_bus_buffer : buf_nomerge_in <= UInt<1>("h00") wire buf_dualhi_in : UInt<4> buf_dualhi_in <= UInt<1>("h00") - wire buf_dualtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 188:28] - buf_dualtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 189:18] - buf_dualtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 189:18] - buf_dualtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 189:18] - buf_dualtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 189:18] + wire buf_dualtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 178:28] + buf_dualtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:18] + buf_dualtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:18] + buf_dualtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:18] + buf_dualtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:18] wire buf_sideeffect_in : UInt<4> buf_sideeffect_in <= UInt<1>("h00") wire buf_unsign_in : UInt<4> buf_unsign_in <= UInt<1>("h00") - wire buf_sz_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 192:23] - buf_sz_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 193:13] - buf_sz_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 193:13] - buf_sz_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 193:13] - buf_sz_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 193:13] + wire buf_sz_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 182:23] + buf_sz_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 183:13] + buf_sz_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 183:13] + buf_sz_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 183:13] + buf_sz_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 183:13] wire buf_write_in : UInt<4> buf_write_in <= UInt<1>("h00") wire buf_unsign : UInt<4> @@ -497,747 +497,747 @@ circuit el2_lsu_bus_buffer : buf_error <= UInt<1>("h00") wire ibuf_data : UInt<32> ibuf_data <= UInt<1>("h00") - node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[el2_lsu_bus_buffer.scala 199:73] - node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 199:98] - node _T_58 = or(_T_56, _T_57) @[el2_lsu_bus_buffer.scala 199:77] - node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[el2_lsu_bus_buffer.scala 199:73] - node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 199:98] - node _T_61 = or(_T_59, _T_60) @[el2_lsu_bus_buffer.scala 199:77] - node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[el2_lsu_bus_buffer.scala 199:73] - node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 199:98] - node _T_64 = or(_T_62, _T_63) @[el2_lsu_bus_buffer.scala 199:77] - node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[el2_lsu_bus_buffer.scala 199:73] - node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 199:98] - node _T_67 = or(_T_65, _T_66) @[el2_lsu_bus_buffer.scala 199:77] + node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[el2_lsu_bus_buffer.scala 189:73] + node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 189:98] + node _T_58 = or(_T_56, _T_57) @[el2_lsu_bus_buffer.scala 189:77] + node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[el2_lsu_bus_buffer.scala 189:73] + node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 189:98] + node _T_61 = or(_T_59, _T_60) @[el2_lsu_bus_buffer.scala 189:77] + node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[el2_lsu_bus_buffer.scala 189:73] + node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 189:98] + node _T_64 = or(_T_62, _T_63) @[el2_lsu_bus_buffer.scala 189:77] + node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[el2_lsu_bus_buffer.scala 189:73] + node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 189:98] + node _T_67 = or(_T_65, _T_66) @[el2_lsu_bus_buffer.scala 189:77] node _T_68 = cat(_T_67, _T_64) @[Cat.scala 29:58] node _T_69 = cat(_T_68, _T_61) @[Cat.scala 29:58] node _T_70 = cat(_T_69, _T_58) @[Cat.scala 29:58] - io.ld_byte_hit_buf_lo <= _T_70 @[el2_lsu_bus_buffer.scala 199:25] - node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[el2_lsu_bus_buffer.scala 200:73] - node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 200:98] - node _T_73 = or(_T_71, _T_72) @[el2_lsu_bus_buffer.scala 200:77] - node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[el2_lsu_bus_buffer.scala 200:73] - node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 200:98] - node _T_76 = or(_T_74, _T_75) @[el2_lsu_bus_buffer.scala 200:77] - node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[el2_lsu_bus_buffer.scala 200:73] - node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 200:98] - node _T_79 = or(_T_77, _T_78) @[el2_lsu_bus_buffer.scala 200:77] - node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[el2_lsu_bus_buffer.scala 200:73] - node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 200:98] - node _T_82 = or(_T_80, _T_81) @[el2_lsu_bus_buffer.scala 200:77] + io.ld_byte_hit_buf_lo <= _T_70 @[el2_lsu_bus_buffer.scala 189:25] + node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[el2_lsu_bus_buffer.scala 190:73] + node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 190:98] + node _T_73 = or(_T_71, _T_72) @[el2_lsu_bus_buffer.scala 190:77] + node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[el2_lsu_bus_buffer.scala 190:73] + node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 190:98] + node _T_76 = or(_T_74, _T_75) @[el2_lsu_bus_buffer.scala 190:77] + node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[el2_lsu_bus_buffer.scala 190:73] + node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 190:98] + node _T_79 = or(_T_77, _T_78) @[el2_lsu_bus_buffer.scala 190:77] + node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[el2_lsu_bus_buffer.scala 190:73] + node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 190:98] + node _T_82 = or(_T_80, _T_81) @[el2_lsu_bus_buffer.scala 190:77] node _T_83 = cat(_T_82, _T_79) @[Cat.scala 29:58] node _T_84 = cat(_T_83, _T_76) @[Cat.scala 29:58] node _T_85 = cat(_T_84, _T_73) @[Cat.scala 29:58] - io.ld_byte_hit_buf_hi <= _T_85 @[el2_lsu_bus_buffer.scala 200:25] - node _T_86 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 202:110] - node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[el2_lsu_bus_buffer.scala 202:95] - node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 202:132] - node _T_89 = and(_T_87, _T_88) @[el2_lsu_bus_buffer.scala 202:114] - node _T_90 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 202:110] - node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[el2_lsu_bus_buffer.scala 202:95] - node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 202:132] - node _T_93 = and(_T_91, _T_92) @[el2_lsu_bus_buffer.scala 202:114] - node _T_94 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 202:110] - node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[el2_lsu_bus_buffer.scala 202:95] - node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 202:132] - node _T_97 = and(_T_95, _T_96) @[el2_lsu_bus_buffer.scala 202:114] - node _T_98 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 202:110] - node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[el2_lsu_bus_buffer.scala 202:95] - node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 202:132] - node _T_101 = and(_T_99, _T_100) @[el2_lsu_bus_buffer.scala 202:114] + io.ld_byte_hit_buf_hi <= _T_85 @[el2_lsu_bus_buffer.scala 190:25] + node _T_86 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 192:110] + node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[el2_lsu_bus_buffer.scala 192:95] + node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 192:132] + node _T_89 = and(_T_87, _T_88) @[el2_lsu_bus_buffer.scala 192:114] + node _T_90 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 192:110] + node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[el2_lsu_bus_buffer.scala 192:95] + node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 192:132] + node _T_93 = and(_T_91, _T_92) @[el2_lsu_bus_buffer.scala 192:114] + node _T_94 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 192:110] + node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[el2_lsu_bus_buffer.scala 192:95] + node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 192:132] + node _T_97 = and(_T_95, _T_96) @[el2_lsu_bus_buffer.scala 192:114] + node _T_98 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 192:110] + node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[el2_lsu_bus_buffer.scala 192:95] + node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 192:132] + node _T_101 = and(_T_99, _T_100) @[el2_lsu_bus_buffer.scala 192:114] node _T_102 = cat(_T_101, _T_97) @[Cat.scala 29:58] node _T_103 = cat(_T_102, _T_93) @[Cat.scala 29:58] node ld_byte_hitvec_lo_0 = cat(_T_103, _T_89) @[Cat.scala 29:58] - node _T_104 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 202:110] - node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[el2_lsu_bus_buffer.scala 202:95] - node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 202:132] - node _T_107 = and(_T_105, _T_106) @[el2_lsu_bus_buffer.scala 202:114] - node _T_108 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 202:110] - node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[el2_lsu_bus_buffer.scala 202:95] - node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 202:132] - node _T_111 = and(_T_109, _T_110) @[el2_lsu_bus_buffer.scala 202:114] - node _T_112 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 202:110] - node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[el2_lsu_bus_buffer.scala 202:95] - node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 202:132] - node _T_115 = and(_T_113, _T_114) @[el2_lsu_bus_buffer.scala 202:114] - node _T_116 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 202:110] - node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[el2_lsu_bus_buffer.scala 202:95] - node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 202:132] - node _T_119 = and(_T_117, _T_118) @[el2_lsu_bus_buffer.scala 202:114] + node _T_104 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 192:110] + node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[el2_lsu_bus_buffer.scala 192:95] + node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 192:132] + node _T_107 = and(_T_105, _T_106) @[el2_lsu_bus_buffer.scala 192:114] + node _T_108 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 192:110] + node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[el2_lsu_bus_buffer.scala 192:95] + node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 192:132] + node _T_111 = and(_T_109, _T_110) @[el2_lsu_bus_buffer.scala 192:114] + node _T_112 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 192:110] + node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[el2_lsu_bus_buffer.scala 192:95] + node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 192:132] + node _T_115 = and(_T_113, _T_114) @[el2_lsu_bus_buffer.scala 192:114] + node _T_116 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 192:110] + node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[el2_lsu_bus_buffer.scala 192:95] + node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 192:132] + node _T_119 = and(_T_117, _T_118) @[el2_lsu_bus_buffer.scala 192:114] node _T_120 = cat(_T_119, _T_115) @[Cat.scala 29:58] node _T_121 = cat(_T_120, _T_111) @[Cat.scala 29:58] node ld_byte_hitvec_lo_1 = cat(_T_121, _T_107) @[Cat.scala 29:58] - node _T_122 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 202:110] - node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[el2_lsu_bus_buffer.scala 202:95] - node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 202:132] - node _T_125 = and(_T_123, _T_124) @[el2_lsu_bus_buffer.scala 202:114] - node _T_126 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 202:110] - node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[el2_lsu_bus_buffer.scala 202:95] - node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 202:132] - node _T_129 = and(_T_127, _T_128) @[el2_lsu_bus_buffer.scala 202:114] - node _T_130 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 202:110] - node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[el2_lsu_bus_buffer.scala 202:95] - node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 202:132] - node _T_133 = and(_T_131, _T_132) @[el2_lsu_bus_buffer.scala 202:114] - node _T_134 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 202:110] - node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[el2_lsu_bus_buffer.scala 202:95] - node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 202:132] - node _T_137 = and(_T_135, _T_136) @[el2_lsu_bus_buffer.scala 202:114] + node _T_122 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 192:110] + node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[el2_lsu_bus_buffer.scala 192:95] + node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 192:132] + node _T_125 = and(_T_123, _T_124) @[el2_lsu_bus_buffer.scala 192:114] + node _T_126 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 192:110] + node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[el2_lsu_bus_buffer.scala 192:95] + node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 192:132] + node _T_129 = and(_T_127, _T_128) @[el2_lsu_bus_buffer.scala 192:114] + node _T_130 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 192:110] + node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[el2_lsu_bus_buffer.scala 192:95] + node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 192:132] + node _T_133 = and(_T_131, _T_132) @[el2_lsu_bus_buffer.scala 192:114] + node _T_134 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 192:110] + node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[el2_lsu_bus_buffer.scala 192:95] + node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 192:132] + node _T_137 = and(_T_135, _T_136) @[el2_lsu_bus_buffer.scala 192:114] node _T_138 = cat(_T_137, _T_133) @[Cat.scala 29:58] node _T_139 = cat(_T_138, _T_129) @[Cat.scala 29:58] node ld_byte_hitvec_lo_2 = cat(_T_139, _T_125) @[Cat.scala 29:58] - node _T_140 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 202:110] - node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[el2_lsu_bus_buffer.scala 202:95] - node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 202:132] - node _T_143 = and(_T_141, _T_142) @[el2_lsu_bus_buffer.scala 202:114] - node _T_144 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 202:110] - node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[el2_lsu_bus_buffer.scala 202:95] - node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 202:132] - node _T_147 = and(_T_145, _T_146) @[el2_lsu_bus_buffer.scala 202:114] - node _T_148 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 202:110] - node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[el2_lsu_bus_buffer.scala 202:95] - node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 202:132] - node _T_151 = and(_T_149, _T_150) @[el2_lsu_bus_buffer.scala 202:114] - node _T_152 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 202:110] - node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[el2_lsu_bus_buffer.scala 202:95] - node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 202:132] - node _T_155 = and(_T_153, _T_154) @[el2_lsu_bus_buffer.scala 202:114] + node _T_140 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 192:110] + node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[el2_lsu_bus_buffer.scala 192:95] + node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 192:132] + node _T_143 = and(_T_141, _T_142) @[el2_lsu_bus_buffer.scala 192:114] + node _T_144 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 192:110] + node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[el2_lsu_bus_buffer.scala 192:95] + node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 192:132] + node _T_147 = and(_T_145, _T_146) @[el2_lsu_bus_buffer.scala 192:114] + node _T_148 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 192:110] + node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[el2_lsu_bus_buffer.scala 192:95] + node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 192:132] + node _T_151 = and(_T_149, _T_150) @[el2_lsu_bus_buffer.scala 192:114] + node _T_152 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 192:110] + node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[el2_lsu_bus_buffer.scala 192:95] + node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 192:132] + node _T_155 = and(_T_153, _T_154) @[el2_lsu_bus_buffer.scala 192:114] node _T_156 = cat(_T_155, _T_151) @[Cat.scala 29:58] node _T_157 = cat(_T_156, _T_147) @[Cat.scala 29:58] node ld_byte_hitvec_lo_3 = cat(_T_157, _T_143) @[Cat.scala 29:58] - node _T_158 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 203:110] - node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[el2_lsu_bus_buffer.scala 203:95] - node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 203:132] - node _T_161 = and(_T_159, _T_160) @[el2_lsu_bus_buffer.scala 203:114] - node _T_162 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 203:110] - node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[el2_lsu_bus_buffer.scala 203:95] - node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 203:132] - node _T_165 = and(_T_163, _T_164) @[el2_lsu_bus_buffer.scala 203:114] - node _T_166 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 203:110] - node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[el2_lsu_bus_buffer.scala 203:95] - node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 203:132] - node _T_169 = and(_T_167, _T_168) @[el2_lsu_bus_buffer.scala 203:114] - node _T_170 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 203:110] - node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[el2_lsu_bus_buffer.scala 203:95] - node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 203:132] - node _T_173 = and(_T_171, _T_172) @[el2_lsu_bus_buffer.scala 203:114] + node _T_158 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 193:110] + node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[el2_lsu_bus_buffer.scala 193:95] + node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 193:132] + node _T_161 = and(_T_159, _T_160) @[el2_lsu_bus_buffer.scala 193:114] + node _T_162 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 193:110] + node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[el2_lsu_bus_buffer.scala 193:95] + node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 193:132] + node _T_165 = and(_T_163, _T_164) @[el2_lsu_bus_buffer.scala 193:114] + node _T_166 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 193:110] + node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[el2_lsu_bus_buffer.scala 193:95] + node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 193:132] + node _T_169 = and(_T_167, _T_168) @[el2_lsu_bus_buffer.scala 193:114] + node _T_170 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 193:110] + node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[el2_lsu_bus_buffer.scala 193:95] + node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 193:132] + node _T_173 = and(_T_171, _T_172) @[el2_lsu_bus_buffer.scala 193:114] node _T_174 = cat(_T_173, _T_169) @[Cat.scala 29:58] node _T_175 = cat(_T_174, _T_165) @[Cat.scala 29:58] node ld_byte_hitvec_hi_0 = cat(_T_175, _T_161) @[Cat.scala 29:58] - node _T_176 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 203:110] - node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[el2_lsu_bus_buffer.scala 203:95] - node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 203:132] - node _T_179 = and(_T_177, _T_178) @[el2_lsu_bus_buffer.scala 203:114] - node _T_180 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 203:110] - node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[el2_lsu_bus_buffer.scala 203:95] - node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 203:132] - node _T_183 = and(_T_181, _T_182) @[el2_lsu_bus_buffer.scala 203:114] - node _T_184 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 203:110] - node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[el2_lsu_bus_buffer.scala 203:95] - node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 203:132] - node _T_187 = and(_T_185, _T_186) @[el2_lsu_bus_buffer.scala 203:114] - node _T_188 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 203:110] - node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[el2_lsu_bus_buffer.scala 203:95] - node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 203:132] - node _T_191 = and(_T_189, _T_190) @[el2_lsu_bus_buffer.scala 203:114] + node _T_176 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 193:110] + node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[el2_lsu_bus_buffer.scala 193:95] + node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 193:132] + node _T_179 = and(_T_177, _T_178) @[el2_lsu_bus_buffer.scala 193:114] + node _T_180 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 193:110] + node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[el2_lsu_bus_buffer.scala 193:95] + node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 193:132] + node _T_183 = and(_T_181, _T_182) @[el2_lsu_bus_buffer.scala 193:114] + node _T_184 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 193:110] + node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[el2_lsu_bus_buffer.scala 193:95] + node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 193:132] + node _T_187 = and(_T_185, _T_186) @[el2_lsu_bus_buffer.scala 193:114] + node _T_188 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 193:110] + node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[el2_lsu_bus_buffer.scala 193:95] + node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 193:132] + node _T_191 = and(_T_189, _T_190) @[el2_lsu_bus_buffer.scala 193:114] node _T_192 = cat(_T_191, _T_187) @[Cat.scala 29:58] node _T_193 = cat(_T_192, _T_183) @[Cat.scala 29:58] node ld_byte_hitvec_hi_1 = cat(_T_193, _T_179) @[Cat.scala 29:58] - node _T_194 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 203:110] - node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[el2_lsu_bus_buffer.scala 203:95] - node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 203:132] - node _T_197 = and(_T_195, _T_196) @[el2_lsu_bus_buffer.scala 203:114] - node _T_198 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 203:110] - node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[el2_lsu_bus_buffer.scala 203:95] - node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 203:132] - node _T_201 = and(_T_199, _T_200) @[el2_lsu_bus_buffer.scala 203:114] - node _T_202 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 203:110] - node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[el2_lsu_bus_buffer.scala 203:95] - node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 203:132] - node _T_205 = and(_T_203, _T_204) @[el2_lsu_bus_buffer.scala 203:114] - node _T_206 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 203:110] - node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[el2_lsu_bus_buffer.scala 203:95] - node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 203:132] - node _T_209 = and(_T_207, _T_208) @[el2_lsu_bus_buffer.scala 203:114] + node _T_194 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 193:110] + node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[el2_lsu_bus_buffer.scala 193:95] + node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 193:132] + node _T_197 = and(_T_195, _T_196) @[el2_lsu_bus_buffer.scala 193:114] + node _T_198 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 193:110] + node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[el2_lsu_bus_buffer.scala 193:95] + node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 193:132] + node _T_201 = and(_T_199, _T_200) @[el2_lsu_bus_buffer.scala 193:114] + node _T_202 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 193:110] + node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[el2_lsu_bus_buffer.scala 193:95] + node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 193:132] + node _T_205 = and(_T_203, _T_204) @[el2_lsu_bus_buffer.scala 193:114] + node _T_206 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 193:110] + node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[el2_lsu_bus_buffer.scala 193:95] + node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 193:132] + node _T_209 = and(_T_207, _T_208) @[el2_lsu_bus_buffer.scala 193:114] node _T_210 = cat(_T_209, _T_205) @[Cat.scala 29:58] node _T_211 = cat(_T_210, _T_201) @[Cat.scala 29:58] node ld_byte_hitvec_hi_2 = cat(_T_211, _T_197) @[Cat.scala 29:58] - node _T_212 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 203:110] - node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[el2_lsu_bus_buffer.scala 203:95] - node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 203:132] - node _T_215 = and(_T_213, _T_214) @[el2_lsu_bus_buffer.scala 203:114] - node _T_216 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 203:110] - node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[el2_lsu_bus_buffer.scala 203:95] - node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 203:132] - node _T_219 = and(_T_217, _T_218) @[el2_lsu_bus_buffer.scala 203:114] - node _T_220 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 203:110] - node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[el2_lsu_bus_buffer.scala 203:95] - node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 203:132] - node _T_223 = and(_T_221, _T_222) @[el2_lsu_bus_buffer.scala 203:114] - node _T_224 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 203:110] - node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[el2_lsu_bus_buffer.scala 203:95] - node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 203:132] - node _T_227 = and(_T_225, _T_226) @[el2_lsu_bus_buffer.scala 203:114] + node _T_212 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 193:110] + node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[el2_lsu_bus_buffer.scala 193:95] + node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 193:132] + node _T_215 = and(_T_213, _T_214) @[el2_lsu_bus_buffer.scala 193:114] + node _T_216 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 193:110] + node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[el2_lsu_bus_buffer.scala 193:95] + node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 193:132] + node _T_219 = and(_T_217, _T_218) @[el2_lsu_bus_buffer.scala 193:114] + node _T_220 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 193:110] + node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[el2_lsu_bus_buffer.scala 193:95] + node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 193:132] + node _T_223 = and(_T_221, _T_222) @[el2_lsu_bus_buffer.scala 193:114] + node _T_224 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 193:110] + node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[el2_lsu_bus_buffer.scala 193:95] + node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 193:132] + node _T_227 = and(_T_225, _T_226) @[el2_lsu_bus_buffer.scala 193:114] node _T_228 = cat(_T_227, _T_223) @[Cat.scala 29:58] node _T_229 = cat(_T_228, _T_219) @[Cat.scala 29:58] node ld_byte_hitvec_hi_3 = cat(_T_229, _T_215) @[Cat.scala 29:58] - wire buf_age_younger : UInt<4>[4] @[el2_lsu_bus_buffer.scala 205:29] - buf_age_younger[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 206:19] - buf_age_younger[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 206:19] - buf_age_younger[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 206:19] - buf_age_younger[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 206:19] - node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[el2_lsu_bus_buffer.scala 207:93] - node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 207:122] - node _T_232 = orr(_T_231) @[el2_lsu_bus_buffer.scala 207:144] - node _T_233 = eq(_T_232, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] - node _T_234 = and(_T_230, _T_233) @[el2_lsu_bus_buffer.scala 207:97] - node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 207:170] - node _T_236 = eq(_T_235, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] - node _T_237 = and(_T_234, _T_236) @[el2_lsu_bus_buffer.scala 207:148] - node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[el2_lsu_bus_buffer.scala 207:93] - node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 207:122] - node _T_240 = orr(_T_239) @[el2_lsu_bus_buffer.scala 207:144] - node _T_241 = eq(_T_240, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] - node _T_242 = and(_T_238, _T_241) @[el2_lsu_bus_buffer.scala 207:97] - node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 207:170] - node _T_244 = eq(_T_243, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] - node _T_245 = and(_T_242, _T_244) @[el2_lsu_bus_buffer.scala 207:148] - node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[el2_lsu_bus_buffer.scala 207:93] - node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 207:122] - node _T_248 = orr(_T_247) @[el2_lsu_bus_buffer.scala 207:144] - node _T_249 = eq(_T_248, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] - node _T_250 = and(_T_246, _T_249) @[el2_lsu_bus_buffer.scala 207:97] - node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 207:170] - node _T_252 = eq(_T_251, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] - node _T_253 = and(_T_250, _T_252) @[el2_lsu_bus_buffer.scala 207:148] - node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[el2_lsu_bus_buffer.scala 207:93] - node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 207:122] - node _T_256 = orr(_T_255) @[el2_lsu_bus_buffer.scala 207:144] - node _T_257 = eq(_T_256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] - node _T_258 = and(_T_254, _T_257) @[el2_lsu_bus_buffer.scala 207:97] - node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 207:170] - node _T_260 = eq(_T_259, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] - node _T_261 = and(_T_258, _T_260) @[el2_lsu_bus_buffer.scala 207:148] + wire buf_age_younger : UInt<4>[4] @[el2_lsu_bus_buffer.scala 195:29] + buf_age_younger[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 196:19] + buf_age_younger[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 196:19] + buf_age_younger[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 196:19] + buf_age_younger[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 196:19] + node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[el2_lsu_bus_buffer.scala 197:93] + node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 197:122] + node _T_232 = orr(_T_231) @[el2_lsu_bus_buffer.scala 197:144] + node _T_233 = eq(_T_232, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] + node _T_234 = and(_T_230, _T_233) @[el2_lsu_bus_buffer.scala 197:97] + node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 197:170] + node _T_236 = eq(_T_235, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] + node _T_237 = and(_T_234, _T_236) @[el2_lsu_bus_buffer.scala 197:148] + node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[el2_lsu_bus_buffer.scala 197:93] + node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 197:122] + node _T_240 = orr(_T_239) @[el2_lsu_bus_buffer.scala 197:144] + node _T_241 = eq(_T_240, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] + node _T_242 = and(_T_238, _T_241) @[el2_lsu_bus_buffer.scala 197:97] + node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 197:170] + node _T_244 = eq(_T_243, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] + node _T_245 = and(_T_242, _T_244) @[el2_lsu_bus_buffer.scala 197:148] + node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[el2_lsu_bus_buffer.scala 197:93] + node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 197:122] + node _T_248 = orr(_T_247) @[el2_lsu_bus_buffer.scala 197:144] + node _T_249 = eq(_T_248, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] + node _T_250 = and(_T_246, _T_249) @[el2_lsu_bus_buffer.scala 197:97] + node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 197:170] + node _T_252 = eq(_T_251, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] + node _T_253 = and(_T_250, _T_252) @[el2_lsu_bus_buffer.scala 197:148] + node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[el2_lsu_bus_buffer.scala 197:93] + node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 197:122] + node _T_256 = orr(_T_255) @[el2_lsu_bus_buffer.scala 197:144] + node _T_257 = eq(_T_256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] + node _T_258 = and(_T_254, _T_257) @[el2_lsu_bus_buffer.scala 197:97] + node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 197:170] + node _T_260 = eq(_T_259, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] + node _T_261 = and(_T_258, _T_260) @[el2_lsu_bus_buffer.scala 197:148] node _T_262 = cat(_T_261, _T_253) @[Cat.scala 29:58] node _T_263 = cat(_T_262, _T_245) @[Cat.scala 29:58] node _T_264 = cat(_T_263, _T_237) @[Cat.scala 29:58] - node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[el2_lsu_bus_buffer.scala 207:93] - node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 207:122] - node _T_267 = orr(_T_266) @[el2_lsu_bus_buffer.scala 207:144] - node _T_268 = eq(_T_267, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] - node _T_269 = and(_T_265, _T_268) @[el2_lsu_bus_buffer.scala 207:97] - node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 207:170] - node _T_271 = eq(_T_270, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] - node _T_272 = and(_T_269, _T_271) @[el2_lsu_bus_buffer.scala 207:148] - node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[el2_lsu_bus_buffer.scala 207:93] - node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 207:122] - node _T_275 = orr(_T_274) @[el2_lsu_bus_buffer.scala 207:144] - node _T_276 = eq(_T_275, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] - node _T_277 = and(_T_273, _T_276) @[el2_lsu_bus_buffer.scala 207:97] - node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 207:170] - node _T_279 = eq(_T_278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] - node _T_280 = and(_T_277, _T_279) @[el2_lsu_bus_buffer.scala 207:148] - node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[el2_lsu_bus_buffer.scala 207:93] - node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 207:122] - node _T_283 = orr(_T_282) @[el2_lsu_bus_buffer.scala 207:144] - node _T_284 = eq(_T_283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] - node _T_285 = and(_T_281, _T_284) @[el2_lsu_bus_buffer.scala 207:97] - node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 207:170] - node _T_287 = eq(_T_286, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] - node _T_288 = and(_T_285, _T_287) @[el2_lsu_bus_buffer.scala 207:148] - node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[el2_lsu_bus_buffer.scala 207:93] - node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 207:122] - node _T_291 = orr(_T_290) @[el2_lsu_bus_buffer.scala 207:144] - node _T_292 = eq(_T_291, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] - node _T_293 = and(_T_289, _T_292) @[el2_lsu_bus_buffer.scala 207:97] - node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 207:170] - node _T_295 = eq(_T_294, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] - node _T_296 = and(_T_293, _T_295) @[el2_lsu_bus_buffer.scala 207:148] + node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[el2_lsu_bus_buffer.scala 197:93] + node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 197:122] + node _T_267 = orr(_T_266) @[el2_lsu_bus_buffer.scala 197:144] + node _T_268 = eq(_T_267, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] + node _T_269 = and(_T_265, _T_268) @[el2_lsu_bus_buffer.scala 197:97] + node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 197:170] + node _T_271 = eq(_T_270, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] + node _T_272 = and(_T_269, _T_271) @[el2_lsu_bus_buffer.scala 197:148] + node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[el2_lsu_bus_buffer.scala 197:93] + node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 197:122] + node _T_275 = orr(_T_274) @[el2_lsu_bus_buffer.scala 197:144] + node _T_276 = eq(_T_275, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] + node _T_277 = and(_T_273, _T_276) @[el2_lsu_bus_buffer.scala 197:97] + node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 197:170] + node _T_279 = eq(_T_278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] + node _T_280 = and(_T_277, _T_279) @[el2_lsu_bus_buffer.scala 197:148] + node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[el2_lsu_bus_buffer.scala 197:93] + node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 197:122] + node _T_283 = orr(_T_282) @[el2_lsu_bus_buffer.scala 197:144] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] + node _T_285 = and(_T_281, _T_284) @[el2_lsu_bus_buffer.scala 197:97] + node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 197:170] + node _T_287 = eq(_T_286, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] + node _T_288 = and(_T_285, _T_287) @[el2_lsu_bus_buffer.scala 197:148] + node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[el2_lsu_bus_buffer.scala 197:93] + node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 197:122] + node _T_291 = orr(_T_290) @[el2_lsu_bus_buffer.scala 197:144] + node _T_292 = eq(_T_291, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] + node _T_293 = and(_T_289, _T_292) @[el2_lsu_bus_buffer.scala 197:97] + node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 197:170] + node _T_295 = eq(_T_294, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] + node _T_296 = and(_T_293, _T_295) @[el2_lsu_bus_buffer.scala 197:148] node _T_297 = cat(_T_296, _T_288) @[Cat.scala 29:58] node _T_298 = cat(_T_297, _T_280) @[Cat.scala 29:58] node _T_299 = cat(_T_298, _T_272) @[Cat.scala 29:58] - node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[el2_lsu_bus_buffer.scala 207:93] - node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 207:122] - node _T_302 = orr(_T_301) @[el2_lsu_bus_buffer.scala 207:144] - node _T_303 = eq(_T_302, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] - node _T_304 = and(_T_300, _T_303) @[el2_lsu_bus_buffer.scala 207:97] - node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 207:170] - node _T_306 = eq(_T_305, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] - node _T_307 = and(_T_304, _T_306) @[el2_lsu_bus_buffer.scala 207:148] - node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[el2_lsu_bus_buffer.scala 207:93] - node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 207:122] - node _T_310 = orr(_T_309) @[el2_lsu_bus_buffer.scala 207:144] - node _T_311 = eq(_T_310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] - node _T_312 = and(_T_308, _T_311) @[el2_lsu_bus_buffer.scala 207:97] - node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 207:170] - node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] - node _T_315 = and(_T_312, _T_314) @[el2_lsu_bus_buffer.scala 207:148] - node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[el2_lsu_bus_buffer.scala 207:93] - node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 207:122] - node _T_318 = orr(_T_317) @[el2_lsu_bus_buffer.scala 207:144] - node _T_319 = eq(_T_318, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] - node _T_320 = and(_T_316, _T_319) @[el2_lsu_bus_buffer.scala 207:97] - node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 207:170] - node _T_322 = eq(_T_321, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] - node _T_323 = and(_T_320, _T_322) @[el2_lsu_bus_buffer.scala 207:148] - node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[el2_lsu_bus_buffer.scala 207:93] - node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 207:122] - node _T_326 = orr(_T_325) @[el2_lsu_bus_buffer.scala 207:144] - node _T_327 = eq(_T_326, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] - node _T_328 = and(_T_324, _T_327) @[el2_lsu_bus_buffer.scala 207:97] - node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 207:170] - node _T_330 = eq(_T_329, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] - node _T_331 = and(_T_328, _T_330) @[el2_lsu_bus_buffer.scala 207:148] + node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[el2_lsu_bus_buffer.scala 197:93] + node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 197:122] + node _T_302 = orr(_T_301) @[el2_lsu_bus_buffer.scala 197:144] + node _T_303 = eq(_T_302, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] + node _T_304 = and(_T_300, _T_303) @[el2_lsu_bus_buffer.scala 197:97] + node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 197:170] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] + node _T_307 = and(_T_304, _T_306) @[el2_lsu_bus_buffer.scala 197:148] + node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[el2_lsu_bus_buffer.scala 197:93] + node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 197:122] + node _T_310 = orr(_T_309) @[el2_lsu_bus_buffer.scala 197:144] + node _T_311 = eq(_T_310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] + node _T_312 = and(_T_308, _T_311) @[el2_lsu_bus_buffer.scala 197:97] + node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 197:170] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] + node _T_315 = and(_T_312, _T_314) @[el2_lsu_bus_buffer.scala 197:148] + node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[el2_lsu_bus_buffer.scala 197:93] + node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 197:122] + node _T_318 = orr(_T_317) @[el2_lsu_bus_buffer.scala 197:144] + node _T_319 = eq(_T_318, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] + node _T_320 = and(_T_316, _T_319) @[el2_lsu_bus_buffer.scala 197:97] + node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 197:170] + node _T_322 = eq(_T_321, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] + node _T_323 = and(_T_320, _T_322) @[el2_lsu_bus_buffer.scala 197:148] + node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[el2_lsu_bus_buffer.scala 197:93] + node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 197:122] + node _T_326 = orr(_T_325) @[el2_lsu_bus_buffer.scala 197:144] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] + node _T_328 = and(_T_324, _T_327) @[el2_lsu_bus_buffer.scala 197:97] + node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 197:170] + node _T_330 = eq(_T_329, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] + node _T_331 = and(_T_328, _T_330) @[el2_lsu_bus_buffer.scala 197:148] node _T_332 = cat(_T_331, _T_323) @[Cat.scala 29:58] node _T_333 = cat(_T_332, _T_315) @[Cat.scala 29:58] node _T_334 = cat(_T_333, _T_307) @[Cat.scala 29:58] - node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[el2_lsu_bus_buffer.scala 207:93] - node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 207:122] - node _T_337 = orr(_T_336) @[el2_lsu_bus_buffer.scala 207:144] - node _T_338 = eq(_T_337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] - node _T_339 = and(_T_335, _T_338) @[el2_lsu_bus_buffer.scala 207:97] - node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 207:170] - node _T_341 = eq(_T_340, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] - node _T_342 = and(_T_339, _T_341) @[el2_lsu_bus_buffer.scala 207:148] - node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[el2_lsu_bus_buffer.scala 207:93] - node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 207:122] - node _T_345 = orr(_T_344) @[el2_lsu_bus_buffer.scala 207:144] - node _T_346 = eq(_T_345, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] - node _T_347 = and(_T_343, _T_346) @[el2_lsu_bus_buffer.scala 207:97] - node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 207:170] - node _T_349 = eq(_T_348, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] - node _T_350 = and(_T_347, _T_349) @[el2_lsu_bus_buffer.scala 207:148] - node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[el2_lsu_bus_buffer.scala 207:93] - node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 207:122] - node _T_353 = orr(_T_352) @[el2_lsu_bus_buffer.scala 207:144] - node _T_354 = eq(_T_353, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] - node _T_355 = and(_T_351, _T_354) @[el2_lsu_bus_buffer.scala 207:97] - node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 207:170] - node _T_357 = eq(_T_356, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] - node _T_358 = and(_T_355, _T_357) @[el2_lsu_bus_buffer.scala 207:148] - node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[el2_lsu_bus_buffer.scala 207:93] - node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 207:122] - node _T_361 = orr(_T_360) @[el2_lsu_bus_buffer.scala 207:144] - node _T_362 = eq(_T_361, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:99] - node _T_363 = and(_T_359, _T_362) @[el2_lsu_bus_buffer.scala 207:97] - node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 207:170] - node _T_365 = eq(_T_364, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 207:150] - node _T_366 = and(_T_363, _T_365) @[el2_lsu_bus_buffer.scala 207:148] + node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[el2_lsu_bus_buffer.scala 197:93] + node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 197:122] + node _T_337 = orr(_T_336) @[el2_lsu_bus_buffer.scala 197:144] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] + node _T_339 = and(_T_335, _T_338) @[el2_lsu_bus_buffer.scala 197:97] + node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 197:170] + node _T_341 = eq(_T_340, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] + node _T_342 = and(_T_339, _T_341) @[el2_lsu_bus_buffer.scala 197:148] + node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[el2_lsu_bus_buffer.scala 197:93] + node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 197:122] + node _T_345 = orr(_T_344) @[el2_lsu_bus_buffer.scala 197:144] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] + node _T_347 = and(_T_343, _T_346) @[el2_lsu_bus_buffer.scala 197:97] + node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 197:170] + node _T_349 = eq(_T_348, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] + node _T_350 = and(_T_347, _T_349) @[el2_lsu_bus_buffer.scala 197:148] + node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[el2_lsu_bus_buffer.scala 197:93] + node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 197:122] + node _T_353 = orr(_T_352) @[el2_lsu_bus_buffer.scala 197:144] + node _T_354 = eq(_T_353, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] + node _T_355 = and(_T_351, _T_354) @[el2_lsu_bus_buffer.scala 197:97] + node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 197:170] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] + node _T_358 = and(_T_355, _T_357) @[el2_lsu_bus_buffer.scala 197:148] + node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[el2_lsu_bus_buffer.scala 197:93] + node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 197:122] + node _T_361 = orr(_T_360) @[el2_lsu_bus_buffer.scala 197:144] + node _T_362 = eq(_T_361, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] + node _T_363 = and(_T_359, _T_362) @[el2_lsu_bus_buffer.scala 197:97] + node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 197:170] + node _T_365 = eq(_T_364, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] + node _T_366 = and(_T_363, _T_365) @[el2_lsu_bus_buffer.scala 197:148] node _T_367 = cat(_T_366, _T_358) @[Cat.scala 29:58] node _T_368 = cat(_T_367, _T_350) @[Cat.scala 29:58] node _T_369 = cat(_T_368, _T_342) @[Cat.scala 29:58] - ld_byte_hitvecfn_lo[0] <= _T_264 @[el2_lsu_bus_buffer.scala 207:23] - ld_byte_hitvecfn_lo[1] <= _T_299 @[el2_lsu_bus_buffer.scala 207:23] - ld_byte_hitvecfn_lo[2] <= _T_334 @[el2_lsu_bus_buffer.scala 207:23] - ld_byte_hitvecfn_lo[3] <= _T_369 @[el2_lsu_bus_buffer.scala 207:23] - node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[el2_lsu_bus_buffer.scala 208:93] - node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 208:122] - node _T_372 = orr(_T_371) @[el2_lsu_bus_buffer.scala 208:144] - node _T_373 = eq(_T_372, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:99] - node _T_374 = and(_T_370, _T_373) @[el2_lsu_bus_buffer.scala 208:97] - node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 208:170] - node _T_376 = eq(_T_375, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:150] - node _T_377 = and(_T_374, _T_376) @[el2_lsu_bus_buffer.scala 208:148] - node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[el2_lsu_bus_buffer.scala 208:93] - node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 208:122] - node _T_380 = orr(_T_379) @[el2_lsu_bus_buffer.scala 208:144] - node _T_381 = eq(_T_380, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:99] - node _T_382 = and(_T_378, _T_381) @[el2_lsu_bus_buffer.scala 208:97] - node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 208:170] - node _T_384 = eq(_T_383, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:150] - node _T_385 = and(_T_382, _T_384) @[el2_lsu_bus_buffer.scala 208:148] - node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[el2_lsu_bus_buffer.scala 208:93] - node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 208:122] - node _T_388 = orr(_T_387) @[el2_lsu_bus_buffer.scala 208:144] - node _T_389 = eq(_T_388, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:99] - node _T_390 = and(_T_386, _T_389) @[el2_lsu_bus_buffer.scala 208:97] - node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 208:170] - node _T_392 = eq(_T_391, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:150] - node _T_393 = and(_T_390, _T_392) @[el2_lsu_bus_buffer.scala 208:148] - node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[el2_lsu_bus_buffer.scala 208:93] - node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 208:122] - node _T_396 = orr(_T_395) @[el2_lsu_bus_buffer.scala 208:144] - node _T_397 = eq(_T_396, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:99] - node _T_398 = and(_T_394, _T_397) @[el2_lsu_bus_buffer.scala 208:97] - node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 208:170] - node _T_400 = eq(_T_399, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:150] - node _T_401 = and(_T_398, _T_400) @[el2_lsu_bus_buffer.scala 208:148] + ld_byte_hitvecfn_lo[0] <= _T_264 @[el2_lsu_bus_buffer.scala 197:23] + ld_byte_hitvecfn_lo[1] <= _T_299 @[el2_lsu_bus_buffer.scala 197:23] + ld_byte_hitvecfn_lo[2] <= _T_334 @[el2_lsu_bus_buffer.scala 197:23] + ld_byte_hitvecfn_lo[3] <= _T_369 @[el2_lsu_bus_buffer.scala 197:23] + node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[el2_lsu_bus_buffer.scala 198:93] + node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_372 = orr(_T_371) @[el2_lsu_bus_buffer.scala 198:144] + node _T_373 = eq(_T_372, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_374 = and(_T_370, _T_373) @[el2_lsu_bus_buffer.scala 198:97] + node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 198:170] + node _T_376 = eq(_T_375, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_377 = and(_T_374, _T_376) @[el2_lsu_bus_buffer.scala 198:148] + node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[el2_lsu_bus_buffer.scala 198:93] + node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_380 = orr(_T_379) @[el2_lsu_bus_buffer.scala 198:144] + node _T_381 = eq(_T_380, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_382 = and(_T_378, _T_381) @[el2_lsu_bus_buffer.scala 198:97] + node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 198:170] + node _T_384 = eq(_T_383, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_385 = and(_T_382, _T_384) @[el2_lsu_bus_buffer.scala 198:148] + node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[el2_lsu_bus_buffer.scala 198:93] + node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_388 = orr(_T_387) @[el2_lsu_bus_buffer.scala 198:144] + node _T_389 = eq(_T_388, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_390 = and(_T_386, _T_389) @[el2_lsu_bus_buffer.scala 198:97] + node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 198:170] + node _T_392 = eq(_T_391, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_393 = and(_T_390, _T_392) @[el2_lsu_bus_buffer.scala 198:148] + node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[el2_lsu_bus_buffer.scala 198:93] + node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_396 = orr(_T_395) @[el2_lsu_bus_buffer.scala 198:144] + node _T_397 = eq(_T_396, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_398 = and(_T_394, _T_397) @[el2_lsu_bus_buffer.scala 198:97] + node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 198:170] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_401 = and(_T_398, _T_400) @[el2_lsu_bus_buffer.scala 198:148] node _T_402 = cat(_T_401, _T_393) @[Cat.scala 29:58] node _T_403 = cat(_T_402, _T_385) @[Cat.scala 29:58] node _T_404 = cat(_T_403, _T_377) @[Cat.scala 29:58] - node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[el2_lsu_bus_buffer.scala 208:93] - node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 208:122] - node _T_407 = orr(_T_406) @[el2_lsu_bus_buffer.scala 208:144] - node _T_408 = eq(_T_407, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:99] - node _T_409 = and(_T_405, _T_408) @[el2_lsu_bus_buffer.scala 208:97] - node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 208:170] - node _T_411 = eq(_T_410, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:150] - node _T_412 = and(_T_409, _T_411) @[el2_lsu_bus_buffer.scala 208:148] - node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[el2_lsu_bus_buffer.scala 208:93] - node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 208:122] - node _T_415 = orr(_T_414) @[el2_lsu_bus_buffer.scala 208:144] - node _T_416 = eq(_T_415, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:99] - node _T_417 = and(_T_413, _T_416) @[el2_lsu_bus_buffer.scala 208:97] - node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 208:170] - node _T_419 = eq(_T_418, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:150] - node _T_420 = and(_T_417, _T_419) @[el2_lsu_bus_buffer.scala 208:148] - node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[el2_lsu_bus_buffer.scala 208:93] - node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 208:122] - node _T_423 = orr(_T_422) @[el2_lsu_bus_buffer.scala 208:144] - node _T_424 = eq(_T_423, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:99] - node _T_425 = and(_T_421, _T_424) @[el2_lsu_bus_buffer.scala 208:97] - node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 208:170] - node _T_427 = eq(_T_426, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:150] - node _T_428 = and(_T_425, _T_427) @[el2_lsu_bus_buffer.scala 208:148] - node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[el2_lsu_bus_buffer.scala 208:93] - node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 208:122] - node _T_431 = orr(_T_430) @[el2_lsu_bus_buffer.scala 208:144] - node _T_432 = eq(_T_431, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:99] - node _T_433 = and(_T_429, _T_432) @[el2_lsu_bus_buffer.scala 208:97] - node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 208:170] - node _T_435 = eq(_T_434, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:150] - node _T_436 = and(_T_433, _T_435) @[el2_lsu_bus_buffer.scala 208:148] + node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[el2_lsu_bus_buffer.scala 198:93] + node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_407 = orr(_T_406) @[el2_lsu_bus_buffer.scala 198:144] + node _T_408 = eq(_T_407, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_409 = and(_T_405, _T_408) @[el2_lsu_bus_buffer.scala 198:97] + node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 198:170] + node _T_411 = eq(_T_410, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_412 = and(_T_409, _T_411) @[el2_lsu_bus_buffer.scala 198:148] + node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[el2_lsu_bus_buffer.scala 198:93] + node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_415 = orr(_T_414) @[el2_lsu_bus_buffer.scala 198:144] + node _T_416 = eq(_T_415, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_417 = and(_T_413, _T_416) @[el2_lsu_bus_buffer.scala 198:97] + node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 198:170] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_420 = and(_T_417, _T_419) @[el2_lsu_bus_buffer.scala 198:148] + node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[el2_lsu_bus_buffer.scala 198:93] + node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_423 = orr(_T_422) @[el2_lsu_bus_buffer.scala 198:144] + node _T_424 = eq(_T_423, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_425 = and(_T_421, _T_424) @[el2_lsu_bus_buffer.scala 198:97] + node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 198:170] + node _T_427 = eq(_T_426, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_428 = and(_T_425, _T_427) @[el2_lsu_bus_buffer.scala 198:148] + node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[el2_lsu_bus_buffer.scala 198:93] + node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_431 = orr(_T_430) @[el2_lsu_bus_buffer.scala 198:144] + node _T_432 = eq(_T_431, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_433 = and(_T_429, _T_432) @[el2_lsu_bus_buffer.scala 198:97] + node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 198:170] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_436 = and(_T_433, _T_435) @[el2_lsu_bus_buffer.scala 198:148] node _T_437 = cat(_T_436, _T_428) @[Cat.scala 29:58] node _T_438 = cat(_T_437, _T_420) @[Cat.scala 29:58] node _T_439 = cat(_T_438, _T_412) @[Cat.scala 29:58] - node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[el2_lsu_bus_buffer.scala 208:93] - node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 208:122] - node _T_442 = orr(_T_441) @[el2_lsu_bus_buffer.scala 208:144] - node _T_443 = eq(_T_442, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:99] - node _T_444 = and(_T_440, _T_443) @[el2_lsu_bus_buffer.scala 208:97] - node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 208:170] - node _T_446 = eq(_T_445, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:150] - node _T_447 = and(_T_444, _T_446) @[el2_lsu_bus_buffer.scala 208:148] - node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[el2_lsu_bus_buffer.scala 208:93] - node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 208:122] - node _T_450 = orr(_T_449) @[el2_lsu_bus_buffer.scala 208:144] - node _T_451 = eq(_T_450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:99] - node _T_452 = and(_T_448, _T_451) @[el2_lsu_bus_buffer.scala 208:97] - node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 208:170] - node _T_454 = eq(_T_453, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:150] - node _T_455 = and(_T_452, _T_454) @[el2_lsu_bus_buffer.scala 208:148] - node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[el2_lsu_bus_buffer.scala 208:93] - node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 208:122] - node _T_458 = orr(_T_457) @[el2_lsu_bus_buffer.scala 208:144] - node _T_459 = eq(_T_458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:99] - node _T_460 = and(_T_456, _T_459) @[el2_lsu_bus_buffer.scala 208:97] - node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 208:170] - node _T_462 = eq(_T_461, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:150] - node _T_463 = and(_T_460, _T_462) @[el2_lsu_bus_buffer.scala 208:148] - node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[el2_lsu_bus_buffer.scala 208:93] - node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 208:122] - node _T_466 = orr(_T_465) @[el2_lsu_bus_buffer.scala 208:144] - node _T_467 = eq(_T_466, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:99] - node _T_468 = and(_T_464, _T_467) @[el2_lsu_bus_buffer.scala 208:97] - node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 208:170] - node _T_470 = eq(_T_469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:150] - node _T_471 = and(_T_468, _T_470) @[el2_lsu_bus_buffer.scala 208:148] + node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[el2_lsu_bus_buffer.scala 198:93] + node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_442 = orr(_T_441) @[el2_lsu_bus_buffer.scala 198:144] + node _T_443 = eq(_T_442, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_444 = and(_T_440, _T_443) @[el2_lsu_bus_buffer.scala 198:97] + node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 198:170] + node _T_446 = eq(_T_445, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_447 = and(_T_444, _T_446) @[el2_lsu_bus_buffer.scala 198:148] + node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[el2_lsu_bus_buffer.scala 198:93] + node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_450 = orr(_T_449) @[el2_lsu_bus_buffer.scala 198:144] + node _T_451 = eq(_T_450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_452 = and(_T_448, _T_451) @[el2_lsu_bus_buffer.scala 198:97] + node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 198:170] + node _T_454 = eq(_T_453, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_455 = and(_T_452, _T_454) @[el2_lsu_bus_buffer.scala 198:148] + node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[el2_lsu_bus_buffer.scala 198:93] + node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_458 = orr(_T_457) @[el2_lsu_bus_buffer.scala 198:144] + node _T_459 = eq(_T_458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_460 = and(_T_456, _T_459) @[el2_lsu_bus_buffer.scala 198:97] + node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 198:170] + node _T_462 = eq(_T_461, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_463 = and(_T_460, _T_462) @[el2_lsu_bus_buffer.scala 198:148] + node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[el2_lsu_bus_buffer.scala 198:93] + node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_466 = orr(_T_465) @[el2_lsu_bus_buffer.scala 198:144] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_468 = and(_T_464, _T_467) @[el2_lsu_bus_buffer.scala 198:97] + node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 198:170] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_471 = and(_T_468, _T_470) @[el2_lsu_bus_buffer.scala 198:148] node _T_472 = cat(_T_471, _T_463) @[Cat.scala 29:58] node _T_473 = cat(_T_472, _T_455) @[Cat.scala 29:58] node _T_474 = cat(_T_473, _T_447) @[Cat.scala 29:58] - node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[el2_lsu_bus_buffer.scala 208:93] - node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 208:122] - node _T_477 = orr(_T_476) @[el2_lsu_bus_buffer.scala 208:144] - node _T_478 = eq(_T_477, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:99] - node _T_479 = and(_T_475, _T_478) @[el2_lsu_bus_buffer.scala 208:97] - node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 208:170] - node _T_481 = eq(_T_480, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:150] - node _T_482 = and(_T_479, _T_481) @[el2_lsu_bus_buffer.scala 208:148] - node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[el2_lsu_bus_buffer.scala 208:93] - node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 208:122] - node _T_485 = orr(_T_484) @[el2_lsu_bus_buffer.scala 208:144] - node _T_486 = eq(_T_485, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:99] - node _T_487 = and(_T_483, _T_486) @[el2_lsu_bus_buffer.scala 208:97] - node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 208:170] - node _T_489 = eq(_T_488, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:150] - node _T_490 = and(_T_487, _T_489) @[el2_lsu_bus_buffer.scala 208:148] - node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[el2_lsu_bus_buffer.scala 208:93] - node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 208:122] - node _T_493 = orr(_T_492) @[el2_lsu_bus_buffer.scala 208:144] - node _T_494 = eq(_T_493, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:99] - node _T_495 = and(_T_491, _T_494) @[el2_lsu_bus_buffer.scala 208:97] - node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 208:170] - node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:150] - node _T_498 = and(_T_495, _T_497) @[el2_lsu_bus_buffer.scala 208:148] - node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[el2_lsu_bus_buffer.scala 208:93] - node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 208:122] - node _T_501 = orr(_T_500) @[el2_lsu_bus_buffer.scala 208:144] - node _T_502 = eq(_T_501, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:99] - node _T_503 = and(_T_499, _T_502) @[el2_lsu_bus_buffer.scala 208:97] - node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 208:170] - node _T_505 = eq(_T_504, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 208:150] - node _T_506 = and(_T_503, _T_505) @[el2_lsu_bus_buffer.scala 208:148] + node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[el2_lsu_bus_buffer.scala 198:93] + node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_477 = orr(_T_476) @[el2_lsu_bus_buffer.scala 198:144] + node _T_478 = eq(_T_477, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_479 = and(_T_475, _T_478) @[el2_lsu_bus_buffer.scala 198:97] + node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 198:170] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_482 = and(_T_479, _T_481) @[el2_lsu_bus_buffer.scala 198:148] + node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[el2_lsu_bus_buffer.scala 198:93] + node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_485 = orr(_T_484) @[el2_lsu_bus_buffer.scala 198:144] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_487 = and(_T_483, _T_486) @[el2_lsu_bus_buffer.scala 198:97] + node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 198:170] + node _T_489 = eq(_T_488, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_490 = and(_T_487, _T_489) @[el2_lsu_bus_buffer.scala 198:148] + node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[el2_lsu_bus_buffer.scala 198:93] + node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_493 = orr(_T_492) @[el2_lsu_bus_buffer.scala 198:144] + node _T_494 = eq(_T_493, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_495 = and(_T_491, _T_494) @[el2_lsu_bus_buffer.scala 198:97] + node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 198:170] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_498 = and(_T_495, _T_497) @[el2_lsu_bus_buffer.scala 198:148] + node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[el2_lsu_bus_buffer.scala 198:93] + node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_501 = orr(_T_500) @[el2_lsu_bus_buffer.scala 198:144] + node _T_502 = eq(_T_501, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_503 = and(_T_499, _T_502) @[el2_lsu_bus_buffer.scala 198:97] + node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 198:170] + node _T_505 = eq(_T_504, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_506 = and(_T_503, _T_505) @[el2_lsu_bus_buffer.scala 198:148] node _T_507 = cat(_T_506, _T_498) @[Cat.scala 29:58] node _T_508 = cat(_T_507, _T_490) @[Cat.scala 29:58] node _T_509 = cat(_T_508, _T_482) @[Cat.scala 29:58] - ld_byte_hitvecfn_hi[0] <= _T_404 @[el2_lsu_bus_buffer.scala 208:23] - ld_byte_hitvecfn_hi[1] <= _T_439 @[el2_lsu_bus_buffer.scala 208:23] - ld_byte_hitvecfn_hi[2] <= _T_474 @[el2_lsu_bus_buffer.scala 208:23] - ld_byte_hitvecfn_hi[3] <= _T_509 @[el2_lsu_bus_buffer.scala 208:23] + ld_byte_hitvecfn_hi[0] <= _T_404 @[el2_lsu_bus_buffer.scala 198:23] + ld_byte_hitvecfn_hi[1] <= _T_439 @[el2_lsu_bus_buffer.scala 198:23] + ld_byte_hitvecfn_hi[2] <= _T_474 @[el2_lsu_bus_buffer.scala 198:23] + ld_byte_hitvecfn_hi[3] <= _T_509 @[el2_lsu_bus_buffer.scala 198:23] wire ibuf_addr : UInt<32> ibuf_addr <= UInt<1>("h00") wire ibuf_write : UInt<1> ibuf_write <= UInt<1>("h00") wire ibuf_valid : UInt<1> ibuf_valid <= UInt<1>("h00") - node _T_510 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 213:43] - node _T_511 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 213:64] - node _T_512 = eq(_T_510, _T_511) @[el2_lsu_bus_buffer.scala 213:51] - node _T_513 = and(_T_512, ibuf_write) @[el2_lsu_bus_buffer.scala 213:73] - node _T_514 = and(_T_513, ibuf_valid) @[el2_lsu_bus_buffer.scala 213:86] - node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 213:99] - node _T_515 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 214:43] - node _T_516 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 214:64] - node _T_517 = eq(_T_515, _T_516) @[el2_lsu_bus_buffer.scala 214:51] - node _T_518 = and(_T_517, ibuf_write) @[el2_lsu_bus_buffer.scala 214:73] - node _T_519 = and(_T_518, ibuf_valid) @[el2_lsu_bus_buffer.scala 214:86] - node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 214:99] + node _T_510 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 203:43] + node _T_511 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 203:64] + node _T_512 = eq(_T_510, _T_511) @[el2_lsu_bus_buffer.scala 203:51] + node _T_513 = and(_T_512, ibuf_write) @[el2_lsu_bus_buffer.scala 203:73] + node _T_514 = and(_T_513, ibuf_valid) @[el2_lsu_bus_buffer.scala 203:86] + node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 203:99] + node _T_515 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 204:43] + node _T_516 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 204:64] + node _T_517 = eq(_T_515, _T_516) @[el2_lsu_bus_buffer.scala 204:51] + node _T_518 = and(_T_517, ibuf_write) @[el2_lsu_bus_buffer.scala 204:73] + node _T_519 = and(_T_518, ibuf_valid) @[el2_lsu_bus_buffer.scala 204:86] + node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 204:99] wire ibuf_byteen : UInt<4> ibuf_byteen <= UInt<1>("h00") node _T_520 = bits(ld_addr_ibuf_hit_lo, 0, 0) @[Bitwise.scala 72:15] node _T_521 = mux(_T_520, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_522 = and(_T_521, ibuf_byteen) @[el2_lsu_bus_buffer.scala 218:55] - node _T_523 = and(_T_522, ldst_byteen_lo_m) @[el2_lsu_bus_buffer.scala 218:69] - ld_byte_ibuf_hit_lo <= _T_523 @[el2_lsu_bus_buffer.scala 218:23] + node _T_522 = and(_T_521, ibuf_byteen) @[el2_lsu_bus_buffer.scala 208:55] + node _T_523 = and(_T_522, ldst_byteen_lo_m) @[el2_lsu_bus_buffer.scala 208:69] + ld_byte_ibuf_hit_lo <= _T_523 @[el2_lsu_bus_buffer.scala 208:23] node _T_524 = bits(ld_addr_ibuf_hit_hi, 0, 0) @[Bitwise.scala 72:15] node _T_525 = mux(_T_524, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_526 = and(_T_525, ibuf_byteen) @[el2_lsu_bus_buffer.scala 219:55] - node _T_527 = and(_T_526, ldst_byteen_hi_m) @[el2_lsu_bus_buffer.scala 219:69] - ld_byte_ibuf_hit_hi <= _T_527 @[el2_lsu_bus_buffer.scala 219:23] - wire buf_data : UInt<32>[4] @[el2_lsu_bus_buffer.scala 221:22] - buf_data[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 222:12] - buf_data[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 222:12] - buf_data[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 222:12] - buf_data[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 222:12] + node _T_526 = and(_T_525, ibuf_byteen) @[el2_lsu_bus_buffer.scala 209:55] + node _T_527 = and(_T_526, ldst_byteen_hi_m) @[el2_lsu_bus_buffer.scala 209:69] + ld_byte_ibuf_hit_hi <= _T_527 @[el2_lsu_bus_buffer.scala 209:23] + wire buf_data : UInt<32>[4] @[el2_lsu_bus_buffer.scala 211:22] + buf_data[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 212:12] + buf_data[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 212:12] + buf_data[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 212:12] + buf_data[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 212:12] wire fwd_data : UInt<32> fwd_data <= UInt<1>("h00") - node _T_528 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 224:81] + node _T_528 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 214:81] node _T_529 = bits(_T_528, 0, 0) @[Bitwise.scala 72:15] node _T_530 = mux(_T_529, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_531 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 224:81] + node _T_531 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 214:81] node _T_532 = bits(_T_531, 0, 0) @[Bitwise.scala 72:15] node _T_533 = mux(_T_532, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_534 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 224:81] + node _T_534 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 214:81] node _T_535 = bits(_T_534, 0, 0) @[Bitwise.scala 72:15] node _T_536 = mux(_T_535, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_537 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 224:81] + node _T_537 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 214:81] node _T_538 = bits(_T_537, 0, 0) @[Bitwise.scala 72:15] node _T_539 = mux(_T_538, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] node _T_540 = cat(_T_539, _T_536) @[Cat.scala 29:58] node _T_541 = cat(_T_540, _T_533) @[Cat.scala 29:58] node ld_fwddata_buf_lo_initial = cat(_T_541, _T_530) @[Cat.scala 29:58] - node _T_542 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 225:81] + node _T_542 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 215:81] node _T_543 = bits(_T_542, 0, 0) @[Bitwise.scala 72:15] node _T_544 = mux(_T_543, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_545 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 225:81] + node _T_545 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 215:81] node _T_546 = bits(_T_545, 0, 0) @[Bitwise.scala 72:15] node _T_547 = mux(_T_546, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_548 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 225:81] + node _T_548 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 215:81] node _T_549 = bits(_T_548, 0, 0) @[Bitwise.scala 72:15] node _T_550 = mux(_T_549, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_551 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 225:81] + node _T_551 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 215:81] node _T_552 = bits(_T_551, 0, 0) @[Bitwise.scala 72:15] node _T_553 = mux(_T_552, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] node _T_554 = cat(_T_553, _T_550) @[Cat.scala 29:58] node _T_555 = cat(_T_554, _T_547) @[Cat.scala 29:58] node ld_fwddata_buf_hi_initial = cat(_T_555, _T_544) @[Cat.scala 29:58] - node _T_556 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[el2_lsu_bus_buffer.scala 226:86] + node _T_556 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[el2_lsu_bus_buffer.scala 216:86] node _T_557 = bits(_T_556, 0, 0) @[Bitwise.scala 72:15] node _T_558 = mux(_T_557, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_559 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 226:104] - node _T_560 = and(_T_558, _T_559) @[el2_lsu_bus_buffer.scala 226:91] - node _T_561 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[el2_lsu_bus_buffer.scala 226:86] + node _T_559 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 216:104] + node _T_560 = and(_T_558, _T_559) @[el2_lsu_bus_buffer.scala 216:91] + node _T_561 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[el2_lsu_bus_buffer.scala 216:86] node _T_562 = bits(_T_561, 0, 0) @[Bitwise.scala 72:15] node _T_563 = mux(_T_562, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_564 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 226:104] - node _T_565 = and(_T_563, _T_564) @[el2_lsu_bus_buffer.scala 226:91] - node _T_566 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[el2_lsu_bus_buffer.scala 226:86] + node _T_564 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 216:104] + node _T_565 = and(_T_563, _T_564) @[el2_lsu_bus_buffer.scala 216:91] + node _T_566 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[el2_lsu_bus_buffer.scala 216:86] node _T_567 = bits(_T_566, 0, 0) @[Bitwise.scala 72:15] node _T_568 = mux(_T_567, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_569 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 226:104] - node _T_570 = and(_T_568, _T_569) @[el2_lsu_bus_buffer.scala 226:91] - node _T_571 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[el2_lsu_bus_buffer.scala 226:86] + node _T_569 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 216:104] + node _T_570 = and(_T_568, _T_569) @[el2_lsu_bus_buffer.scala 216:91] + node _T_571 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[el2_lsu_bus_buffer.scala 216:86] node _T_572 = bits(_T_571, 0, 0) @[Bitwise.scala 72:15] node _T_573 = mux(_T_572, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_574 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 226:104] - node _T_575 = and(_T_573, _T_574) @[el2_lsu_bus_buffer.scala 226:91] - node _T_576 = or(_T_560, _T_565) @[el2_lsu_bus_buffer.scala 226:123] - node _T_577 = or(_T_576, _T_570) @[el2_lsu_bus_buffer.scala 226:123] - node _T_578 = or(_T_577, _T_575) @[el2_lsu_bus_buffer.scala 226:123] - node _T_579 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[el2_lsu_bus_buffer.scala 227:60] + node _T_574 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 216:104] + node _T_575 = and(_T_573, _T_574) @[el2_lsu_bus_buffer.scala 216:91] + node _T_576 = or(_T_560, _T_565) @[el2_lsu_bus_buffer.scala 216:123] + node _T_577 = or(_T_576, _T_570) @[el2_lsu_bus_buffer.scala 216:123] + node _T_578 = or(_T_577, _T_575) @[el2_lsu_bus_buffer.scala 216:123] + node _T_579 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[el2_lsu_bus_buffer.scala 217:60] node _T_580 = bits(_T_579, 0, 0) @[Bitwise.scala 72:15] node _T_581 = mux(_T_580, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_582 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 227:78] - node _T_583 = and(_T_581, _T_582) @[el2_lsu_bus_buffer.scala 227:65] - node _T_584 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[el2_lsu_bus_buffer.scala 227:60] + node _T_582 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 217:78] + node _T_583 = and(_T_581, _T_582) @[el2_lsu_bus_buffer.scala 217:65] + node _T_584 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[el2_lsu_bus_buffer.scala 217:60] node _T_585 = bits(_T_584, 0, 0) @[Bitwise.scala 72:15] node _T_586 = mux(_T_585, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_587 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 227:78] - node _T_588 = and(_T_586, _T_587) @[el2_lsu_bus_buffer.scala 227:65] - node _T_589 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[el2_lsu_bus_buffer.scala 227:60] + node _T_587 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 217:78] + node _T_588 = and(_T_586, _T_587) @[el2_lsu_bus_buffer.scala 217:65] + node _T_589 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[el2_lsu_bus_buffer.scala 217:60] node _T_590 = bits(_T_589, 0, 0) @[Bitwise.scala 72:15] node _T_591 = mux(_T_590, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_592 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 227:78] - node _T_593 = and(_T_591, _T_592) @[el2_lsu_bus_buffer.scala 227:65] - node _T_594 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[el2_lsu_bus_buffer.scala 227:60] + node _T_592 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 217:78] + node _T_593 = and(_T_591, _T_592) @[el2_lsu_bus_buffer.scala 217:65] + node _T_594 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[el2_lsu_bus_buffer.scala 217:60] node _T_595 = bits(_T_594, 0, 0) @[Bitwise.scala 72:15] node _T_596 = mux(_T_595, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_597 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 227:78] - node _T_598 = and(_T_596, _T_597) @[el2_lsu_bus_buffer.scala 227:65] - node _T_599 = or(_T_583, _T_588) @[el2_lsu_bus_buffer.scala 227:97] - node _T_600 = or(_T_599, _T_593) @[el2_lsu_bus_buffer.scala 227:97] - node _T_601 = or(_T_600, _T_598) @[el2_lsu_bus_buffer.scala 227:97] - node _T_602 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[el2_lsu_bus_buffer.scala 228:60] + node _T_597 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 217:78] + node _T_598 = and(_T_596, _T_597) @[el2_lsu_bus_buffer.scala 217:65] + node _T_599 = or(_T_583, _T_588) @[el2_lsu_bus_buffer.scala 217:97] + node _T_600 = or(_T_599, _T_593) @[el2_lsu_bus_buffer.scala 217:97] + node _T_601 = or(_T_600, _T_598) @[el2_lsu_bus_buffer.scala 217:97] + node _T_602 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[el2_lsu_bus_buffer.scala 218:60] node _T_603 = bits(_T_602, 0, 0) @[Bitwise.scala 72:15] node _T_604 = mux(_T_603, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_605 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 228:78] - node _T_606 = and(_T_604, _T_605) @[el2_lsu_bus_buffer.scala 228:65] - node _T_607 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[el2_lsu_bus_buffer.scala 228:60] + node _T_605 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 218:78] + node _T_606 = and(_T_604, _T_605) @[el2_lsu_bus_buffer.scala 218:65] + node _T_607 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[el2_lsu_bus_buffer.scala 218:60] node _T_608 = bits(_T_607, 0, 0) @[Bitwise.scala 72:15] node _T_609 = mux(_T_608, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_610 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 228:78] - node _T_611 = and(_T_609, _T_610) @[el2_lsu_bus_buffer.scala 228:65] - node _T_612 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[el2_lsu_bus_buffer.scala 228:60] + node _T_610 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 218:78] + node _T_611 = and(_T_609, _T_610) @[el2_lsu_bus_buffer.scala 218:65] + node _T_612 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[el2_lsu_bus_buffer.scala 218:60] node _T_613 = bits(_T_612, 0, 0) @[Bitwise.scala 72:15] node _T_614 = mux(_T_613, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_615 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 228:78] - node _T_616 = and(_T_614, _T_615) @[el2_lsu_bus_buffer.scala 228:65] - node _T_617 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[el2_lsu_bus_buffer.scala 228:60] + node _T_615 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 218:78] + node _T_616 = and(_T_614, _T_615) @[el2_lsu_bus_buffer.scala 218:65] + node _T_617 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[el2_lsu_bus_buffer.scala 218:60] node _T_618 = bits(_T_617, 0, 0) @[Bitwise.scala 72:15] node _T_619 = mux(_T_618, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_620 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 228:78] - node _T_621 = and(_T_619, _T_620) @[el2_lsu_bus_buffer.scala 228:65] - node _T_622 = or(_T_606, _T_611) @[el2_lsu_bus_buffer.scala 228:96] - node _T_623 = or(_T_622, _T_616) @[el2_lsu_bus_buffer.scala 228:96] - node _T_624 = or(_T_623, _T_621) @[el2_lsu_bus_buffer.scala 228:96] - node _T_625 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[el2_lsu_bus_buffer.scala 229:60] + node _T_620 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 218:78] + node _T_621 = and(_T_619, _T_620) @[el2_lsu_bus_buffer.scala 218:65] + node _T_622 = or(_T_606, _T_611) @[el2_lsu_bus_buffer.scala 218:96] + node _T_623 = or(_T_622, _T_616) @[el2_lsu_bus_buffer.scala 218:96] + node _T_624 = or(_T_623, _T_621) @[el2_lsu_bus_buffer.scala 218:96] + node _T_625 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[el2_lsu_bus_buffer.scala 219:60] node _T_626 = bits(_T_625, 0, 0) @[Bitwise.scala 72:15] node _T_627 = mux(_T_626, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_628 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 229:78] - node _T_629 = and(_T_627, _T_628) @[el2_lsu_bus_buffer.scala 229:65] - node _T_630 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[el2_lsu_bus_buffer.scala 229:60] + node _T_628 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 219:78] + node _T_629 = and(_T_627, _T_628) @[el2_lsu_bus_buffer.scala 219:65] + node _T_630 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[el2_lsu_bus_buffer.scala 219:60] node _T_631 = bits(_T_630, 0, 0) @[Bitwise.scala 72:15] node _T_632 = mux(_T_631, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_633 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 229:78] - node _T_634 = and(_T_632, _T_633) @[el2_lsu_bus_buffer.scala 229:65] - node _T_635 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[el2_lsu_bus_buffer.scala 229:60] + node _T_633 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 219:78] + node _T_634 = and(_T_632, _T_633) @[el2_lsu_bus_buffer.scala 219:65] + node _T_635 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[el2_lsu_bus_buffer.scala 219:60] node _T_636 = bits(_T_635, 0, 0) @[Bitwise.scala 72:15] node _T_637 = mux(_T_636, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_638 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 229:78] - node _T_639 = and(_T_637, _T_638) @[el2_lsu_bus_buffer.scala 229:65] - node _T_640 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[el2_lsu_bus_buffer.scala 229:60] + node _T_638 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 219:78] + node _T_639 = and(_T_637, _T_638) @[el2_lsu_bus_buffer.scala 219:65] + node _T_640 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[el2_lsu_bus_buffer.scala 219:60] node _T_641 = bits(_T_640, 0, 0) @[Bitwise.scala 72:15] node _T_642 = mux(_T_641, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_643 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 229:78] - node _T_644 = and(_T_642, _T_643) @[el2_lsu_bus_buffer.scala 229:65] - node _T_645 = or(_T_629, _T_634) @[el2_lsu_bus_buffer.scala 229:95] - node _T_646 = or(_T_645, _T_639) @[el2_lsu_bus_buffer.scala 229:95] - node _T_647 = or(_T_646, _T_644) @[el2_lsu_bus_buffer.scala 229:95] + node _T_643 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 219:78] + node _T_644 = and(_T_642, _T_643) @[el2_lsu_bus_buffer.scala 219:65] + node _T_645 = or(_T_629, _T_634) @[el2_lsu_bus_buffer.scala 219:95] + node _T_646 = or(_T_645, _T_639) @[el2_lsu_bus_buffer.scala 219:95] + node _T_647 = or(_T_646, _T_644) @[el2_lsu_bus_buffer.scala 219:95] node _T_648 = cat(_T_624, _T_647) @[Cat.scala 29:58] node _T_649 = cat(_T_578, _T_601) @[Cat.scala 29:58] node _T_650 = cat(_T_649, _T_648) @[Cat.scala 29:58] - node _T_651 = and(ld_fwddata_buf_lo_initial, ibuf_data) @[el2_lsu_bus_buffer.scala 229:130] - node _T_652 = or(_T_650, _T_651) @[el2_lsu_bus_buffer.scala 229:101] - io.ld_fwddata_buf_lo <= _T_652 @[el2_lsu_bus_buffer.scala 226:24] - node _T_653 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[el2_lsu_bus_buffer.scala 231:86] + node _T_651 = and(ld_fwddata_buf_lo_initial, ibuf_data) @[el2_lsu_bus_buffer.scala 219:130] + node _T_652 = or(_T_650, _T_651) @[el2_lsu_bus_buffer.scala 219:101] + io.ld_fwddata_buf_lo <= _T_652 @[el2_lsu_bus_buffer.scala 216:24] + node _T_653 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[el2_lsu_bus_buffer.scala 221:86] node _T_654 = bits(_T_653, 0, 0) @[Bitwise.scala 72:15] node _T_655 = mux(_T_654, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_656 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 231:104] - node _T_657 = and(_T_655, _T_656) @[el2_lsu_bus_buffer.scala 231:91] - node _T_658 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[el2_lsu_bus_buffer.scala 231:86] + node _T_656 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 221:104] + node _T_657 = and(_T_655, _T_656) @[el2_lsu_bus_buffer.scala 221:91] + node _T_658 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[el2_lsu_bus_buffer.scala 221:86] node _T_659 = bits(_T_658, 0, 0) @[Bitwise.scala 72:15] node _T_660 = mux(_T_659, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_661 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 231:104] - node _T_662 = and(_T_660, _T_661) @[el2_lsu_bus_buffer.scala 231:91] - node _T_663 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[el2_lsu_bus_buffer.scala 231:86] + node _T_661 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 221:104] + node _T_662 = and(_T_660, _T_661) @[el2_lsu_bus_buffer.scala 221:91] + node _T_663 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[el2_lsu_bus_buffer.scala 221:86] node _T_664 = bits(_T_663, 0, 0) @[Bitwise.scala 72:15] node _T_665 = mux(_T_664, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_666 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 231:104] - node _T_667 = and(_T_665, _T_666) @[el2_lsu_bus_buffer.scala 231:91] - node _T_668 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[el2_lsu_bus_buffer.scala 231:86] + node _T_666 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 221:104] + node _T_667 = and(_T_665, _T_666) @[el2_lsu_bus_buffer.scala 221:91] + node _T_668 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[el2_lsu_bus_buffer.scala 221:86] node _T_669 = bits(_T_668, 0, 0) @[Bitwise.scala 72:15] node _T_670 = mux(_T_669, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_671 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 231:104] - node _T_672 = and(_T_670, _T_671) @[el2_lsu_bus_buffer.scala 231:91] - node _T_673 = or(_T_657, _T_662) @[el2_lsu_bus_buffer.scala 231:123] - node _T_674 = or(_T_673, _T_667) @[el2_lsu_bus_buffer.scala 231:123] - node _T_675 = or(_T_674, _T_672) @[el2_lsu_bus_buffer.scala 231:123] - node _T_676 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[el2_lsu_bus_buffer.scala 232:60] + node _T_671 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 221:104] + node _T_672 = and(_T_670, _T_671) @[el2_lsu_bus_buffer.scala 221:91] + node _T_673 = or(_T_657, _T_662) @[el2_lsu_bus_buffer.scala 221:123] + node _T_674 = or(_T_673, _T_667) @[el2_lsu_bus_buffer.scala 221:123] + node _T_675 = or(_T_674, _T_672) @[el2_lsu_bus_buffer.scala 221:123] + node _T_676 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[el2_lsu_bus_buffer.scala 222:60] node _T_677 = bits(_T_676, 0, 0) @[Bitwise.scala 72:15] node _T_678 = mux(_T_677, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_679 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 232:78] - node _T_680 = and(_T_678, _T_679) @[el2_lsu_bus_buffer.scala 232:65] - node _T_681 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[el2_lsu_bus_buffer.scala 232:60] + node _T_679 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 222:78] + node _T_680 = and(_T_678, _T_679) @[el2_lsu_bus_buffer.scala 222:65] + node _T_681 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[el2_lsu_bus_buffer.scala 222:60] node _T_682 = bits(_T_681, 0, 0) @[Bitwise.scala 72:15] node _T_683 = mux(_T_682, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_684 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 232:78] - node _T_685 = and(_T_683, _T_684) @[el2_lsu_bus_buffer.scala 232:65] - node _T_686 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[el2_lsu_bus_buffer.scala 232:60] + node _T_684 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 222:78] + node _T_685 = and(_T_683, _T_684) @[el2_lsu_bus_buffer.scala 222:65] + node _T_686 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[el2_lsu_bus_buffer.scala 222:60] node _T_687 = bits(_T_686, 0, 0) @[Bitwise.scala 72:15] node _T_688 = mux(_T_687, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_689 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 232:78] - node _T_690 = and(_T_688, _T_689) @[el2_lsu_bus_buffer.scala 232:65] - node _T_691 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[el2_lsu_bus_buffer.scala 232:60] + node _T_689 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 222:78] + node _T_690 = and(_T_688, _T_689) @[el2_lsu_bus_buffer.scala 222:65] + node _T_691 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[el2_lsu_bus_buffer.scala 222:60] node _T_692 = bits(_T_691, 0, 0) @[Bitwise.scala 72:15] node _T_693 = mux(_T_692, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_694 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 232:78] - node _T_695 = and(_T_693, _T_694) @[el2_lsu_bus_buffer.scala 232:65] - node _T_696 = or(_T_680, _T_685) @[el2_lsu_bus_buffer.scala 232:97] - node _T_697 = or(_T_696, _T_690) @[el2_lsu_bus_buffer.scala 232:97] - node _T_698 = or(_T_697, _T_695) @[el2_lsu_bus_buffer.scala 232:97] - node _T_699 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[el2_lsu_bus_buffer.scala 233:60] + node _T_694 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 222:78] + node _T_695 = and(_T_693, _T_694) @[el2_lsu_bus_buffer.scala 222:65] + node _T_696 = or(_T_680, _T_685) @[el2_lsu_bus_buffer.scala 222:97] + node _T_697 = or(_T_696, _T_690) @[el2_lsu_bus_buffer.scala 222:97] + node _T_698 = or(_T_697, _T_695) @[el2_lsu_bus_buffer.scala 222:97] + node _T_699 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[el2_lsu_bus_buffer.scala 223:60] node _T_700 = bits(_T_699, 0, 0) @[Bitwise.scala 72:15] node _T_701 = mux(_T_700, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_702 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 233:78] - node _T_703 = and(_T_701, _T_702) @[el2_lsu_bus_buffer.scala 233:65] - node _T_704 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[el2_lsu_bus_buffer.scala 233:60] + node _T_702 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 223:78] + node _T_703 = and(_T_701, _T_702) @[el2_lsu_bus_buffer.scala 223:65] + node _T_704 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[el2_lsu_bus_buffer.scala 223:60] node _T_705 = bits(_T_704, 0, 0) @[Bitwise.scala 72:15] node _T_706 = mux(_T_705, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_707 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 233:78] - node _T_708 = and(_T_706, _T_707) @[el2_lsu_bus_buffer.scala 233:65] - node _T_709 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[el2_lsu_bus_buffer.scala 233:60] + node _T_707 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 223:78] + node _T_708 = and(_T_706, _T_707) @[el2_lsu_bus_buffer.scala 223:65] + node _T_709 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[el2_lsu_bus_buffer.scala 223:60] node _T_710 = bits(_T_709, 0, 0) @[Bitwise.scala 72:15] node _T_711 = mux(_T_710, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_712 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 233:78] - node _T_713 = and(_T_711, _T_712) @[el2_lsu_bus_buffer.scala 233:65] - node _T_714 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[el2_lsu_bus_buffer.scala 233:60] + node _T_712 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 223:78] + node _T_713 = and(_T_711, _T_712) @[el2_lsu_bus_buffer.scala 223:65] + node _T_714 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[el2_lsu_bus_buffer.scala 223:60] node _T_715 = bits(_T_714, 0, 0) @[Bitwise.scala 72:15] node _T_716 = mux(_T_715, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_717 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 233:78] - node _T_718 = and(_T_716, _T_717) @[el2_lsu_bus_buffer.scala 233:65] - node _T_719 = or(_T_703, _T_708) @[el2_lsu_bus_buffer.scala 233:96] - node _T_720 = or(_T_719, _T_713) @[el2_lsu_bus_buffer.scala 233:96] - node _T_721 = or(_T_720, _T_718) @[el2_lsu_bus_buffer.scala 233:96] - node _T_722 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[el2_lsu_bus_buffer.scala 234:60] + node _T_717 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 223:78] + node _T_718 = and(_T_716, _T_717) @[el2_lsu_bus_buffer.scala 223:65] + node _T_719 = or(_T_703, _T_708) @[el2_lsu_bus_buffer.scala 223:96] + node _T_720 = or(_T_719, _T_713) @[el2_lsu_bus_buffer.scala 223:96] + node _T_721 = or(_T_720, _T_718) @[el2_lsu_bus_buffer.scala 223:96] + node _T_722 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[el2_lsu_bus_buffer.scala 224:60] node _T_723 = bits(_T_722, 0, 0) @[Bitwise.scala 72:15] node _T_724 = mux(_T_723, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_725 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 234:78] - node _T_726 = and(_T_724, _T_725) @[el2_lsu_bus_buffer.scala 234:65] - node _T_727 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[el2_lsu_bus_buffer.scala 234:60] + node _T_725 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 224:78] + node _T_726 = and(_T_724, _T_725) @[el2_lsu_bus_buffer.scala 224:65] + node _T_727 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[el2_lsu_bus_buffer.scala 224:60] node _T_728 = bits(_T_727, 0, 0) @[Bitwise.scala 72:15] node _T_729 = mux(_T_728, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_730 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 234:78] - node _T_731 = and(_T_729, _T_730) @[el2_lsu_bus_buffer.scala 234:65] - node _T_732 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[el2_lsu_bus_buffer.scala 234:60] + node _T_730 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 224:78] + node _T_731 = and(_T_729, _T_730) @[el2_lsu_bus_buffer.scala 224:65] + node _T_732 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[el2_lsu_bus_buffer.scala 224:60] node _T_733 = bits(_T_732, 0, 0) @[Bitwise.scala 72:15] node _T_734 = mux(_T_733, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_735 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 234:78] - node _T_736 = and(_T_734, _T_735) @[el2_lsu_bus_buffer.scala 234:65] - node _T_737 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[el2_lsu_bus_buffer.scala 234:60] + node _T_735 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 224:78] + node _T_736 = and(_T_734, _T_735) @[el2_lsu_bus_buffer.scala 224:65] + node _T_737 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[el2_lsu_bus_buffer.scala 224:60] node _T_738 = bits(_T_737, 0, 0) @[Bitwise.scala 72:15] node _T_739 = mux(_T_738, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_740 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 234:78] - node _T_741 = and(_T_739, _T_740) @[el2_lsu_bus_buffer.scala 234:65] - node _T_742 = or(_T_726, _T_731) @[el2_lsu_bus_buffer.scala 234:95] - node _T_743 = or(_T_742, _T_736) @[el2_lsu_bus_buffer.scala 234:95] - node _T_744 = or(_T_743, _T_741) @[el2_lsu_bus_buffer.scala 234:95] + node _T_740 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 224:78] + node _T_741 = and(_T_739, _T_740) @[el2_lsu_bus_buffer.scala 224:65] + node _T_742 = or(_T_726, _T_731) @[el2_lsu_bus_buffer.scala 224:95] + node _T_743 = or(_T_742, _T_736) @[el2_lsu_bus_buffer.scala 224:95] + node _T_744 = or(_T_743, _T_741) @[el2_lsu_bus_buffer.scala 224:95] node _T_745 = cat(_T_721, _T_744) @[Cat.scala 29:58] node _T_746 = cat(_T_675, _T_698) @[Cat.scala 29:58] node _T_747 = cat(_T_746, _T_745) @[Cat.scala 29:58] - node _T_748 = and(ld_fwddata_buf_hi_initial, ibuf_data) @[el2_lsu_bus_buffer.scala 234:130] - node _T_749 = or(_T_747, _T_748) @[el2_lsu_bus_buffer.scala 234:101] - io.ld_fwddata_buf_hi <= _T_749 @[el2_lsu_bus_buffer.scala 231:24] - node bus_coalescing_disable = or(io.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 236:65] + node _T_748 = and(ld_fwddata_buf_hi_initial, ibuf_data) @[el2_lsu_bus_buffer.scala 224:130] + node _T_749 = or(_T_747, _T_748) @[el2_lsu_bus_buffer.scala 224:101] + io.ld_fwddata_buf_hi <= _T_749 @[el2_lsu_bus_buffer.scala 221:24] + node bus_coalescing_disable = or(io.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 226:65] node _T_750 = mux(io.lsu_pkt_r.by, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_751 = mux(io.lsu_pkt_r.half, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_752 = mux(io.lsu_pkt_r.word, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -1245,19 +1245,19 @@ circuit el2_lsu_bus_buffer : node _T_754 = or(_T_753, _T_752) @[Mux.scala 27:72] wire ldst_byteen_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_r <= _T_754 @[Mux.scala 27:72] - node _T_755 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 241:50] - node _T_756 = eq(_T_755, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 241:55] - node _T_757 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 242:50] - node _T_758 = eq(_T_757, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 242:55] - node _T_759 = bits(ldst_byteen_r, 3, 3) @[el2_lsu_bus_buffer.scala 242:91] + node _T_755 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 231:50] + node _T_756 = eq(_T_755, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 231:55] + node _T_757 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 232:50] + node _T_758 = eq(_T_757, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 232:55] + node _T_759 = bits(ldst_byteen_r, 3, 3) @[el2_lsu_bus_buffer.scala 232:91] node _T_760 = cat(UInt<3>("h00"), _T_759) @[Cat.scala 29:58] - node _T_761 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 243:50] - node _T_762 = eq(_T_761, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 243:55] - node _T_763 = bits(ldst_byteen_r, 3, 2) @[el2_lsu_bus_buffer.scala 243:91] + node _T_761 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 233:50] + node _T_762 = eq(_T_761, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 233:55] + node _T_763 = bits(ldst_byteen_r, 3, 2) @[el2_lsu_bus_buffer.scala 233:91] node _T_764 = cat(UInt<2>("h00"), _T_763) @[Cat.scala 29:58] - node _T_765 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 244:50] - node _T_766 = eq(_T_765, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 244:55] - node _T_767 = bits(ldst_byteen_r, 3, 1) @[el2_lsu_bus_buffer.scala 244:91] + node _T_765 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 234:50] + node _T_766 = eq(_T_765, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 234:55] + node _T_767 = bits(ldst_byteen_r, 3, 1) @[el2_lsu_bus_buffer.scala 234:91] node _T_768 = cat(UInt<1>("h00"), _T_767) @[Cat.scala 29:58] node _T_769 = mux(_T_756, UInt<4>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_770 = mux(_T_758, _T_760, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1268,19 +1268,19 @@ circuit el2_lsu_bus_buffer : node _T_775 = or(_T_774, _T_772) @[Mux.scala 27:72] wire ldst_byteen_hi_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_hi_r <= _T_775 @[Mux.scala 27:72] - node _T_776 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 245:50] - node _T_777 = eq(_T_776, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 245:55] - node _T_778 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 246:50] - node _T_779 = eq(_T_778, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 246:55] - node _T_780 = bits(ldst_byteen_r, 2, 0) @[el2_lsu_bus_buffer.scala 246:81] + node _T_776 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 235:50] + node _T_777 = eq(_T_776, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 235:55] + node _T_778 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 236:50] + node _T_779 = eq(_T_778, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 236:55] + node _T_780 = bits(ldst_byteen_r, 2, 0) @[el2_lsu_bus_buffer.scala 236:81] node _T_781 = cat(_T_780, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_782 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 247:50] - node _T_783 = eq(_T_782, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 247:55] - node _T_784 = bits(ldst_byteen_r, 1, 0) @[el2_lsu_bus_buffer.scala 247:81] + node _T_782 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 237:50] + node _T_783 = eq(_T_782, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 237:55] + node _T_784 = bits(ldst_byteen_r, 1, 0) @[el2_lsu_bus_buffer.scala 237:81] node _T_785 = cat(_T_784, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_786 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 248:50] - node _T_787 = eq(_T_786, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 248:55] - node _T_788 = bits(ldst_byteen_r, 0, 0) @[el2_lsu_bus_buffer.scala 248:81] + node _T_786 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 238:50] + node _T_787 = eq(_T_786, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 238:55] + node _T_788 = bits(ldst_byteen_r, 0, 0) @[el2_lsu_bus_buffer.scala 238:81] node _T_789 = cat(_T_788, UInt<3>("h00")) @[Cat.scala 29:58] node _T_790 = mux(_T_777, ldst_byteen_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_791 = mux(_T_779, _T_781, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1291,19 +1291,19 @@ circuit el2_lsu_bus_buffer : node _T_796 = or(_T_795, _T_793) @[Mux.scala 27:72] wire ldst_byteen_lo_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_lo_r <= _T_796 @[Mux.scala 27:72] - node _T_797 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 250:49] - node _T_798 = eq(_T_797, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 250:54] - node _T_799 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 251:49] - node _T_800 = eq(_T_799, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 251:54] - node _T_801 = bits(io.store_data_r, 31, 8) @[el2_lsu_bus_buffer.scala 251:93] + node _T_797 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 240:49] + node _T_798 = eq(_T_797, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 240:54] + node _T_799 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 241:49] + node _T_800 = eq(_T_799, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 241:54] + node _T_801 = bits(io.store_data_r, 31, 8) @[el2_lsu_bus_buffer.scala 241:93] node _T_802 = cat(UInt<8>("h00"), _T_801) @[Cat.scala 29:58] - node _T_803 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 252:49] - node _T_804 = eq(_T_803, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 252:54] - node _T_805 = bits(io.store_data_r, 31, 16) @[el2_lsu_bus_buffer.scala 252:93] + node _T_803 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 242:49] + node _T_804 = eq(_T_803, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 242:54] + node _T_805 = bits(io.store_data_r, 31, 16) @[el2_lsu_bus_buffer.scala 242:93] node _T_806 = cat(UInt<16>("h00"), _T_805) @[Cat.scala 29:58] - node _T_807 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 253:49] - node _T_808 = eq(_T_807, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 253:54] - node _T_809 = bits(io.store_data_r, 31, 24) @[el2_lsu_bus_buffer.scala 253:93] + node _T_807 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 243:49] + node _T_808 = eq(_T_807, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 243:54] + node _T_809 = bits(io.store_data_r, 31, 24) @[el2_lsu_bus_buffer.scala 243:93] node _T_810 = cat(UInt<24>("h00"), _T_809) @[Cat.scala 29:58] node _T_811 = mux(_T_798, UInt<32>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_812 = mux(_T_800, _T_802, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1314,19 +1314,19 @@ circuit el2_lsu_bus_buffer : node _T_817 = or(_T_816, _T_814) @[Mux.scala 27:72] wire store_data_hi_r : UInt<32> @[Mux.scala 27:72] store_data_hi_r <= _T_817 @[Mux.scala 27:72] - node _T_818 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 255:49] - node _T_819 = eq(_T_818, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 255:54] - node _T_820 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 256:49] - node _T_821 = eq(_T_820, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 256:54] - node _T_822 = bits(io.store_data_r, 23, 0) @[el2_lsu_bus_buffer.scala 256:82] + node _T_818 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 245:49] + node _T_819 = eq(_T_818, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 245:54] + node _T_820 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 246:49] + node _T_821 = eq(_T_820, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 246:54] + node _T_822 = bits(io.store_data_r, 23, 0) @[el2_lsu_bus_buffer.scala 246:82] node _T_823 = cat(_T_822, UInt<8>("h00")) @[Cat.scala 29:58] - node _T_824 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 257:49] - node _T_825 = eq(_T_824, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 257:54] - node _T_826 = bits(io.store_data_r, 15, 0) @[el2_lsu_bus_buffer.scala 257:82] + node _T_824 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 247:49] + node _T_825 = eq(_T_824, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 247:54] + node _T_826 = bits(io.store_data_r, 15, 0) @[el2_lsu_bus_buffer.scala 247:82] node _T_827 = cat(_T_826, UInt<16>("h00")) @[Cat.scala 29:58] - node _T_828 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 258:49] - node _T_829 = eq(_T_828, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 258:54] - node _T_830 = bits(io.store_data_r, 7, 0) @[el2_lsu_bus_buffer.scala 258:82] + node _T_828 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 248:49] + node _T_829 = eq(_T_828, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 248:54] + node _T_830 = bits(io.store_data_r, 7, 0) @[el2_lsu_bus_buffer.scala 248:82] node _T_831 = cat(_T_830, UInt<24>("h00")) @[Cat.scala 29:58] node _T_832 = mux(_T_819, io.store_data_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_833 = mux(_T_821, _T_823, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1337,14 +1337,13 @@ circuit el2_lsu_bus_buffer : node _T_838 = or(_T_837, _T_835) @[Mux.scala 27:72] wire store_data_lo_r : UInt<32> @[Mux.scala 27:72] store_data_lo_r <= _T_838 @[Mux.scala 27:72] - io.test <= ldst_byteen_r @[el2_lsu_bus_buffer.scala 260:11] - node _T_839 = bits(io.lsu_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 262:36] - node _T_840 = bits(io.end_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 262:57] - node ldst_samedw_r = eq(_T_839, _T_840) @[el2_lsu_bus_buffer.scala 262:40] - node _T_841 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 263:67] - node _T_842 = eq(_T_841, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 263:74] - node _T_843 = bits(io.lsu_addr_r, 0, 0) @[el2_lsu_bus_buffer.scala 264:40] - node _T_844 = eq(_T_843, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 264:26] + node _T_839 = bits(io.lsu_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 251:36] + node _T_840 = bits(io.end_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 251:57] + node ldst_samedw_r = eq(_T_839, _T_840) @[el2_lsu_bus_buffer.scala 251:40] + node _T_841 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 252:67] + node _T_842 = eq(_T_841, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 252:74] + node _T_843 = bits(io.lsu_addr_r, 0, 0) @[el2_lsu_bus_buffer.scala 253:40] + node _T_844 = eq(_T_843, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 253:26] node _T_845 = mux(io.lsu_pkt_r.word, _T_842, UInt<1>("h00")) @[Mux.scala 27:72] node _T_846 = mux(io.lsu_pkt_r.half, _T_844, UInt<1>("h00")) @[Mux.scala 27:72] node _T_847 = mux(io.lsu_pkt_r.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -1352,26 +1351,26 @@ circuit el2_lsu_bus_buffer : node _T_849 = or(_T_848, _T_847) @[Mux.scala 27:72] wire is_aligned_r : UInt<1> @[Mux.scala 27:72] is_aligned_r <= _T_849 @[Mux.scala 27:72] - node _T_850 = or(io.lsu_pkt_r.load, io.no_word_merge_r) @[el2_lsu_bus_buffer.scala 266:55] - node _T_851 = and(io.lsu_busreq_r, _T_850) @[el2_lsu_bus_buffer.scala 266:34] - node _T_852 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 266:79] - node ibuf_byp = and(_T_851, _T_852) @[el2_lsu_bus_buffer.scala 266:77] - node _T_853 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 267:36] - node _T_854 = eq(ibuf_byp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 267:56] - node ibuf_wr_en = and(_T_853, _T_854) @[el2_lsu_bus_buffer.scala 267:54] + node _T_850 = or(io.lsu_pkt_r.load, io.no_word_merge_r) @[el2_lsu_bus_buffer.scala 255:55] + node _T_851 = and(io.lsu_busreq_r, _T_850) @[el2_lsu_bus_buffer.scala 255:34] + node _T_852 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 255:79] + node ibuf_byp = and(_T_851, _T_852) @[el2_lsu_bus_buffer.scala 255:77] + node _T_853 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 256:36] + node _T_854 = eq(ibuf_byp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 256:56] + node ibuf_wr_en = and(_T_853, _T_854) @[el2_lsu_bus_buffer.scala 256:54] wire ibuf_drain_vld : UInt<1> ibuf_drain_vld <= UInt<1>("h00") - node _T_855 = eq(ibuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 269:36] - node _T_856 = and(ibuf_drain_vld, _T_855) @[el2_lsu_bus_buffer.scala 269:34] - node ibuf_rst = or(_T_856, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 269:49] - node _T_857 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 270:44] - node _T_858 = and(io.lsu_busreq_m, _T_857) @[el2_lsu_bus_buffer.scala 270:42] - node _T_859 = and(_T_858, ibuf_valid) @[el2_lsu_bus_buffer.scala 270:61] - node _T_860 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 270:107] - node _T_861 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 270:132] - node _T_862 = neq(_T_860, _T_861) @[el2_lsu_bus_buffer.scala 270:115] - node _T_863 = or(io.lsu_pkt_m.load, _T_862) @[el2_lsu_bus_buffer.scala 270:95] - node ibuf_force_drain = and(_T_859, _T_863) @[el2_lsu_bus_buffer.scala 270:74] + node _T_855 = eq(ibuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 258:36] + node _T_856 = and(ibuf_drain_vld, _T_855) @[el2_lsu_bus_buffer.scala 258:34] + node ibuf_rst = or(_T_856, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 258:49] + node _T_857 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 259:44] + node _T_858 = and(io.lsu_busreq_m, _T_857) @[el2_lsu_bus_buffer.scala 259:42] + node _T_859 = and(_T_858, ibuf_valid) @[el2_lsu_bus_buffer.scala 259:61] + node _T_860 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 259:107] + node _T_861 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 259:132] + node _T_862 = neq(_T_860, _T_861) @[el2_lsu_bus_buffer.scala 259:115] + node _T_863 = or(io.lsu_pkt_m.load, _T_862) @[el2_lsu_bus_buffer.scala 259:95] + node ibuf_force_drain = and(_T_859, _T_863) @[el2_lsu_bus_buffer.scala 259:74] wire ibuf_sideeffect : UInt<1> ibuf_sideeffect <= UInt<1>("h00") wire ibuf_timer : UInt<3> @@ -1380,218 +1379,217 @@ circuit el2_lsu_bus_buffer : ibuf_merge_en <= UInt<1>("h00") wire ibuf_merge_in : UInt<1> ibuf_merge_in <= UInt<1>("h00") - node _T_864 = eq(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 275:62] - node _T_865 = or(ibuf_wr_en, _T_864) @[el2_lsu_bus_buffer.scala 275:48] - node _T_866 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 275:98] - node _T_867 = eq(_T_866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 275:82] - node _T_868 = and(_T_865, _T_867) @[el2_lsu_bus_buffer.scala 275:80] - node _T_869 = or(_T_868, ibuf_byp) @[el2_lsu_bus_buffer.scala 276:5] - node _T_870 = or(_T_869, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 276:16] - node _T_871 = or(_T_870, ibuf_sideeffect) @[el2_lsu_bus_buffer.scala 276:35] - node _T_872 = eq(ibuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 276:55] - node _T_873 = or(_T_871, _T_872) @[el2_lsu_bus_buffer.scala 276:53] - node _T_874 = or(_T_873, bus_coalescing_disable) @[el2_lsu_bus_buffer.scala 276:67] - node _T_875 = and(ibuf_valid, _T_874) @[el2_lsu_bus_buffer.scala 275:32] - ibuf_drain_vld <= _T_875 @[el2_lsu_bus_buffer.scala 275:18] + node _T_864 = eq(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 264:62] + node _T_865 = or(ibuf_wr_en, _T_864) @[el2_lsu_bus_buffer.scala 264:48] + node _T_866 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 264:98] + node _T_867 = eq(_T_866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 264:82] + node _T_868 = and(_T_865, _T_867) @[el2_lsu_bus_buffer.scala 264:80] + node _T_869 = or(_T_868, ibuf_byp) @[el2_lsu_bus_buffer.scala 265:5] + node _T_870 = or(_T_869, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 265:16] + node _T_871 = or(_T_870, ibuf_sideeffect) @[el2_lsu_bus_buffer.scala 265:35] + node _T_872 = eq(ibuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 265:55] + node _T_873 = or(_T_871, _T_872) @[el2_lsu_bus_buffer.scala 265:53] + node _T_874 = or(_T_873, bus_coalescing_disable) @[el2_lsu_bus_buffer.scala 265:67] + node _T_875 = and(ibuf_valid, _T_874) @[el2_lsu_bus_buffer.scala 264:32] + ibuf_drain_vld <= _T_875 @[el2_lsu_bus_buffer.scala 264:18] wire ibuf_tag : UInt<2> ibuf_tag <= UInt<1>("h00") wire WrPtr1_r : UInt<2> WrPtr1_r <= UInt<1>("h00") wire WrPtr0_r : UInt<2> WrPtr0_r <= UInt<1>("h00") - io.WrPtr1_r <= WrPtr1_r @[el2_lsu_bus_buffer.scala 280:15] - node _T_876 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 281:39] - node _T_877 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[el2_lsu_bus_buffer.scala 281:69] - node ibuf_tag_in = mux(_T_876, ibuf_tag, _T_877) @[el2_lsu_bus_buffer.scala 281:24] + node _T_876 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 270:39] + node _T_877 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[el2_lsu_bus_buffer.scala 270:69] + node ibuf_tag_in = mux(_T_876, ibuf_tag, _T_877) @[el2_lsu_bus_buffer.scala 270:24] node ibuf_sz_in = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 284:25] - node _T_878 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 285:42] - node _T_879 = bits(ibuf_byteen, 3, 0) @[el2_lsu_bus_buffer.scala 285:70] - node _T_880 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 285:95] - node _T_881 = or(_T_879, _T_880) @[el2_lsu_bus_buffer.scala 285:77] - node _T_882 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 286:41] - node _T_883 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 286:65] - node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[el2_lsu_bus_buffer.scala 286:8] - node ibuf_byteen_in = mux(_T_878, _T_881, _T_884) @[el2_lsu_bus_buffer.scala 285:27] - node _T_885 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 289:61] - node _T_886 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 290:25] - node _T_887 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 290:45] - node _T_888 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 290:76] - node _T_889 = mux(_T_886, _T_887, _T_888) @[el2_lsu_bus_buffer.scala 290:8] - node _T_890 = bits(store_data_hi_r, 7, 0) @[el2_lsu_bus_buffer.scala 291:40] - node _T_891 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 291:77] - node _T_892 = mux(io.ldst_dual_r, _T_890, _T_891) @[el2_lsu_bus_buffer.scala 291:8] - node _T_893 = mux(_T_885, _T_889, _T_892) @[el2_lsu_bus_buffer.scala 289:46] - node _T_894 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 289:61] - node _T_895 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 290:25] - node _T_896 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 290:45] - node _T_897 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 290:76] - node _T_898 = mux(_T_895, _T_896, _T_897) @[el2_lsu_bus_buffer.scala 290:8] - node _T_899 = bits(store_data_hi_r, 15, 8) @[el2_lsu_bus_buffer.scala 291:40] - node _T_900 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 291:77] - node _T_901 = mux(io.ldst_dual_r, _T_899, _T_900) @[el2_lsu_bus_buffer.scala 291:8] - node _T_902 = mux(_T_894, _T_898, _T_901) @[el2_lsu_bus_buffer.scala 289:46] - node _T_903 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 289:61] - node _T_904 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 290:25] - node _T_905 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 290:45] - node _T_906 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 290:76] - node _T_907 = mux(_T_904, _T_905, _T_906) @[el2_lsu_bus_buffer.scala 290:8] - node _T_908 = bits(store_data_hi_r, 23, 16) @[el2_lsu_bus_buffer.scala 291:40] - node _T_909 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 291:77] - node _T_910 = mux(io.ldst_dual_r, _T_908, _T_909) @[el2_lsu_bus_buffer.scala 291:8] - node _T_911 = mux(_T_903, _T_907, _T_910) @[el2_lsu_bus_buffer.scala 289:46] - node _T_912 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 289:61] - node _T_913 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 290:25] - node _T_914 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 290:45] - node _T_915 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 290:76] - node _T_916 = mux(_T_913, _T_914, _T_915) @[el2_lsu_bus_buffer.scala 290:8] - node _T_917 = bits(store_data_hi_r, 31, 24) @[el2_lsu_bus_buffer.scala 291:40] - node _T_918 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 291:77] - node _T_919 = mux(io.ldst_dual_r, _T_917, _T_918) @[el2_lsu_bus_buffer.scala 291:8] - node _T_920 = mux(_T_912, _T_916, _T_919) @[el2_lsu_bus_buffer.scala 289:46] + node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 273:25] + node _T_878 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 274:42] + node _T_879 = bits(ibuf_byteen, 3, 0) @[el2_lsu_bus_buffer.scala 274:70] + node _T_880 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 274:95] + node _T_881 = or(_T_879, _T_880) @[el2_lsu_bus_buffer.scala 274:77] + node _T_882 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 275:41] + node _T_883 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 275:65] + node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[el2_lsu_bus_buffer.scala 275:8] + node ibuf_byteen_in = mux(_T_878, _T_881, _T_884) @[el2_lsu_bus_buffer.scala 274:27] + node _T_885 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 278:61] + node _T_886 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 279:25] + node _T_887 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 279:45] + node _T_888 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 279:76] + node _T_889 = mux(_T_886, _T_887, _T_888) @[el2_lsu_bus_buffer.scala 279:8] + node _T_890 = bits(store_data_hi_r, 7, 0) @[el2_lsu_bus_buffer.scala 280:40] + node _T_891 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 280:77] + node _T_892 = mux(io.ldst_dual_r, _T_890, _T_891) @[el2_lsu_bus_buffer.scala 280:8] + node _T_893 = mux(_T_885, _T_889, _T_892) @[el2_lsu_bus_buffer.scala 278:46] + node _T_894 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 278:61] + node _T_895 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 279:25] + node _T_896 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 279:45] + node _T_897 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 279:76] + node _T_898 = mux(_T_895, _T_896, _T_897) @[el2_lsu_bus_buffer.scala 279:8] + node _T_899 = bits(store_data_hi_r, 15, 8) @[el2_lsu_bus_buffer.scala 280:40] + node _T_900 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 280:77] + node _T_901 = mux(io.ldst_dual_r, _T_899, _T_900) @[el2_lsu_bus_buffer.scala 280:8] + node _T_902 = mux(_T_894, _T_898, _T_901) @[el2_lsu_bus_buffer.scala 278:46] + node _T_903 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 278:61] + node _T_904 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 279:25] + node _T_905 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 279:45] + node _T_906 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 279:76] + node _T_907 = mux(_T_904, _T_905, _T_906) @[el2_lsu_bus_buffer.scala 279:8] + node _T_908 = bits(store_data_hi_r, 23, 16) @[el2_lsu_bus_buffer.scala 280:40] + node _T_909 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 280:77] + node _T_910 = mux(io.ldst_dual_r, _T_908, _T_909) @[el2_lsu_bus_buffer.scala 280:8] + node _T_911 = mux(_T_903, _T_907, _T_910) @[el2_lsu_bus_buffer.scala 278:46] + node _T_912 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 278:61] + node _T_913 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 279:25] + node _T_914 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 279:45] + node _T_915 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 279:76] + node _T_916 = mux(_T_913, _T_914, _T_915) @[el2_lsu_bus_buffer.scala 279:8] + node _T_917 = bits(store_data_hi_r, 31, 24) @[el2_lsu_bus_buffer.scala 280:40] + node _T_918 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 280:77] + node _T_919 = mux(io.ldst_dual_r, _T_917, _T_918) @[el2_lsu_bus_buffer.scala 280:8] + node _T_920 = mux(_T_912, _T_916, _T_919) @[el2_lsu_bus_buffer.scala 278:46] node _T_921 = cat(_T_920, _T_911) @[Cat.scala 29:58] node _T_922 = cat(_T_921, _T_902) @[Cat.scala 29:58] node ibuf_data_in = cat(_T_922, _T_893) @[Cat.scala 29:58] - node _T_923 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 292:59] - node _T_924 = bits(_T_923, 0, 0) @[el2_lsu_bus_buffer.scala 292:79] - node _T_925 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 292:93] - node _T_926 = tail(_T_925, 1) @[el2_lsu_bus_buffer.scala 292:93] - node _T_927 = mux(_T_924, _T_926, ibuf_timer) @[el2_lsu_bus_buffer.scala 292:47] - node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_927) @[el2_lsu_bus_buffer.scala 292:26] - node _T_928 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 294:36] - node _T_929 = and(_T_928, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 294:54] - node _T_930 = and(_T_929, ibuf_valid) @[el2_lsu_bus_buffer.scala 294:75] - node _T_931 = and(_T_930, ibuf_write) @[el2_lsu_bus_buffer.scala 294:88] - node _T_932 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 294:117] - node _T_933 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 294:137] - node _T_934 = eq(_T_932, _T_933) @[el2_lsu_bus_buffer.scala 294:124] - node _T_935 = and(_T_931, _T_934) @[el2_lsu_bus_buffer.scala 294:101] - node _T_936 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:147] - node _T_937 = and(_T_935, _T_936) @[el2_lsu_bus_buffer.scala 294:145] - node _T_938 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:170] - node _T_939 = and(_T_937, _T_938) @[el2_lsu_bus_buffer.scala 294:168] - ibuf_merge_en <= _T_939 @[el2_lsu_bus_buffer.scala 294:17] - node _T_940 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:20] - ibuf_merge_in <= _T_940 @[el2_lsu_bus_buffer.scala 295:17] - node _T_941 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 296:65] - node _T_942 = and(ibuf_merge_en, _T_941) @[el2_lsu_bus_buffer.scala 296:63] - node _T_943 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 296:92] - node _T_944 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 296:114] - node _T_945 = or(_T_943, _T_944) @[el2_lsu_bus_buffer.scala 296:96] - node _T_946 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 296:130] - node _T_947 = mux(_T_942, _T_945, _T_946) @[el2_lsu_bus_buffer.scala 296:48] - node _T_948 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 296:65] - node _T_949 = and(ibuf_merge_en, _T_948) @[el2_lsu_bus_buffer.scala 296:63] - node _T_950 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 296:92] - node _T_951 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 296:114] - node _T_952 = or(_T_950, _T_951) @[el2_lsu_bus_buffer.scala 296:96] - node _T_953 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 296:130] - node _T_954 = mux(_T_949, _T_952, _T_953) @[el2_lsu_bus_buffer.scala 296:48] - node _T_955 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 296:65] - node _T_956 = and(ibuf_merge_en, _T_955) @[el2_lsu_bus_buffer.scala 296:63] - node _T_957 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 296:92] - node _T_958 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 296:114] - node _T_959 = or(_T_957, _T_958) @[el2_lsu_bus_buffer.scala 296:96] - node _T_960 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 296:130] - node _T_961 = mux(_T_956, _T_959, _T_960) @[el2_lsu_bus_buffer.scala 296:48] - node _T_962 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 296:65] - node _T_963 = and(ibuf_merge_en, _T_962) @[el2_lsu_bus_buffer.scala 296:63] - node _T_964 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 296:92] - node _T_965 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 296:114] - node _T_966 = or(_T_964, _T_965) @[el2_lsu_bus_buffer.scala 296:96] - node _T_967 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 296:130] - node _T_968 = mux(_T_963, _T_966, _T_967) @[el2_lsu_bus_buffer.scala 296:48] + node _T_923 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 281:59] + node _T_924 = bits(_T_923, 0, 0) @[el2_lsu_bus_buffer.scala 281:79] + node _T_925 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 281:93] + node _T_926 = tail(_T_925, 1) @[el2_lsu_bus_buffer.scala 281:93] + node _T_927 = mux(_T_924, _T_926, ibuf_timer) @[el2_lsu_bus_buffer.scala 281:47] + node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_927) @[el2_lsu_bus_buffer.scala 281:26] + node _T_928 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 283:36] + node _T_929 = and(_T_928, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 283:54] + node _T_930 = and(_T_929, ibuf_valid) @[el2_lsu_bus_buffer.scala 283:75] + node _T_931 = and(_T_930, ibuf_write) @[el2_lsu_bus_buffer.scala 283:88] + node _T_932 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 283:117] + node _T_933 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 283:137] + node _T_934 = eq(_T_932, _T_933) @[el2_lsu_bus_buffer.scala 283:124] + node _T_935 = and(_T_931, _T_934) @[el2_lsu_bus_buffer.scala 283:101] + node _T_936 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 283:147] + node _T_937 = and(_T_935, _T_936) @[el2_lsu_bus_buffer.scala 283:145] + node _T_938 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 283:170] + node _T_939 = and(_T_937, _T_938) @[el2_lsu_bus_buffer.scala 283:168] + ibuf_merge_en <= _T_939 @[el2_lsu_bus_buffer.scala 283:17] + node _T_940 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 284:20] + ibuf_merge_in <= _T_940 @[el2_lsu_bus_buffer.scala 284:17] + node _T_941 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 285:65] + node _T_942 = and(ibuf_merge_en, _T_941) @[el2_lsu_bus_buffer.scala 285:63] + node _T_943 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 285:92] + node _T_944 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 285:114] + node _T_945 = or(_T_943, _T_944) @[el2_lsu_bus_buffer.scala 285:96] + node _T_946 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 285:130] + node _T_947 = mux(_T_942, _T_945, _T_946) @[el2_lsu_bus_buffer.scala 285:48] + node _T_948 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 285:65] + node _T_949 = and(ibuf_merge_en, _T_948) @[el2_lsu_bus_buffer.scala 285:63] + node _T_950 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 285:92] + node _T_951 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 285:114] + node _T_952 = or(_T_950, _T_951) @[el2_lsu_bus_buffer.scala 285:96] + node _T_953 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 285:130] + node _T_954 = mux(_T_949, _T_952, _T_953) @[el2_lsu_bus_buffer.scala 285:48] + node _T_955 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 285:65] + node _T_956 = and(ibuf_merge_en, _T_955) @[el2_lsu_bus_buffer.scala 285:63] + node _T_957 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 285:92] + node _T_958 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 285:114] + node _T_959 = or(_T_957, _T_958) @[el2_lsu_bus_buffer.scala 285:96] + node _T_960 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 285:130] + node _T_961 = mux(_T_956, _T_959, _T_960) @[el2_lsu_bus_buffer.scala 285:48] + node _T_962 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 285:65] + node _T_963 = and(ibuf_merge_en, _T_962) @[el2_lsu_bus_buffer.scala 285:63] + node _T_964 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 285:92] + node _T_965 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 285:114] + node _T_966 = or(_T_964, _T_965) @[el2_lsu_bus_buffer.scala 285:96] + node _T_967 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 285:130] + node _T_968 = mux(_T_963, _T_966, _T_967) @[el2_lsu_bus_buffer.scala 285:48] node _T_969 = cat(_T_968, _T_961) @[Cat.scala 29:58] node _T_970 = cat(_T_969, _T_954) @[Cat.scala 29:58] node ibuf_byteen_out = cat(_T_970, _T_947) @[Cat.scala 29:58] - node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 297:62] - node _T_972 = and(ibuf_merge_en, _T_971) @[el2_lsu_bus_buffer.scala 297:60] - node _T_973 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 297:98] - node _T_974 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 297:118] - node _T_975 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 297:143] - node _T_976 = mux(_T_973, _T_974, _T_975) @[el2_lsu_bus_buffer.scala 297:81] - node _T_977 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 297:169] - node _T_978 = mux(_T_972, _T_976, _T_977) @[el2_lsu_bus_buffer.scala 297:45] - node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 297:62] - node _T_980 = and(ibuf_merge_en, _T_979) @[el2_lsu_bus_buffer.scala 297:60] - node _T_981 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 297:98] - node _T_982 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 297:118] - node _T_983 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 297:143] - node _T_984 = mux(_T_981, _T_982, _T_983) @[el2_lsu_bus_buffer.scala 297:81] - node _T_985 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 297:169] - node _T_986 = mux(_T_980, _T_984, _T_985) @[el2_lsu_bus_buffer.scala 297:45] - node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 297:62] - node _T_988 = and(ibuf_merge_en, _T_987) @[el2_lsu_bus_buffer.scala 297:60] - node _T_989 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 297:98] - node _T_990 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 297:118] - node _T_991 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 297:143] - node _T_992 = mux(_T_989, _T_990, _T_991) @[el2_lsu_bus_buffer.scala 297:81] - node _T_993 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 297:169] - node _T_994 = mux(_T_988, _T_992, _T_993) @[el2_lsu_bus_buffer.scala 297:45] - node _T_995 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 297:62] - node _T_996 = and(ibuf_merge_en, _T_995) @[el2_lsu_bus_buffer.scala 297:60] - node _T_997 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 297:98] - node _T_998 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 297:118] - node _T_999 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 297:143] - node _T_1000 = mux(_T_997, _T_998, _T_999) @[el2_lsu_bus_buffer.scala 297:81] - node _T_1001 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 297:169] - node _T_1002 = mux(_T_996, _T_1000, _T_1001) @[el2_lsu_bus_buffer.scala 297:45] + node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 286:62] + node _T_972 = and(ibuf_merge_en, _T_971) @[el2_lsu_bus_buffer.scala 286:60] + node _T_973 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 286:98] + node _T_974 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 286:118] + node _T_975 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 286:143] + node _T_976 = mux(_T_973, _T_974, _T_975) @[el2_lsu_bus_buffer.scala 286:81] + node _T_977 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 286:169] + node _T_978 = mux(_T_972, _T_976, _T_977) @[el2_lsu_bus_buffer.scala 286:45] + node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 286:62] + node _T_980 = and(ibuf_merge_en, _T_979) @[el2_lsu_bus_buffer.scala 286:60] + node _T_981 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 286:98] + node _T_982 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 286:118] + node _T_983 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 286:143] + node _T_984 = mux(_T_981, _T_982, _T_983) @[el2_lsu_bus_buffer.scala 286:81] + node _T_985 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 286:169] + node _T_986 = mux(_T_980, _T_984, _T_985) @[el2_lsu_bus_buffer.scala 286:45] + node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 286:62] + node _T_988 = and(ibuf_merge_en, _T_987) @[el2_lsu_bus_buffer.scala 286:60] + node _T_989 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 286:98] + node _T_990 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 286:118] + node _T_991 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 286:143] + node _T_992 = mux(_T_989, _T_990, _T_991) @[el2_lsu_bus_buffer.scala 286:81] + node _T_993 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 286:169] + node _T_994 = mux(_T_988, _T_992, _T_993) @[el2_lsu_bus_buffer.scala 286:45] + node _T_995 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 286:62] + node _T_996 = and(ibuf_merge_en, _T_995) @[el2_lsu_bus_buffer.scala 286:60] + node _T_997 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 286:98] + node _T_998 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 286:118] + node _T_999 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 286:143] + node _T_1000 = mux(_T_997, _T_998, _T_999) @[el2_lsu_bus_buffer.scala 286:81] + node _T_1001 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 286:169] + node _T_1002 = mux(_T_996, _T_1000, _T_1001) @[el2_lsu_bus_buffer.scala 286:45] node _T_1003 = cat(_T_1002, _T_994) @[Cat.scala 29:58] node _T_1004 = cat(_T_1003, _T_986) @[Cat.scala 29:58] node ibuf_data_out = cat(_T_1004, _T_978) @[Cat.scala 29:58] - node _T_1005 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 299:28] - node _T_1006 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 299:63] - node _T_1007 = and(_T_1005, _T_1006) @[el2_lsu_bus_buffer.scala 299:61] - reg _T_1008 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 299:24] - _T_1008 <= _T_1007 @[el2_lsu_bus_buffer.scala 299:24] - ibuf_valid <= _T_1008 @[el2_lsu_bus_buffer.scala 299:14] - node _T_1009 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 300:120] - node _T_1010 = bits(_T_1009, 0, 0) @[el2_lsu_bus_buffer.scala 300:120] - node _T_1011 = and(ibuf_wr_en, _T_1010) @[el2_lsu_bus_buffer.scala 300:89] + node _T_1005 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 288:28] + node _T_1006 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 288:63] + node _T_1007 = and(_T_1005, _T_1006) @[el2_lsu_bus_buffer.scala 288:61] + reg _T_1008 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 288:24] + _T_1008 <= _T_1007 @[el2_lsu_bus_buffer.scala 288:24] + ibuf_valid <= _T_1008 @[el2_lsu_bus_buffer.scala 288:14] + node _T_1009 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 289:120] + node _T_1010 = bits(_T_1009, 0, 0) @[el2_lsu_bus_buffer.scala 289:120] + node _T_1011 = and(ibuf_wr_en, _T_1010) @[el2_lsu_bus_buffer.scala 289:89] reg _T_1012 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1011 : @[Reg.scala 28:19] _T_1012 <= ibuf_tag_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_tag <= _T_1012 @[el2_lsu_bus_buffer.scala 300:12] - node _T_1013 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 301:131] - node _T_1014 = bits(_T_1013, 0, 0) @[el2_lsu_bus_buffer.scala 301:131] - node _T_1015 = and(ibuf_wr_en, _T_1014) @[el2_lsu_bus_buffer.scala 301:100] + ibuf_tag <= _T_1012 @[el2_lsu_bus_buffer.scala 289:12] + node _T_1013 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 290:131] + node _T_1014 = bits(_T_1013, 0, 0) @[el2_lsu_bus_buffer.scala 290:131] + node _T_1015 = and(ibuf_wr_en, _T_1014) @[el2_lsu_bus_buffer.scala 290:100] reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1015 : @[Reg.scala 28:19] ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1016 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 302:127] - node _T_1017 = bits(_T_1016, 0, 0) @[el2_lsu_bus_buffer.scala 302:127] - node _T_1018 = and(ibuf_wr_en, _T_1017) @[el2_lsu_bus_buffer.scala 302:96] + node _T_1016 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 291:127] + node _T_1017 = bits(_T_1016, 0, 0) @[el2_lsu_bus_buffer.scala 291:127] + node _T_1018 = and(ibuf_wr_en, _T_1017) @[el2_lsu_bus_buffer.scala 291:96] reg ibuf_dual : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1018 : @[Reg.scala 28:19] ibuf_dual <= io.ldst_dual_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1019 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 303:128] - node _T_1020 = bits(_T_1019, 0, 0) @[el2_lsu_bus_buffer.scala 303:128] - node _T_1021 = and(ibuf_wr_en, _T_1020) @[el2_lsu_bus_buffer.scala 303:97] + node _T_1019 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 292:128] + node _T_1020 = bits(_T_1019, 0, 0) @[el2_lsu_bus_buffer.scala 292:128] + node _T_1021 = and(ibuf_wr_en, _T_1020) @[el2_lsu_bus_buffer.scala 292:97] reg ibuf_samedw : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1021 : @[Reg.scala 28:19] ibuf_samedw <= ldst_samedw_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1022 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 304:135] - node _T_1023 = bits(_T_1022, 0, 0) @[el2_lsu_bus_buffer.scala 304:135] - node _T_1024 = and(ibuf_wr_en, _T_1023) @[el2_lsu_bus_buffer.scala 304:104] + node _T_1022 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 293:135] + node _T_1023 = bits(_T_1022, 0, 0) @[el2_lsu_bus_buffer.scala 293:135] + node _T_1024 = and(ibuf_wr_en, _T_1023) @[el2_lsu_bus_buffer.scala 293:104] reg ibuf_nomerge : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1024 : @[Reg.scala 28:19] ibuf_nomerge <= io.no_dword_merge_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1025 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 305:135] - node _T_1026 = bits(_T_1025, 0, 0) @[el2_lsu_bus_buffer.scala 305:135] - node _T_1027 = and(ibuf_wr_en, _T_1026) @[el2_lsu_bus_buffer.scala 305:104] + node _T_1025 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 294:135] + node _T_1026 = bits(_T_1025, 0, 0) @[el2_lsu_bus_buffer.scala 294:135] + node _T_1027 = and(ibuf_wr_en, _T_1026) @[el2_lsu_bus_buffer.scala 294:104] reg _T_1028 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1027 : @[Reg.scala 28:19] _T_1028 <= io.is_sideeffects_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_sideeffect <= _T_1028 @[el2_lsu_bus_buffer.scala 305:19] - node _T_1029 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 306:134] - node _T_1030 = bits(_T_1029, 0, 0) @[el2_lsu_bus_buffer.scala 306:134] - node _T_1031 = and(ibuf_wr_en, _T_1030) @[el2_lsu_bus_buffer.scala 306:103] + ibuf_sideeffect <= _T_1028 @[el2_lsu_bus_buffer.scala 294:19] + node _T_1029 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 295:134] + node _T_1030 = bits(_T_1029, 0, 0) @[el2_lsu_bus_buffer.scala 295:134] + node _T_1031 = and(ibuf_wr_en, _T_1030) @[el2_lsu_bus_buffer.scala 295:103] reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1031 : @[Reg.scala 28:19] ibuf_unsign <= io.lsu_pkt_r.unsign @[Reg.scala 28:23] @@ -1600,7 +1598,7 @@ circuit el2_lsu_bus_buffer : when ibuf_wr_en : @[Reg.scala 28:19] _T_1032 <= io.lsu_pkt_r.store @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_write <= _T_1032 @[el2_lsu_bus_buffer.scala 307:14] + ibuf_write <= _T_1032 @[el2_lsu_bus_buffer.scala 296:14] reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] @@ -1613,12 +1611,12 @@ circuit el2_lsu_bus_buffer : rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_1033 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_1033 <= ibuf_addr_in @[el2_lib.scala 491:16] - ibuf_addr <= _T_1033 @[el2_lsu_bus_buffer.scala 309:13] + ibuf_addr <= _T_1033 @[el2_lsu_bus_buffer.scala 298:13] reg _T_1034 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] _T_1034 <= ibuf_byteen_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_byteen <= _T_1034 @[el2_lsu_bus_buffer.scala 310:15] + ibuf_byteen <= _T_1034 @[el2_lsu_bus_buffer.scala 299:15] inst rvclkhdr_1 of rvclkhdr_1 @[el2_lib.scala 485:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset @@ -1627,38 +1625,38 @@ circuit el2_lsu_bus_buffer : rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_1035 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_1035 <= ibuf_data_in @[el2_lib.scala 491:16] - ibuf_data <= _T_1035 @[el2_lsu_bus_buffer.scala 311:13] - reg _T_1036 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 312:59] - _T_1036 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 312:59] - ibuf_timer <= _T_1036 @[el2_lsu_bus_buffer.scala 312:14] + ibuf_data <= _T_1035 @[el2_lsu_bus_buffer.scala 300:13] + reg _T_1036 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 301:59] + _T_1036 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 301:59] + ibuf_timer <= _T_1036 @[el2_lsu_bus_buffer.scala 301:14] wire buf_numvld_wrcmd_any : UInt<4> buf_numvld_wrcmd_any <= UInt<1>("h00") wire buf_numvld_cmd_any : UInt<4> buf_numvld_cmd_any <= UInt<1>("h00") wire obuf_wr_timer : UInt<3> obuf_wr_timer <= UInt<1>("h00") - wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 316:25] - buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 317:15] - buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 317:15] - buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 317:15] - buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 317:15] + wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 305:25] + buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 306:15] + buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 306:15] + buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 306:15] + buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 306:15] wire buf_sideeffect : UInt<4> buf_sideeffect <= UInt<1>("h00") wire obuf_force_wr_en : UInt<1> obuf_force_wr_en <= UInt<1>("h00") wire obuf_wr_en : UInt<1> obuf_wr_en <= UInt<1>("h00") - node _T_1037 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 322:43] - node _T_1038 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 322:72] - node _T_1039 = and(_T_1037, _T_1038) @[el2_lsu_bus_buffer.scala 322:51] - node _T_1040 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 322:97] - node _T_1041 = and(_T_1039, _T_1040) @[el2_lsu_bus_buffer.scala 322:80] - node _T_1042 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:5] - node _T_1043 = and(_T_1041, _T_1042) @[el2_lsu_bus_buffer.scala 322:114] - node _T_1044 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:114] - node _T_1045 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 323:114] - node _T_1046 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 323:114] - node _T_1047 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 323:114] + node _T_1037 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 311:43] + node _T_1038 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 311:72] + node _T_1039 = and(_T_1037, _T_1038) @[el2_lsu_bus_buffer.scala 311:51] + node _T_1040 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 311:97] + node _T_1041 = and(_T_1039, _T_1040) @[el2_lsu_bus_buffer.scala 311:80] + node _T_1042 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 312:5] + node _T_1043 = and(_T_1041, _T_1042) @[el2_lsu_bus_buffer.scala 311:114] + node _T_1044 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 312:114] + node _T_1045 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 312:114] + node _T_1046 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 312:114] + node _T_1047 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 312:114] node _T_1048 = mux(_T_1044, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1049 = mux(_T_1045, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1050 = mux(_T_1046, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1668,16 +1666,16 @@ circuit el2_lsu_bus_buffer : node _T_1054 = or(_T_1053, _T_1051) @[Mux.scala 27:72] wire _T_1055 : UInt<1> @[Mux.scala 27:72] _T_1055 <= _T_1054 @[Mux.scala 27:72] - node _T_1056 = eq(_T_1055, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:31] - node _T_1057 = and(_T_1043, _T_1056) @[el2_lsu_bus_buffer.scala 323:29] - node _T_1058 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 324:88] - node _T_1059 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 324:111] - node _T_1060 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 324:88] - node _T_1061 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 324:111] - node _T_1062 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 324:88] - node _T_1063 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 324:111] - node _T_1064 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 324:88] - node _T_1065 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 324:111] + node _T_1056 = eq(_T_1055, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 312:31] + node _T_1057 = and(_T_1043, _T_1056) @[el2_lsu_bus_buffer.scala 312:29] + node _T_1058 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 313:88] + node _T_1059 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 313:111] + node _T_1060 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 313:88] + node _T_1061 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 313:111] + node _T_1062 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 313:88] + node _T_1063 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 313:111] + node _T_1064 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 313:88] + node _T_1065 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 313:111] node _T_1066 = mux(_T_1058, _T_1059, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1067 = mux(_T_1060, _T_1061, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1068 = mux(_T_1062, _T_1063, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1687,32 +1685,32 @@ circuit el2_lsu_bus_buffer : node _T_1072 = or(_T_1071, _T_1069) @[Mux.scala 27:72] wire _T_1073 : UInt<1> @[Mux.scala 27:72] _T_1073 <= _T_1072 @[Mux.scala 27:72] - node _T_1074 = eq(_T_1073, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 324:5] - node _T_1075 = and(_T_1057, _T_1074) @[el2_lsu_bus_buffer.scala 323:140] - node _T_1076 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 324:119] - node obuf_wr_wait = and(_T_1075, _T_1076) @[el2_lsu_bus_buffer.scala 324:117] - node _T_1077 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 325:75] - node _T_1078 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 325:95] - node _T_1079 = and(_T_1077, _T_1078) @[el2_lsu_bus_buffer.scala 325:79] - node _T_1080 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 325:121] - node _T_1081 = tail(_T_1080, 1) @[el2_lsu_bus_buffer.scala 325:121] - node _T_1082 = mux(_T_1079, _T_1081, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 325:55] - node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1082) @[el2_lsu_bus_buffer.scala 325:29] - node _T_1083 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 326:41] - node _T_1084 = and(io.lsu_busreq_m, _T_1083) @[el2_lsu_bus_buffer.scala 326:39] - node _T_1085 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 326:60] - node _T_1086 = and(_T_1084, _T_1085) @[el2_lsu_bus_buffer.scala 326:58] - node _T_1087 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 326:93] - node _T_1088 = and(_T_1086, _T_1087) @[el2_lsu_bus_buffer.scala 326:72] - node _T_1089 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 326:117] - node _T_1090 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 326:208] - node _T_1091 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 326:228] - node _T_1092 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 326:208] - node _T_1093 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 326:228] - node _T_1094 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 326:208] - node _T_1095 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 326:228] - node _T_1096 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 326:208] - node _T_1097 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 326:228] + node _T_1074 = eq(_T_1073, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 313:5] + node _T_1075 = and(_T_1057, _T_1074) @[el2_lsu_bus_buffer.scala 312:140] + node _T_1076 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 313:119] + node obuf_wr_wait = and(_T_1075, _T_1076) @[el2_lsu_bus_buffer.scala 313:117] + node _T_1077 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 314:75] + node _T_1078 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 314:95] + node _T_1079 = and(_T_1077, _T_1078) @[el2_lsu_bus_buffer.scala 314:79] + node _T_1080 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 314:121] + node _T_1081 = tail(_T_1080, 1) @[el2_lsu_bus_buffer.scala 314:121] + node _T_1082 = mux(_T_1079, _T_1081, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 314:55] + node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1082) @[el2_lsu_bus_buffer.scala 314:29] + node _T_1083 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 315:41] + node _T_1084 = and(io.lsu_busreq_m, _T_1083) @[el2_lsu_bus_buffer.scala 315:39] + node _T_1085 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 315:60] + node _T_1086 = and(_T_1084, _T_1085) @[el2_lsu_bus_buffer.scala 315:58] + node _T_1087 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 315:93] + node _T_1088 = and(_T_1086, _T_1087) @[el2_lsu_bus_buffer.scala 315:72] + node _T_1089 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 315:117] + node _T_1090 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 315:208] + node _T_1091 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 315:228] + node _T_1092 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 315:208] + node _T_1093 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 315:228] + node _T_1094 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 315:208] + node _T_1095 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 315:228] + node _T_1096 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 315:208] + node _T_1097 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 315:228] node _T_1098 = mux(_T_1090, _T_1091, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1099 = mux(_T_1092, _T_1093, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1100 = mux(_T_1094, _T_1095, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1722,35 +1720,35 @@ circuit el2_lsu_bus_buffer : node _T_1104 = or(_T_1103, _T_1101) @[Mux.scala 27:72] wire _T_1105 : UInt<30> @[Mux.scala 27:72] _T_1105 <= _T_1104 @[Mux.scala 27:72] - node _T_1106 = neq(_T_1089, _T_1105) @[el2_lsu_bus_buffer.scala 326:123] - node _T_1107 = and(_T_1088, _T_1106) @[el2_lsu_bus_buffer.scala 326:101] - obuf_force_wr_en <= _T_1107 @[el2_lsu_bus_buffer.scala 326:20] + node _T_1106 = neq(_T_1089, _T_1105) @[el2_lsu_bus_buffer.scala 315:123] + node _T_1107 = and(_T_1088, _T_1106) @[el2_lsu_bus_buffer.scala 315:101] + obuf_force_wr_en <= _T_1107 @[el2_lsu_bus_buffer.scala 315:20] wire buf_numvld_pend_any : UInt<4> buf_numvld_pend_any <= UInt<1>("h00") - node _T_1108 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 328:53] - node _T_1109 = and(ibuf_byp, _T_1108) @[el2_lsu_bus_buffer.scala 328:31] - node _T_1110 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 328:64] - node _T_1111 = or(_T_1110, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 328:84] - node ibuf_buf_byp = and(_T_1109, _T_1111) @[el2_lsu_bus_buffer.scala 328:61] + node _T_1108 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 317:53] + node _T_1109 = and(ibuf_byp, _T_1108) @[el2_lsu_bus_buffer.scala 317:31] + node _T_1110 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 317:64] + node _T_1111 = or(_T_1110, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 317:84] + node ibuf_buf_byp = and(_T_1109, _T_1111) @[el2_lsu_bus_buffer.scala 317:61] wire bus_sideeffect_pend : UInt<1> bus_sideeffect_pend <= UInt<1>("h00") wire found_cmdptr0 : UInt<1> found_cmdptr0 <= UInt<1>("h00") - wire buf_cmd_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 331:34] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:24] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:24] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:24] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:24] - wire buf_dual : UInt<1>[4] @[el2_lsu_bus_buffer.scala 333:22] - buf_dual[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 334:12] - buf_dual[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 334:12] - buf_dual[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 334:12] - buf_dual[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 334:12] - wire buf_samedw : UInt<1>[4] @[el2_lsu_bus_buffer.scala 335:24] - buf_samedw[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 336:14] - buf_samedw[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 336:14] - buf_samedw[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 336:14] - buf_samedw[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 336:14] + wire buf_cmd_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 320:34] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 321:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 321:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 321:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 321:24] + wire buf_dual : UInt<1>[4] @[el2_lsu_bus_buffer.scala 322:22] + buf_dual[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 323:12] + buf_dual[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 323:12] + buf_dual[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 323:12] + buf_dual[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 323:12] + wire buf_samedw : UInt<1>[4] @[el2_lsu_bus_buffer.scala 324:24] + buf_samedw[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 325:14] + buf_samedw[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 325:14] + buf_samedw[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 325:14] + buf_samedw[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 325:14] wire found_cmdptr1 : UInt<1> found_cmdptr1 <= UInt<1>("h00") wire bus_cmd_ready : UInt<1> @@ -1763,14 +1761,14 @@ circuit el2_lsu_bus_buffer : lsu_bus_cntr_overflow <= UInt<1>("h00") wire bus_addr_match_pending : UInt<1> bus_addr_match_pending <= UInt<1>("h00") - node _T_1112 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 343:32] - node _T_1113 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 343:74] - node _T_1114 = eq(_T_1113, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 343:52] - node _T_1115 = and(_T_1112, _T_1114) @[el2_lsu_bus_buffer.scala 343:50] - node _T_1116 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1117 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1118 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1119 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1112 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 332:32] + node _T_1113 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 332:74] + node _T_1114 = eq(_T_1113, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 332:52] + node _T_1115 = and(_T_1112, _T_1114) @[el2_lsu_bus_buffer.scala 332:50] + node _T_1116 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1117 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1118 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1119 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1120 = mux(_T_1116, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1121 = mux(_T_1117, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1122 = mux(_T_1118, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1780,19 +1778,19 @@ circuit el2_lsu_bus_buffer : node _T_1126 = or(_T_1125, _T_1123) @[Mux.scala 27:72] wire _T_1127 : UInt<3> @[Mux.scala 27:72] _T_1127 <= _T_1126 @[Mux.scala 27:72] - node _T_1128 = eq(_T_1127, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 344:36] - node _T_1129 = and(_T_1128, found_cmdptr0) @[el2_lsu_bus_buffer.scala 344:47] + node _T_1128 = eq(_T_1127, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 333:36] + node _T_1129 = and(_T_1128, found_cmdptr0) @[el2_lsu_bus_buffer.scala 333:47] node _T_1130 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1131 = cat(_T_1130, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1132 = cat(_T_1131, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1133 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1134 = bits(_T_1132, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1135 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1136 = bits(_T_1132, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1137 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1138 = bits(_T_1132, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1139 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1140 = bits(_T_1132, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1133 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1134 = bits(_T_1132, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1135 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1136 = bits(_T_1132, 1, 1) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1137 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1138 = bits(_T_1132, 2, 2) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1139 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1140 = bits(_T_1132, 3, 3) @[el2_lsu_bus_buffer.scala 109:129] node _T_1141 = mux(_T_1133, _T_1134, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1142 = mux(_T_1135, _T_1136, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1143 = mux(_T_1137, _T_1138, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1802,16 +1800,16 @@ circuit el2_lsu_bus_buffer : node _T_1147 = or(_T_1146, _T_1144) @[Mux.scala 27:72] wire _T_1148 : UInt<1> @[Mux.scala 27:72] _T_1148 <= _T_1147 @[Mux.scala 27:72] - node _T_1149 = eq(_T_1148, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 345:23] - node _T_1150 = and(_T_1129, _T_1149) @[el2_lsu_bus_buffer.scala 345:21] - node _T_1151 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1152 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1153 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1154 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1155 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1156 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1157 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1158 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1149 = eq(_T_1148, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 334:23] + node _T_1150 = and(_T_1129, _T_1149) @[el2_lsu_bus_buffer.scala 334:21] + node _T_1151 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1152 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1153 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1154 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1155 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1156 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1157 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1158 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 109:129] node _T_1159 = mux(_T_1151, _T_1152, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1160 = mux(_T_1153, _T_1154, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1161 = mux(_T_1155, _T_1156, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1821,20 +1819,20 @@ circuit el2_lsu_bus_buffer : node _T_1165 = or(_T_1164, _T_1162) @[Mux.scala 27:72] wire _T_1166 : UInt<1> @[Mux.scala 27:72] _T_1166 <= _T_1165 @[Mux.scala 27:72] - node _T_1167 = and(_T_1166, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 345:141] - node _T_1168 = eq(_T_1167, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 345:105] - node _T_1169 = and(_T_1150, _T_1168) @[el2_lsu_bus_buffer.scala 345:103] + node _T_1167 = and(_T_1166, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 334:141] + node _T_1168 = eq(_T_1167, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 334:105] + node _T_1169 = and(_T_1150, _T_1168) @[el2_lsu_bus_buffer.scala 334:103] node _T_1170 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1171 = cat(_T_1170, buf_dual[1]) @[Cat.scala 29:58] node _T_1172 = cat(_T_1171, buf_dual[0]) @[Cat.scala 29:58] - node _T_1173 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1174 = bits(_T_1172, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1175 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1176 = bits(_T_1172, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1177 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1178 = bits(_T_1172, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1179 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1180 = bits(_T_1172, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1173 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1174 = bits(_T_1172, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1175 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1176 = bits(_T_1172, 1, 1) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1177 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1178 = bits(_T_1172, 2, 2) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1179 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1180 = bits(_T_1172, 3, 3) @[el2_lsu_bus_buffer.scala 109:129] node _T_1181 = mux(_T_1173, _T_1174, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1182 = mux(_T_1175, _T_1176, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1183 = mux(_T_1177, _T_1178, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1847,14 +1845,14 @@ circuit el2_lsu_bus_buffer : node _T_1189 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] node _T_1190 = cat(_T_1189, buf_samedw[1]) @[Cat.scala 29:58] node _T_1191 = cat(_T_1190, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1192 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1193 = bits(_T_1191, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1194 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1195 = bits(_T_1191, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1196 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1197 = bits(_T_1191, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1198 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1199 = bits(_T_1191, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1192 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1193 = bits(_T_1191, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1194 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1195 = bits(_T_1191, 1, 1) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1196 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1197 = bits(_T_1191, 2, 2) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1198 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1199 = bits(_T_1191, 3, 3) @[el2_lsu_bus_buffer.scala 109:129] node _T_1200 = mux(_T_1192, _T_1193, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1201 = mux(_T_1194, _T_1195, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1202 = mux(_T_1196, _T_1197, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1864,15 +1862,15 @@ circuit el2_lsu_bus_buffer : node _T_1206 = or(_T_1205, _T_1203) @[Mux.scala 27:72] wire _T_1207 : UInt<1> @[Mux.scala 27:72] _T_1207 <= _T_1206 @[Mux.scala 27:72] - node _T_1208 = and(_T_1188, _T_1207) @[el2_lsu_bus_buffer.scala 346:77] - node _T_1209 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1210 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1211 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1212 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1213 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1214 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1215 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1216 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1208 = and(_T_1188, _T_1207) @[el2_lsu_bus_buffer.scala 335:77] + node _T_1209 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1210 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1211 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1212 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1213 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1214 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1215 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1216 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 109:129] node _T_1217 = mux(_T_1209, _T_1210, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1218 = mux(_T_1211, _T_1212, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1219 = mux(_T_1213, _T_1214, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1882,21 +1880,21 @@ circuit el2_lsu_bus_buffer : node _T_1223 = or(_T_1222, _T_1220) @[Mux.scala 27:72] wire _T_1224 : UInt<1> @[Mux.scala 27:72] _T_1224 <= _T_1223 @[Mux.scala 27:72] - node _T_1225 = eq(_T_1224, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:150] - node _T_1226 = and(_T_1208, _T_1225) @[el2_lsu_bus_buffer.scala 346:148] - node _T_1227 = eq(_T_1226, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:8] - node _T_1228 = or(_T_1227, found_cmdptr1) @[el2_lsu_bus_buffer.scala 346:181] + node _T_1225 = eq(_T_1224, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 335:150] + node _T_1226 = and(_T_1208, _T_1225) @[el2_lsu_bus_buffer.scala 335:148] + node _T_1227 = eq(_T_1226, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 335:8] + node _T_1228 = or(_T_1227, found_cmdptr1) @[el2_lsu_bus_buffer.scala 335:181] node _T_1229 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] node _T_1230 = cat(_T_1229, buf_nomerge[1]) @[Cat.scala 29:58] node _T_1231 = cat(_T_1230, buf_nomerge[0]) @[Cat.scala 29:58] - node _T_1232 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1233 = bits(_T_1231, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1234 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1235 = bits(_T_1231, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1236 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1237 = bits(_T_1231, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1238 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1239 = bits(_T_1231, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1232 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1233 = bits(_T_1231, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1234 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1235 = bits(_T_1231, 1, 1) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1236 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1237 = bits(_T_1231, 2, 2) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1238 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1239 = bits(_T_1231, 3, 3) @[el2_lsu_bus_buffer.scala 109:129] node _T_1240 = mux(_T_1232, _T_1233, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1241 = mux(_T_1234, _T_1235, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1242 = mux(_T_1236, _T_1237, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1906,38 +1904,38 @@ circuit el2_lsu_bus_buffer : node _T_1246 = or(_T_1245, _T_1243) @[Mux.scala 27:72] wire _T_1247 : UInt<1> @[Mux.scala 27:72] _T_1247 <= _T_1246 @[Mux.scala 27:72] - node _T_1248 = or(_T_1228, _T_1247) @[el2_lsu_bus_buffer.scala 346:197] - node _T_1249 = or(_T_1248, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 346:269] - node _T_1250 = and(_T_1169, _T_1249) @[el2_lsu_bus_buffer.scala 345:164] - node _T_1251 = or(_T_1115, _T_1250) @[el2_lsu_bus_buffer.scala 343:98] - node _T_1252 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 347:48] - node _T_1253 = or(bus_cmd_ready, _T_1252) @[el2_lsu_bus_buffer.scala 347:46] - node _T_1254 = or(_T_1253, obuf_nosend) @[el2_lsu_bus_buffer.scala 347:60] - node _T_1255 = and(_T_1251, _T_1254) @[el2_lsu_bus_buffer.scala 347:29] - node _T_1256 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 347:77] - node _T_1257 = and(_T_1255, _T_1256) @[el2_lsu_bus_buffer.scala 347:75] - node _T_1258 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 347:93] - node _T_1259 = and(_T_1257, _T_1258) @[el2_lsu_bus_buffer.scala 347:91] - node _T_1260 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 347:118] - node _T_1261 = and(_T_1259, _T_1260) @[el2_lsu_bus_buffer.scala 347:116] - node _T_1262 = and(_T_1261, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 347:142] - obuf_wr_en <= _T_1262 @[el2_lsu_bus_buffer.scala 343:14] + node _T_1248 = or(_T_1228, _T_1247) @[el2_lsu_bus_buffer.scala 335:197] + node _T_1249 = or(_T_1248, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 335:269] + node _T_1250 = and(_T_1169, _T_1249) @[el2_lsu_bus_buffer.scala 334:164] + node _T_1251 = or(_T_1115, _T_1250) @[el2_lsu_bus_buffer.scala 332:98] + node _T_1252 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 336:48] + node _T_1253 = or(bus_cmd_ready, _T_1252) @[el2_lsu_bus_buffer.scala 336:46] + node _T_1254 = or(_T_1253, obuf_nosend) @[el2_lsu_bus_buffer.scala 336:60] + node _T_1255 = and(_T_1251, _T_1254) @[el2_lsu_bus_buffer.scala 336:29] + node _T_1256 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 336:77] + node _T_1257 = and(_T_1255, _T_1256) @[el2_lsu_bus_buffer.scala 336:75] + node _T_1258 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 336:93] + node _T_1259 = and(_T_1257, _T_1258) @[el2_lsu_bus_buffer.scala 336:91] + node _T_1260 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 336:118] + node _T_1261 = and(_T_1259, _T_1260) @[el2_lsu_bus_buffer.scala 336:116] + node _T_1262 = and(_T_1261, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 336:142] + obuf_wr_en <= _T_1262 @[el2_lsu_bus_buffer.scala 332:14] wire bus_cmd_sent : UInt<1> bus_cmd_sent <= UInt<1>("h00") - node _T_1263 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 349:47] - node _T_1264 = or(bus_cmd_sent, _T_1263) @[el2_lsu_bus_buffer.scala 349:33] - node _T_1265 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 349:65] - node _T_1266 = and(_T_1264, _T_1265) @[el2_lsu_bus_buffer.scala 349:63] - node _T_1267 = and(_T_1266, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 349:77] - node obuf_rst = or(_T_1267, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 349:98] - node _T_1268 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1269 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1270 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1271 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1272 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1273 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1274 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1275 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1263 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 338:47] + node _T_1264 = or(bus_cmd_sent, _T_1263) @[el2_lsu_bus_buffer.scala 338:33] + node _T_1265 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 338:65] + node _T_1266 = and(_T_1264, _T_1265) @[el2_lsu_bus_buffer.scala 338:63] + node _T_1267 = and(_T_1266, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 338:77] + node obuf_rst = or(_T_1267, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 338:98] + node _T_1268 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1269 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1270 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1271 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1272 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1273 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1274 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1275 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 109:129] node _T_1276 = mux(_T_1268, _T_1269, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1277 = mux(_T_1270, _T_1271, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1278 = mux(_T_1272, _T_1273, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1947,15 +1945,15 @@ circuit el2_lsu_bus_buffer : node _T_1282 = or(_T_1281, _T_1279) @[Mux.scala 27:72] wire _T_1283 : UInt<1> @[Mux.scala 27:72] _T_1283 <= _T_1282 @[Mux.scala 27:72] - node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1283) @[el2_lsu_bus_buffer.scala 350:26] - node _T_1284 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1285 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1286 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1287 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1288 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1289 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1290 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1291 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1283) @[el2_lsu_bus_buffer.scala 339:26] + node _T_1284 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1285 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1286 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1287 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1288 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1289 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1290 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1291 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 109:129] node _T_1292 = mux(_T_1284, _T_1285, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1293 = mux(_T_1286, _T_1287, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1294 = mux(_T_1288, _T_1289, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1965,11 +1963,11 @@ circuit el2_lsu_bus_buffer : node _T_1298 = or(_T_1297, _T_1295) @[Mux.scala 27:72] wire _T_1299 : UInt<1> @[Mux.scala 27:72] _T_1299 <= _T_1298 @[Mux.scala 27:72] - node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1299) @[el2_lsu_bus_buffer.scala 351:31] - node _T_1300 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1301 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1302 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1303 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1299) @[el2_lsu_bus_buffer.scala 340:31] + node _T_1300 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1301 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1302 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1303 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1304 = mux(_T_1300, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1305 = mux(_T_1301, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1306 = mux(_T_1302, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1979,17 +1977,17 @@ circuit el2_lsu_bus_buffer : node _T_1310 = or(_T_1309, _T_1307) @[Mux.scala 27:72] wire _T_1311 : UInt<32> @[Mux.scala 27:72] _T_1311 <= _T_1310 @[Mux.scala 27:72] - node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1311) @[el2_lsu_bus_buffer.scala 352:25] - wire buf_sz : UInt<2>[4] @[el2_lsu_bus_buffer.scala 353:20] - buf_sz[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 354:10] - buf_sz[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 354:10] - buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 354:10] - buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 354:10] + node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1311) @[el2_lsu_bus_buffer.scala 341:25] + wire buf_sz : UInt<2>[4] @[el2_lsu_bus_buffer.scala 342:20] + buf_sz[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 343:10] + buf_sz[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 343:10] + buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 343:10] + buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 343:10] node _T_1312 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_1313 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1314 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1315 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1316 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1313 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1314 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1315 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1316 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1317 = mux(_T_1313, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1318 = mux(_T_1314, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1319 = mux(_T_1315, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1999,44 +1997,43 @@ circuit el2_lsu_bus_buffer : node _T_1323 = or(_T_1322, _T_1320) @[Mux.scala 27:72] wire _T_1324 : UInt<2> @[Mux.scala 27:72] _T_1324 <= _T_1323 @[Mux.scala 27:72] - node obuf_sz_in = mux(ibuf_buf_byp, _T_1312, _T_1324) @[el2_lsu_bus_buffer.scala 355:23] + node obuf_sz_in = mux(ibuf_buf_byp, _T_1312, _T_1324) @[el2_lsu_bus_buffer.scala 344:23] wire obuf_merge_en : UInt<1> obuf_merge_en <= UInt<1>("h00") - node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[el2_lsu_bus_buffer.scala 358:25] + node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[el2_lsu_bus_buffer.scala 347:25] wire Cmdptr1 : UInt<2> Cmdptr1 <= UInt<1>("h00") - io.Cmdptr1 <= Cmdptr1 @[el2_lsu_bus_buffer.scala 360:14] - node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) @[el2_lsu_bus_buffer.scala 361:25] + node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) @[el2_lsu_bus_buffer.scala 350:25] wire obuf_cmd_done : UInt<1> obuf_cmd_done <= UInt<1>("h00") wire bus_wcmd_sent : UInt<1> bus_wcmd_sent <= UInt<1>("h00") - node _T_1325 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 364:39] - node _T_1326 = eq(_T_1325, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 364:26] - node _T_1327 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 364:68] - node obuf_cmd_done_in = and(_T_1326, _T_1327) @[el2_lsu_bus_buffer.scala 364:51] + node _T_1325 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 353:39] + node _T_1326 = eq(_T_1325, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 353:26] + node _T_1327 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 353:68] + node obuf_cmd_done_in = and(_T_1326, _T_1327) @[el2_lsu_bus_buffer.scala 353:51] wire obuf_data_done : UInt<1> obuf_data_done <= UInt<1>("h00") wire bus_wdata_sent : UInt<1> bus_wdata_sent <= UInt<1>("h00") - node _T_1328 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 367:40] - node _T_1329 = eq(_T_1328, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 367:27] - node _T_1330 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 367:70] - node obuf_data_done_in = and(_T_1329, _T_1330) @[el2_lsu_bus_buffer.scala 367:52] - node _T_1331 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 368:67] - node _T_1332 = eq(_T_1331, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 368:72] - node _T_1333 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 368:92] - node _T_1334 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 368:111] - node _T_1335 = eq(_T_1334, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 368:98] - node _T_1336 = and(_T_1333, _T_1335) @[el2_lsu_bus_buffer.scala 368:96] - node _T_1337 = or(_T_1332, _T_1336) @[el2_lsu_bus_buffer.scala 368:79] - node _T_1338 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 368:129] - node _T_1339 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 368:147] - node _T_1340 = orr(_T_1339) @[el2_lsu_bus_buffer.scala 368:153] - node _T_1341 = eq(_T_1340, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 368:134] - node _T_1342 = and(_T_1338, _T_1341) @[el2_lsu_bus_buffer.scala 368:132] - node _T_1343 = or(_T_1337, _T_1342) @[el2_lsu_bus_buffer.scala 368:116] - node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1343) @[el2_lsu_bus_buffer.scala 368:28] + node _T_1328 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 356:40] + node _T_1329 = eq(_T_1328, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 356:27] + node _T_1330 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 356:70] + node obuf_data_done_in = and(_T_1329, _T_1330) @[el2_lsu_bus_buffer.scala 356:52] + node _T_1331 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 357:67] + node _T_1332 = eq(_T_1331, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 357:72] + node _T_1333 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 357:92] + node _T_1334 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 357:111] + node _T_1335 = eq(_T_1334, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 357:98] + node _T_1336 = and(_T_1333, _T_1335) @[el2_lsu_bus_buffer.scala 357:96] + node _T_1337 = or(_T_1332, _T_1336) @[el2_lsu_bus_buffer.scala 357:79] + node _T_1338 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 357:129] + node _T_1339 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 357:147] + node _T_1340 = orr(_T_1339) @[el2_lsu_bus_buffer.scala 357:153] + node _T_1341 = eq(_T_1340, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 357:134] + node _T_1342 = and(_T_1338, _T_1341) @[el2_lsu_bus_buffer.scala 357:132] + node _T_1343 = or(_T_1337, _T_1342) @[el2_lsu_bus_buffer.scala 357:116] + node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1343) @[el2_lsu_bus_buffer.scala 357:28] wire obuf_nosend_in : UInt<1> obuf_nosend_in <= UInt<1>("h00") wire obuf_rdrsp_pend : UInt<1> @@ -2049,57 +2046,57 @@ circuit el2_lsu_bus_buffer : obuf_rdrsp_tag <= UInt<1>("h00") wire obuf_write : UInt<1> obuf_write <= UInt<1>("h00") - node _T_1344 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 376:44] - node _T_1345 = and(obuf_wr_en, _T_1344) @[el2_lsu_bus_buffer.scala 376:42] - node _T_1346 = eq(_T_1345, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 376:29] - node _T_1347 = and(_T_1346, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 376:61] - node _T_1348 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 376:116] - node _T_1349 = and(bus_rsp_read, _T_1348) @[el2_lsu_bus_buffer.scala 376:96] - node _T_1350 = eq(_T_1349, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 376:81] - node _T_1351 = and(_T_1347, _T_1350) @[el2_lsu_bus_buffer.scala 376:79] - node _T_1352 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 377:22] - node _T_1353 = and(bus_cmd_sent, _T_1352) @[el2_lsu_bus_buffer.scala 377:20] - node _T_1354 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 377:37] - node _T_1355 = and(_T_1353, _T_1354) @[el2_lsu_bus_buffer.scala 377:35] - node obuf_rdrsp_pend_in = or(_T_1351, _T_1355) @[el2_lsu_bus_buffer.scala 376:138] + node _T_1344 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 365:44] + node _T_1345 = and(obuf_wr_en, _T_1344) @[el2_lsu_bus_buffer.scala 365:42] + node _T_1346 = eq(_T_1345, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 365:29] + node _T_1347 = and(_T_1346, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 365:61] + node _T_1348 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 365:116] + node _T_1349 = and(bus_rsp_read, _T_1348) @[el2_lsu_bus_buffer.scala 365:96] + node _T_1350 = eq(_T_1349, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 365:81] + node _T_1351 = and(_T_1347, _T_1350) @[el2_lsu_bus_buffer.scala 365:79] + node _T_1352 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 366:22] + node _T_1353 = and(bus_cmd_sent, _T_1352) @[el2_lsu_bus_buffer.scala 366:20] + node _T_1354 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 366:37] + node _T_1355 = and(_T_1353, _T_1354) @[el2_lsu_bus_buffer.scala 366:35] + node obuf_rdrsp_pend_in = or(_T_1351, _T_1355) @[el2_lsu_bus_buffer.scala 365:138] wire obuf_tag0 : UInt<3> obuf_tag0 <= UInt<1>("h00") - node _T_1356 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:46] - node _T_1357 = or(bus_cmd_sent, _T_1356) @[el2_lsu_bus_buffer.scala 379:44] - node obuf_rdrsp_tag_in = mux(_T_1357, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 379:30] + node _T_1356 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 368:46] + node _T_1357 = or(bus_cmd_sent, _T_1356) @[el2_lsu_bus_buffer.scala 368:44] + node obuf_rdrsp_tag_in = mux(_T_1357, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 368:30] wire obuf_addr : UInt<32> obuf_addr <= UInt<1>("h00") wire obuf_sideeffect : UInt<1> obuf_sideeffect <= UInt<1>("h00") - node _T_1358 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 382:34] - node _T_1359 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 382:52] - node _T_1360 = eq(_T_1358, _T_1359) @[el2_lsu_bus_buffer.scala 382:40] - node _T_1361 = and(_T_1360, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 382:60] - node _T_1362 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 382:80] - node _T_1363 = and(_T_1361, _T_1362) @[el2_lsu_bus_buffer.scala 382:78] - node _T_1364 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 382:99] - node _T_1365 = and(_T_1363, _T_1364) @[el2_lsu_bus_buffer.scala 382:97] - node _T_1366 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 382:113] - node _T_1367 = and(_T_1365, _T_1366) @[el2_lsu_bus_buffer.scala 382:111] - node _T_1368 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 382:130] - node _T_1369 = and(_T_1367, _T_1368) @[el2_lsu_bus_buffer.scala 382:128] - node _T_1370 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 383:20] - node _T_1371 = and(obuf_valid, _T_1370) @[el2_lsu_bus_buffer.scala 383:18] - node _T_1372 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 383:90] - node _T_1373 = and(bus_rsp_read, _T_1372) @[el2_lsu_bus_buffer.scala 383:70] - node _T_1374 = eq(_T_1373, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 383:55] - node _T_1375 = and(obuf_rdrsp_pend, _T_1374) @[el2_lsu_bus_buffer.scala 383:53] - node _T_1376 = or(_T_1371, _T_1375) @[el2_lsu_bus_buffer.scala 383:34] - node _T_1377 = and(_T_1369, _T_1376) @[el2_lsu_bus_buffer.scala 382:165] - obuf_nosend_in <= _T_1377 @[el2_lsu_bus_buffer.scala 382:18] - node _T_1378 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 384:60] + node _T_1358 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 371:34] + node _T_1359 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 371:52] + node _T_1360 = eq(_T_1358, _T_1359) @[el2_lsu_bus_buffer.scala 371:40] + node _T_1361 = and(_T_1360, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 371:60] + node _T_1362 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 371:80] + node _T_1363 = and(_T_1361, _T_1362) @[el2_lsu_bus_buffer.scala 371:78] + node _T_1364 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 371:99] + node _T_1365 = and(_T_1363, _T_1364) @[el2_lsu_bus_buffer.scala 371:97] + node _T_1366 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 371:113] + node _T_1367 = and(_T_1365, _T_1366) @[el2_lsu_bus_buffer.scala 371:111] + node _T_1368 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 371:130] + node _T_1369 = and(_T_1367, _T_1368) @[el2_lsu_bus_buffer.scala 371:128] + node _T_1370 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 372:20] + node _T_1371 = and(obuf_valid, _T_1370) @[el2_lsu_bus_buffer.scala 372:18] + node _T_1372 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 372:90] + node _T_1373 = and(bus_rsp_read, _T_1372) @[el2_lsu_bus_buffer.scala 372:70] + node _T_1374 = eq(_T_1373, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 372:55] + node _T_1375 = and(obuf_rdrsp_pend, _T_1374) @[el2_lsu_bus_buffer.scala 372:53] + node _T_1376 = or(_T_1371, _T_1375) @[el2_lsu_bus_buffer.scala 372:34] + node _T_1377 = and(_T_1369, _T_1376) @[el2_lsu_bus_buffer.scala 371:165] + obuf_nosend_in <= _T_1377 @[el2_lsu_bus_buffer.scala 371:18] + node _T_1378 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 373:60] node _T_1379 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1380 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] - node _T_1381 = mux(_T_1378, _T_1379, _T_1380) @[el2_lsu_bus_buffer.scala 384:46] - node _T_1382 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1383 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1384 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1385 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1381 = mux(_T_1378, _T_1379, _T_1380) @[el2_lsu_bus_buffer.scala 373:46] + node _T_1382 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1383 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1384 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1385 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1386 = mux(_T_1382, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1387 = mux(_T_1383, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1388 = mux(_T_1384, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2109,12 +2106,12 @@ circuit el2_lsu_bus_buffer : node _T_1392 = or(_T_1391, _T_1389) @[Mux.scala 27:72] wire _T_1393 : UInt<32> @[Mux.scala 27:72] _T_1393 <= _T_1392 @[Mux.scala 27:72] - node _T_1394 = bits(_T_1393, 2, 2) @[el2_lsu_bus_buffer.scala 385:36] - node _T_1395 = bits(_T_1394, 0, 0) @[el2_lsu_bus_buffer.scala 385:46] - node _T_1396 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1397 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1398 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1399 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1394 = bits(_T_1393, 2, 2) @[el2_lsu_bus_buffer.scala 374:36] + node _T_1395 = bits(_T_1394, 0, 0) @[el2_lsu_bus_buffer.scala 374:46] + node _T_1396 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1397 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1398 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1399 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1400 = mux(_T_1396, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1401 = mux(_T_1397, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1402 = mux(_T_1398, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2125,10 +2122,10 @@ circuit el2_lsu_bus_buffer : wire _T_1407 : UInt<4> @[Mux.scala 27:72] _T_1407 <= _T_1406 @[Mux.scala 27:72] node _T_1408 = cat(_T_1407, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1409 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1410 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1411 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1412 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1409 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1410 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1411 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1412 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1413 = mux(_T_1409, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1414 = mux(_T_1410, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1415 = mux(_T_1411, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2139,16 +2136,16 @@ circuit el2_lsu_bus_buffer : wire _T_1420 : UInt<4> @[Mux.scala 27:72] _T_1420 <= _T_1419 @[Mux.scala 27:72] node _T_1421 = cat(UInt<4>("h00"), _T_1420) @[Cat.scala 29:58] - node _T_1422 = mux(_T_1395, _T_1408, _T_1421) @[el2_lsu_bus_buffer.scala 385:8] - node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1381, _T_1422) @[el2_lsu_bus_buffer.scala 384:28] - node _T_1423 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 386:60] + node _T_1422 = mux(_T_1395, _T_1408, _T_1421) @[el2_lsu_bus_buffer.scala 374:8] + node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1381, _T_1422) @[el2_lsu_bus_buffer.scala 373:28] + node _T_1423 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 375:60] node _T_1424 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1425 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] - node _T_1426 = mux(_T_1423, _T_1424, _T_1425) @[el2_lsu_bus_buffer.scala 386:46] - node _T_1427 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1428 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1429 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1430 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1426 = mux(_T_1423, _T_1424, _T_1425) @[el2_lsu_bus_buffer.scala 375:46] + node _T_1427 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1428 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1429 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1430 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1431 = mux(_T_1427, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1432 = mux(_T_1428, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1433 = mux(_T_1429, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2158,12 +2155,12 @@ circuit el2_lsu_bus_buffer : node _T_1437 = or(_T_1436, _T_1434) @[Mux.scala 27:72] wire _T_1438 : UInt<32> @[Mux.scala 27:72] _T_1438 <= _T_1437 @[Mux.scala 27:72] - node _T_1439 = bits(_T_1438, 2, 2) @[el2_lsu_bus_buffer.scala 387:36] - node _T_1440 = bits(_T_1439, 0, 0) @[el2_lsu_bus_buffer.scala 387:46] - node _T_1441 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1442 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1443 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1444 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1439 = bits(_T_1438, 2, 2) @[el2_lsu_bus_buffer.scala 376:36] + node _T_1440 = bits(_T_1439, 0, 0) @[el2_lsu_bus_buffer.scala 376:46] + node _T_1441 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1442 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1443 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1444 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1445 = mux(_T_1441, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1446 = mux(_T_1442, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1447 = mux(_T_1443, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2174,10 +2171,10 @@ circuit el2_lsu_bus_buffer : wire _T_1452 : UInt<4> @[Mux.scala 27:72] _T_1452 <= _T_1451 @[Mux.scala 27:72] node _T_1453 = cat(_T_1452, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1454 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1455 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1456 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1457 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1454 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1455 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1456 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1457 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1458 = mux(_T_1454, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1459 = mux(_T_1455, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1460 = mux(_T_1456, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2188,16 +2185,16 @@ circuit el2_lsu_bus_buffer : wire _T_1465 : UInt<4> @[Mux.scala 27:72] _T_1465 <= _T_1464 @[Mux.scala 27:72] node _T_1466 = cat(UInt<4>("h00"), _T_1465) @[Cat.scala 29:58] - node _T_1467 = mux(_T_1440, _T_1453, _T_1466) @[el2_lsu_bus_buffer.scala 387:8] - node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1426, _T_1467) @[el2_lsu_bus_buffer.scala 386:28] - node _T_1468 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 389:58] + node _T_1467 = mux(_T_1440, _T_1453, _T_1466) @[el2_lsu_bus_buffer.scala 376:8] + node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1426, _T_1467) @[el2_lsu_bus_buffer.scala 375:28] + node _T_1468 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 378:58] node _T_1469 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1470 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] - node _T_1471 = mux(_T_1468, _T_1469, _T_1470) @[el2_lsu_bus_buffer.scala 389:44] - node _T_1472 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1473 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1474 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1475 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1471 = mux(_T_1468, _T_1469, _T_1470) @[el2_lsu_bus_buffer.scala 378:44] + node _T_1472 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1473 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1474 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1475 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1476 = mux(_T_1472, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1477 = mux(_T_1473, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1478 = mux(_T_1474, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2207,12 +2204,12 @@ circuit el2_lsu_bus_buffer : node _T_1482 = or(_T_1481, _T_1479) @[Mux.scala 27:72] wire _T_1483 : UInt<32> @[Mux.scala 27:72] _T_1483 <= _T_1482 @[Mux.scala 27:72] - node _T_1484 = bits(_T_1483, 2, 2) @[el2_lsu_bus_buffer.scala 390:36] - node _T_1485 = bits(_T_1484, 0, 0) @[el2_lsu_bus_buffer.scala 390:46] - node _T_1486 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1487 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1488 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1489 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1484 = bits(_T_1483, 2, 2) @[el2_lsu_bus_buffer.scala 379:36] + node _T_1485 = bits(_T_1484, 0, 0) @[el2_lsu_bus_buffer.scala 379:46] + node _T_1486 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1487 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1488 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1489 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1490 = mux(_T_1486, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1491 = mux(_T_1487, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1492 = mux(_T_1488, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2223,10 +2220,10 @@ circuit el2_lsu_bus_buffer : wire _T_1497 : UInt<32> @[Mux.scala 27:72] _T_1497 <= _T_1496 @[Mux.scala 27:72] node _T_1498 = cat(_T_1497, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1499 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1500 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1501 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1502 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1499 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1500 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1501 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1502 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1503 = mux(_T_1499, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1504 = mux(_T_1500, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1505 = mux(_T_1501, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2237,16 +2234,16 @@ circuit el2_lsu_bus_buffer : wire _T_1510 : UInt<32> @[Mux.scala 27:72] _T_1510 <= _T_1509 @[Mux.scala 27:72] node _T_1511 = cat(UInt<32>("h00"), _T_1510) @[Cat.scala 29:58] - node _T_1512 = mux(_T_1485, _T_1498, _T_1511) @[el2_lsu_bus_buffer.scala 390:8] - node obuf_data0_in = mux(ibuf_buf_byp, _T_1471, _T_1512) @[el2_lsu_bus_buffer.scala 389:26] - node _T_1513 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 391:58] + node _T_1512 = mux(_T_1485, _T_1498, _T_1511) @[el2_lsu_bus_buffer.scala 379:8] + node obuf_data0_in = mux(ibuf_buf_byp, _T_1471, _T_1512) @[el2_lsu_bus_buffer.scala 378:26] + node _T_1513 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 380:58] node _T_1514 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1515 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] - node _T_1516 = mux(_T_1513, _T_1514, _T_1515) @[el2_lsu_bus_buffer.scala 391:44] - node _T_1517 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1518 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1519 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1520 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1516 = mux(_T_1513, _T_1514, _T_1515) @[el2_lsu_bus_buffer.scala 380:44] + node _T_1517 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1518 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1519 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1520 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1521 = mux(_T_1517, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1522 = mux(_T_1518, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1523 = mux(_T_1519, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2256,12 +2253,12 @@ circuit el2_lsu_bus_buffer : node _T_1527 = or(_T_1526, _T_1524) @[Mux.scala 27:72] wire _T_1528 : UInt<32> @[Mux.scala 27:72] _T_1528 <= _T_1527 @[Mux.scala 27:72] - node _T_1529 = bits(_T_1528, 2, 2) @[el2_lsu_bus_buffer.scala 392:36] - node _T_1530 = bits(_T_1529, 0, 0) @[el2_lsu_bus_buffer.scala 392:46] - node _T_1531 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1532 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1533 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1534 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1529 = bits(_T_1528, 2, 2) @[el2_lsu_bus_buffer.scala 381:36] + node _T_1530 = bits(_T_1529, 0, 0) @[el2_lsu_bus_buffer.scala 381:46] + node _T_1531 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1532 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1533 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1534 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1535 = mux(_T_1531, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1536 = mux(_T_1532, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1537 = mux(_T_1533, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2272,10 +2269,10 @@ circuit el2_lsu_bus_buffer : wire _T_1542 : UInt<32> @[Mux.scala 27:72] _T_1542 <= _T_1541 @[Mux.scala 27:72] node _T_1543 = cat(_T_1542, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1544 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1545 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1546 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1547 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1544 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1545 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1546 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1547 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1548 = mux(_T_1544, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1549 = mux(_T_1545, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1550 = mux(_T_1546, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2286,40 +2283,40 @@ circuit el2_lsu_bus_buffer : wire _T_1555 : UInt<32> @[Mux.scala 27:72] _T_1555 <= _T_1554 @[Mux.scala 27:72] node _T_1556 = cat(UInt<32>("h00"), _T_1555) @[Cat.scala 29:58] - node _T_1557 = mux(_T_1530, _T_1543, _T_1556) @[el2_lsu_bus_buffer.scala 392:8] - node obuf_data1_in = mux(ibuf_buf_byp, _T_1516, _T_1557) @[el2_lsu_bus_buffer.scala 391:26] - node _T_1558 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 393:59] - node _T_1559 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 393:97] - node _T_1560 = and(obuf_merge_en, _T_1559) @[el2_lsu_bus_buffer.scala 393:80] - node _T_1561 = or(_T_1558, _T_1560) @[el2_lsu_bus_buffer.scala 393:63] - node _T_1562 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 393:59] - node _T_1563 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 393:97] - node _T_1564 = and(obuf_merge_en, _T_1563) @[el2_lsu_bus_buffer.scala 393:80] - node _T_1565 = or(_T_1562, _T_1564) @[el2_lsu_bus_buffer.scala 393:63] - node _T_1566 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 393:59] - node _T_1567 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 393:97] - node _T_1568 = and(obuf_merge_en, _T_1567) @[el2_lsu_bus_buffer.scala 393:80] - node _T_1569 = or(_T_1566, _T_1568) @[el2_lsu_bus_buffer.scala 393:63] - node _T_1570 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 393:59] - node _T_1571 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 393:97] - node _T_1572 = and(obuf_merge_en, _T_1571) @[el2_lsu_bus_buffer.scala 393:80] - node _T_1573 = or(_T_1570, _T_1572) @[el2_lsu_bus_buffer.scala 393:63] - node _T_1574 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 393:59] - node _T_1575 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 393:97] - node _T_1576 = and(obuf_merge_en, _T_1575) @[el2_lsu_bus_buffer.scala 393:80] - node _T_1577 = or(_T_1574, _T_1576) @[el2_lsu_bus_buffer.scala 393:63] - node _T_1578 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 393:59] - node _T_1579 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 393:97] - node _T_1580 = and(obuf_merge_en, _T_1579) @[el2_lsu_bus_buffer.scala 393:80] - node _T_1581 = or(_T_1578, _T_1580) @[el2_lsu_bus_buffer.scala 393:63] - node _T_1582 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 393:59] - node _T_1583 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 393:97] - node _T_1584 = and(obuf_merge_en, _T_1583) @[el2_lsu_bus_buffer.scala 393:80] - node _T_1585 = or(_T_1582, _T_1584) @[el2_lsu_bus_buffer.scala 393:63] - node _T_1586 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 393:59] - node _T_1587 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 393:97] - node _T_1588 = and(obuf_merge_en, _T_1587) @[el2_lsu_bus_buffer.scala 393:80] - node _T_1589 = or(_T_1586, _T_1588) @[el2_lsu_bus_buffer.scala 393:63] + node _T_1557 = mux(_T_1530, _T_1543, _T_1556) @[el2_lsu_bus_buffer.scala 381:8] + node obuf_data1_in = mux(ibuf_buf_byp, _T_1516, _T_1557) @[el2_lsu_bus_buffer.scala 380:26] + node _T_1558 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 382:59] + node _T_1559 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 382:97] + node _T_1560 = and(obuf_merge_en, _T_1559) @[el2_lsu_bus_buffer.scala 382:80] + node _T_1561 = or(_T_1558, _T_1560) @[el2_lsu_bus_buffer.scala 382:63] + node _T_1562 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 382:59] + node _T_1563 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 382:97] + node _T_1564 = and(obuf_merge_en, _T_1563) @[el2_lsu_bus_buffer.scala 382:80] + node _T_1565 = or(_T_1562, _T_1564) @[el2_lsu_bus_buffer.scala 382:63] + node _T_1566 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 382:59] + node _T_1567 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 382:97] + node _T_1568 = and(obuf_merge_en, _T_1567) @[el2_lsu_bus_buffer.scala 382:80] + node _T_1569 = or(_T_1566, _T_1568) @[el2_lsu_bus_buffer.scala 382:63] + node _T_1570 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 382:59] + node _T_1571 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 382:97] + node _T_1572 = and(obuf_merge_en, _T_1571) @[el2_lsu_bus_buffer.scala 382:80] + node _T_1573 = or(_T_1570, _T_1572) @[el2_lsu_bus_buffer.scala 382:63] + node _T_1574 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 382:59] + node _T_1575 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 382:97] + node _T_1576 = and(obuf_merge_en, _T_1575) @[el2_lsu_bus_buffer.scala 382:80] + node _T_1577 = or(_T_1574, _T_1576) @[el2_lsu_bus_buffer.scala 382:63] + node _T_1578 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 382:59] + node _T_1579 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 382:97] + node _T_1580 = and(obuf_merge_en, _T_1579) @[el2_lsu_bus_buffer.scala 382:80] + node _T_1581 = or(_T_1578, _T_1580) @[el2_lsu_bus_buffer.scala 382:63] + node _T_1582 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 382:59] + node _T_1583 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 382:97] + node _T_1584 = and(obuf_merge_en, _T_1583) @[el2_lsu_bus_buffer.scala 382:80] + node _T_1585 = or(_T_1582, _T_1584) @[el2_lsu_bus_buffer.scala 382:63] + node _T_1586 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 382:59] + node _T_1587 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 382:97] + node _T_1588 = and(obuf_merge_en, _T_1587) @[el2_lsu_bus_buffer.scala 382:80] + node _T_1589 = or(_T_1586, _T_1588) @[el2_lsu_bus_buffer.scala 382:63] node _T_1590 = cat(_T_1589, _T_1585) @[Cat.scala 29:58] node _T_1591 = cat(_T_1590, _T_1581) @[Cat.scala 29:58] node _T_1592 = cat(_T_1591, _T_1577) @[Cat.scala 29:58] @@ -2327,46 +2324,46 @@ circuit el2_lsu_bus_buffer : node _T_1594 = cat(_T_1593, _T_1569) @[Cat.scala 29:58] node _T_1595 = cat(_T_1594, _T_1565) @[Cat.scala 29:58] node obuf_byteen_in = cat(_T_1595, _T_1561) @[Cat.scala 29:58] - node _T_1596 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 394:76] - node _T_1597 = and(obuf_merge_en, _T_1596) @[el2_lsu_bus_buffer.scala 394:59] - node _T_1598 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 394:94] - node _T_1599 = bits(obuf_data0_in, 7, 0) @[el2_lsu_bus_buffer.scala 394:123] - node _T_1600 = mux(_T_1597, _T_1598, _T_1599) @[el2_lsu_bus_buffer.scala 394:44] - node _T_1601 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 394:76] - node _T_1602 = and(obuf_merge_en, _T_1601) @[el2_lsu_bus_buffer.scala 394:59] - node _T_1603 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 394:94] - node _T_1604 = bits(obuf_data0_in, 15, 8) @[el2_lsu_bus_buffer.scala 394:123] - node _T_1605 = mux(_T_1602, _T_1603, _T_1604) @[el2_lsu_bus_buffer.scala 394:44] - node _T_1606 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 394:76] - node _T_1607 = and(obuf_merge_en, _T_1606) @[el2_lsu_bus_buffer.scala 394:59] - node _T_1608 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 394:94] - node _T_1609 = bits(obuf_data0_in, 23, 16) @[el2_lsu_bus_buffer.scala 394:123] - node _T_1610 = mux(_T_1607, _T_1608, _T_1609) @[el2_lsu_bus_buffer.scala 394:44] - node _T_1611 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 394:76] - node _T_1612 = and(obuf_merge_en, _T_1611) @[el2_lsu_bus_buffer.scala 394:59] - node _T_1613 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 394:94] - node _T_1614 = bits(obuf_data0_in, 31, 24) @[el2_lsu_bus_buffer.scala 394:123] - node _T_1615 = mux(_T_1612, _T_1613, _T_1614) @[el2_lsu_bus_buffer.scala 394:44] - node _T_1616 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 394:76] - node _T_1617 = and(obuf_merge_en, _T_1616) @[el2_lsu_bus_buffer.scala 394:59] - node _T_1618 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 394:94] - node _T_1619 = bits(obuf_data0_in, 39, 32) @[el2_lsu_bus_buffer.scala 394:123] - node _T_1620 = mux(_T_1617, _T_1618, _T_1619) @[el2_lsu_bus_buffer.scala 394:44] - node _T_1621 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 394:76] - node _T_1622 = and(obuf_merge_en, _T_1621) @[el2_lsu_bus_buffer.scala 394:59] - node _T_1623 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 394:94] - node _T_1624 = bits(obuf_data0_in, 47, 40) @[el2_lsu_bus_buffer.scala 394:123] - node _T_1625 = mux(_T_1622, _T_1623, _T_1624) @[el2_lsu_bus_buffer.scala 394:44] - node _T_1626 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 394:76] - node _T_1627 = and(obuf_merge_en, _T_1626) @[el2_lsu_bus_buffer.scala 394:59] - node _T_1628 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 394:94] - node _T_1629 = bits(obuf_data0_in, 55, 48) @[el2_lsu_bus_buffer.scala 394:123] - node _T_1630 = mux(_T_1627, _T_1628, _T_1629) @[el2_lsu_bus_buffer.scala 394:44] - node _T_1631 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 394:76] - node _T_1632 = and(obuf_merge_en, _T_1631) @[el2_lsu_bus_buffer.scala 394:59] - node _T_1633 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 394:94] - node _T_1634 = bits(obuf_data0_in, 63, 56) @[el2_lsu_bus_buffer.scala 394:123] - node _T_1635 = mux(_T_1632, _T_1633, _T_1634) @[el2_lsu_bus_buffer.scala 394:44] + node _T_1596 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 383:76] + node _T_1597 = and(obuf_merge_en, _T_1596) @[el2_lsu_bus_buffer.scala 383:59] + node _T_1598 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 383:94] + node _T_1599 = bits(obuf_data0_in, 7, 0) @[el2_lsu_bus_buffer.scala 383:123] + node _T_1600 = mux(_T_1597, _T_1598, _T_1599) @[el2_lsu_bus_buffer.scala 383:44] + node _T_1601 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 383:76] + node _T_1602 = and(obuf_merge_en, _T_1601) @[el2_lsu_bus_buffer.scala 383:59] + node _T_1603 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 383:94] + node _T_1604 = bits(obuf_data0_in, 15, 8) @[el2_lsu_bus_buffer.scala 383:123] + node _T_1605 = mux(_T_1602, _T_1603, _T_1604) @[el2_lsu_bus_buffer.scala 383:44] + node _T_1606 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 383:76] + node _T_1607 = and(obuf_merge_en, _T_1606) @[el2_lsu_bus_buffer.scala 383:59] + node _T_1608 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 383:94] + node _T_1609 = bits(obuf_data0_in, 23, 16) @[el2_lsu_bus_buffer.scala 383:123] + node _T_1610 = mux(_T_1607, _T_1608, _T_1609) @[el2_lsu_bus_buffer.scala 383:44] + node _T_1611 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 383:76] + node _T_1612 = and(obuf_merge_en, _T_1611) @[el2_lsu_bus_buffer.scala 383:59] + node _T_1613 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 383:94] + node _T_1614 = bits(obuf_data0_in, 31, 24) @[el2_lsu_bus_buffer.scala 383:123] + node _T_1615 = mux(_T_1612, _T_1613, _T_1614) @[el2_lsu_bus_buffer.scala 383:44] + node _T_1616 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 383:76] + node _T_1617 = and(obuf_merge_en, _T_1616) @[el2_lsu_bus_buffer.scala 383:59] + node _T_1618 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 383:94] + node _T_1619 = bits(obuf_data0_in, 39, 32) @[el2_lsu_bus_buffer.scala 383:123] + node _T_1620 = mux(_T_1617, _T_1618, _T_1619) @[el2_lsu_bus_buffer.scala 383:44] + node _T_1621 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 383:76] + node _T_1622 = and(obuf_merge_en, _T_1621) @[el2_lsu_bus_buffer.scala 383:59] + node _T_1623 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 383:94] + node _T_1624 = bits(obuf_data0_in, 47, 40) @[el2_lsu_bus_buffer.scala 383:123] + node _T_1625 = mux(_T_1622, _T_1623, _T_1624) @[el2_lsu_bus_buffer.scala 383:44] + node _T_1626 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 383:76] + node _T_1627 = and(obuf_merge_en, _T_1626) @[el2_lsu_bus_buffer.scala 383:59] + node _T_1628 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 383:94] + node _T_1629 = bits(obuf_data0_in, 55, 48) @[el2_lsu_bus_buffer.scala 383:123] + node _T_1630 = mux(_T_1627, _T_1628, _T_1629) @[el2_lsu_bus_buffer.scala 383:44] + node _T_1631 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 383:76] + node _T_1632 = and(obuf_merge_en, _T_1631) @[el2_lsu_bus_buffer.scala 383:59] + node _T_1633 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 383:94] + node _T_1634 = bits(obuf_data0_in, 63, 56) @[el2_lsu_bus_buffer.scala 383:123] + node _T_1635 = mux(_T_1632, _T_1633, _T_1634) @[el2_lsu_bus_buffer.scala 383:44] node _T_1636 = cat(_T_1635, _T_1630) @[Cat.scala 29:58] node _T_1637 = cat(_T_1636, _T_1625) @[Cat.scala 29:58] node _T_1638 = cat(_T_1637, _T_1620) @[Cat.scala 29:58] @@ -2374,19 +2371,18 @@ circuit el2_lsu_bus_buffer : node _T_1640 = cat(_T_1639, _T_1610) @[Cat.scala 29:58] node _T_1641 = cat(_T_1640, _T_1605) @[Cat.scala 29:58] node obuf_data_in = cat(_T_1641, _T_1600) @[Cat.scala 29:58] - io.wdata_in <= obuf_data_in @[el2_lsu_bus_buffer.scala 395:15] - wire buf_dualhi : UInt<1>[4] @[el2_lsu_bus_buffer.scala 396:24] - buf_dualhi[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 397:14] - buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 397:14] - buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 397:14] - buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 397:14] - node _T_1642 = neq(CmdPtr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 398:30] - node _T_1643 = and(_T_1642, found_cmdptr0) @[el2_lsu_bus_buffer.scala 398:43] - node _T_1644 = and(_T_1643, found_cmdptr1) @[el2_lsu_bus_buffer.scala 398:59] - node _T_1645 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1646 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1647 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1648 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + wire buf_dualhi : UInt<1>[4] @[el2_lsu_bus_buffer.scala 385:24] + buf_dualhi[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 386:14] + buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 386:14] + buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 386:14] + buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 386:14] + node _T_1642 = neq(CmdPtr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 387:30] + node _T_1643 = and(_T_1642, found_cmdptr0) @[el2_lsu_bus_buffer.scala 387:43] + node _T_1644 = and(_T_1643, found_cmdptr1) @[el2_lsu_bus_buffer.scala 387:59] + node _T_1645 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1646 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1647 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1648 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1649 = mux(_T_1645, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1650 = mux(_T_1646, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1651 = mux(_T_1647, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2396,12 +2392,12 @@ circuit el2_lsu_bus_buffer : node _T_1655 = or(_T_1654, _T_1652) @[Mux.scala 27:72] wire _T_1656 : UInt<3> @[Mux.scala 27:72] _T_1656 <= _T_1655 @[Mux.scala 27:72] - node _T_1657 = eq(_T_1656, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 398:107] - node _T_1658 = and(_T_1644, _T_1657) @[el2_lsu_bus_buffer.scala 398:75] - node _T_1659 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1660 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1661 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1662 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1657 = eq(_T_1656, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 387:107] + node _T_1658 = and(_T_1644, _T_1657) @[el2_lsu_bus_buffer.scala 387:75] + node _T_1659 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1660 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1661 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1662 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1663 = mux(_T_1659, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1664 = mux(_T_1660, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1665 = mux(_T_1661, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2411,19 +2407,19 @@ circuit el2_lsu_bus_buffer : node _T_1669 = or(_T_1668, _T_1666) @[Mux.scala 27:72] wire _T_1670 : UInt<3> @[Mux.scala 27:72] _T_1670 <= _T_1669 @[Mux.scala 27:72] - node _T_1671 = eq(_T_1670, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 398:150] - node _T_1672 = and(_T_1658, _T_1671) @[el2_lsu_bus_buffer.scala 398:118] + node _T_1671 = eq(_T_1670, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 387:150] + node _T_1672 = and(_T_1658, _T_1671) @[el2_lsu_bus_buffer.scala 387:118] node _T_1673 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1674 = cat(_T_1673, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1675 = cat(_T_1674, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1676 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1677 = bits(_T_1675, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1678 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1679 = bits(_T_1675, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1680 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1681 = bits(_T_1675, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1682 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1683 = bits(_T_1675, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1676 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1677 = bits(_T_1675, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1678 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1679 = bits(_T_1675, 1, 1) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1680 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1681 = bits(_T_1675, 2, 2) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1682 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1683 = bits(_T_1675, 3, 3) @[el2_lsu_bus_buffer.scala 109:129] node _T_1684 = mux(_T_1676, _T_1677, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1685 = mux(_T_1678, _T_1679, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1686 = mux(_T_1680, _T_1681, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2433,16 +2429,16 @@ circuit el2_lsu_bus_buffer : node _T_1690 = or(_T_1689, _T_1687) @[Mux.scala 27:72] wire _T_1691 : UInt<1> @[Mux.scala 27:72] _T_1691 <= _T_1690 @[Mux.scala 27:72] - node _T_1692 = eq(_T_1691, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 399:3] - node _T_1693 = and(_T_1672, _T_1692) @[el2_lsu_bus_buffer.scala 398:161] - node _T_1694 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1695 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1696 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1697 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1698 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1699 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1700 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1701 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1692 = eq(_T_1691, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 388:3] + node _T_1693 = and(_T_1672, _T_1692) @[el2_lsu_bus_buffer.scala 387:161] + node _T_1694 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1695 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1696 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1697 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1698 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1699 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1700 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1701 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 109:129] node _T_1702 = mux(_T_1694, _T_1695, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1703 = mux(_T_1696, _T_1697, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1704 = mux(_T_1698, _T_1699, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2452,16 +2448,16 @@ circuit el2_lsu_bus_buffer : node _T_1708 = or(_T_1707, _T_1705) @[Mux.scala 27:72] wire _T_1709 : UInt<1> @[Mux.scala 27:72] _T_1709 <= _T_1708 @[Mux.scala 27:72] - node _T_1710 = eq(_T_1709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 399:85] - node _T_1711 = and(_T_1693, _T_1710) @[el2_lsu_bus_buffer.scala 399:83] - node _T_1712 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1713 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1714 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1715 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1716 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1717 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1718 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1719 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1710 = eq(_T_1709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 388:85] + node _T_1711 = and(_T_1693, _T_1710) @[el2_lsu_bus_buffer.scala 388:83] + node _T_1712 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1713 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1714 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1715 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1716 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1717 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1718 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1719 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 109:129] node _T_1720 = mux(_T_1712, _T_1713, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1721 = mux(_T_1714, _T_1715, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1722 = mux(_T_1716, _T_1717, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2471,14 +2467,14 @@ circuit el2_lsu_bus_buffer : node _T_1726 = or(_T_1725, _T_1723) @[Mux.scala 27:72] wire _T_1727 : UInt<1> @[Mux.scala 27:72] _T_1727 <= _T_1726 @[Mux.scala 27:72] - node _T_1728 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1729 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1730 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1731 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1732 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1733 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1734 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1735 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1728 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1729 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1730 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1731 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1732 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1733 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1734 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1735 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 109:129] node _T_1736 = mux(_T_1728, _T_1729, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1737 = mux(_T_1730, _T_1731, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1738 = mux(_T_1732, _T_1733, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2488,11 +2484,11 @@ circuit el2_lsu_bus_buffer : node _T_1742 = or(_T_1741, _T_1739) @[Mux.scala 27:72] wire _T_1743 : UInt<1> @[Mux.scala 27:72] _T_1743 <= _T_1742 @[Mux.scala 27:72] - node _T_1744 = and(_T_1727, _T_1743) @[el2_lsu_bus_buffer.scala 400:36] - node _T_1745 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1746 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1747 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1748 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1744 = and(_T_1727, _T_1743) @[el2_lsu_bus_buffer.scala 389:36] + node _T_1745 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1746 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1747 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1748 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1749 = mux(_T_1745, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1750 = mux(_T_1746, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1751 = mux(_T_1747, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2502,11 +2498,11 @@ circuit el2_lsu_bus_buffer : node _T_1755 = or(_T_1754, _T_1752) @[Mux.scala 27:72] wire _T_1756 : UInt<32> @[Mux.scala 27:72] _T_1756 <= _T_1755 @[Mux.scala 27:72] - node _T_1757 = bits(_T_1756, 31, 3) @[el2_lsu_bus_buffer.scala 401:33] - node _T_1758 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1759 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1760 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_1761 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] + node _T_1757 = bits(_T_1756, 31, 3) @[el2_lsu_bus_buffer.scala 390:33] + node _T_1758 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1759 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1760 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_1761 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1762 = mux(_T_1758, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1763 = mux(_T_1759, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1764 = mux(_T_1760, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2516,21 +2512,21 @@ circuit el2_lsu_bus_buffer : node _T_1768 = or(_T_1767, _T_1765) @[Mux.scala 27:72] wire _T_1769 : UInt<32> @[Mux.scala 27:72] _T_1769 <= _T_1768 @[Mux.scala 27:72] - node _T_1770 = bits(_T_1769, 31, 3) @[el2_lsu_bus_buffer.scala 401:69] - node _T_1771 = eq(_T_1757, _T_1770) @[el2_lsu_bus_buffer.scala 401:39] - node _T_1772 = and(_T_1744, _T_1771) @[el2_lsu_bus_buffer.scala 400:67] - node _T_1773 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:79] - node _T_1774 = and(_T_1772, _T_1773) @[el2_lsu_bus_buffer.scala 401:77] - node _T_1775 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:105] - node _T_1776 = and(_T_1774, _T_1775) @[el2_lsu_bus_buffer.scala 401:103] - node _T_1777 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1778 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1779 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1780 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1781 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1782 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1783 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1784 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1770 = bits(_T_1769, 31, 3) @[el2_lsu_bus_buffer.scala 390:69] + node _T_1771 = eq(_T_1757, _T_1770) @[el2_lsu_bus_buffer.scala 390:39] + node _T_1772 = and(_T_1744, _T_1771) @[el2_lsu_bus_buffer.scala 389:67] + node _T_1773 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 390:79] + node _T_1774 = and(_T_1772, _T_1773) @[el2_lsu_bus_buffer.scala 390:77] + node _T_1775 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 390:105] + node _T_1776 = and(_T_1774, _T_1775) @[el2_lsu_bus_buffer.scala 390:103] + node _T_1777 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1778 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1779 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1780 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1781 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1782 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1783 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1784 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 109:129] node _T_1785 = mux(_T_1777, _T_1778, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1786 = mux(_T_1779, _T_1780, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1787 = mux(_T_1781, _T_1782, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2540,18 +2536,18 @@ circuit el2_lsu_bus_buffer : node _T_1791 = or(_T_1790, _T_1788) @[Mux.scala 27:72] wire _T_1792 : UInt<1> @[Mux.scala 27:72] _T_1792 <= _T_1791 @[Mux.scala 27:72] - node _T_1793 = eq(_T_1792, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:6] + node _T_1793 = eq(_T_1792, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 391:6] node _T_1794 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1795 = cat(_T_1794, buf_dual[1]) @[Cat.scala 29:58] node _T_1796 = cat(_T_1795, buf_dual[0]) @[Cat.scala 29:58] - node _T_1797 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1798 = bits(_T_1796, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1799 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1800 = bits(_T_1796, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1801 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1802 = bits(_T_1796, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1803 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1804 = bits(_T_1796, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1797 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1798 = bits(_T_1796, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1799 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1800 = bits(_T_1796, 1, 1) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1801 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1802 = bits(_T_1796, 2, 2) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1803 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1804 = bits(_T_1796, 3, 3) @[el2_lsu_bus_buffer.scala 109:129] node _T_1805 = mux(_T_1797, _T_1798, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1806 = mux(_T_1799, _T_1800, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1807 = mux(_T_1801, _T_1802, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2561,18 +2557,18 @@ circuit el2_lsu_bus_buffer : node _T_1811 = or(_T_1810, _T_1808) @[Mux.scala 27:72] wire _T_1812 : UInt<1> @[Mux.scala 27:72] _T_1812 <= _T_1811 @[Mux.scala 27:72] - node _T_1813 = and(_T_1793, _T_1812) @[el2_lsu_bus_buffer.scala 402:36] + node _T_1813 = and(_T_1793, _T_1812) @[el2_lsu_bus_buffer.scala 391:36] node _T_1814 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] node _T_1815 = cat(_T_1814, buf_dualhi[1]) @[Cat.scala 29:58] node _T_1816 = cat(_T_1815, buf_dualhi[0]) @[Cat.scala 29:58] - node _T_1817 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1818 = bits(_T_1816, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1819 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1820 = bits(_T_1816, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1821 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1822 = bits(_T_1816, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1823 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1824 = bits(_T_1816, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1817 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1818 = bits(_T_1816, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1819 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1820 = bits(_T_1816, 1, 1) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1821 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1822 = bits(_T_1816, 2, 2) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1823 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1824 = bits(_T_1816, 3, 3) @[el2_lsu_bus_buffer.scala 109:129] node _T_1825 = mux(_T_1817, _T_1818, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1826 = mux(_T_1819, _T_1820, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1827 = mux(_T_1821, _T_1822, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2582,19 +2578,19 @@ circuit el2_lsu_bus_buffer : node _T_1831 = or(_T_1830, _T_1828) @[Mux.scala 27:72] wire _T_1832 : UInt<1> @[Mux.scala 27:72] _T_1832 <= _T_1831 @[Mux.scala 27:72] - node _T_1833 = eq(_T_1832, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:107] - node _T_1834 = and(_T_1813, _T_1833) @[el2_lsu_bus_buffer.scala 402:105] + node _T_1833 = eq(_T_1832, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 391:107] + node _T_1834 = and(_T_1813, _T_1833) @[el2_lsu_bus_buffer.scala 391:105] node _T_1835 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] node _T_1836 = cat(_T_1835, buf_samedw[1]) @[Cat.scala 29:58] node _T_1837 = cat(_T_1836, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1838 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1839 = bits(_T_1837, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1840 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1841 = bits(_T_1837, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1842 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1843 = bits(_T_1837, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_1844 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_1845 = bits(_T_1837, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] + node _T_1838 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1839 = bits(_T_1837, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1840 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1841 = bits(_T_1837, 1, 1) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1842 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1843 = bits(_T_1837, 2, 2) @[el2_lsu_bus_buffer.scala 109:129] + node _T_1844 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_1845 = bits(_T_1837, 3, 3) @[el2_lsu_bus_buffer.scala 109:129] node _T_1846 = mux(_T_1838, _T_1839, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1847 = mux(_T_1840, _T_1841, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1848 = mux(_T_1842, _T_1843, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2604,43 +2600,43 @@ circuit el2_lsu_bus_buffer : node _T_1852 = or(_T_1851, _T_1849) @[Mux.scala 27:72] wire _T_1853 : UInt<1> @[Mux.scala 27:72] _T_1853 <= _T_1852 @[Mux.scala 27:72] - node _T_1854 = and(_T_1834, _T_1853) @[el2_lsu_bus_buffer.scala 402:177] - node _T_1855 = or(_T_1776, _T_1854) @[el2_lsu_bus_buffer.scala 401:126] - node _T_1856 = and(_T_1711, _T_1855) @[el2_lsu_bus_buffer.scala 399:120] - node _T_1857 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 403:19] - node _T_1858 = and(_T_1857, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 403:35] - node _T_1859 = or(_T_1856, _T_1858) @[el2_lsu_bus_buffer.scala 402:251] - obuf_merge_en <= _T_1859 @[el2_lsu_bus_buffer.scala 398:17] - reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 405:55] - obuf_wr_enQ <= obuf_wr_en @[el2_lsu_bus_buffer.scala 405:55] - node _T_1860 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 406:58] - node _T_1861 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:93] - node _T_1862 = and(_T_1860, _T_1861) @[el2_lsu_bus_buffer.scala 406:91] - reg _T_1863 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 406:54] - _T_1863 <= _T_1862 @[el2_lsu_bus_buffer.scala 406:54] - obuf_valid <= _T_1863 @[el2_lsu_bus_buffer.scala 406:14] + node _T_1854 = and(_T_1834, _T_1853) @[el2_lsu_bus_buffer.scala 391:177] + node _T_1855 = or(_T_1776, _T_1854) @[el2_lsu_bus_buffer.scala 390:126] + node _T_1856 = and(_T_1711, _T_1855) @[el2_lsu_bus_buffer.scala 388:120] + node _T_1857 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 392:19] + node _T_1858 = and(_T_1857, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 392:35] + node _T_1859 = or(_T_1856, _T_1858) @[el2_lsu_bus_buffer.scala 391:251] + obuf_merge_en <= _T_1859 @[el2_lsu_bus_buffer.scala 387:17] + reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 394:55] + obuf_wr_enQ <= obuf_wr_en @[el2_lsu_bus_buffer.scala 394:55] + node _T_1860 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 395:58] + node _T_1861 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:93] + node _T_1862 = and(_T_1860, _T_1861) @[el2_lsu_bus_buffer.scala 395:91] + reg _T_1863 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 395:54] + _T_1863 <= _T_1862 @[el2_lsu_bus_buffer.scala 395:54] + obuf_valid <= _T_1863 @[el2_lsu_bus_buffer.scala 395:14] reg _T_1864 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1864 <= obuf_nosend_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_nosend <= _T_1864 @[el2_lsu_bus_buffer.scala 407:15] - reg _T_1865 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 408:54] - _T_1865 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 408:54] - obuf_cmd_done <= _T_1865 @[el2_lsu_bus_buffer.scala 408:17] - reg _T_1866 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 409:55] - _T_1866 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 409:55] - obuf_data_done <= _T_1866 @[el2_lsu_bus_buffer.scala 409:18] - reg _T_1867 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 410:56] - _T_1867 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 410:56] - obuf_rdrsp_pend <= _T_1867 @[el2_lsu_bus_buffer.scala 410:19] - reg _T_1868 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 411:55] - _T_1868 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 411:55] - obuf_rdrsp_tag <= _T_1868 @[el2_lsu_bus_buffer.scala 411:18] + obuf_nosend <= _T_1864 @[el2_lsu_bus_buffer.scala 396:15] + reg _T_1865 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 397:54] + _T_1865 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 397:54] + obuf_cmd_done <= _T_1865 @[el2_lsu_bus_buffer.scala 397:17] + reg _T_1866 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 398:55] + _T_1866 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 398:55] + obuf_data_done <= _T_1866 @[el2_lsu_bus_buffer.scala 398:18] + reg _T_1867 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 399:56] + _T_1867 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 399:56] + obuf_rdrsp_pend <= _T_1867 @[el2_lsu_bus_buffer.scala 399:19] + reg _T_1868 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 400:55] + _T_1868 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 400:55] + obuf_rdrsp_tag <= _T_1868 @[el2_lsu_bus_buffer.scala 400:18] reg _T_1869 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1869 <= obuf_tag0_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_tag0 <= _T_1869 @[el2_lsu_bus_buffer.scala 412:13] + obuf_tag0 <= _T_1869 @[el2_lsu_bus_buffer.scala 401:13] reg obuf_tag1 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_tag1 <= obuf_tag1_in @[Reg.scala 28:23] @@ -2653,12 +2649,12 @@ circuit el2_lsu_bus_buffer : when obuf_wr_en : @[Reg.scala 28:19] _T_1870 <= obuf_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_write <= _T_1870 @[el2_lsu_bus_buffer.scala 415:14] + obuf_write <= _T_1870 @[el2_lsu_bus_buffer.scala 404:14] reg _T_1871 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1871 <= obuf_sideeffect_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_sideeffect <= _T_1871 @[el2_lsu_bus_buffer.scala 416:19] + obuf_sideeffect <= _T_1871 @[el2_lsu_bus_buffer.scala 405:19] reg obuf_sz : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_sz <= obuf_sz_in @[Reg.scala 28:23] @@ -2671,7 +2667,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_1872 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_1872 <= obuf_addr_in @[el2_lib.scala 491:16] - obuf_addr <= _T_1872 @[el2_lsu_bus_buffer.scala 418:13] + obuf_addr <= _T_1872 @[el2_lsu_bus_buffer.scala 407:13] reg obuf_byteen : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_byteen <= obuf_byteen_in @[Reg.scala 28:23] @@ -2684,3867 +2680,3855 @@ circuit el2_lsu_bus_buffer : rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] obuf_data <= obuf_data_in @[el2_lib.scala 491:16] - reg _T_1873 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 421:54] - _T_1873 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 421:54] - obuf_wr_timer <= _T_1873 @[el2_lsu_bus_buffer.scala 421:17] + reg _T_1873 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 410:54] + _T_1873 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 410:54] + obuf_wr_timer <= _T_1873 @[el2_lsu_bus_buffer.scala 410:17] wire WrPtr0_m : UInt<2> WrPtr0_m <= UInt<1>("h00") - node _T_1874 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:65] - node _T_1875 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:30] - node _T_1876 = and(ibuf_valid, _T_1875) @[el2_lsu_bus_buffer.scala 425:19] - node _T_1877 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:18] - node _T_1878 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:57] - node _T_1879 = and(io.ldst_dual_r, _T_1878) @[el2_lsu_bus_buffer.scala 426:45] - node _T_1880 = or(_T_1877, _T_1879) @[el2_lsu_bus_buffer.scala 426:27] - node _T_1881 = and(io.lsu_busreq_r, _T_1880) @[el2_lsu_bus_buffer.scala 425:58] - node _T_1882 = or(_T_1876, _T_1881) @[el2_lsu_bus_buffer.scala 425:39] - node _T_1883 = eq(_T_1882, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:5] - node _T_1884 = and(_T_1874, _T_1883) @[el2_lsu_bus_buffer.scala 424:76] - node _T_1885 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:65] - node _T_1886 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:30] - node _T_1887 = and(ibuf_valid, _T_1886) @[el2_lsu_bus_buffer.scala 425:19] - node _T_1888 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:18] - node _T_1889 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:57] - node _T_1890 = and(io.ldst_dual_r, _T_1889) @[el2_lsu_bus_buffer.scala 426:45] - node _T_1891 = or(_T_1888, _T_1890) @[el2_lsu_bus_buffer.scala 426:27] - node _T_1892 = and(io.lsu_busreq_r, _T_1891) @[el2_lsu_bus_buffer.scala 425:58] - node _T_1893 = or(_T_1887, _T_1892) @[el2_lsu_bus_buffer.scala 425:39] - node _T_1894 = eq(_T_1893, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:5] - node _T_1895 = and(_T_1885, _T_1894) @[el2_lsu_bus_buffer.scala 424:76] - node _T_1896 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:65] - node _T_1897 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:30] - node _T_1898 = and(ibuf_valid, _T_1897) @[el2_lsu_bus_buffer.scala 425:19] - node _T_1899 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:18] - node _T_1900 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:57] - node _T_1901 = and(io.ldst_dual_r, _T_1900) @[el2_lsu_bus_buffer.scala 426:45] - node _T_1902 = or(_T_1899, _T_1901) @[el2_lsu_bus_buffer.scala 426:27] - node _T_1903 = and(io.lsu_busreq_r, _T_1902) @[el2_lsu_bus_buffer.scala 425:58] - node _T_1904 = or(_T_1898, _T_1903) @[el2_lsu_bus_buffer.scala 425:39] - node _T_1905 = eq(_T_1904, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:5] - node _T_1906 = and(_T_1896, _T_1905) @[el2_lsu_bus_buffer.scala 424:76] - node _T_1907 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:65] - node _T_1908 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:30] - node _T_1909 = and(ibuf_valid, _T_1908) @[el2_lsu_bus_buffer.scala 425:19] - node _T_1910 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:18] - node _T_1911 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:57] - node _T_1912 = and(io.ldst_dual_r, _T_1911) @[el2_lsu_bus_buffer.scala 426:45] - node _T_1913 = or(_T_1910, _T_1912) @[el2_lsu_bus_buffer.scala 426:27] - node _T_1914 = and(io.lsu_busreq_r, _T_1913) @[el2_lsu_bus_buffer.scala 425:58] - node _T_1915 = or(_T_1909, _T_1914) @[el2_lsu_bus_buffer.scala 425:39] - node _T_1916 = eq(_T_1915, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:5] - node _T_1917 = and(_T_1907, _T_1916) @[el2_lsu_bus_buffer.scala 424:76] + node _T_1874 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 413:65] + node _T_1875 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:30] + node _T_1876 = and(ibuf_valid, _T_1875) @[el2_lsu_bus_buffer.scala 414:19] + node _T_1877 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 415:18] + node _T_1878 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 415:57] + node _T_1879 = and(io.ldst_dual_r, _T_1878) @[el2_lsu_bus_buffer.scala 415:45] + node _T_1880 = or(_T_1877, _T_1879) @[el2_lsu_bus_buffer.scala 415:27] + node _T_1881 = and(io.lsu_busreq_r, _T_1880) @[el2_lsu_bus_buffer.scala 414:58] + node _T_1882 = or(_T_1876, _T_1881) @[el2_lsu_bus_buffer.scala 414:39] + node _T_1883 = eq(_T_1882, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:5] + node _T_1884 = and(_T_1874, _T_1883) @[el2_lsu_bus_buffer.scala 413:76] + node _T_1885 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 413:65] + node _T_1886 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 414:30] + node _T_1887 = and(ibuf_valid, _T_1886) @[el2_lsu_bus_buffer.scala 414:19] + node _T_1888 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 415:18] + node _T_1889 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 415:57] + node _T_1890 = and(io.ldst_dual_r, _T_1889) @[el2_lsu_bus_buffer.scala 415:45] + node _T_1891 = or(_T_1888, _T_1890) @[el2_lsu_bus_buffer.scala 415:27] + node _T_1892 = and(io.lsu_busreq_r, _T_1891) @[el2_lsu_bus_buffer.scala 414:58] + node _T_1893 = or(_T_1887, _T_1892) @[el2_lsu_bus_buffer.scala 414:39] + node _T_1894 = eq(_T_1893, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:5] + node _T_1895 = and(_T_1885, _T_1894) @[el2_lsu_bus_buffer.scala 413:76] + node _T_1896 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 413:65] + node _T_1897 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 414:30] + node _T_1898 = and(ibuf_valid, _T_1897) @[el2_lsu_bus_buffer.scala 414:19] + node _T_1899 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 415:18] + node _T_1900 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 415:57] + node _T_1901 = and(io.ldst_dual_r, _T_1900) @[el2_lsu_bus_buffer.scala 415:45] + node _T_1902 = or(_T_1899, _T_1901) @[el2_lsu_bus_buffer.scala 415:27] + node _T_1903 = and(io.lsu_busreq_r, _T_1902) @[el2_lsu_bus_buffer.scala 414:58] + node _T_1904 = or(_T_1898, _T_1903) @[el2_lsu_bus_buffer.scala 414:39] + node _T_1905 = eq(_T_1904, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:5] + node _T_1906 = and(_T_1896, _T_1905) @[el2_lsu_bus_buffer.scala 413:76] + node _T_1907 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 413:65] + node _T_1908 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 414:30] + node _T_1909 = and(ibuf_valid, _T_1908) @[el2_lsu_bus_buffer.scala 414:19] + node _T_1910 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 415:18] + node _T_1911 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 415:57] + node _T_1912 = and(io.ldst_dual_r, _T_1911) @[el2_lsu_bus_buffer.scala 415:45] + node _T_1913 = or(_T_1910, _T_1912) @[el2_lsu_bus_buffer.scala 415:27] + node _T_1914 = and(io.lsu_busreq_r, _T_1913) @[el2_lsu_bus_buffer.scala 414:58] + node _T_1915 = or(_T_1909, _T_1914) @[el2_lsu_bus_buffer.scala 414:39] + node _T_1916 = eq(_T_1915, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:5] + node _T_1917 = and(_T_1907, _T_1916) @[el2_lsu_bus_buffer.scala 413:76] node _T_1918 = mux(_T_1917, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] node _T_1919 = mux(_T_1906, UInt<2>("h02"), _T_1918) @[Mux.scala 98:16] node _T_1920 = mux(_T_1895, UInt<1>("h01"), _T_1919) @[Mux.scala 98:16] node _T_1921 = mux(_T_1884, UInt<1>("h00"), _T_1920) @[Mux.scala 98:16] - WrPtr0_m <= _T_1921 @[el2_lsu_bus_buffer.scala 424:12] - node _T_1922 = cat(buf_state[3], buf_state[2]) @[Cat.scala 29:58] - node _T_1923 = cat(_T_1922, buf_state[1]) @[Cat.scala 29:58] - node _T_1924 = cat(_T_1923, buf_state[0]) @[Cat.scala 29:58] - io.buf_state <= _T_1924 @[el2_lsu_bus_buffer.scala 427:16] + WrPtr0_m <= _T_1921 @[el2_lsu_bus_buffer.scala 413:12] wire WrPtr1_m : UInt<2> WrPtr1_m <= UInt<1>("h00") - node _T_1925 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:65] - node _T_1926 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:103] - node _T_1927 = and(ibuf_valid, _T_1926) @[el2_lsu_bus_buffer.scala 430:92] - node _T_1928 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:33] - node _T_1929 = and(io.lsu_busreq_m, _T_1928) @[el2_lsu_bus_buffer.scala 431:22] - node _T_1930 = or(_T_1927, _T_1929) @[el2_lsu_bus_buffer.scala 430:112] - node _T_1931 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:36] - node _T_1932 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:34] - node _T_1933 = and(io.ldst_dual_r, _T_1932) @[el2_lsu_bus_buffer.scala 433:23] - node _T_1934 = or(_T_1931, _T_1933) @[el2_lsu_bus_buffer.scala 432:46] - node _T_1935 = and(io.lsu_busreq_r, _T_1934) @[el2_lsu_bus_buffer.scala 432:22] - node _T_1936 = or(_T_1930, _T_1935) @[el2_lsu_bus_buffer.scala 431:42] - node _T_1937 = eq(_T_1936, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_1938 = and(_T_1925, _T_1937) @[el2_lsu_bus_buffer.scala 430:76] - node _T_1939 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:65] - node _T_1940 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:103] - node _T_1941 = and(ibuf_valid, _T_1940) @[el2_lsu_bus_buffer.scala 430:92] - node _T_1942 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:33] - node _T_1943 = and(io.lsu_busreq_m, _T_1942) @[el2_lsu_bus_buffer.scala 431:22] - node _T_1944 = or(_T_1941, _T_1943) @[el2_lsu_bus_buffer.scala 430:112] - node _T_1945 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:36] - node _T_1946 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 433:34] - node _T_1947 = and(io.ldst_dual_r, _T_1946) @[el2_lsu_bus_buffer.scala 433:23] - node _T_1948 = or(_T_1945, _T_1947) @[el2_lsu_bus_buffer.scala 432:46] - node _T_1949 = and(io.lsu_busreq_r, _T_1948) @[el2_lsu_bus_buffer.scala 432:22] - node _T_1950 = or(_T_1944, _T_1949) @[el2_lsu_bus_buffer.scala 431:42] - node _T_1951 = eq(_T_1950, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_1952 = and(_T_1939, _T_1951) @[el2_lsu_bus_buffer.scala 430:76] - node _T_1953 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:65] - node _T_1954 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:103] - node _T_1955 = and(ibuf_valid, _T_1954) @[el2_lsu_bus_buffer.scala 430:92] - node _T_1956 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:33] - node _T_1957 = and(io.lsu_busreq_m, _T_1956) @[el2_lsu_bus_buffer.scala 431:22] - node _T_1958 = or(_T_1955, _T_1957) @[el2_lsu_bus_buffer.scala 430:112] - node _T_1959 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:36] - node _T_1960 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 433:34] - node _T_1961 = and(io.ldst_dual_r, _T_1960) @[el2_lsu_bus_buffer.scala 433:23] - node _T_1962 = or(_T_1959, _T_1961) @[el2_lsu_bus_buffer.scala 432:46] - node _T_1963 = and(io.lsu_busreq_r, _T_1962) @[el2_lsu_bus_buffer.scala 432:22] - node _T_1964 = or(_T_1958, _T_1963) @[el2_lsu_bus_buffer.scala 431:42] - node _T_1965 = eq(_T_1964, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_1966 = and(_T_1953, _T_1965) @[el2_lsu_bus_buffer.scala 430:76] - node _T_1967 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:65] - node _T_1968 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:103] - node _T_1969 = and(ibuf_valid, _T_1968) @[el2_lsu_bus_buffer.scala 430:92] - node _T_1970 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:33] - node _T_1971 = and(io.lsu_busreq_m, _T_1970) @[el2_lsu_bus_buffer.scala 431:22] - node _T_1972 = or(_T_1969, _T_1971) @[el2_lsu_bus_buffer.scala 430:112] - node _T_1973 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:36] - node _T_1974 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 433:34] - node _T_1975 = and(io.ldst_dual_r, _T_1974) @[el2_lsu_bus_buffer.scala 433:23] - node _T_1976 = or(_T_1973, _T_1975) @[el2_lsu_bus_buffer.scala 432:46] - node _T_1977 = and(io.lsu_busreq_r, _T_1976) @[el2_lsu_bus_buffer.scala 432:22] - node _T_1978 = or(_T_1972, _T_1977) @[el2_lsu_bus_buffer.scala 431:42] - node _T_1979 = eq(_T_1978, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_1980 = and(_T_1967, _T_1979) @[el2_lsu_bus_buffer.scala 430:76] - node _T_1981 = mux(_T_1980, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] - node _T_1982 = mux(_T_1966, UInt<2>("h02"), _T_1981) @[Mux.scala 98:16] - node _T_1983 = mux(_T_1952, UInt<1>("h01"), _T_1982) @[Mux.scala 98:16] - node _T_1984 = mux(_T_1938, UInt<1>("h00"), _T_1983) @[Mux.scala 98:16] - WrPtr1_m <= _T_1984 @[el2_lsu_bus_buffer.scala 430:12] - io.WrPtr1_m <= WrPtr1_m @[el2_lsu_bus_buffer.scala 435:15] - wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 436:21] - buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 437:11] - buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 437:11] - buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 437:11] - buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 437:11] - node _T_1985 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 439:58] - node _T_1986 = eq(_T_1985, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:45] - node _T_1987 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:78] - node _T_1988 = and(_T_1986, _T_1987) @[el2_lsu_bus_buffer.scala 439:63] - node _T_1989 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:90] - node _T_1990 = and(_T_1988, _T_1989) @[el2_lsu_bus_buffer.scala 439:88] - node _T_1991 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 439:58] - node _T_1992 = eq(_T_1991, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:45] - node _T_1993 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:78] - node _T_1994 = and(_T_1992, _T_1993) @[el2_lsu_bus_buffer.scala 439:63] - node _T_1995 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:90] - node _T_1996 = and(_T_1994, _T_1995) @[el2_lsu_bus_buffer.scala 439:88] - node _T_1997 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 439:58] - node _T_1998 = eq(_T_1997, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:45] - node _T_1999 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2000 = and(_T_1998, _T_1999) @[el2_lsu_bus_buffer.scala 439:63] - node _T_2001 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:90] - node _T_2002 = and(_T_2000, _T_2001) @[el2_lsu_bus_buffer.scala 439:88] - node _T_2003 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 439:58] - node _T_2004 = eq(_T_2003, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:45] - node _T_2005 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2006 = and(_T_2004, _T_2005) @[el2_lsu_bus_buffer.scala 439:63] - node _T_2007 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:90] - node _T_2008 = and(_T_2006, _T_2007) @[el2_lsu_bus_buffer.scala 439:88] - node _T_2009 = cat(_T_2008, _T_2002) @[Cat.scala 29:58] - node _T_2010 = cat(_T_2009, _T_1996) @[Cat.scala 29:58] - node CmdPtr0Dec = cat(_T_2010, _T_1990) @[Cat.scala 29:58] - node _T_2011 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 440:62] - node _T_2012 = and(buf_age[0], _T_2011) @[el2_lsu_bus_buffer.scala 440:59] - node _T_2013 = orr(_T_2012) @[el2_lsu_bus_buffer.scala 440:76] - node _T_2014 = eq(_T_2013, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:45] - node _T_2015 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 440:94] - node _T_2016 = eq(_T_2015, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:83] - node _T_2017 = and(_T_2014, _T_2016) @[el2_lsu_bus_buffer.scala 440:81] - node _T_2018 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 440:113] - node _T_2019 = and(_T_2017, _T_2018) @[el2_lsu_bus_buffer.scala 440:98] - node _T_2020 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:125] - node _T_2021 = and(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 440:123] - node _T_2022 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 440:62] - node _T_2023 = and(buf_age[1], _T_2022) @[el2_lsu_bus_buffer.scala 440:59] - node _T_2024 = orr(_T_2023) @[el2_lsu_bus_buffer.scala 440:76] - node _T_2025 = eq(_T_2024, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:45] - node _T_2026 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 440:94] - node _T_2027 = eq(_T_2026, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:83] - node _T_2028 = and(_T_2025, _T_2027) @[el2_lsu_bus_buffer.scala 440:81] - node _T_2029 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 440:113] - node _T_2030 = and(_T_2028, _T_2029) @[el2_lsu_bus_buffer.scala 440:98] - node _T_2031 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:125] - node _T_2032 = and(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 440:123] - node _T_2033 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 440:62] - node _T_2034 = and(buf_age[2], _T_2033) @[el2_lsu_bus_buffer.scala 440:59] - node _T_2035 = orr(_T_2034) @[el2_lsu_bus_buffer.scala 440:76] - node _T_2036 = eq(_T_2035, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:45] - node _T_2037 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 440:94] - node _T_2038 = eq(_T_2037, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:83] - node _T_2039 = and(_T_2036, _T_2038) @[el2_lsu_bus_buffer.scala 440:81] - node _T_2040 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 440:113] - node _T_2041 = and(_T_2039, _T_2040) @[el2_lsu_bus_buffer.scala 440:98] - node _T_2042 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:125] - node _T_2043 = and(_T_2041, _T_2042) @[el2_lsu_bus_buffer.scala 440:123] - node _T_2044 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 440:62] - node _T_2045 = and(buf_age[3], _T_2044) @[el2_lsu_bus_buffer.scala 440:59] - node _T_2046 = orr(_T_2045) @[el2_lsu_bus_buffer.scala 440:76] - node _T_2047 = eq(_T_2046, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:45] - node _T_2048 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 440:94] - node _T_2049 = eq(_T_2048, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:83] - node _T_2050 = and(_T_2047, _T_2049) @[el2_lsu_bus_buffer.scala 440:81] - node _T_2051 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 440:113] - node _T_2052 = and(_T_2050, _T_2051) @[el2_lsu_bus_buffer.scala 440:98] - node _T_2053 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:125] - node _T_2054 = and(_T_2052, _T_2053) @[el2_lsu_bus_buffer.scala 440:123] - node _T_2055 = cat(_T_2054, _T_2043) @[Cat.scala 29:58] - node _T_2056 = cat(_T_2055, _T_2032) @[Cat.scala 29:58] - node CmdPtr1Dec = cat(_T_2056, _T_2021) @[Cat.scala 29:58] - wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 441:29] - buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 442:19] - buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 442:19] - buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 442:19] - buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 442:19] - node _T_2057 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 443:65] - node _T_2058 = eq(_T_2057, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:44] - node _T_2059 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 443:85] - node _T_2060 = and(_T_2058, _T_2059) @[el2_lsu_bus_buffer.scala 443:70] - node _T_2061 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 443:65] - node _T_2062 = eq(_T_2061, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:44] - node _T_2063 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 443:85] - node _T_2064 = and(_T_2062, _T_2063) @[el2_lsu_bus_buffer.scala 443:70] - node _T_2065 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 443:65] - node _T_2066 = eq(_T_2065, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:44] - node _T_2067 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 443:85] - node _T_2068 = and(_T_2066, _T_2067) @[el2_lsu_bus_buffer.scala 443:70] - node _T_2069 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 443:65] - node _T_2070 = eq(_T_2069, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:44] - node _T_2071 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 443:85] - node _T_2072 = and(_T_2070, _T_2071) @[el2_lsu_bus_buffer.scala 443:70] - node _T_2073 = cat(_T_2072, _T_2068) @[Cat.scala 29:58] - node _T_2074 = cat(_T_2073, _T_2064) @[Cat.scala 29:58] - node RspPtrDec = cat(_T_2074, _T_2060) @[Cat.scala 29:58] - node _T_2075 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 444:31] - found_cmdptr0 <= _T_2075 @[el2_lsu_bus_buffer.scala 444:17] - node _T_2076 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 445:31] - found_cmdptr1 <= _T_2076 @[el2_lsu_bus_buffer.scala 445:17] - io.Cmdptr0 <= CmdPtr0 @[el2_lsu_bus_buffer.scala 450:14] + node _T_1922 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 419:65] + node _T_1923 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 419:103] + node _T_1924 = and(ibuf_valid, _T_1923) @[el2_lsu_bus_buffer.scala 419:92] + node _T_1925 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 420:33] + node _T_1926 = and(io.lsu_busreq_m, _T_1925) @[el2_lsu_bus_buffer.scala 420:22] + node _T_1927 = or(_T_1924, _T_1926) @[el2_lsu_bus_buffer.scala 419:112] + node _T_1928 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 421:36] + node _T_1929 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:34] + node _T_1930 = and(io.ldst_dual_r, _T_1929) @[el2_lsu_bus_buffer.scala 422:23] + node _T_1931 = or(_T_1928, _T_1930) @[el2_lsu_bus_buffer.scala 421:46] + node _T_1932 = and(io.lsu_busreq_r, _T_1931) @[el2_lsu_bus_buffer.scala 421:22] + node _T_1933 = or(_T_1927, _T_1932) @[el2_lsu_bus_buffer.scala 420:42] + node _T_1934 = eq(_T_1933, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 419:78] + node _T_1935 = and(_T_1922, _T_1934) @[el2_lsu_bus_buffer.scala 419:76] + node _T_1936 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 419:65] + node _T_1937 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 419:103] + node _T_1938 = and(ibuf_valid, _T_1937) @[el2_lsu_bus_buffer.scala 419:92] + node _T_1939 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 420:33] + node _T_1940 = and(io.lsu_busreq_m, _T_1939) @[el2_lsu_bus_buffer.scala 420:22] + node _T_1941 = or(_T_1938, _T_1940) @[el2_lsu_bus_buffer.scala 419:112] + node _T_1942 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 421:36] + node _T_1943 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 422:34] + node _T_1944 = and(io.ldst_dual_r, _T_1943) @[el2_lsu_bus_buffer.scala 422:23] + node _T_1945 = or(_T_1942, _T_1944) @[el2_lsu_bus_buffer.scala 421:46] + node _T_1946 = and(io.lsu_busreq_r, _T_1945) @[el2_lsu_bus_buffer.scala 421:22] + node _T_1947 = or(_T_1941, _T_1946) @[el2_lsu_bus_buffer.scala 420:42] + node _T_1948 = eq(_T_1947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 419:78] + node _T_1949 = and(_T_1936, _T_1948) @[el2_lsu_bus_buffer.scala 419:76] + node _T_1950 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 419:65] + node _T_1951 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 419:103] + node _T_1952 = and(ibuf_valid, _T_1951) @[el2_lsu_bus_buffer.scala 419:92] + node _T_1953 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 420:33] + node _T_1954 = and(io.lsu_busreq_m, _T_1953) @[el2_lsu_bus_buffer.scala 420:22] + node _T_1955 = or(_T_1952, _T_1954) @[el2_lsu_bus_buffer.scala 419:112] + node _T_1956 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 421:36] + node _T_1957 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 422:34] + node _T_1958 = and(io.ldst_dual_r, _T_1957) @[el2_lsu_bus_buffer.scala 422:23] + node _T_1959 = or(_T_1956, _T_1958) @[el2_lsu_bus_buffer.scala 421:46] + node _T_1960 = and(io.lsu_busreq_r, _T_1959) @[el2_lsu_bus_buffer.scala 421:22] + node _T_1961 = or(_T_1955, _T_1960) @[el2_lsu_bus_buffer.scala 420:42] + node _T_1962 = eq(_T_1961, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 419:78] + node _T_1963 = and(_T_1950, _T_1962) @[el2_lsu_bus_buffer.scala 419:76] + node _T_1964 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 419:65] + node _T_1965 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 419:103] + node _T_1966 = and(ibuf_valid, _T_1965) @[el2_lsu_bus_buffer.scala 419:92] + node _T_1967 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 420:33] + node _T_1968 = and(io.lsu_busreq_m, _T_1967) @[el2_lsu_bus_buffer.scala 420:22] + node _T_1969 = or(_T_1966, _T_1968) @[el2_lsu_bus_buffer.scala 419:112] + node _T_1970 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 421:36] + node _T_1971 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 422:34] + node _T_1972 = and(io.ldst_dual_r, _T_1971) @[el2_lsu_bus_buffer.scala 422:23] + node _T_1973 = or(_T_1970, _T_1972) @[el2_lsu_bus_buffer.scala 421:46] + node _T_1974 = and(io.lsu_busreq_r, _T_1973) @[el2_lsu_bus_buffer.scala 421:22] + node _T_1975 = or(_T_1969, _T_1974) @[el2_lsu_bus_buffer.scala 420:42] + node _T_1976 = eq(_T_1975, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 419:78] + node _T_1977 = and(_T_1964, _T_1976) @[el2_lsu_bus_buffer.scala 419:76] + node _T_1978 = mux(_T_1977, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] + node _T_1979 = mux(_T_1963, UInt<2>("h02"), _T_1978) @[Mux.scala 98:16] + node _T_1980 = mux(_T_1949, UInt<1>("h01"), _T_1979) @[Mux.scala 98:16] + node _T_1981 = mux(_T_1935, UInt<1>("h00"), _T_1980) @[Mux.scala 98:16] + WrPtr1_m <= _T_1981 @[el2_lsu_bus_buffer.scala 419:12] + wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 424:21] + buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:11] + buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:11] + buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:11] + buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:11] + node _T_1982 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 427:58] + node _T_1983 = eq(_T_1982, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:45] + node _T_1984 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 427:78] + node _T_1985 = and(_T_1983, _T_1984) @[el2_lsu_bus_buffer.scala 427:63] + node _T_1986 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:90] + node _T_1987 = and(_T_1985, _T_1986) @[el2_lsu_bus_buffer.scala 427:88] + node _T_1988 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 427:58] + node _T_1989 = eq(_T_1988, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:45] + node _T_1990 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 427:78] + node _T_1991 = and(_T_1989, _T_1990) @[el2_lsu_bus_buffer.scala 427:63] + node _T_1992 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:90] + node _T_1993 = and(_T_1991, _T_1992) @[el2_lsu_bus_buffer.scala 427:88] + node _T_1994 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 427:58] + node _T_1995 = eq(_T_1994, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:45] + node _T_1996 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 427:78] + node _T_1997 = and(_T_1995, _T_1996) @[el2_lsu_bus_buffer.scala 427:63] + node _T_1998 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:90] + node _T_1999 = and(_T_1997, _T_1998) @[el2_lsu_bus_buffer.scala 427:88] + node _T_2000 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 427:58] + node _T_2001 = eq(_T_2000, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:45] + node _T_2002 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 427:78] + node _T_2003 = and(_T_2001, _T_2002) @[el2_lsu_bus_buffer.scala 427:63] + node _T_2004 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:90] + node _T_2005 = and(_T_2003, _T_2004) @[el2_lsu_bus_buffer.scala 427:88] + node _T_2006 = cat(_T_2005, _T_1999) @[Cat.scala 29:58] + node _T_2007 = cat(_T_2006, _T_1993) @[Cat.scala 29:58] + node CmdPtr0Dec = cat(_T_2007, _T_1987) @[Cat.scala 29:58] + node _T_2008 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 428:62] + node _T_2009 = and(buf_age[0], _T_2008) @[el2_lsu_bus_buffer.scala 428:59] + node _T_2010 = orr(_T_2009) @[el2_lsu_bus_buffer.scala 428:76] + node _T_2011 = eq(_T_2010, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:45] + node _T_2012 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 428:94] + node _T_2013 = eq(_T_2012, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:83] + node _T_2014 = and(_T_2011, _T_2013) @[el2_lsu_bus_buffer.scala 428:81] + node _T_2015 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:113] + node _T_2016 = and(_T_2014, _T_2015) @[el2_lsu_bus_buffer.scala 428:98] + node _T_2017 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:125] + node _T_2018 = and(_T_2016, _T_2017) @[el2_lsu_bus_buffer.scala 428:123] + node _T_2019 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 428:62] + node _T_2020 = and(buf_age[1], _T_2019) @[el2_lsu_bus_buffer.scala 428:59] + node _T_2021 = orr(_T_2020) @[el2_lsu_bus_buffer.scala 428:76] + node _T_2022 = eq(_T_2021, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:45] + node _T_2023 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 428:94] + node _T_2024 = eq(_T_2023, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:83] + node _T_2025 = and(_T_2022, _T_2024) @[el2_lsu_bus_buffer.scala 428:81] + node _T_2026 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:113] + node _T_2027 = and(_T_2025, _T_2026) @[el2_lsu_bus_buffer.scala 428:98] + node _T_2028 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:125] + node _T_2029 = and(_T_2027, _T_2028) @[el2_lsu_bus_buffer.scala 428:123] + node _T_2030 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 428:62] + node _T_2031 = and(buf_age[2], _T_2030) @[el2_lsu_bus_buffer.scala 428:59] + node _T_2032 = orr(_T_2031) @[el2_lsu_bus_buffer.scala 428:76] + node _T_2033 = eq(_T_2032, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:45] + node _T_2034 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 428:94] + node _T_2035 = eq(_T_2034, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:83] + node _T_2036 = and(_T_2033, _T_2035) @[el2_lsu_bus_buffer.scala 428:81] + node _T_2037 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:113] + node _T_2038 = and(_T_2036, _T_2037) @[el2_lsu_bus_buffer.scala 428:98] + node _T_2039 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:125] + node _T_2040 = and(_T_2038, _T_2039) @[el2_lsu_bus_buffer.scala 428:123] + node _T_2041 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 428:62] + node _T_2042 = and(buf_age[3], _T_2041) @[el2_lsu_bus_buffer.scala 428:59] + node _T_2043 = orr(_T_2042) @[el2_lsu_bus_buffer.scala 428:76] + node _T_2044 = eq(_T_2043, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:45] + node _T_2045 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 428:94] + node _T_2046 = eq(_T_2045, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:83] + node _T_2047 = and(_T_2044, _T_2046) @[el2_lsu_bus_buffer.scala 428:81] + node _T_2048 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:113] + node _T_2049 = and(_T_2047, _T_2048) @[el2_lsu_bus_buffer.scala 428:98] + node _T_2050 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:125] + node _T_2051 = and(_T_2049, _T_2050) @[el2_lsu_bus_buffer.scala 428:123] + node _T_2052 = cat(_T_2051, _T_2040) @[Cat.scala 29:58] + node _T_2053 = cat(_T_2052, _T_2029) @[Cat.scala 29:58] + node CmdPtr1Dec = cat(_T_2053, _T_2018) @[Cat.scala 29:58] + wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 429:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:19] + node _T_2054 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 431:65] + node _T_2055 = eq(_T_2054, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:44] + node _T_2056 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2057 = and(_T_2055, _T_2056) @[el2_lsu_bus_buffer.scala 431:70] + node _T_2058 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 431:65] + node _T_2059 = eq(_T_2058, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:44] + node _T_2060 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2061 = and(_T_2059, _T_2060) @[el2_lsu_bus_buffer.scala 431:70] + node _T_2062 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 431:65] + node _T_2063 = eq(_T_2062, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:44] + node _T_2064 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2065 = and(_T_2063, _T_2064) @[el2_lsu_bus_buffer.scala 431:70] + node _T_2066 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 431:65] + node _T_2067 = eq(_T_2066, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:44] + node _T_2068 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2069 = and(_T_2067, _T_2068) @[el2_lsu_bus_buffer.scala 431:70] + node _T_2070 = cat(_T_2069, _T_2065) @[Cat.scala 29:58] + node _T_2071 = cat(_T_2070, _T_2061) @[Cat.scala 29:58] + node RspPtrDec = cat(_T_2071, _T_2057) @[Cat.scala 29:58] + node _T_2072 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 432:31] + found_cmdptr0 <= _T_2072 @[el2_lsu_bus_buffer.scala 432:17] + node _T_2073 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 433:31] + found_cmdptr1 <= _T_2073 @[el2_lsu_bus_buffer.scala 433:17] wire CmdPtr1 : UInt<2> CmdPtr1 <= UInt<1>("h00") wire RspPtr : UInt<2> RspPtr <= UInt<1>("h00") - node _T_2077 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2078 = cat(_T_2077, CmdPtr0Dec) @[Cat.scala 29:58] - node _T_2079 = bits(_T_2078, 4, 4) @[el2_lsu_bus_buffer.scala 447:39] - node _T_2080 = bits(_T_2078, 5, 5) @[el2_lsu_bus_buffer.scala 447:45] - node _T_2081 = or(_T_2079, _T_2080) @[el2_lsu_bus_buffer.scala 447:42] - node _T_2082 = bits(_T_2078, 6, 6) @[el2_lsu_bus_buffer.scala 447:51] - node _T_2083 = or(_T_2081, _T_2082) @[el2_lsu_bus_buffer.scala 447:48] - node _T_2084 = bits(_T_2078, 7, 7) @[el2_lsu_bus_buffer.scala 447:57] - node _T_2085 = or(_T_2083, _T_2084) @[el2_lsu_bus_buffer.scala 447:54] - node _T_2086 = bits(_T_2078, 2, 2) @[el2_lsu_bus_buffer.scala 447:64] - node _T_2087 = bits(_T_2078, 3, 3) @[el2_lsu_bus_buffer.scala 447:70] - node _T_2088 = or(_T_2086, _T_2087) @[el2_lsu_bus_buffer.scala 447:67] - node _T_2089 = bits(_T_2078, 6, 6) @[el2_lsu_bus_buffer.scala 447:76] - node _T_2090 = or(_T_2088, _T_2089) @[el2_lsu_bus_buffer.scala 447:73] - node _T_2091 = bits(_T_2078, 7, 7) @[el2_lsu_bus_buffer.scala 447:82] - node _T_2092 = or(_T_2090, _T_2091) @[el2_lsu_bus_buffer.scala 447:79] - node _T_2093 = bits(_T_2078, 1, 1) @[el2_lsu_bus_buffer.scala 447:89] - node _T_2094 = bits(_T_2078, 3, 3) @[el2_lsu_bus_buffer.scala 447:95] - node _T_2095 = or(_T_2093, _T_2094) @[el2_lsu_bus_buffer.scala 447:92] - node _T_2096 = bits(_T_2078, 5, 5) @[el2_lsu_bus_buffer.scala 447:101] - node _T_2097 = or(_T_2095, _T_2096) @[el2_lsu_bus_buffer.scala 447:98] - node _T_2098 = bits(_T_2078, 7, 7) @[el2_lsu_bus_buffer.scala 447:107] - node _T_2099 = or(_T_2097, _T_2098) @[el2_lsu_bus_buffer.scala 447:104] - node _T_2100 = cat(_T_2085, _T_2092) @[Cat.scala 29:58] - node _T_2101 = cat(_T_2100, _T_2099) @[Cat.scala 29:58] - CmdPtr0 <= _T_2101 @[el2_lsu_bus_buffer.scala 453:11] - node _T_2102 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2103 = cat(_T_2102, CmdPtr1Dec) @[Cat.scala 29:58] - node _T_2104 = bits(_T_2103, 4, 4) @[el2_lsu_bus_buffer.scala 447:39] - node _T_2105 = bits(_T_2103, 5, 5) @[el2_lsu_bus_buffer.scala 447:45] - node _T_2106 = or(_T_2104, _T_2105) @[el2_lsu_bus_buffer.scala 447:42] - node _T_2107 = bits(_T_2103, 6, 6) @[el2_lsu_bus_buffer.scala 447:51] - node _T_2108 = or(_T_2106, _T_2107) @[el2_lsu_bus_buffer.scala 447:48] - node _T_2109 = bits(_T_2103, 7, 7) @[el2_lsu_bus_buffer.scala 447:57] - node _T_2110 = or(_T_2108, _T_2109) @[el2_lsu_bus_buffer.scala 447:54] - node _T_2111 = bits(_T_2103, 2, 2) @[el2_lsu_bus_buffer.scala 447:64] - node _T_2112 = bits(_T_2103, 3, 3) @[el2_lsu_bus_buffer.scala 447:70] - node _T_2113 = or(_T_2111, _T_2112) @[el2_lsu_bus_buffer.scala 447:67] - node _T_2114 = bits(_T_2103, 6, 6) @[el2_lsu_bus_buffer.scala 447:76] - node _T_2115 = or(_T_2113, _T_2114) @[el2_lsu_bus_buffer.scala 447:73] - node _T_2116 = bits(_T_2103, 7, 7) @[el2_lsu_bus_buffer.scala 447:82] - node _T_2117 = or(_T_2115, _T_2116) @[el2_lsu_bus_buffer.scala 447:79] - node _T_2118 = bits(_T_2103, 1, 1) @[el2_lsu_bus_buffer.scala 447:89] - node _T_2119 = bits(_T_2103, 3, 3) @[el2_lsu_bus_buffer.scala 447:95] - node _T_2120 = or(_T_2118, _T_2119) @[el2_lsu_bus_buffer.scala 447:92] - node _T_2121 = bits(_T_2103, 5, 5) @[el2_lsu_bus_buffer.scala 447:101] - node _T_2122 = or(_T_2120, _T_2121) @[el2_lsu_bus_buffer.scala 447:98] - node _T_2123 = bits(_T_2103, 7, 7) @[el2_lsu_bus_buffer.scala 447:107] - node _T_2124 = or(_T_2122, _T_2123) @[el2_lsu_bus_buffer.scala 447:104] - node _T_2125 = cat(_T_2110, _T_2117) @[Cat.scala 29:58] - node _T_2126 = cat(_T_2125, _T_2124) @[Cat.scala 29:58] - CmdPtr1 <= _T_2126 @[el2_lsu_bus_buffer.scala 455:11] - node _T_2127 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2128 = cat(_T_2127, RspPtrDec) @[Cat.scala 29:58] - node _T_2129 = bits(_T_2128, 4, 4) @[el2_lsu_bus_buffer.scala 447:39] - node _T_2130 = bits(_T_2128, 5, 5) @[el2_lsu_bus_buffer.scala 447:45] - node _T_2131 = or(_T_2129, _T_2130) @[el2_lsu_bus_buffer.scala 447:42] - node _T_2132 = bits(_T_2128, 6, 6) @[el2_lsu_bus_buffer.scala 447:51] - node _T_2133 = or(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 447:48] - node _T_2134 = bits(_T_2128, 7, 7) @[el2_lsu_bus_buffer.scala 447:57] - node _T_2135 = or(_T_2133, _T_2134) @[el2_lsu_bus_buffer.scala 447:54] - node _T_2136 = bits(_T_2128, 2, 2) @[el2_lsu_bus_buffer.scala 447:64] - node _T_2137 = bits(_T_2128, 3, 3) @[el2_lsu_bus_buffer.scala 447:70] - node _T_2138 = or(_T_2136, _T_2137) @[el2_lsu_bus_buffer.scala 447:67] - node _T_2139 = bits(_T_2128, 6, 6) @[el2_lsu_bus_buffer.scala 447:76] - node _T_2140 = or(_T_2138, _T_2139) @[el2_lsu_bus_buffer.scala 447:73] - node _T_2141 = bits(_T_2128, 7, 7) @[el2_lsu_bus_buffer.scala 447:82] - node _T_2142 = or(_T_2140, _T_2141) @[el2_lsu_bus_buffer.scala 447:79] - node _T_2143 = bits(_T_2128, 1, 1) @[el2_lsu_bus_buffer.scala 447:89] - node _T_2144 = bits(_T_2128, 3, 3) @[el2_lsu_bus_buffer.scala 447:95] - node _T_2145 = or(_T_2143, _T_2144) @[el2_lsu_bus_buffer.scala 447:92] - node _T_2146 = bits(_T_2128, 5, 5) @[el2_lsu_bus_buffer.scala 447:101] - node _T_2147 = or(_T_2145, _T_2146) @[el2_lsu_bus_buffer.scala 447:98] - node _T_2148 = bits(_T_2128, 7, 7) @[el2_lsu_bus_buffer.scala 447:107] - node _T_2149 = or(_T_2147, _T_2148) @[el2_lsu_bus_buffer.scala 447:104] - node _T_2150 = cat(_T_2135, _T_2142) @[Cat.scala 29:58] - node _T_2151 = cat(_T_2150, _T_2149) @[Cat.scala 29:58] - RspPtr <= _T_2151 @[el2_lsu_bus_buffer.scala 456:10] - wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 457:26] - buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 458:16] - buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 458:16] - buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 458:16] - buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 458:16] - wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 459:25] - buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 460:15] - buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 460:15] - buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 460:15] - buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 460:15] - wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 461:28] - buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 462:18] - buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 462:18] - buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 462:18] - buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 462:18] - wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 463:27] - buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 464:17] - buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 464:17] - buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 464:17] - buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 464:17] - wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 465:24] - buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 466:14] - buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 466:14] - buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 466:14] - buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 466:14] - node _T_2152 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2153 = and(_T_2152, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 468:94] - node _T_2154 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:20] - node _T_2155 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 469:47] - node _T_2156 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:59] - node _T_2157 = and(_T_2155, _T_2156) @[el2_lsu_bus_buffer.scala 469:57] - node _T_2158 = or(_T_2154, _T_2157) @[el2_lsu_bus_buffer.scala 469:31] - node _T_2159 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:23] - node _T_2160 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:53] - node _T_2161 = and(_T_2159, _T_2160) @[el2_lsu_bus_buffer.scala 470:41] - node _T_2162 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:83] - node _T_2163 = and(_T_2161, _T_2162) @[el2_lsu_bus_buffer.scala 470:71] - node _T_2164 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:104] - node _T_2165 = and(_T_2163, _T_2164) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2166 = or(_T_2158, _T_2165) @[el2_lsu_bus_buffer.scala 469:86] - node _T_2167 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:17] - node _T_2168 = and(_T_2167, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:35] - node _T_2169 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:64] - node _T_2170 = and(_T_2168, _T_2169) @[el2_lsu_bus_buffer.scala 471:52] - node _T_2171 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:85] - node _T_2172 = and(_T_2170, _T_2171) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2173 = or(_T_2166, _T_2172) @[el2_lsu_bus_buffer.scala 470:114] - node _T_2174 = and(_T_2153, _T_2173) @[el2_lsu_bus_buffer.scala 468:113] - node _T_2175 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 471:109] - node _T_2176 = or(_T_2174, _T_2175) @[el2_lsu_bus_buffer.scala 471:97] - node _T_2177 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2178 = and(_T_2177, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 468:94] - node _T_2179 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:20] - node _T_2180 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 469:47] - node _T_2181 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:59] - node _T_2182 = and(_T_2180, _T_2181) @[el2_lsu_bus_buffer.scala 469:57] - node _T_2183 = or(_T_2179, _T_2182) @[el2_lsu_bus_buffer.scala 469:31] - node _T_2184 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:23] - node _T_2185 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:53] - node _T_2186 = and(_T_2184, _T_2185) @[el2_lsu_bus_buffer.scala 470:41] - node _T_2187 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:83] - node _T_2188 = and(_T_2186, _T_2187) @[el2_lsu_bus_buffer.scala 470:71] - node _T_2189 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:104] - node _T_2190 = and(_T_2188, _T_2189) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2191 = or(_T_2183, _T_2190) @[el2_lsu_bus_buffer.scala 469:86] - node _T_2192 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:17] - node _T_2193 = and(_T_2192, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:35] - node _T_2194 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:64] - node _T_2195 = and(_T_2193, _T_2194) @[el2_lsu_bus_buffer.scala 471:52] - node _T_2196 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:85] - node _T_2197 = and(_T_2195, _T_2196) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2198 = or(_T_2191, _T_2197) @[el2_lsu_bus_buffer.scala 470:114] - node _T_2199 = and(_T_2178, _T_2198) @[el2_lsu_bus_buffer.scala 468:113] - node _T_2200 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 471:109] - node _T_2201 = or(_T_2199, _T_2200) @[el2_lsu_bus_buffer.scala 471:97] - node _T_2202 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2203 = and(_T_2202, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 468:94] - node _T_2204 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:20] - node _T_2205 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 469:47] - node _T_2206 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:59] - node _T_2207 = and(_T_2205, _T_2206) @[el2_lsu_bus_buffer.scala 469:57] - node _T_2208 = or(_T_2204, _T_2207) @[el2_lsu_bus_buffer.scala 469:31] - node _T_2209 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:23] - node _T_2210 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:53] - node _T_2211 = and(_T_2209, _T_2210) @[el2_lsu_bus_buffer.scala 470:41] - node _T_2212 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:83] - node _T_2213 = and(_T_2211, _T_2212) @[el2_lsu_bus_buffer.scala 470:71] - node _T_2214 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:104] - node _T_2215 = and(_T_2213, _T_2214) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2216 = or(_T_2208, _T_2215) @[el2_lsu_bus_buffer.scala 469:86] - node _T_2217 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:17] - node _T_2218 = and(_T_2217, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:35] - node _T_2219 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:64] - node _T_2220 = and(_T_2218, _T_2219) @[el2_lsu_bus_buffer.scala 471:52] - node _T_2221 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:85] - node _T_2222 = and(_T_2220, _T_2221) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2223 = or(_T_2216, _T_2222) @[el2_lsu_bus_buffer.scala 470:114] - node _T_2224 = and(_T_2203, _T_2223) @[el2_lsu_bus_buffer.scala 468:113] - node _T_2225 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 471:109] - node _T_2226 = or(_T_2224, _T_2225) @[el2_lsu_bus_buffer.scala 471:97] - node _T_2227 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2228 = and(_T_2227, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 468:94] - node _T_2229 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:20] - node _T_2230 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 469:47] - node _T_2231 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:59] - node _T_2232 = and(_T_2230, _T_2231) @[el2_lsu_bus_buffer.scala 469:57] - node _T_2233 = or(_T_2229, _T_2232) @[el2_lsu_bus_buffer.scala 469:31] - node _T_2234 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:23] - node _T_2235 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:53] - node _T_2236 = and(_T_2234, _T_2235) @[el2_lsu_bus_buffer.scala 470:41] - node _T_2237 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:83] - node _T_2238 = and(_T_2236, _T_2237) @[el2_lsu_bus_buffer.scala 470:71] - node _T_2239 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:104] - node _T_2240 = and(_T_2238, _T_2239) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2241 = or(_T_2233, _T_2240) @[el2_lsu_bus_buffer.scala 469:86] - node _T_2242 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:17] - node _T_2243 = and(_T_2242, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:35] - node _T_2244 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:64] - node _T_2245 = and(_T_2243, _T_2244) @[el2_lsu_bus_buffer.scala 471:52] - node _T_2246 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:85] - node _T_2247 = and(_T_2245, _T_2246) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2248 = or(_T_2241, _T_2247) @[el2_lsu_bus_buffer.scala 470:114] - node _T_2249 = and(_T_2228, _T_2248) @[el2_lsu_bus_buffer.scala 468:113] - node _T_2250 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 471:109] - node _T_2251 = or(_T_2249, _T_2250) @[el2_lsu_bus_buffer.scala 471:97] - node _T_2252 = cat(_T_2251, _T_2226) @[Cat.scala 29:58] - node _T_2253 = cat(_T_2252, _T_2201) @[Cat.scala 29:58] - node buf_age_in_0 = cat(_T_2253, _T_2176) @[Cat.scala 29:58] - node _T_2254 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2255 = and(_T_2254, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 468:94] - node _T_2256 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:20] - node _T_2257 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 469:47] - node _T_2258 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:59] - node _T_2259 = and(_T_2257, _T_2258) @[el2_lsu_bus_buffer.scala 469:57] - node _T_2260 = or(_T_2256, _T_2259) @[el2_lsu_bus_buffer.scala 469:31] - node _T_2261 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:23] - node _T_2262 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:53] - node _T_2263 = and(_T_2261, _T_2262) @[el2_lsu_bus_buffer.scala 470:41] - node _T_2264 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:83] - node _T_2265 = and(_T_2263, _T_2264) @[el2_lsu_bus_buffer.scala 470:71] - node _T_2266 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:104] - node _T_2267 = and(_T_2265, _T_2266) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2268 = or(_T_2260, _T_2267) @[el2_lsu_bus_buffer.scala 469:86] - node _T_2269 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:17] - node _T_2270 = and(_T_2269, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:35] - node _T_2271 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:64] - node _T_2272 = and(_T_2270, _T_2271) @[el2_lsu_bus_buffer.scala 471:52] - node _T_2273 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:85] - node _T_2274 = and(_T_2272, _T_2273) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2275 = or(_T_2268, _T_2274) @[el2_lsu_bus_buffer.scala 470:114] - node _T_2276 = and(_T_2255, _T_2275) @[el2_lsu_bus_buffer.scala 468:113] - node _T_2277 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 471:109] - node _T_2278 = or(_T_2276, _T_2277) @[el2_lsu_bus_buffer.scala 471:97] - node _T_2279 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2280 = and(_T_2279, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 468:94] - node _T_2281 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:20] - node _T_2282 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 469:47] - node _T_2283 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:59] - node _T_2284 = and(_T_2282, _T_2283) @[el2_lsu_bus_buffer.scala 469:57] - node _T_2285 = or(_T_2281, _T_2284) @[el2_lsu_bus_buffer.scala 469:31] - node _T_2286 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:23] - node _T_2287 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:53] - node _T_2288 = and(_T_2286, _T_2287) @[el2_lsu_bus_buffer.scala 470:41] - node _T_2289 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:83] - node _T_2290 = and(_T_2288, _T_2289) @[el2_lsu_bus_buffer.scala 470:71] - node _T_2291 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:104] - node _T_2292 = and(_T_2290, _T_2291) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2293 = or(_T_2285, _T_2292) @[el2_lsu_bus_buffer.scala 469:86] - node _T_2294 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:17] - node _T_2295 = and(_T_2294, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:35] - node _T_2296 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:64] - node _T_2297 = and(_T_2295, _T_2296) @[el2_lsu_bus_buffer.scala 471:52] - node _T_2298 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:85] - node _T_2299 = and(_T_2297, _T_2298) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2300 = or(_T_2293, _T_2299) @[el2_lsu_bus_buffer.scala 470:114] - node _T_2301 = and(_T_2280, _T_2300) @[el2_lsu_bus_buffer.scala 468:113] - node _T_2302 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 471:109] - node _T_2303 = or(_T_2301, _T_2302) @[el2_lsu_bus_buffer.scala 471:97] - node _T_2304 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2305 = and(_T_2304, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 468:94] - node _T_2306 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:20] - node _T_2307 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 469:47] - node _T_2308 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:59] - node _T_2309 = and(_T_2307, _T_2308) @[el2_lsu_bus_buffer.scala 469:57] - node _T_2310 = or(_T_2306, _T_2309) @[el2_lsu_bus_buffer.scala 469:31] - node _T_2311 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:23] - node _T_2312 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:53] - node _T_2313 = and(_T_2311, _T_2312) @[el2_lsu_bus_buffer.scala 470:41] - node _T_2314 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:83] - node _T_2315 = and(_T_2313, _T_2314) @[el2_lsu_bus_buffer.scala 470:71] - node _T_2316 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:104] - node _T_2317 = and(_T_2315, _T_2316) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2318 = or(_T_2310, _T_2317) @[el2_lsu_bus_buffer.scala 469:86] - node _T_2319 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:17] - node _T_2320 = and(_T_2319, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:35] - node _T_2321 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:64] - node _T_2322 = and(_T_2320, _T_2321) @[el2_lsu_bus_buffer.scala 471:52] - node _T_2323 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:85] - node _T_2324 = and(_T_2322, _T_2323) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2325 = or(_T_2318, _T_2324) @[el2_lsu_bus_buffer.scala 470:114] - node _T_2326 = and(_T_2305, _T_2325) @[el2_lsu_bus_buffer.scala 468:113] - node _T_2327 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 471:109] - node _T_2328 = or(_T_2326, _T_2327) @[el2_lsu_bus_buffer.scala 471:97] - node _T_2329 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2330 = and(_T_2329, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 468:94] - node _T_2331 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:20] - node _T_2332 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 469:47] - node _T_2333 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:59] - node _T_2334 = and(_T_2332, _T_2333) @[el2_lsu_bus_buffer.scala 469:57] - node _T_2335 = or(_T_2331, _T_2334) @[el2_lsu_bus_buffer.scala 469:31] - node _T_2336 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:23] - node _T_2337 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:53] - node _T_2338 = and(_T_2336, _T_2337) @[el2_lsu_bus_buffer.scala 470:41] - node _T_2339 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:83] - node _T_2340 = and(_T_2338, _T_2339) @[el2_lsu_bus_buffer.scala 470:71] - node _T_2341 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:104] - node _T_2342 = and(_T_2340, _T_2341) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2343 = or(_T_2335, _T_2342) @[el2_lsu_bus_buffer.scala 469:86] - node _T_2344 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:17] - node _T_2345 = and(_T_2344, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:35] - node _T_2346 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:64] - node _T_2347 = and(_T_2345, _T_2346) @[el2_lsu_bus_buffer.scala 471:52] - node _T_2348 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:85] - node _T_2349 = and(_T_2347, _T_2348) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2350 = or(_T_2343, _T_2349) @[el2_lsu_bus_buffer.scala 470:114] - node _T_2351 = and(_T_2330, _T_2350) @[el2_lsu_bus_buffer.scala 468:113] - node _T_2352 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 471:109] - node _T_2353 = or(_T_2351, _T_2352) @[el2_lsu_bus_buffer.scala 471:97] - node _T_2354 = cat(_T_2353, _T_2328) @[Cat.scala 29:58] - node _T_2355 = cat(_T_2354, _T_2303) @[Cat.scala 29:58] - node buf_age_in_1 = cat(_T_2355, _T_2278) @[Cat.scala 29:58] - node _T_2356 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2357 = and(_T_2356, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 468:94] - node _T_2358 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:20] - node _T_2359 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 469:47] - node _T_2360 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:59] - node _T_2361 = and(_T_2359, _T_2360) @[el2_lsu_bus_buffer.scala 469:57] - node _T_2362 = or(_T_2358, _T_2361) @[el2_lsu_bus_buffer.scala 469:31] - node _T_2363 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:23] - node _T_2364 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:53] - node _T_2365 = and(_T_2363, _T_2364) @[el2_lsu_bus_buffer.scala 470:41] - node _T_2366 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:83] - node _T_2367 = and(_T_2365, _T_2366) @[el2_lsu_bus_buffer.scala 470:71] - node _T_2368 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:104] - node _T_2369 = and(_T_2367, _T_2368) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2370 = or(_T_2362, _T_2369) @[el2_lsu_bus_buffer.scala 469:86] - node _T_2371 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:17] - node _T_2372 = and(_T_2371, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:35] - node _T_2373 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:64] - node _T_2374 = and(_T_2372, _T_2373) @[el2_lsu_bus_buffer.scala 471:52] - node _T_2375 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:85] - node _T_2376 = and(_T_2374, _T_2375) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2377 = or(_T_2370, _T_2376) @[el2_lsu_bus_buffer.scala 470:114] - node _T_2378 = and(_T_2357, _T_2377) @[el2_lsu_bus_buffer.scala 468:113] - node _T_2379 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 471:109] - node _T_2380 = or(_T_2378, _T_2379) @[el2_lsu_bus_buffer.scala 471:97] - node _T_2381 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2382 = and(_T_2381, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 468:94] - node _T_2383 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:20] - node _T_2384 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 469:47] - node _T_2385 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:59] - node _T_2386 = and(_T_2384, _T_2385) @[el2_lsu_bus_buffer.scala 469:57] - node _T_2387 = or(_T_2383, _T_2386) @[el2_lsu_bus_buffer.scala 469:31] - node _T_2388 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:23] - node _T_2389 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:53] - node _T_2390 = and(_T_2388, _T_2389) @[el2_lsu_bus_buffer.scala 470:41] - node _T_2391 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:83] - node _T_2392 = and(_T_2390, _T_2391) @[el2_lsu_bus_buffer.scala 470:71] - node _T_2393 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:104] - node _T_2394 = and(_T_2392, _T_2393) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2395 = or(_T_2387, _T_2394) @[el2_lsu_bus_buffer.scala 469:86] - node _T_2396 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:17] - node _T_2397 = and(_T_2396, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:35] - node _T_2398 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:64] - node _T_2399 = and(_T_2397, _T_2398) @[el2_lsu_bus_buffer.scala 471:52] - node _T_2400 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:85] - node _T_2401 = and(_T_2399, _T_2400) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2402 = or(_T_2395, _T_2401) @[el2_lsu_bus_buffer.scala 470:114] - node _T_2403 = and(_T_2382, _T_2402) @[el2_lsu_bus_buffer.scala 468:113] - node _T_2404 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 471:109] - node _T_2405 = or(_T_2403, _T_2404) @[el2_lsu_bus_buffer.scala 471:97] - node _T_2406 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2407 = and(_T_2406, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 468:94] - node _T_2408 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:20] - node _T_2409 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 469:47] - node _T_2410 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:59] - node _T_2411 = and(_T_2409, _T_2410) @[el2_lsu_bus_buffer.scala 469:57] - node _T_2412 = or(_T_2408, _T_2411) @[el2_lsu_bus_buffer.scala 469:31] - node _T_2413 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:23] - node _T_2414 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:53] - node _T_2415 = and(_T_2413, _T_2414) @[el2_lsu_bus_buffer.scala 470:41] - node _T_2416 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:83] - node _T_2417 = and(_T_2415, _T_2416) @[el2_lsu_bus_buffer.scala 470:71] - node _T_2418 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:104] - node _T_2419 = and(_T_2417, _T_2418) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2420 = or(_T_2412, _T_2419) @[el2_lsu_bus_buffer.scala 469:86] - node _T_2421 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:17] - node _T_2422 = and(_T_2421, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:35] - node _T_2423 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:64] - node _T_2424 = and(_T_2422, _T_2423) @[el2_lsu_bus_buffer.scala 471:52] - node _T_2425 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:85] - node _T_2426 = and(_T_2424, _T_2425) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2427 = or(_T_2420, _T_2426) @[el2_lsu_bus_buffer.scala 470:114] - node _T_2428 = and(_T_2407, _T_2427) @[el2_lsu_bus_buffer.scala 468:113] - node _T_2429 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 471:109] - node _T_2430 = or(_T_2428, _T_2429) @[el2_lsu_bus_buffer.scala 471:97] - node _T_2431 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2432 = and(_T_2431, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 468:94] - node _T_2433 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:20] - node _T_2434 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 469:47] - node _T_2435 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:59] - node _T_2436 = and(_T_2434, _T_2435) @[el2_lsu_bus_buffer.scala 469:57] - node _T_2437 = or(_T_2433, _T_2436) @[el2_lsu_bus_buffer.scala 469:31] - node _T_2438 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:23] - node _T_2439 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:53] - node _T_2440 = and(_T_2438, _T_2439) @[el2_lsu_bus_buffer.scala 470:41] - node _T_2441 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:83] - node _T_2442 = and(_T_2440, _T_2441) @[el2_lsu_bus_buffer.scala 470:71] - node _T_2443 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:104] - node _T_2444 = and(_T_2442, _T_2443) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2445 = or(_T_2437, _T_2444) @[el2_lsu_bus_buffer.scala 469:86] - node _T_2446 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:17] - node _T_2447 = and(_T_2446, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:35] - node _T_2448 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:64] - node _T_2449 = and(_T_2447, _T_2448) @[el2_lsu_bus_buffer.scala 471:52] - node _T_2450 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:85] - node _T_2451 = and(_T_2449, _T_2450) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2452 = or(_T_2445, _T_2451) @[el2_lsu_bus_buffer.scala 470:114] - node _T_2453 = and(_T_2432, _T_2452) @[el2_lsu_bus_buffer.scala 468:113] - node _T_2454 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 471:109] - node _T_2455 = or(_T_2453, _T_2454) @[el2_lsu_bus_buffer.scala 471:97] - node _T_2456 = cat(_T_2455, _T_2430) @[Cat.scala 29:58] - node _T_2457 = cat(_T_2456, _T_2405) @[Cat.scala 29:58] - node buf_age_in_2 = cat(_T_2457, _T_2380) @[Cat.scala 29:58] - node _T_2458 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2459 = and(_T_2458, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 468:94] - node _T_2460 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:20] - node _T_2461 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 469:47] - node _T_2462 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:59] - node _T_2463 = and(_T_2461, _T_2462) @[el2_lsu_bus_buffer.scala 469:57] - node _T_2464 = or(_T_2460, _T_2463) @[el2_lsu_bus_buffer.scala 469:31] - node _T_2465 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:23] - node _T_2466 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:53] - node _T_2467 = and(_T_2465, _T_2466) @[el2_lsu_bus_buffer.scala 470:41] - node _T_2468 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:83] - node _T_2469 = and(_T_2467, _T_2468) @[el2_lsu_bus_buffer.scala 470:71] - node _T_2470 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:104] - node _T_2471 = and(_T_2469, _T_2470) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2472 = or(_T_2464, _T_2471) @[el2_lsu_bus_buffer.scala 469:86] - node _T_2473 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:17] - node _T_2474 = and(_T_2473, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:35] - node _T_2475 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:64] - node _T_2476 = and(_T_2474, _T_2475) @[el2_lsu_bus_buffer.scala 471:52] - node _T_2477 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:85] - node _T_2478 = and(_T_2476, _T_2477) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2479 = or(_T_2472, _T_2478) @[el2_lsu_bus_buffer.scala 470:114] - node _T_2480 = and(_T_2459, _T_2479) @[el2_lsu_bus_buffer.scala 468:113] - node _T_2481 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 471:109] - node _T_2482 = or(_T_2480, _T_2481) @[el2_lsu_bus_buffer.scala 471:97] - node _T_2483 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2484 = and(_T_2483, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 468:94] - node _T_2485 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:20] - node _T_2486 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 469:47] - node _T_2487 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:59] - node _T_2488 = and(_T_2486, _T_2487) @[el2_lsu_bus_buffer.scala 469:57] - node _T_2489 = or(_T_2485, _T_2488) @[el2_lsu_bus_buffer.scala 469:31] - node _T_2490 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:23] - node _T_2491 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:53] - node _T_2492 = and(_T_2490, _T_2491) @[el2_lsu_bus_buffer.scala 470:41] - node _T_2493 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:83] - node _T_2494 = and(_T_2492, _T_2493) @[el2_lsu_bus_buffer.scala 470:71] - node _T_2495 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:104] - node _T_2496 = and(_T_2494, _T_2495) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2497 = or(_T_2489, _T_2496) @[el2_lsu_bus_buffer.scala 469:86] - node _T_2498 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:17] - node _T_2499 = and(_T_2498, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:35] - node _T_2500 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:64] - node _T_2501 = and(_T_2499, _T_2500) @[el2_lsu_bus_buffer.scala 471:52] - node _T_2502 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:85] - node _T_2503 = and(_T_2501, _T_2502) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2504 = or(_T_2497, _T_2503) @[el2_lsu_bus_buffer.scala 470:114] - node _T_2505 = and(_T_2484, _T_2504) @[el2_lsu_bus_buffer.scala 468:113] - node _T_2506 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 471:109] - node _T_2507 = or(_T_2505, _T_2506) @[el2_lsu_bus_buffer.scala 471:97] - node _T_2508 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2509 = and(_T_2508, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 468:94] - node _T_2510 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:20] - node _T_2511 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 469:47] - node _T_2512 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:59] - node _T_2513 = and(_T_2511, _T_2512) @[el2_lsu_bus_buffer.scala 469:57] - node _T_2514 = or(_T_2510, _T_2513) @[el2_lsu_bus_buffer.scala 469:31] - node _T_2515 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:23] - node _T_2516 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:53] - node _T_2517 = and(_T_2515, _T_2516) @[el2_lsu_bus_buffer.scala 470:41] - node _T_2518 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:83] - node _T_2519 = and(_T_2517, _T_2518) @[el2_lsu_bus_buffer.scala 470:71] - node _T_2520 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:104] - node _T_2521 = and(_T_2519, _T_2520) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2522 = or(_T_2514, _T_2521) @[el2_lsu_bus_buffer.scala 469:86] - node _T_2523 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:17] - node _T_2524 = and(_T_2523, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:35] - node _T_2525 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:64] - node _T_2526 = and(_T_2524, _T_2525) @[el2_lsu_bus_buffer.scala 471:52] - node _T_2527 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:85] - node _T_2528 = and(_T_2526, _T_2527) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2529 = or(_T_2522, _T_2528) @[el2_lsu_bus_buffer.scala 470:114] - node _T_2530 = and(_T_2509, _T_2529) @[el2_lsu_bus_buffer.scala 468:113] - node _T_2531 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 471:109] - node _T_2532 = or(_T_2530, _T_2531) @[el2_lsu_bus_buffer.scala 471:97] - node _T_2533 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:83] - node _T_2534 = and(_T_2533, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 468:94] - node _T_2535 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:20] - node _T_2536 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 469:47] - node _T_2537 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:59] - node _T_2538 = and(_T_2536, _T_2537) @[el2_lsu_bus_buffer.scala 469:57] - node _T_2539 = or(_T_2535, _T_2538) @[el2_lsu_bus_buffer.scala 469:31] - node _T_2540 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:23] - node _T_2541 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:53] - node _T_2542 = and(_T_2540, _T_2541) @[el2_lsu_bus_buffer.scala 470:41] - node _T_2543 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:83] - node _T_2544 = and(_T_2542, _T_2543) @[el2_lsu_bus_buffer.scala 470:71] - node _T_2545 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:104] - node _T_2546 = and(_T_2544, _T_2545) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2547 = or(_T_2539, _T_2546) @[el2_lsu_bus_buffer.scala 469:86] - node _T_2548 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:17] - node _T_2549 = and(_T_2548, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:35] - node _T_2550 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:64] - node _T_2551 = and(_T_2549, _T_2550) @[el2_lsu_bus_buffer.scala 471:52] - node _T_2552 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:85] - node _T_2553 = and(_T_2551, _T_2552) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2554 = or(_T_2547, _T_2553) @[el2_lsu_bus_buffer.scala 470:114] - node _T_2555 = and(_T_2534, _T_2554) @[el2_lsu_bus_buffer.scala 468:113] - node _T_2556 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 471:109] - node _T_2557 = or(_T_2555, _T_2556) @[el2_lsu_bus_buffer.scala 471:97] - node _T_2558 = cat(_T_2557, _T_2532) @[Cat.scala 29:58] - node _T_2559 = cat(_T_2558, _T_2507) @[Cat.scala 29:58] - node buf_age_in_3 = cat(_T_2559, _T_2482) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 472:22] - buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 473:12] - buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 473:12] - buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 473:12] - buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 473:12] - node _T_2560 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2561 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 474:95] - node _T_2562 = and(_T_2561, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 474:105] - node _T_2563 = eq(_T_2562, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:80] - node _T_2564 = and(_T_2560, _T_2563) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2565 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2566 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 474:95] - node _T_2567 = and(_T_2566, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 474:105] - node _T_2568 = eq(_T_2567, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:80] - node _T_2569 = and(_T_2565, _T_2568) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2570 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2571 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 474:95] - node _T_2572 = and(_T_2571, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 474:105] - node _T_2573 = eq(_T_2572, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:80] - node _T_2574 = and(_T_2570, _T_2573) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2575 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2576 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 474:95] - node _T_2577 = and(_T_2576, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 474:105] - node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:80] - node _T_2579 = and(_T_2575, _T_2578) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2580 = cat(_T_2579, _T_2574) @[Cat.scala 29:58] - node _T_2581 = cat(_T_2580, _T_2569) @[Cat.scala 29:58] - node _T_2582 = cat(_T_2581, _T_2564) @[Cat.scala 29:58] - node _T_2583 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2584 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 474:95] - node _T_2585 = and(_T_2584, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 474:105] - node _T_2586 = eq(_T_2585, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:80] - node _T_2587 = and(_T_2583, _T_2586) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2588 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2589 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 474:95] - node _T_2590 = and(_T_2589, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 474:105] - node _T_2591 = eq(_T_2590, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:80] - node _T_2592 = and(_T_2588, _T_2591) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2593 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2594 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 474:95] - node _T_2595 = and(_T_2594, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 474:105] - node _T_2596 = eq(_T_2595, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:80] - node _T_2597 = and(_T_2593, _T_2596) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2598 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2599 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 474:95] - node _T_2600 = and(_T_2599, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 474:105] - node _T_2601 = eq(_T_2600, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:80] - node _T_2602 = and(_T_2598, _T_2601) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2603 = cat(_T_2602, _T_2597) @[Cat.scala 29:58] - node _T_2604 = cat(_T_2603, _T_2592) @[Cat.scala 29:58] - node _T_2605 = cat(_T_2604, _T_2587) @[Cat.scala 29:58] - node _T_2606 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2607 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 474:95] - node _T_2608 = and(_T_2607, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 474:105] - node _T_2609 = eq(_T_2608, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:80] - node _T_2610 = and(_T_2606, _T_2609) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2611 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2612 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 474:95] - node _T_2613 = and(_T_2612, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 474:105] - node _T_2614 = eq(_T_2613, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:80] - node _T_2615 = and(_T_2611, _T_2614) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2616 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2617 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 474:95] - node _T_2618 = and(_T_2617, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 474:105] - node _T_2619 = eq(_T_2618, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:80] - node _T_2620 = and(_T_2616, _T_2619) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2621 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2622 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 474:95] - node _T_2623 = and(_T_2622, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 474:105] - node _T_2624 = eq(_T_2623, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:80] - node _T_2625 = and(_T_2621, _T_2624) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2626 = cat(_T_2625, _T_2620) @[Cat.scala 29:58] - node _T_2627 = cat(_T_2626, _T_2615) @[Cat.scala 29:58] - node _T_2628 = cat(_T_2627, _T_2610) @[Cat.scala 29:58] - node _T_2629 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2630 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 474:95] - node _T_2631 = and(_T_2630, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 474:105] - node _T_2632 = eq(_T_2631, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:80] - node _T_2633 = and(_T_2629, _T_2632) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2634 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2635 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 474:95] - node _T_2636 = and(_T_2635, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 474:105] - node _T_2637 = eq(_T_2636, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:80] - node _T_2638 = and(_T_2634, _T_2637) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2639 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2640 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 474:95] - node _T_2641 = and(_T_2640, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 474:105] - node _T_2642 = eq(_T_2641, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:80] - node _T_2643 = and(_T_2639, _T_2642) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2644 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2645 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 474:95] - node _T_2646 = and(_T_2645, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 474:105] - node _T_2647 = eq(_T_2646, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:80] - node _T_2648 = and(_T_2644, _T_2647) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2649 = cat(_T_2648, _T_2643) @[Cat.scala 29:58] - node _T_2650 = cat(_T_2649, _T_2638) @[Cat.scala 29:58] - node _T_2651 = cat(_T_2650, _T_2633) @[Cat.scala 29:58] - buf_age[0] <= _T_2582 @[el2_lsu_bus_buffer.scala 474:13] - buf_age[1] <= _T_2605 @[el2_lsu_bus_buffer.scala 474:13] - buf_age[2] <= _T_2628 @[el2_lsu_bus_buffer.scala 474:13] - buf_age[3] <= _T_2651 @[el2_lsu_bus_buffer.scala 474:13] - node _T_2652 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:78] - node _T_2653 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 475:102] - node _T_2654 = eq(_T_2653, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:91] - node _T_2655 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:121] - node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 475:106] - node _T_2657 = mux(_T_2652, UInt<1>("h00"), _T_2656) @[el2_lsu_bus_buffer.scala 475:74] - node _T_2658 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 475:78] - node _T_2659 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 475:102] - node _T_2660 = eq(_T_2659, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:91] - node _T_2661 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:121] - node _T_2662 = and(_T_2660, _T_2661) @[el2_lsu_bus_buffer.scala 475:106] - node _T_2663 = mux(_T_2658, UInt<1>("h00"), _T_2662) @[el2_lsu_bus_buffer.scala 475:74] - node _T_2664 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 475:78] - node _T_2665 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 475:102] - node _T_2666 = eq(_T_2665, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:91] - node _T_2667 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:121] - node _T_2668 = and(_T_2666, _T_2667) @[el2_lsu_bus_buffer.scala 475:106] - node _T_2669 = mux(_T_2664, UInt<1>("h00"), _T_2668) @[el2_lsu_bus_buffer.scala 475:74] - node _T_2670 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 475:78] - node _T_2671 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 475:102] - node _T_2672 = eq(_T_2671, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:91] - node _T_2673 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:121] - node _T_2674 = and(_T_2672, _T_2673) @[el2_lsu_bus_buffer.scala 475:106] - node _T_2675 = mux(_T_2670, UInt<1>("h00"), _T_2674) @[el2_lsu_bus_buffer.scala 475:74] - node _T_2676 = cat(_T_2675, _T_2669) @[Cat.scala 29:58] - node _T_2677 = cat(_T_2676, _T_2663) @[Cat.scala 29:58] - node _T_2678 = cat(_T_2677, _T_2657) @[Cat.scala 29:58] - node _T_2679 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:78] - node _T_2680 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 475:102] - node _T_2681 = eq(_T_2680, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:91] - node _T_2682 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:121] - node _T_2683 = and(_T_2681, _T_2682) @[el2_lsu_bus_buffer.scala 475:106] - node _T_2684 = mux(_T_2679, UInt<1>("h00"), _T_2683) @[el2_lsu_bus_buffer.scala 475:74] - node _T_2685 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 475:78] - node _T_2686 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 475:102] - node _T_2687 = eq(_T_2686, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:91] - node _T_2688 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:121] - node _T_2689 = and(_T_2687, _T_2688) @[el2_lsu_bus_buffer.scala 475:106] - node _T_2690 = mux(_T_2685, UInt<1>("h00"), _T_2689) @[el2_lsu_bus_buffer.scala 475:74] - node _T_2691 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 475:78] - node _T_2692 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 475:102] - node _T_2693 = eq(_T_2692, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:91] - node _T_2694 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:121] - node _T_2695 = and(_T_2693, _T_2694) @[el2_lsu_bus_buffer.scala 475:106] - node _T_2696 = mux(_T_2691, UInt<1>("h00"), _T_2695) @[el2_lsu_bus_buffer.scala 475:74] - node _T_2697 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 475:78] - node _T_2698 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 475:102] - node _T_2699 = eq(_T_2698, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:91] - node _T_2700 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:121] - node _T_2701 = and(_T_2699, _T_2700) @[el2_lsu_bus_buffer.scala 475:106] - node _T_2702 = mux(_T_2697, UInt<1>("h00"), _T_2701) @[el2_lsu_bus_buffer.scala 475:74] - node _T_2703 = cat(_T_2702, _T_2696) @[Cat.scala 29:58] - node _T_2704 = cat(_T_2703, _T_2690) @[Cat.scala 29:58] - node _T_2705 = cat(_T_2704, _T_2684) @[Cat.scala 29:58] - node _T_2706 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:78] - node _T_2707 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 475:102] - node _T_2708 = eq(_T_2707, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:91] - node _T_2709 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:121] - node _T_2710 = and(_T_2708, _T_2709) @[el2_lsu_bus_buffer.scala 475:106] - node _T_2711 = mux(_T_2706, UInt<1>("h00"), _T_2710) @[el2_lsu_bus_buffer.scala 475:74] - node _T_2712 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 475:78] - node _T_2713 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 475:102] - node _T_2714 = eq(_T_2713, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:91] - node _T_2715 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:121] - node _T_2716 = and(_T_2714, _T_2715) @[el2_lsu_bus_buffer.scala 475:106] - node _T_2717 = mux(_T_2712, UInt<1>("h00"), _T_2716) @[el2_lsu_bus_buffer.scala 475:74] - node _T_2718 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 475:78] - node _T_2719 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 475:102] - node _T_2720 = eq(_T_2719, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:91] - node _T_2721 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:121] - node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 475:106] - node _T_2723 = mux(_T_2718, UInt<1>("h00"), _T_2722) @[el2_lsu_bus_buffer.scala 475:74] - node _T_2724 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 475:78] - node _T_2725 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 475:102] - node _T_2726 = eq(_T_2725, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:91] - node _T_2727 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:121] - node _T_2728 = and(_T_2726, _T_2727) @[el2_lsu_bus_buffer.scala 475:106] - node _T_2729 = mux(_T_2724, UInt<1>("h00"), _T_2728) @[el2_lsu_bus_buffer.scala 475:74] - node _T_2730 = cat(_T_2729, _T_2723) @[Cat.scala 29:58] - node _T_2731 = cat(_T_2730, _T_2717) @[Cat.scala 29:58] - node _T_2732 = cat(_T_2731, _T_2711) @[Cat.scala 29:58] - node _T_2733 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:78] - node _T_2734 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 475:102] - node _T_2735 = eq(_T_2734, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:91] - node _T_2736 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:121] - node _T_2737 = and(_T_2735, _T_2736) @[el2_lsu_bus_buffer.scala 475:106] - node _T_2738 = mux(_T_2733, UInt<1>("h00"), _T_2737) @[el2_lsu_bus_buffer.scala 475:74] - node _T_2739 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 475:78] - node _T_2740 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 475:102] - node _T_2741 = eq(_T_2740, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:91] - node _T_2742 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:121] - node _T_2743 = and(_T_2741, _T_2742) @[el2_lsu_bus_buffer.scala 475:106] - node _T_2744 = mux(_T_2739, UInt<1>("h00"), _T_2743) @[el2_lsu_bus_buffer.scala 475:74] - node _T_2745 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 475:78] - node _T_2746 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 475:102] - node _T_2747 = eq(_T_2746, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:91] - node _T_2748 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:121] - node _T_2749 = and(_T_2747, _T_2748) @[el2_lsu_bus_buffer.scala 475:106] - node _T_2750 = mux(_T_2745, UInt<1>("h00"), _T_2749) @[el2_lsu_bus_buffer.scala 475:74] - node _T_2751 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 475:78] - node _T_2752 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 475:102] - node _T_2753 = eq(_T_2752, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:91] - node _T_2754 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:121] - node _T_2755 = and(_T_2753, _T_2754) @[el2_lsu_bus_buffer.scala 475:106] - node _T_2756 = mux(_T_2751, UInt<1>("h00"), _T_2755) @[el2_lsu_bus_buffer.scala 475:74] - node _T_2757 = cat(_T_2756, _T_2750) @[Cat.scala 29:58] - node _T_2758 = cat(_T_2757, _T_2744) @[Cat.scala 29:58] - node _T_2759 = cat(_T_2758, _T_2738) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2678 @[el2_lsu_bus_buffer.scala 475:21] - buf_age_younger[1] <= _T_2705 @[el2_lsu_bus_buffer.scala 475:21] - buf_age_younger[2] <= _T_2732 @[el2_lsu_bus_buffer.scala 475:21] - buf_age_younger[3] <= _T_2759 @[el2_lsu_bus_buffer.scala 475:21] - node _T_2760 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 476:85] - node _T_2761 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 476:104] - node _T_2762 = and(_T_2760, _T_2761) @[el2_lsu_bus_buffer.scala 476:89] - node _T_2763 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 476:85] - node _T_2764 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 476:104] - node _T_2765 = and(_T_2763, _T_2764) @[el2_lsu_bus_buffer.scala 476:89] - node _T_2766 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 476:85] - node _T_2767 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 476:104] - node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 476:89] - node _T_2769 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 476:85] - node _T_2770 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 476:104] - node _T_2771 = and(_T_2769, _T_2770) @[el2_lsu_bus_buffer.scala 476:89] - node _T_2772 = cat(_T_2771, _T_2768) @[Cat.scala 29:58] - node _T_2773 = cat(_T_2772, _T_2765) @[Cat.scala 29:58] - node _T_2774 = cat(_T_2773, _T_2762) @[Cat.scala 29:58] - node _T_2775 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 476:85] - node _T_2776 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 476:104] - node _T_2777 = and(_T_2775, _T_2776) @[el2_lsu_bus_buffer.scala 476:89] - node _T_2778 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 476:85] - node _T_2779 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 476:104] - node _T_2780 = and(_T_2778, _T_2779) @[el2_lsu_bus_buffer.scala 476:89] - node _T_2781 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 476:85] - node _T_2782 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 476:104] - node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 476:89] - node _T_2784 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 476:85] - node _T_2785 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 476:104] - node _T_2786 = and(_T_2784, _T_2785) @[el2_lsu_bus_buffer.scala 476:89] - node _T_2787 = cat(_T_2786, _T_2783) @[Cat.scala 29:58] - node _T_2788 = cat(_T_2787, _T_2780) @[Cat.scala 29:58] - node _T_2789 = cat(_T_2788, _T_2777) @[Cat.scala 29:58] - node _T_2790 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 476:85] - node _T_2791 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 476:104] - node _T_2792 = and(_T_2790, _T_2791) @[el2_lsu_bus_buffer.scala 476:89] - node _T_2793 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 476:85] - node _T_2794 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 476:104] - node _T_2795 = and(_T_2793, _T_2794) @[el2_lsu_bus_buffer.scala 476:89] - node _T_2796 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 476:85] - node _T_2797 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 476:104] - node _T_2798 = and(_T_2796, _T_2797) @[el2_lsu_bus_buffer.scala 476:89] - node _T_2799 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 476:85] - node _T_2800 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 476:104] - node _T_2801 = and(_T_2799, _T_2800) @[el2_lsu_bus_buffer.scala 476:89] - node _T_2802 = cat(_T_2801, _T_2798) @[Cat.scala 29:58] - node _T_2803 = cat(_T_2802, _T_2795) @[Cat.scala 29:58] - node _T_2804 = cat(_T_2803, _T_2792) @[Cat.scala 29:58] - node _T_2805 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 476:85] - node _T_2806 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 476:104] - node _T_2807 = and(_T_2805, _T_2806) @[el2_lsu_bus_buffer.scala 476:89] - node _T_2808 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 476:85] - node _T_2809 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 476:104] - node _T_2810 = and(_T_2808, _T_2809) @[el2_lsu_bus_buffer.scala 476:89] - node _T_2811 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 476:85] - node _T_2812 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 476:104] - node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 476:89] - node _T_2814 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 476:85] - node _T_2815 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 476:104] - node _T_2816 = and(_T_2814, _T_2815) @[el2_lsu_bus_buffer.scala 476:89] - node _T_2817 = cat(_T_2816, _T_2813) @[Cat.scala 29:58] - node _T_2818 = cat(_T_2817, _T_2810) @[Cat.scala 29:58] - node _T_2819 = cat(_T_2818, _T_2807) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2774 @[el2_lsu_bus_buffer.scala 476:21] - buf_rsp_pickage[1] <= _T_2789 @[el2_lsu_bus_buffer.scala 476:21] - buf_rsp_pickage[2] <= _T_2804 @[el2_lsu_bus_buffer.scala 476:21] - buf_rsp_pickage[3] <= _T_2819 @[el2_lsu_bus_buffer.scala 476:21] - node _T_2820 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_2821 = and(_T_2820, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 478:95] - node _T_2822 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 479:23] - node _T_2823 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 479:49] - node _T_2824 = or(_T_2822, _T_2823) @[el2_lsu_bus_buffer.scala 479:34] - node _T_2825 = eq(_T_2824, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:8] - node _T_2826 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:25] - node _T_2827 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:55] - node _T_2828 = and(_T_2826, _T_2827) @[el2_lsu_bus_buffer.scala 480:43] - node _T_2829 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_2830 = and(_T_2828, _T_2829) @[el2_lsu_bus_buffer.scala 480:73] - node _T_2831 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:103] - node _T_2832 = and(_T_2830, _T_2831) @[el2_lsu_bus_buffer.scala 480:92] - node _T_2833 = or(_T_2825, _T_2832) @[el2_lsu_bus_buffer.scala 479:61] - node _T_2834 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 481:19] - node _T_2835 = and(_T_2834, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:37] - node _T_2836 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:65] - node _T_2837 = and(_T_2835, _T_2836) @[el2_lsu_bus_buffer.scala 481:54] - node _T_2838 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:84] - node _T_2839 = and(_T_2837, _T_2838) @[el2_lsu_bus_buffer.scala 481:73] - node _T_2840 = or(_T_2833, _T_2839) @[el2_lsu_bus_buffer.scala 480:112] - node _T_2841 = and(_T_2821, _T_2840) @[el2_lsu_bus_buffer.scala 478:114] - node _T_2842 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_2843 = and(_T_2842, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 478:95] - node _T_2844 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 479:23] - node _T_2845 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 479:49] - node _T_2846 = or(_T_2844, _T_2845) @[el2_lsu_bus_buffer.scala 479:34] - node _T_2847 = eq(_T_2846, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:8] - node _T_2848 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:25] - node _T_2849 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:55] - node _T_2850 = and(_T_2848, _T_2849) @[el2_lsu_bus_buffer.scala 480:43] - node _T_2851 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_2852 = and(_T_2850, _T_2851) @[el2_lsu_bus_buffer.scala 480:73] - node _T_2853 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:103] - node _T_2854 = and(_T_2852, _T_2853) @[el2_lsu_bus_buffer.scala 480:92] - node _T_2855 = or(_T_2847, _T_2854) @[el2_lsu_bus_buffer.scala 479:61] - node _T_2856 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 481:19] - node _T_2857 = and(_T_2856, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:37] - node _T_2858 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:65] - node _T_2859 = and(_T_2857, _T_2858) @[el2_lsu_bus_buffer.scala 481:54] - node _T_2860 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 481:84] - node _T_2861 = and(_T_2859, _T_2860) @[el2_lsu_bus_buffer.scala 481:73] - node _T_2862 = or(_T_2855, _T_2861) @[el2_lsu_bus_buffer.scala 480:112] - node _T_2863 = and(_T_2843, _T_2862) @[el2_lsu_bus_buffer.scala 478:114] - node _T_2864 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_2865 = and(_T_2864, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 478:95] - node _T_2866 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 479:23] - node _T_2867 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 479:49] - node _T_2868 = or(_T_2866, _T_2867) @[el2_lsu_bus_buffer.scala 479:34] - node _T_2869 = eq(_T_2868, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:8] - node _T_2870 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:25] - node _T_2871 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:55] - node _T_2872 = and(_T_2870, _T_2871) @[el2_lsu_bus_buffer.scala 480:43] - node _T_2873 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_2874 = and(_T_2872, _T_2873) @[el2_lsu_bus_buffer.scala 480:73] - node _T_2875 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:103] - node _T_2876 = and(_T_2874, _T_2875) @[el2_lsu_bus_buffer.scala 480:92] - node _T_2877 = or(_T_2869, _T_2876) @[el2_lsu_bus_buffer.scala 479:61] - node _T_2878 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 481:19] - node _T_2879 = and(_T_2878, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:37] - node _T_2880 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:65] - node _T_2881 = and(_T_2879, _T_2880) @[el2_lsu_bus_buffer.scala 481:54] - node _T_2882 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 481:84] - node _T_2883 = and(_T_2881, _T_2882) @[el2_lsu_bus_buffer.scala 481:73] - node _T_2884 = or(_T_2877, _T_2883) @[el2_lsu_bus_buffer.scala 480:112] - node _T_2885 = and(_T_2865, _T_2884) @[el2_lsu_bus_buffer.scala 478:114] - node _T_2886 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_2887 = and(_T_2886, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 478:95] - node _T_2888 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 479:23] - node _T_2889 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 479:49] - node _T_2890 = or(_T_2888, _T_2889) @[el2_lsu_bus_buffer.scala 479:34] - node _T_2891 = eq(_T_2890, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:8] - node _T_2892 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:25] - node _T_2893 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:55] - node _T_2894 = and(_T_2892, _T_2893) @[el2_lsu_bus_buffer.scala 480:43] - node _T_2895 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_2896 = and(_T_2894, _T_2895) @[el2_lsu_bus_buffer.scala 480:73] - node _T_2897 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:103] - node _T_2898 = and(_T_2896, _T_2897) @[el2_lsu_bus_buffer.scala 480:92] - node _T_2899 = or(_T_2891, _T_2898) @[el2_lsu_bus_buffer.scala 479:61] - node _T_2900 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 481:19] - node _T_2901 = and(_T_2900, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:37] - node _T_2902 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:65] - node _T_2903 = and(_T_2901, _T_2902) @[el2_lsu_bus_buffer.scala 481:54] - node _T_2904 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 481:84] - node _T_2905 = and(_T_2903, _T_2904) @[el2_lsu_bus_buffer.scala 481:73] - node _T_2906 = or(_T_2899, _T_2905) @[el2_lsu_bus_buffer.scala 480:112] - node _T_2907 = and(_T_2887, _T_2906) @[el2_lsu_bus_buffer.scala 478:114] - node _T_2908 = cat(_T_2907, _T_2885) @[Cat.scala 29:58] - node _T_2909 = cat(_T_2908, _T_2863) @[Cat.scala 29:58] - node _T_2910 = cat(_T_2909, _T_2841) @[Cat.scala 29:58] - node _T_2911 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_2912 = and(_T_2911, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 478:95] - node _T_2913 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 479:23] - node _T_2914 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 479:49] - node _T_2915 = or(_T_2913, _T_2914) @[el2_lsu_bus_buffer.scala 479:34] - node _T_2916 = eq(_T_2915, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:8] - node _T_2917 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:25] - node _T_2918 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:55] - node _T_2919 = and(_T_2917, _T_2918) @[el2_lsu_bus_buffer.scala 480:43] - node _T_2920 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_2921 = and(_T_2919, _T_2920) @[el2_lsu_bus_buffer.scala 480:73] - node _T_2922 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:103] - node _T_2923 = and(_T_2921, _T_2922) @[el2_lsu_bus_buffer.scala 480:92] - node _T_2924 = or(_T_2916, _T_2923) @[el2_lsu_bus_buffer.scala 479:61] - node _T_2925 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 481:19] - node _T_2926 = and(_T_2925, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:37] - node _T_2927 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 481:65] - node _T_2928 = and(_T_2926, _T_2927) @[el2_lsu_bus_buffer.scala 481:54] - node _T_2929 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:84] - node _T_2930 = and(_T_2928, _T_2929) @[el2_lsu_bus_buffer.scala 481:73] - node _T_2931 = or(_T_2924, _T_2930) @[el2_lsu_bus_buffer.scala 480:112] - node _T_2932 = and(_T_2912, _T_2931) @[el2_lsu_bus_buffer.scala 478:114] - node _T_2933 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_2934 = and(_T_2933, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 478:95] - node _T_2935 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 479:23] - node _T_2936 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 479:49] - node _T_2937 = or(_T_2935, _T_2936) @[el2_lsu_bus_buffer.scala 479:34] - node _T_2938 = eq(_T_2937, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:8] - node _T_2939 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:25] - node _T_2940 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:55] - node _T_2941 = and(_T_2939, _T_2940) @[el2_lsu_bus_buffer.scala 480:43] - node _T_2942 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_2943 = and(_T_2941, _T_2942) @[el2_lsu_bus_buffer.scala 480:73] - node _T_2944 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:103] - node _T_2945 = and(_T_2943, _T_2944) @[el2_lsu_bus_buffer.scala 480:92] - node _T_2946 = or(_T_2938, _T_2945) @[el2_lsu_bus_buffer.scala 479:61] - node _T_2947 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 481:19] - node _T_2948 = and(_T_2947, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:37] - node _T_2949 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 481:65] - node _T_2950 = and(_T_2948, _T_2949) @[el2_lsu_bus_buffer.scala 481:54] - node _T_2951 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 481:84] - node _T_2952 = and(_T_2950, _T_2951) @[el2_lsu_bus_buffer.scala 481:73] - node _T_2953 = or(_T_2946, _T_2952) @[el2_lsu_bus_buffer.scala 480:112] - node _T_2954 = and(_T_2934, _T_2953) @[el2_lsu_bus_buffer.scala 478:114] - node _T_2955 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_2956 = and(_T_2955, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 478:95] - node _T_2957 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 479:23] - node _T_2958 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 479:49] - node _T_2959 = or(_T_2957, _T_2958) @[el2_lsu_bus_buffer.scala 479:34] - node _T_2960 = eq(_T_2959, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:8] - node _T_2961 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:25] - node _T_2962 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:55] - node _T_2963 = and(_T_2961, _T_2962) @[el2_lsu_bus_buffer.scala 480:43] - node _T_2964 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_2965 = and(_T_2963, _T_2964) @[el2_lsu_bus_buffer.scala 480:73] - node _T_2966 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:103] - node _T_2967 = and(_T_2965, _T_2966) @[el2_lsu_bus_buffer.scala 480:92] - node _T_2968 = or(_T_2960, _T_2967) @[el2_lsu_bus_buffer.scala 479:61] - node _T_2969 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 481:19] - node _T_2970 = and(_T_2969, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:37] - node _T_2971 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 481:65] - node _T_2972 = and(_T_2970, _T_2971) @[el2_lsu_bus_buffer.scala 481:54] - node _T_2973 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 481:84] - node _T_2974 = and(_T_2972, _T_2973) @[el2_lsu_bus_buffer.scala 481:73] - node _T_2975 = or(_T_2968, _T_2974) @[el2_lsu_bus_buffer.scala 480:112] - node _T_2976 = and(_T_2956, _T_2975) @[el2_lsu_bus_buffer.scala 478:114] - node _T_2977 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_2978 = and(_T_2977, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 478:95] - node _T_2979 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 479:23] - node _T_2980 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 479:49] - node _T_2981 = or(_T_2979, _T_2980) @[el2_lsu_bus_buffer.scala 479:34] - node _T_2982 = eq(_T_2981, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:8] - node _T_2983 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:25] - node _T_2984 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:55] - node _T_2985 = and(_T_2983, _T_2984) @[el2_lsu_bus_buffer.scala 480:43] - node _T_2986 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_2987 = and(_T_2985, _T_2986) @[el2_lsu_bus_buffer.scala 480:73] - node _T_2988 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:103] - node _T_2989 = and(_T_2987, _T_2988) @[el2_lsu_bus_buffer.scala 480:92] - node _T_2990 = or(_T_2982, _T_2989) @[el2_lsu_bus_buffer.scala 479:61] - node _T_2991 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 481:19] - node _T_2992 = and(_T_2991, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:37] - node _T_2993 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 481:65] - node _T_2994 = and(_T_2992, _T_2993) @[el2_lsu_bus_buffer.scala 481:54] - node _T_2995 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 481:84] - node _T_2996 = and(_T_2994, _T_2995) @[el2_lsu_bus_buffer.scala 481:73] - node _T_2997 = or(_T_2990, _T_2996) @[el2_lsu_bus_buffer.scala 480:112] - node _T_2998 = and(_T_2978, _T_2997) @[el2_lsu_bus_buffer.scala 478:114] - node _T_2999 = cat(_T_2998, _T_2976) @[Cat.scala 29:58] - node _T_3000 = cat(_T_2999, _T_2954) @[Cat.scala 29:58] - node _T_3001 = cat(_T_3000, _T_2932) @[Cat.scala 29:58] - node _T_3002 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_3003 = and(_T_3002, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 478:95] - node _T_3004 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 479:23] - node _T_3005 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 479:49] - node _T_3006 = or(_T_3004, _T_3005) @[el2_lsu_bus_buffer.scala 479:34] - node _T_3007 = eq(_T_3006, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:8] - node _T_3008 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:25] - node _T_3009 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:55] - node _T_3010 = and(_T_3008, _T_3009) @[el2_lsu_bus_buffer.scala 480:43] - node _T_3011 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3012 = and(_T_3010, _T_3011) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3013 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:103] - node _T_3014 = and(_T_3012, _T_3013) @[el2_lsu_bus_buffer.scala 480:92] - node _T_3015 = or(_T_3007, _T_3014) @[el2_lsu_bus_buffer.scala 479:61] - node _T_3016 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 481:19] - node _T_3017 = and(_T_3016, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:37] - node _T_3018 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 481:65] - node _T_3019 = and(_T_3017, _T_3018) @[el2_lsu_bus_buffer.scala 481:54] - node _T_3020 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:84] - node _T_3021 = and(_T_3019, _T_3020) @[el2_lsu_bus_buffer.scala 481:73] - node _T_3022 = or(_T_3015, _T_3021) @[el2_lsu_bus_buffer.scala 480:112] - node _T_3023 = and(_T_3003, _T_3022) @[el2_lsu_bus_buffer.scala 478:114] - node _T_3024 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_3025 = and(_T_3024, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 478:95] - node _T_3026 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 479:23] - node _T_3027 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 479:49] - node _T_3028 = or(_T_3026, _T_3027) @[el2_lsu_bus_buffer.scala 479:34] - node _T_3029 = eq(_T_3028, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:8] - node _T_3030 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:25] - node _T_3031 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:55] - node _T_3032 = and(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 480:43] - node _T_3033 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3034 = and(_T_3032, _T_3033) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3035 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:103] - node _T_3036 = and(_T_3034, _T_3035) @[el2_lsu_bus_buffer.scala 480:92] - node _T_3037 = or(_T_3029, _T_3036) @[el2_lsu_bus_buffer.scala 479:61] - node _T_3038 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 481:19] - node _T_3039 = and(_T_3038, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:37] - node _T_3040 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 481:65] - node _T_3041 = and(_T_3039, _T_3040) @[el2_lsu_bus_buffer.scala 481:54] - node _T_3042 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 481:84] - node _T_3043 = and(_T_3041, _T_3042) @[el2_lsu_bus_buffer.scala 481:73] - node _T_3044 = or(_T_3037, _T_3043) @[el2_lsu_bus_buffer.scala 480:112] - node _T_3045 = and(_T_3025, _T_3044) @[el2_lsu_bus_buffer.scala 478:114] - node _T_3046 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_3047 = and(_T_3046, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 478:95] - node _T_3048 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 479:23] - node _T_3049 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 479:49] - node _T_3050 = or(_T_3048, _T_3049) @[el2_lsu_bus_buffer.scala 479:34] - node _T_3051 = eq(_T_3050, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:8] - node _T_3052 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:25] - node _T_3053 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:55] - node _T_3054 = and(_T_3052, _T_3053) @[el2_lsu_bus_buffer.scala 480:43] - node _T_3055 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3056 = and(_T_3054, _T_3055) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3057 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:103] - node _T_3058 = and(_T_3056, _T_3057) @[el2_lsu_bus_buffer.scala 480:92] - node _T_3059 = or(_T_3051, _T_3058) @[el2_lsu_bus_buffer.scala 479:61] - node _T_3060 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 481:19] - node _T_3061 = and(_T_3060, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:37] - node _T_3062 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 481:65] - node _T_3063 = and(_T_3061, _T_3062) @[el2_lsu_bus_buffer.scala 481:54] - node _T_3064 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 481:84] - node _T_3065 = and(_T_3063, _T_3064) @[el2_lsu_bus_buffer.scala 481:73] - node _T_3066 = or(_T_3059, _T_3065) @[el2_lsu_bus_buffer.scala 480:112] - node _T_3067 = and(_T_3047, _T_3066) @[el2_lsu_bus_buffer.scala 478:114] - node _T_3068 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_3069 = and(_T_3068, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 478:95] - node _T_3070 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 479:23] - node _T_3071 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 479:49] - node _T_3072 = or(_T_3070, _T_3071) @[el2_lsu_bus_buffer.scala 479:34] - node _T_3073 = eq(_T_3072, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:8] - node _T_3074 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:25] - node _T_3075 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:55] - node _T_3076 = and(_T_3074, _T_3075) @[el2_lsu_bus_buffer.scala 480:43] - node _T_3077 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3078 = and(_T_3076, _T_3077) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3079 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:103] - node _T_3080 = and(_T_3078, _T_3079) @[el2_lsu_bus_buffer.scala 480:92] - node _T_3081 = or(_T_3073, _T_3080) @[el2_lsu_bus_buffer.scala 479:61] - node _T_3082 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 481:19] - node _T_3083 = and(_T_3082, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:37] - node _T_3084 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 481:65] - node _T_3085 = and(_T_3083, _T_3084) @[el2_lsu_bus_buffer.scala 481:54] - node _T_3086 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 481:84] - node _T_3087 = and(_T_3085, _T_3086) @[el2_lsu_bus_buffer.scala 481:73] - node _T_3088 = or(_T_3081, _T_3087) @[el2_lsu_bus_buffer.scala 480:112] - node _T_3089 = and(_T_3069, _T_3088) @[el2_lsu_bus_buffer.scala 478:114] - node _T_3090 = cat(_T_3089, _T_3067) @[Cat.scala 29:58] - node _T_3091 = cat(_T_3090, _T_3045) @[Cat.scala 29:58] - node _T_3092 = cat(_T_3091, _T_3023) @[Cat.scala 29:58] - node _T_3093 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_3094 = and(_T_3093, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 478:95] - node _T_3095 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 479:23] - node _T_3096 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 479:49] - node _T_3097 = or(_T_3095, _T_3096) @[el2_lsu_bus_buffer.scala 479:34] - node _T_3098 = eq(_T_3097, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:8] - node _T_3099 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:25] - node _T_3100 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:55] - node _T_3101 = and(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 480:43] - node _T_3102 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3103 = and(_T_3101, _T_3102) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3104 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:103] - node _T_3105 = and(_T_3103, _T_3104) @[el2_lsu_bus_buffer.scala 480:92] - node _T_3106 = or(_T_3098, _T_3105) @[el2_lsu_bus_buffer.scala 479:61] - node _T_3107 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 481:19] - node _T_3108 = and(_T_3107, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:37] - node _T_3109 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 481:65] - node _T_3110 = and(_T_3108, _T_3109) @[el2_lsu_bus_buffer.scala 481:54] - node _T_3111 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:84] - node _T_3112 = and(_T_3110, _T_3111) @[el2_lsu_bus_buffer.scala 481:73] - node _T_3113 = or(_T_3106, _T_3112) @[el2_lsu_bus_buffer.scala 480:112] - node _T_3114 = and(_T_3094, _T_3113) @[el2_lsu_bus_buffer.scala 478:114] - node _T_3115 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_3116 = and(_T_3115, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 478:95] - node _T_3117 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 479:23] - node _T_3118 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 479:49] - node _T_3119 = or(_T_3117, _T_3118) @[el2_lsu_bus_buffer.scala 479:34] - node _T_3120 = eq(_T_3119, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:8] - node _T_3121 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:25] - node _T_3122 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:55] - node _T_3123 = and(_T_3121, _T_3122) @[el2_lsu_bus_buffer.scala 480:43] - node _T_3124 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3125 = and(_T_3123, _T_3124) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3126 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:103] - node _T_3127 = and(_T_3125, _T_3126) @[el2_lsu_bus_buffer.scala 480:92] - node _T_3128 = or(_T_3120, _T_3127) @[el2_lsu_bus_buffer.scala 479:61] - node _T_3129 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 481:19] - node _T_3130 = and(_T_3129, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:37] - node _T_3131 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 481:65] - node _T_3132 = and(_T_3130, _T_3131) @[el2_lsu_bus_buffer.scala 481:54] - node _T_3133 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 481:84] - node _T_3134 = and(_T_3132, _T_3133) @[el2_lsu_bus_buffer.scala 481:73] - node _T_3135 = or(_T_3128, _T_3134) @[el2_lsu_bus_buffer.scala 480:112] - node _T_3136 = and(_T_3116, _T_3135) @[el2_lsu_bus_buffer.scala 478:114] - node _T_3137 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_3138 = and(_T_3137, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 478:95] - node _T_3139 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 479:23] - node _T_3140 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 479:49] - node _T_3141 = or(_T_3139, _T_3140) @[el2_lsu_bus_buffer.scala 479:34] - node _T_3142 = eq(_T_3141, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:8] - node _T_3143 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:25] - node _T_3144 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:55] - node _T_3145 = and(_T_3143, _T_3144) @[el2_lsu_bus_buffer.scala 480:43] - node _T_3146 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3147 = and(_T_3145, _T_3146) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3148 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:103] - node _T_3149 = and(_T_3147, _T_3148) @[el2_lsu_bus_buffer.scala 480:92] - node _T_3150 = or(_T_3142, _T_3149) @[el2_lsu_bus_buffer.scala 479:61] - node _T_3151 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 481:19] - node _T_3152 = and(_T_3151, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:37] - node _T_3153 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 481:65] - node _T_3154 = and(_T_3152, _T_3153) @[el2_lsu_bus_buffer.scala 481:54] - node _T_3155 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 481:84] - node _T_3156 = and(_T_3154, _T_3155) @[el2_lsu_bus_buffer.scala 481:73] - node _T_3157 = or(_T_3150, _T_3156) @[el2_lsu_bus_buffer.scala 480:112] - node _T_3158 = and(_T_3138, _T_3157) @[el2_lsu_bus_buffer.scala 478:114] - node _T_3159 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:84] - node _T_3160 = and(_T_3159, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 478:95] - node _T_3161 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 479:23] - node _T_3162 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 479:49] - node _T_3163 = or(_T_3161, _T_3162) @[el2_lsu_bus_buffer.scala 479:34] - node _T_3164 = eq(_T_3163, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:8] - node _T_3165 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:25] - node _T_3166 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:55] - node _T_3167 = and(_T_3165, _T_3166) @[el2_lsu_bus_buffer.scala 480:43] - node _T_3168 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3169 = and(_T_3167, _T_3168) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3170 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:103] - node _T_3171 = and(_T_3169, _T_3170) @[el2_lsu_bus_buffer.scala 480:92] - node _T_3172 = or(_T_3164, _T_3171) @[el2_lsu_bus_buffer.scala 479:61] - node _T_3173 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 481:19] - node _T_3174 = and(_T_3173, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:37] - node _T_3175 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 481:65] - node _T_3176 = and(_T_3174, _T_3175) @[el2_lsu_bus_buffer.scala 481:54] - node _T_3177 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 481:84] - node _T_3178 = and(_T_3176, _T_3177) @[el2_lsu_bus_buffer.scala 481:73] - node _T_3179 = or(_T_3172, _T_3178) @[el2_lsu_bus_buffer.scala 480:112] - node _T_3180 = and(_T_3160, _T_3179) @[el2_lsu_bus_buffer.scala 478:114] - node _T_3181 = cat(_T_3180, _T_3158) @[Cat.scala 29:58] - node _T_3182 = cat(_T_3181, _T_3136) @[Cat.scala 29:58] - node _T_3183 = cat(_T_3182, _T_3114) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2910 @[el2_lsu_bus_buffer.scala 478:20] - buf_rspage_set[1] <= _T_3001 @[el2_lsu_bus_buffer.scala 478:20] - buf_rspage_set[2] <= _T_3092 @[el2_lsu_bus_buffer.scala 478:20] - buf_rspage_set[3] <= _T_3183 @[el2_lsu_bus_buffer.scala 478:20] - node _T_3184 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3185 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 482:105] - node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 482:90] - node _T_3187 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3188 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 482:105] - node _T_3189 = or(_T_3187, _T_3188) @[el2_lsu_bus_buffer.scala 482:90] - node _T_3190 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3191 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 482:105] - node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 482:90] - node _T_3193 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3194 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 482:105] - node _T_3195 = or(_T_3193, _T_3194) @[el2_lsu_bus_buffer.scala 482:90] - node _T_3196 = cat(_T_3195, _T_3192) @[Cat.scala 29:58] - node _T_3197 = cat(_T_3196, _T_3189) @[Cat.scala 29:58] - node _T_3198 = cat(_T_3197, _T_3186) @[Cat.scala 29:58] - node _T_3199 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3200 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 482:105] - node _T_3201 = or(_T_3199, _T_3200) @[el2_lsu_bus_buffer.scala 482:90] - node _T_3202 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3203 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 482:105] - node _T_3204 = or(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 482:90] - node _T_3205 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3206 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 482:105] - node _T_3207 = or(_T_3205, _T_3206) @[el2_lsu_bus_buffer.scala 482:90] - node _T_3208 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3209 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 482:105] - node _T_3210 = or(_T_3208, _T_3209) @[el2_lsu_bus_buffer.scala 482:90] - node _T_3211 = cat(_T_3210, _T_3207) @[Cat.scala 29:58] - node _T_3212 = cat(_T_3211, _T_3204) @[Cat.scala 29:58] - node _T_3213 = cat(_T_3212, _T_3201) @[Cat.scala 29:58] - node _T_3214 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3215 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 482:105] - node _T_3216 = or(_T_3214, _T_3215) @[el2_lsu_bus_buffer.scala 482:90] - node _T_3217 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3218 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 482:105] - node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 482:90] - node _T_3220 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3221 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 482:105] - node _T_3222 = or(_T_3220, _T_3221) @[el2_lsu_bus_buffer.scala 482:90] - node _T_3223 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3224 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 482:105] - node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 482:90] - node _T_3226 = cat(_T_3225, _T_3222) @[Cat.scala 29:58] - node _T_3227 = cat(_T_3226, _T_3219) @[Cat.scala 29:58] - node _T_3228 = cat(_T_3227, _T_3216) @[Cat.scala 29:58] - node _T_3229 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3230 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 482:105] - node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 482:90] - node _T_3232 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3233 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 482:105] - node _T_3234 = or(_T_3232, _T_3233) @[el2_lsu_bus_buffer.scala 482:90] - node _T_3235 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3236 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 482:105] - node _T_3237 = or(_T_3235, _T_3236) @[el2_lsu_bus_buffer.scala 482:90] - node _T_3238 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3239 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 482:105] - node _T_3240 = or(_T_3238, _T_3239) @[el2_lsu_bus_buffer.scala 482:90] - node _T_3241 = cat(_T_3240, _T_3237) @[Cat.scala 29:58] - node _T_3242 = cat(_T_3241, _T_3234) @[Cat.scala 29:58] - node _T_3243 = cat(_T_3242, _T_3231) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3198 @[el2_lsu_bus_buffer.scala 482:19] - buf_rspage_in[1] <= _T_3213 @[el2_lsu_bus_buffer.scala 482:19] - buf_rspage_in[2] <= _T_3228 @[el2_lsu_bus_buffer.scala 482:19] - buf_rspage_in[3] <= _T_3243 @[el2_lsu_bus_buffer.scala 482:19] - node _T_3244 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 483:80] - node _T_3245 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 483:101] - node _T_3246 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 483:127] - node _T_3247 = or(_T_3245, _T_3246) @[el2_lsu_bus_buffer.scala 483:112] - node _T_3248 = eq(_T_3247, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:86] - node _T_3249 = and(_T_3244, _T_3248) @[el2_lsu_bus_buffer.scala 483:84] - node _T_3250 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 483:80] - node _T_3251 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 483:101] - node _T_3252 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 483:127] - node _T_3253 = or(_T_3251, _T_3252) @[el2_lsu_bus_buffer.scala 483:112] - node _T_3254 = eq(_T_3253, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:86] - node _T_3255 = and(_T_3250, _T_3254) @[el2_lsu_bus_buffer.scala 483:84] - node _T_3256 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 483:80] - node _T_3257 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 483:101] - node _T_3258 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 483:127] - node _T_3259 = or(_T_3257, _T_3258) @[el2_lsu_bus_buffer.scala 483:112] - node _T_3260 = eq(_T_3259, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:86] - node _T_3261 = and(_T_3256, _T_3260) @[el2_lsu_bus_buffer.scala 483:84] - node _T_3262 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 483:80] - node _T_3263 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 483:101] - node _T_3264 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 483:127] - node _T_3265 = or(_T_3263, _T_3264) @[el2_lsu_bus_buffer.scala 483:112] - node _T_3266 = eq(_T_3265, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:86] - node _T_3267 = and(_T_3262, _T_3266) @[el2_lsu_bus_buffer.scala 483:84] - node _T_3268 = cat(_T_3267, _T_3261) @[Cat.scala 29:58] - node _T_3269 = cat(_T_3268, _T_3255) @[Cat.scala 29:58] - node _T_3270 = cat(_T_3269, _T_3249) @[Cat.scala 29:58] - node _T_3271 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 483:80] - node _T_3272 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 483:101] - node _T_3273 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 483:127] - node _T_3274 = or(_T_3272, _T_3273) @[el2_lsu_bus_buffer.scala 483:112] - node _T_3275 = eq(_T_3274, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:86] - node _T_3276 = and(_T_3271, _T_3275) @[el2_lsu_bus_buffer.scala 483:84] - node _T_3277 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 483:80] - node _T_3278 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 483:101] - node _T_3279 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 483:127] - node _T_3280 = or(_T_3278, _T_3279) @[el2_lsu_bus_buffer.scala 483:112] - node _T_3281 = eq(_T_3280, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:86] - node _T_3282 = and(_T_3277, _T_3281) @[el2_lsu_bus_buffer.scala 483:84] - node _T_3283 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 483:80] - node _T_3284 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 483:101] - node _T_3285 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 483:127] - node _T_3286 = or(_T_3284, _T_3285) @[el2_lsu_bus_buffer.scala 483:112] - node _T_3287 = eq(_T_3286, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:86] - node _T_3288 = and(_T_3283, _T_3287) @[el2_lsu_bus_buffer.scala 483:84] - node _T_3289 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 483:80] - node _T_3290 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 483:101] - node _T_3291 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 483:127] - node _T_3292 = or(_T_3290, _T_3291) @[el2_lsu_bus_buffer.scala 483:112] - node _T_3293 = eq(_T_3292, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:86] - node _T_3294 = and(_T_3289, _T_3293) @[el2_lsu_bus_buffer.scala 483:84] - node _T_3295 = cat(_T_3294, _T_3288) @[Cat.scala 29:58] - node _T_3296 = cat(_T_3295, _T_3282) @[Cat.scala 29:58] - node _T_3297 = cat(_T_3296, _T_3276) @[Cat.scala 29:58] - node _T_3298 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 483:80] - node _T_3299 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 483:101] - node _T_3300 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 483:127] - node _T_3301 = or(_T_3299, _T_3300) @[el2_lsu_bus_buffer.scala 483:112] - node _T_3302 = eq(_T_3301, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:86] - node _T_3303 = and(_T_3298, _T_3302) @[el2_lsu_bus_buffer.scala 483:84] - node _T_3304 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 483:80] - node _T_3305 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 483:101] - node _T_3306 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 483:127] - node _T_3307 = or(_T_3305, _T_3306) @[el2_lsu_bus_buffer.scala 483:112] - node _T_3308 = eq(_T_3307, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:86] - node _T_3309 = and(_T_3304, _T_3308) @[el2_lsu_bus_buffer.scala 483:84] - node _T_3310 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 483:80] - node _T_3311 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 483:101] - node _T_3312 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 483:127] - node _T_3313 = or(_T_3311, _T_3312) @[el2_lsu_bus_buffer.scala 483:112] - node _T_3314 = eq(_T_3313, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:86] - node _T_3315 = and(_T_3310, _T_3314) @[el2_lsu_bus_buffer.scala 483:84] - node _T_3316 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 483:80] - node _T_3317 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 483:101] - node _T_3318 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 483:127] - node _T_3319 = or(_T_3317, _T_3318) @[el2_lsu_bus_buffer.scala 483:112] - node _T_3320 = eq(_T_3319, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:86] - node _T_3321 = and(_T_3316, _T_3320) @[el2_lsu_bus_buffer.scala 483:84] - node _T_3322 = cat(_T_3321, _T_3315) @[Cat.scala 29:58] - node _T_3323 = cat(_T_3322, _T_3309) @[Cat.scala 29:58] - node _T_3324 = cat(_T_3323, _T_3303) @[Cat.scala 29:58] - node _T_3325 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 483:80] - node _T_3326 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 483:101] - node _T_3327 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 483:127] - node _T_3328 = or(_T_3326, _T_3327) @[el2_lsu_bus_buffer.scala 483:112] - node _T_3329 = eq(_T_3328, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:86] - node _T_3330 = and(_T_3325, _T_3329) @[el2_lsu_bus_buffer.scala 483:84] - node _T_3331 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 483:80] - node _T_3332 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 483:101] - node _T_3333 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 483:127] - node _T_3334 = or(_T_3332, _T_3333) @[el2_lsu_bus_buffer.scala 483:112] - node _T_3335 = eq(_T_3334, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:86] - node _T_3336 = and(_T_3331, _T_3335) @[el2_lsu_bus_buffer.scala 483:84] - node _T_3337 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 483:80] - node _T_3338 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 483:101] - node _T_3339 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 483:127] - node _T_3340 = or(_T_3338, _T_3339) @[el2_lsu_bus_buffer.scala 483:112] - node _T_3341 = eq(_T_3340, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:86] - node _T_3342 = and(_T_3337, _T_3341) @[el2_lsu_bus_buffer.scala 483:84] - node _T_3343 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 483:80] - node _T_3344 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 483:101] - node _T_3345 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 483:127] - node _T_3346 = or(_T_3344, _T_3345) @[el2_lsu_bus_buffer.scala 483:112] - node _T_3347 = eq(_T_3346, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:86] - node _T_3348 = and(_T_3343, _T_3347) @[el2_lsu_bus_buffer.scala 483:84] - node _T_3349 = cat(_T_3348, _T_3342) @[Cat.scala 29:58] - node _T_3350 = cat(_T_3349, _T_3336) @[Cat.scala 29:58] - node _T_3351 = cat(_T_3350, _T_3330) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3270 @[el2_lsu_bus_buffer.scala 483:16] - buf_rspage[1] <= _T_3297 @[el2_lsu_bus_buffer.scala 483:16] - buf_rspage[2] <= _T_3324 @[el2_lsu_bus_buffer.scala 483:16] - buf_rspage[3] <= _T_3351 @[el2_lsu_bus_buffer.scala 483:16] - node _T_3352 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:77] - node _T_3353 = and(ibuf_drain_vld, _T_3352) @[el2_lsu_bus_buffer.scala 488:65] - node _T_3354 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 488:77] - node _T_3355 = and(ibuf_drain_vld, _T_3354) @[el2_lsu_bus_buffer.scala 488:65] - node _T_3356 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 488:77] - node _T_3357 = and(ibuf_drain_vld, _T_3356) @[el2_lsu_bus_buffer.scala 488:65] - node _T_3358 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 488:77] - node _T_3359 = and(ibuf_drain_vld, _T_3358) @[el2_lsu_bus_buffer.scala 488:65] - node _T_3360 = cat(_T_3359, _T_3357) @[Cat.scala 29:58] - node _T_3361 = cat(_T_3360, _T_3355) @[Cat.scala 29:58] - node _T_3362 = cat(_T_3361, _T_3353) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3362 @[el2_lsu_bus_buffer.scala 488:23] - node _T_3363 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 489:66] - node _T_3364 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 489:86] - node _T_3365 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:20] - node _T_3366 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:48] - node _T_3367 = and(_T_3365, _T_3366) @[el2_lsu_bus_buffer.scala 490:37] - node _T_3368 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 490:73] - node _T_3369 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 490:96] - node _T_3370 = mux(_T_3367, _T_3368, _T_3369) @[el2_lsu_bus_buffer.scala 490:10] - node _T_3371 = mux(_T_3363, _T_3364, _T_3370) @[el2_lsu_bus_buffer.scala 489:48] - node _T_3372 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 489:66] - node _T_3373 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 489:86] - node _T_3374 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:20] - node _T_3375 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 490:48] - node _T_3376 = and(_T_3374, _T_3375) @[el2_lsu_bus_buffer.scala 490:37] - node _T_3377 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 490:73] - node _T_3378 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 490:96] - node _T_3379 = mux(_T_3376, _T_3377, _T_3378) @[el2_lsu_bus_buffer.scala 490:10] - node _T_3380 = mux(_T_3372, _T_3373, _T_3379) @[el2_lsu_bus_buffer.scala 489:48] - node _T_3381 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 489:66] - node _T_3382 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 489:86] - node _T_3383 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:20] - node _T_3384 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 490:48] - node _T_3385 = and(_T_3383, _T_3384) @[el2_lsu_bus_buffer.scala 490:37] - node _T_3386 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 490:73] - node _T_3387 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 490:96] - node _T_3388 = mux(_T_3385, _T_3386, _T_3387) @[el2_lsu_bus_buffer.scala 490:10] - node _T_3389 = mux(_T_3381, _T_3382, _T_3388) @[el2_lsu_bus_buffer.scala 489:48] - node _T_3390 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 489:66] - node _T_3391 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 489:86] - node _T_3392 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:20] - node _T_3393 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 490:48] - node _T_3394 = and(_T_3392, _T_3393) @[el2_lsu_bus_buffer.scala 490:37] - node _T_3395 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 490:73] - node _T_3396 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 490:96] - node _T_3397 = mux(_T_3394, _T_3395, _T_3396) @[el2_lsu_bus_buffer.scala 490:10] - node _T_3398 = mux(_T_3390, _T_3391, _T_3397) @[el2_lsu_bus_buffer.scala 489:48] - buf_byteen_in[0] <= _T_3371 @[el2_lsu_bus_buffer.scala 489:19] - buf_byteen_in[1] <= _T_3380 @[el2_lsu_bus_buffer.scala 489:19] - buf_byteen_in[2] <= _T_3389 @[el2_lsu_bus_buffer.scala 489:19] - buf_byteen_in[3] <= _T_3398 @[el2_lsu_bus_buffer.scala 489:19] - node _T_3399 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 491:64] - node _T_3400 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 491:93] - node _T_3401 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:121] - node _T_3402 = and(_T_3400, _T_3401) @[el2_lsu_bus_buffer.scala 491:110] - node _T_3403 = mux(_T_3402, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 491:83] - node _T_3404 = mux(_T_3399, ibuf_addr, _T_3403) @[el2_lsu_bus_buffer.scala 491:46] - node _T_3405 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 491:64] - node _T_3406 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 491:93] - node _T_3407 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 491:121] - node _T_3408 = and(_T_3406, _T_3407) @[el2_lsu_bus_buffer.scala 491:110] - node _T_3409 = mux(_T_3408, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 491:83] - node _T_3410 = mux(_T_3405, ibuf_addr, _T_3409) @[el2_lsu_bus_buffer.scala 491:46] - node _T_3411 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 491:64] - node _T_3412 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 491:93] - node _T_3413 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 491:121] - node _T_3414 = and(_T_3412, _T_3413) @[el2_lsu_bus_buffer.scala 491:110] - node _T_3415 = mux(_T_3414, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 491:83] - node _T_3416 = mux(_T_3411, ibuf_addr, _T_3415) @[el2_lsu_bus_buffer.scala 491:46] - node _T_3417 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 491:64] - node _T_3418 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 491:93] - node _T_3419 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 491:121] - node _T_3420 = and(_T_3418, _T_3419) @[el2_lsu_bus_buffer.scala 491:110] - node _T_3421 = mux(_T_3420, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 491:83] - node _T_3422 = mux(_T_3417, ibuf_addr, _T_3421) @[el2_lsu_bus_buffer.scala 491:46] - buf_addr_in[0] <= _T_3404 @[el2_lsu_bus_buffer.scala 491:17] - buf_addr_in[1] <= _T_3410 @[el2_lsu_bus_buffer.scala 491:17] - buf_addr_in[2] <= _T_3416 @[el2_lsu_bus_buffer.scala 491:17] - buf_addr_in[3] <= _T_3422 @[el2_lsu_bus_buffer.scala 491:17] - node _T_3423 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 492:65] - node _T_3424 = mux(_T_3423, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 492:47] - node _T_3425 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 492:65] - node _T_3426 = mux(_T_3425, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 492:47] - node _T_3427 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 492:65] - node _T_3428 = mux(_T_3427, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 492:47] - node _T_3429 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 492:65] - node _T_3430 = mux(_T_3429, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 492:47] - node _T_3431 = cat(_T_3430, _T_3428) @[Cat.scala 29:58] - node _T_3432 = cat(_T_3431, _T_3426) @[Cat.scala 29:58] - node _T_3433 = cat(_T_3432, _T_3424) @[Cat.scala 29:58] - buf_dual_in <= _T_3433 @[el2_lsu_bus_buffer.scala 492:17] - node _T_3434 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 493:67] - node _T_3435 = mux(_T_3434, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 493:49] - node _T_3436 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 493:67] - node _T_3437 = mux(_T_3436, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 493:49] - node _T_3438 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 493:67] - node _T_3439 = mux(_T_3438, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 493:49] - node _T_3440 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 493:67] - node _T_3441 = mux(_T_3440, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 493:49] - node _T_3442 = cat(_T_3441, _T_3439) @[Cat.scala 29:58] - node _T_3443 = cat(_T_3442, _T_3437) @[Cat.scala 29:58] - node _T_3444 = cat(_T_3443, _T_3435) @[Cat.scala 29:58] - buf_samedw_in <= _T_3444 @[el2_lsu_bus_buffer.scala 493:19] - node _T_3445 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 494:68] - node _T_3446 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 494:86] - node _T_3447 = mux(_T_3445, _T_3446, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 494:50] - node _T_3448 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 494:68] - node _T_3449 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 494:86] - node _T_3450 = mux(_T_3448, _T_3449, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 494:50] - node _T_3451 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 494:68] - node _T_3452 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 494:86] - node _T_3453 = mux(_T_3451, _T_3452, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 494:50] - node _T_3454 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 494:68] - node _T_3455 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 494:86] - node _T_3456 = mux(_T_3454, _T_3455, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 494:50] - node _T_3457 = cat(_T_3456, _T_3453) @[Cat.scala 29:58] - node _T_3458 = cat(_T_3457, _T_3450) @[Cat.scala 29:58] - node _T_3459 = cat(_T_3458, _T_3447) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3459 @[el2_lsu_bus_buffer.scala 494:20] - node _T_3460 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 495:67] - node _T_3461 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:92] - node _T_3462 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:120] - node _T_3463 = and(_T_3461, _T_3462) @[el2_lsu_bus_buffer.scala 495:109] - node _T_3464 = mux(_T_3460, ibuf_dual, _T_3463) @[el2_lsu_bus_buffer.scala 495:49] - node _T_3465 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 495:67] - node _T_3466 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:92] - node _T_3467 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 495:120] - node _T_3468 = and(_T_3466, _T_3467) @[el2_lsu_bus_buffer.scala 495:109] - node _T_3469 = mux(_T_3465, ibuf_dual, _T_3468) @[el2_lsu_bus_buffer.scala 495:49] - node _T_3470 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 495:67] - node _T_3471 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:92] - node _T_3472 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 495:120] - node _T_3473 = and(_T_3471, _T_3472) @[el2_lsu_bus_buffer.scala 495:109] - node _T_3474 = mux(_T_3470, ibuf_dual, _T_3473) @[el2_lsu_bus_buffer.scala 495:49] - node _T_3475 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 495:67] - node _T_3476 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:92] - node _T_3477 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 495:120] - node _T_3478 = and(_T_3476, _T_3477) @[el2_lsu_bus_buffer.scala 495:109] - node _T_3479 = mux(_T_3475, ibuf_dual, _T_3478) @[el2_lsu_bus_buffer.scala 495:49] - node _T_3480 = cat(_T_3479, _T_3474) @[Cat.scala 29:58] - node _T_3481 = cat(_T_3480, _T_3469) @[Cat.scala 29:58] - node _T_3482 = cat(_T_3481, _T_3464) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3482 @[el2_lsu_bus_buffer.scala 495:19] - node _T_3483 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 496:67] - node _T_3484 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:99] - node _T_3485 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:127] - node _T_3486 = and(_T_3484, _T_3485) @[el2_lsu_bus_buffer.scala 496:116] - node _T_3487 = mux(_T_3486, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 496:89] - node _T_3488 = mux(_T_3483, ibuf_dualtag, _T_3487) @[el2_lsu_bus_buffer.scala 496:49] - node _T_3489 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 496:67] - node _T_3490 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:99] - node _T_3491 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 496:127] - node _T_3492 = and(_T_3490, _T_3491) @[el2_lsu_bus_buffer.scala 496:116] - node _T_3493 = mux(_T_3492, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 496:89] - node _T_3494 = mux(_T_3489, ibuf_dualtag, _T_3493) @[el2_lsu_bus_buffer.scala 496:49] - node _T_3495 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 496:67] - node _T_3496 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:99] - node _T_3497 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 496:127] - node _T_3498 = and(_T_3496, _T_3497) @[el2_lsu_bus_buffer.scala 496:116] - node _T_3499 = mux(_T_3498, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 496:89] - node _T_3500 = mux(_T_3495, ibuf_dualtag, _T_3499) @[el2_lsu_bus_buffer.scala 496:49] - node _T_3501 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 496:67] - node _T_3502 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:99] - node _T_3503 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 496:127] - node _T_3504 = and(_T_3502, _T_3503) @[el2_lsu_bus_buffer.scala 496:116] - node _T_3505 = mux(_T_3504, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 496:89] - node _T_3506 = mux(_T_3501, ibuf_dualtag, _T_3505) @[el2_lsu_bus_buffer.scala 496:49] - buf_dualtag_in[0] <= _T_3488 @[el2_lsu_bus_buffer.scala 496:20] - buf_dualtag_in[1] <= _T_3494 @[el2_lsu_bus_buffer.scala 496:20] - buf_dualtag_in[2] <= _T_3500 @[el2_lsu_bus_buffer.scala 496:20] - buf_dualtag_in[3] <= _T_3506 @[el2_lsu_bus_buffer.scala 496:20] - node _T_3507 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 497:71] - node _T_3508 = mux(_T_3507, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 497:53] - node _T_3509 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 497:71] - node _T_3510 = mux(_T_3509, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 497:53] - node _T_3511 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 497:71] - node _T_3512 = mux(_T_3511, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 497:53] - node _T_3513 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 497:71] - node _T_3514 = mux(_T_3513, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 497:53] - node _T_3515 = cat(_T_3514, _T_3512) @[Cat.scala 29:58] - node _T_3516 = cat(_T_3515, _T_3510) @[Cat.scala 29:58] - node _T_3517 = cat(_T_3516, _T_3508) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3517 @[el2_lsu_bus_buffer.scala 497:23] - node _T_3518 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 498:67] - node _T_3519 = mux(_T_3518, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 498:49] - node _T_3520 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 498:67] - node _T_3521 = mux(_T_3520, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 498:49] - node _T_3522 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 498:67] - node _T_3523 = mux(_T_3522, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 498:49] - node _T_3524 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 498:67] - node _T_3525 = mux(_T_3524, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 498:49] - node _T_3526 = cat(_T_3525, _T_3523) @[Cat.scala 29:58] - node _T_3527 = cat(_T_3526, _T_3521) @[Cat.scala 29:58] - node _T_3528 = cat(_T_3527, _T_3519) @[Cat.scala 29:58] - buf_unsign_in <= _T_3528 @[el2_lsu_bus_buffer.scala 498:19] - node _T_3529 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 499:62] + node _T_2074 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2075 = cat(_T_2074, CmdPtr0Dec) @[Cat.scala 29:58] + node _T_2076 = bits(_T_2075, 4, 4) @[el2_lsu_bus_buffer.scala 435:39] + node _T_2077 = bits(_T_2075, 5, 5) @[el2_lsu_bus_buffer.scala 435:45] + node _T_2078 = or(_T_2076, _T_2077) @[el2_lsu_bus_buffer.scala 435:42] + node _T_2079 = bits(_T_2075, 6, 6) @[el2_lsu_bus_buffer.scala 435:51] + node _T_2080 = or(_T_2078, _T_2079) @[el2_lsu_bus_buffer.scala 435:48] + node _T_2081 = bits(_T_2075, 7, 7) @[el2_lsu_bus_buffer.scala 435:57] + node _T_2082 = or(_T_2080, _T_2081) @[el2_lsu_bus_buffer.scala 435:54] + node _T_2083 = bits(_T_2075, 2, 2) @[el2_lsu_bus_buffer.scala 435:64] + node _T_2084 = bits(_T_2075, 3, 3) @[el2_lsu_bus_buffer.scala 435:70] + node _T_2085 = or(_T_2083, _T_2084) @[el2_lsu_bus_buffer.scala 435:67] + node _T_2086 = bits(_T_2075, 6, 6) @[el2_lsu_bus_buffer.scala 435:76] + node _T_2087 = or(_T_2085, _T_2086) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2088 = bits(_T_2075, 7, 7) @[el2_lsu_bus_buffer.scala 435:82] + node _T_2089 = or(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 435:79] + node _T_2090 = bits(_T_2075, 1, 1) @[el2_lsu_bus_buffer.scala 435:89] + node _T_2091 = bits(_T_2075, 3, 3) @[el2_lsu_bus_buffer.scala 435:95] + node _T_2092 = or(_T_2090, _T_2091) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2093 = bits(_T_2075, 5, 5) @[el2_lsu_bus_buffer.scala 435:101] + node _T_2094 = or(_T_2092, _T_2093) @[el2_lsu_bus_buffer.scala 435:98] + node _T_2095 = bits(_T_2075, 7, 7) @[el2_lsu_bus_buffer.scala 435:107] + node _T_2096 = or(_T_2094, _T_2095) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2097 = cat(_T_2082, _T_2089) @[Cat.scala 29:58] + node _T_2098 = cat(_T_2097, _T_2096) @[Cat.scala 29:58] + CmdPtr0 <= _T_2098 @[el2_lsu_bus_buffer.scala 440:11] + node _T_2099 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2100 = cat(_T_2099, CmdPtr1Dec) @[Cat.scala 29:58] + node _T_2101 = bits(_T_2100, 4, 4) @[el2_lsu_bus_buffer.scala 435:39] + node _T_2102 = bits(_T_2100, 5, 5) @[el2_lsu_bus_buffer.scala 435:45] + node _T_2103 = or(_T_2101, _T_2102) @[el2_lsu_bus_buffer.scala 435:42] + node _T_2104 = bits(_T_2100, 6, 6) @[el2_lsu_bus_buffer.scala 435:51] + node _T_2105 = or(_T_2103, _T_2104) @[el2_lsu_bus_buffer.scala 435:48] + node _T_2106 = bits(_T_2100, 7, 7) @[el2_lsu_bus_buffer.scala 435:57] + node _T_2107 = or(_T_2105, _T_2106) @[el2_lsu_bus_buffer.scala 435:54] + node _T_2108 = bits(_T_2100, 2, 2) @[el2_lsu_bus_buffer.scala 435:64] + node _T_2109 = bits(_T_2100, 3, 3) @[el2_lsu_bus_buffer.scala 435:70] + node _T_2110 = or(_T_2108, _T_2109) @[el2_lsu_bus_buffer.scala 435:67] + node _T_2111 = bits(_T_2100, 6, 6) @[el2_lsu_bus_buffer.scala 435:76] + node _T_2112 = or(_T_2110, _T_2111) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2113 = bits(_T_2100, 7, 7) @[el2_lsu_bus_buffer.scala 435:82] + node _T_2114 = or(_T_2112, _T_2113) @[el2_lsu_bus_buffer.scala 435:79] + node _T_2115 = bits(_T_2100, 1, 1) @[el2_lsu_bus_buffer.scala 435:89] + node _T_2116 = bits(_T_2100, 3, 3) @[el2_lsu_bus_buffer.scala 435:95] + node _T_2117 = or(_T_2115, _T_2116) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2118 = bits(_T_2100, 5, 5) @[el2_lsu_bus_buffer.scala 435:101] + node _T_2119 = or(_T_2117, _T_2118) @[el2_lsu_bus_buffer.scala 435:98] + node _T_2120 = bits(_T_2100, 7, 7) @[el2_lsu_bus_buffer.scala 435:107] + node _T_2121 = or(_T_2119, _T_2120) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2122 = cat(_T_2107, _T_2114) @[Cat.scala 29:58] + node _T_2123 = cat(_T_2122, _T_2121) @[Cat.scala 29:58] + CmdPtr1 <= _T_2123 @[el2_lsu_bus_buffer.scala 442:11] + node _T_2124 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2125 = cat(_T_2124, RspPtrDec) @[Cat.scala 29:58] + node _T_2126 = bits(_T_2125, 4, 4) @[el2_lsu_bus_buffer.scala 435:39] + node _T_2127 = bits(_T_2125, 5, 5) @[el2_lsu_bus_buffer.scala 435:45] + node _T_2128 = or(_T_2126, _T_2127) @[el2_lsu_bus_buffer.scala 435:42] + node _T_2129 = bits(_T_2125, 6, 6) @[el2_lsu_bus_buffer.scala 435:51] + node _T_2130 = or(_T_2128, _T_2129) @[el2_lsu_bus_buffer.scala 435:48] + node _T_2131 = bits(_T_2125, 7, 7) @[el2_lsu_bus_buffer.scala 435:57] + node _T_2132 = or(_T_2130, _T_2131) @[el2_lsu_bus_buffer.scala 435:54] + node _T_2133 = bits(_T_2125, 2, 2) @[el2_lsu_bus_buffer.scala 435:64] + node _T_2134 = bits(_T_2125, 3, 3) @[el2_lsu_bus_buffer.scala 435:70] + node _T_2135 = or(_T_2133, _T_2134) @[el2_lsu_bus_buffer.scala 435:67] + node _T_2136 = bits(_T_2125, 6, 6) @[el2_lsu_bus_buffer.scala 435:76] + node _T_2137 = or(_T_2135, _T_2136) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2138 = bits(_T_2125, 7, 7) @[el2_lsu_bus_buffer.scala 435:82] + node _T_2139 = or(_T_2137, _T_2138) @[el2_lsu_bus_buffer.scala 435:79] + node _T_2140 = bits(_T_2125, 1, 1) @[el2_lsu_bus_buffer.scala 435:89] + node _T_2141 = bits(_T_2125, 3, 3) @[el2_lsu_bus_buffer.scala 435:95] + node _T_2142 = or(_T_2140, _T_2141) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2143 = bits(_T_2125, 5, 5) @[el2_lsu_bus_buffer.scala 435:101] + node _T_2144 = or(_T_2142, _T_2143) @[el2_lsu_bus_buffer.scala 435:98] + node _T_2145 = bits(_T_2125, 7, 7) @[el2_lsu_bus_buffer.scala 435:107] + node _T_2146 = or(_T_2144, _T_2145) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2147 = cat(_T_2132, _T_2139) @[Cat.scala 29:58] + node _T_2148 = cat(_T_2147, _T_2146) @[Cat.scala 29:58] + RspPtr <= _T_2148 @[el2_lsu_bus_buffer.scala 443:10] + wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 444:26] + buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 445:16] + buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 445:16] + buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 445:16] + buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 445:16] + wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 446:25] + buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 447:15] + buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 447:15] + buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 447:15] + buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 447:15] + wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 448:28] + buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:18] + buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:18] + buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:18] + buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:18] + wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 450:27] + buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:17] + buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:17] + buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:17] + buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:17] + wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 452:24] + buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:14] + buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:14] + buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:14] + buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:14] + node _T_2149 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] + node _T_2150 = and(_T_2149, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 455:94] + node _T_2151 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] + node _T_2152 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] + node _T_2153 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] + node _T_2154 = and(_T_2152, _T_2153) @[el2_lsu_bus_buffer.scala 456:57] + node _T_2155 = or(_T_2151, _T_2154) @[el2_lsu_bus_buffer.scala 456:31] + node _T_2156 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] + node _T_2157 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] + node _T_2158 = and(_T_2156, _T_2157) @[el2_lsu_bus_buffer.scala 457:41] + node _T_2159 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2160 = and(_T_2158, _T_2159) @[el2_lsu_bus_buffer.scala 457:71] + node _T_2161 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 457:104] + node _T_2162 = and(_T_2160, _T_2161) @[el2_lsu_bus_buffer.scala 457:92] + node _T_2163 = or(_T_2155, _T_2162) @[el2_lsu_bus_buffer.scala 456:86] + node _T_2164 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] + node _T_2165 = and(_T_2164, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] + node _T_2166 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:64] + node _T_2167 = and(_T_2165, _T_2166) @[el2_lsu_bus_buffer.scala 458:52] + node _T_2168 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:85] + node _T_2169 = and(_T_2167, _T_2168) @[el2_lsu_bus_buffer.scala 458:73] + node _T_2170 = or(_T_2163, _T_2169) @[el2_lsu_bus_buffer.scala 457:114] + node _T_2171 = and(_T_2150, _T_2170) @[el2_lsu_bus_buffer.scala 455:113] + node _T_2172 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 458:109] + node _T_2173 = or(_T_2171, _T_2172) @[el2_lsu_bus_buffer.scala 458:97] + node _T_2174 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] + node _T_2175 = and(_T_2174, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 455:94] + node _T_2176 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] + node _T_2177 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] + node _T_2178 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] + node _T_2179 = and(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 456:57] + node _T_2180 = or(_T_2176, _T_2179) @[el2_lsu_bus_buffer.scala 456:31] + node _T_2181 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] + node _T_2182 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] + node _T_2183 = and(_T_2181, _T_2182) @[el2_lsu_bus_buffer.scala 457:41] + node _T_2184 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2185 = and(_T_2183, _T_2184) @[el2_lsu_bus_buffer.scala 457:71] + node _T_2186 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 457:104] + node _T_2187 = and(_T_2185, _T_2186) @[el2_lsu_bus_buffer.scala 457:92] + node _T_2188 = or(_T_2180, _T_2187) @[el2_lsu_bus_buffer.scala 456:86] + node _T_2189 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] + node _T_2190 = and(_T_2189, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] + node _T_2191 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:64] + node _T_2192 = and(_T_2190, _T_2191) @[el2_lsu_bus_buffer.scala 458:52] + node _T_2193 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 458:85] + node _T_2194 = and(_T_2192, _T_2193) @[el2_lsu_bus_buffer.scala 458:73] + node _T_2195 = or(_T_2188, _T_2194) @[el2_lsu_bus_buffer.scala 457:114] + node _T_2196 = and(_T_2175, _T_2195) @[el2_lsu_bus_buffer.scala 455:113] + node _T_2197 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 458:109] + node _T_2198 = or(_T_2196, _T_2197) @[el2_lsu_bus_buffer.scala 458:97] + node _T_2199 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] + node _T_2200 = and(_T_2199, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 455:94] + node _T_2201 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] + node _T_2202 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] + node _T_2203 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] + node _T_2204 = and(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 456:57] + node _T_2205 = or(_T_2201, _T_2204) @[el2_lsu_bus_buffer.scala 456:31] + node _T_2206 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] + node _T_2207 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] + node _T_2208 = and(_T_2206, _T_2207) @[el2_lsu_bus_buffer.scala 457:41] + node _T_2209 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2210 = and(_T_2208, _T_2209) @[el2_lsu_bus_buffer.scala 457:71] + node _T_2211 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 457:104] + node _T_2212 = and(_T_2210, _T_2211) @[el2_lsu_bus_buffer.scala 457:92] + node _T_2213 = or(_T_2205, _T_2212) @[el2_lsu_bus_buffer.scala 456:86] + node _T_2214 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] + node _T_2215 = and(_T_2214, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] + node _T_2216 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:64] + node _T_2217 = and(_T_2215, _T_2216) @[el2_lsu_bus_buffer.scala 458:52] + node _T_2218 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 458:85] + node _T_2219 = and(_T_2217, _T_2218) @[el2_lsu_bus_buffer.scala 458:73] + node _T_2220 = or(_T_2213, _T_2219) @[el2_lsu_bus_buffer.scala 457:114] + node _T_2221 = and(_T_2200, _T_2220) @[el2_lsu_bus_buffer.scala 455:113] + node _T_2222 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 458:109] + node _T_2223 = or(_T_2221, _T_2222) @[el2_lsu_bus_buffer.scala 458:97] + node _T_2224 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] + node _T_2225 = and(_T_2224, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 455:94] + node _T_2226 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] + node _T_2227 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] + node _T_2228 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] + node _T_2229 = and(_T_2227, _T_2228) @[el2_lsu_bus_buffer.scala 456:57] + node _T_2230 = or(_T_2226, _T_2229) @[el2_lsu_bus_buffer.scala 456:31] + node _T_2231 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] + node _T_2232 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] + node _T_2233 = and(_T_2231, _T_2232) @[el2_lsu_bus_buffer.scala 457:41] + node _T_2234 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2235 = and(_T_2233, _T_2234) @[el2_lsu_bus_buffer.scala 457:71] + node _T_2236 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 457:104] + node _T_2237 = and(_T_2235, _T_2236) @[el2_lsu_bus_buffer.scala 457:92] + node _T_2238 = or(_T_2230, _T_2237) @[el2_lsu_bus_buffer.scala 456:86] + node _T_2239 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] + node _T_2240 = and(_T_2239, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] + node _T_2241 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:64] + node _T_2242 = and(_T_2240, _T_2241) @[el2_lsu_bus_buffer.scala 458:52] + node _T_2243 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 458:85] + node _T_2244 = and(_T_2242, _T_2243) @[el2_lsu_bus_buffer.scala 458:73] + node _T_2245 = or(_T_2238, _T_2244) @[el2_lsu_bus_buffer.scala 457:114] + node _T_2246 = and(_T_2225, _T_2245) @[el2_lsu_bus_buffer.scala 455:113] + node _T_2247 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 458:109] + node _T_2248 = or(_T_2246, _T_2247) @[el2_lsu_bus_buffer.scala 458:97] + node _T_2249 = cat(_T_2248, _T_2223) @[Cat.scala 29:58] + node _T_2250 = cat(_T_2249, _T_2198) @[Cat.scala 29:58] + node buf_age_in_0 = cat(_T_2250, _T_2173) @[Cat.scala 29:58] + node _T_2251 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] + node _T_2252 = and(_T_2251, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 455:94] + node _T_2253 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] + node _T_2254 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] + node _T_2255 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] + node _T_2256 = and(_T_2254, _T_2255) @[el2_lsu_bus_buffer.scala 456:57] + node _T_2257 = or(_T_2253, _T_2256) @[el2_lsu_bus_buffer.scala 456:31] + node _T_2258 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] + node _T_2259 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] + node _T_2260 = and(_T_2258, _T_2259) @[el2_lsu_bus_buffer.scala 457:41] + node _T_2261 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2262 = and(_T_2260, _T_2261) @[el2_lsu_bus_buffer.scala 457:71] + node _T_2263 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 457:104] + node _T_2264 = and(_T_2262, _T_2263) @[el2_lsu_bus_buffer.scala 457:92] + node _T_2265 = or(_T_2257, _T_2264) @[el2_lsu_bus_buffer.scala 456:86] + node _T_2266 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] + node _T_2267 = and(_T_2266, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] + node _T_2268 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 458:64] + node _T_2269 = and(_T_2267, _T_2268) @[el2_lsu_bus_buffer.scala 458:52] + node _T_2270 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:85] + node _T_2271 = and(_T_2269, _T_2270) @[el2_lsu_bus_buffer.scala 458:73] + node _T_2272 = or(_T_2265, _T_2271) @[el2_lsu_bus_buffer.scala 457:114] + node _T_2273 = and(_T_2252, _T_2272) @[el2_lsu_bus_buffer.scala 455:113] + node _T_2274 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 458:109] + node _T_2275 = or(_T_2273, _T_2274) @[el2_lsu_bus_buffer.scala 458:97] + node _T_2276 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] + node _T_2277 = and(_T_2276, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 455:94] + node _T_2278 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] + node _T_2279 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] + node _T_2280 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] + node _T_2281 = and(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 456:57] + node _T_2282 = or(_T_2278, _T_2281) @[el2_lsu_bus_buffer.scala 456:31] + node _T_2283 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] + node _T_2284 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] + node _T_2285 = and(_T_2283, _T_2284) @[el2_lsu_bus_buffer.scala 457:41] + node _T_2286 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2287 = and(_T_2285, _T_2286) @[el2_lsu_bus_buffer.scala 457:71] + node _T_2288 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 457:104] + node _T_2289 = and(_T_2287, _T_2288) @[el2_lsu_bus_buffer.scala 457:92] + node _T_2290 = or(_T_2282, _T_2289) @[el2_lsu_bus_buffer.scala 456:86] + node _T_2291 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] + node _T_2292 = and(_T_2291, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] + node _T_2293 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 458:64] + node _T_2294 = and(_T_2292, _T_2293) @[el2_lsu_bus_buffer.scala 458:52] + node _T_2295 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 458:85] + node _T_2296 = and(_T_2294, _T_2295) @[el2_lsu_bus_buffer.scala 458:73] + node _T_2297 = or(_T_2290, _T_2296) @[el2_lsu_bus_buffer.scala 457:114] + node _T_2298 = and(_T_2277, _T_2297) @[el2_lsu_bus_buffer.scala 455:113] + node _T_2299 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 458:109] + node _T_2300 = or(_T_2298, _T_2299) @[el2_lsu_bus_buffer.scala 458:97] + node _T_2301 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] + node _T_2302 = and(_T_2301, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 455:94] + node _T_2303 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] + node _T_2304 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] + node _T_2305 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] + node _T_2306 = and(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 456:57] + node _T_2307 = or(_T_2303, _T_2306) @[el2_lsu_bus_buffer.scala 456:31] + node _T_2308 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] + node _T_2309 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] + node _T_2310 = and(_T_2308, _T_2309) @[el2_lsu_bus_buffer.scala 457:41] + node _T_2311 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2312 = and(_T_2310, _T_2311) @[el2_lsu_bus_buffer.scala 457:71] + node _T_2313 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 457:104] + node _T_2314 = and(_T_2312, _T_2313) @[el2_lsu_bus_buffer.scala 457:92] + node _T_2315 = or(_T_2307, _T_2314) @[el2_lsu_bus_buffer.scala 456:86] + node _T_2316 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] + node _T_2317 = and(_T_2316, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] + node _T_2318 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 458:64] + node _T_2319 = and(_T_2317, _T_2318) @[el2_lsu_bus_buffer.scala 458:52] + node _T_2320 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 458:85] + node _T_2321 = and(_T_2319, _T_2320) @[el2_lsu_bus_buffer.scala 458:73] + node _T_2322 = or(_T_2315, _T_2321) @[el2_lsu_bus_buffer.scala 457:114] + node _T_2323 = and(_T_2302, _T_2322) @[el2_lsu_bus_buffer.scala 455:113] + node _T_2324 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 458:109] + node _T_2325 = or(_T_2323, _T_2324) @[el2_lsu_bus_buffer.scala 458:97] + node _T_2326 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] + node _T_2327 = and(_T_2326, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 455:94] + node _T_2328 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] + node _T_2329 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] + node _T_2330 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] + node _T_2331 = and(_T_2329, _T_2330) @[el2_lsu_bus_buffer.scala 456:57] + node _T_2332 = or(_T_2328, _T_2331) @[el2_lsu_bus_buffer.scala 456:31] + node _T_2333 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] + node _T_2334 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] + node _T_2335 = and(_T_2333, _T_2334) @[el2_lsu_bus_buffer.scala 457:41] + node _T_2336 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2337 = and(_T_2335, _T_2336) @[el2_lsu_bus_buffer.scala 457:71] + node _T_2338 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 457:104] + node _T_2339 = and(_T_2337, _T_2338) @[el2_lsu_bus_buffer.scala 457:92] + node _T_2340 = or(_T_2332, _T_2339) @[el2_lsu_bus_buffer.scala 456:86] + node _T_2341 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] + node _T_2342 = and(_T_2341, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] + node _T_2343 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 458:64] + node _T_2344 = and(_T_2342, _T_2343) @[el2_lsu_bus_buffer.scala 458:52] + node _T_2345 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 458:85] + node _T_2346 = and(_T_2344, _T_2345) @[el2_lsu_bus_buffer.scala 458:73] + node _T_2347 = or(_T_2340, _T_2346) @[el2_lsu_bus_buffer.scala 457:114] + node _T_2348 = and(_T_2327, _T_2347) @[el2_lsu_bus_buffer.scala 455:113] + node _T_2349 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 458:109] + node _T_2350 = or(_T_2348, _T_2349) @[el2_lsu_bus_buffer.scala 458:97] + node _T_2351 = cat(_T_2350, _T_2325) @[Cat.scala 29:58] + node _T_2352 = cat(_T_2351, _T_2300) @[Cat.scala 29:58] + node buf_age_in_1 = cat(_T_2352, _T_2275) @[Cat.scala 29:58] + node _T_2353 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] + node _T_2354 = and(_T_2353, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 455:94] + node _T_2355 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] + node _T_2356 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] + node _T_2357 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] + node _T_2358 = and(_T_2356, _T_2357) @[el2_lsu_bus_buffer.scala 456:57] + node _T_2359 = or(_T_2355, _T_2358) @[el2_lsu_bus_buffer.scala 456:31] + node _T_2360 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] + node _T_2361 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] + node _T_2362 = and(_T_2360, _T_2361) @[el2_lsu_bus_buffer.scala 457:41] + node _T_2363 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2364 = and(_T_2362, _T_2363) @[el2_lsu_bus_buffer.scala 457:71] + node _T_2365 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 457:104] + node _T_2366 = and(_T_2364, _T_2365) @[el2_lsu_bus_buffer.scala 457:92] + node _T_2367 = or(_T_2359, _T_2366) @[el2_lsu_bus_buffer.scala 456:86] + node _T_2368 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] + node _T_2369 = and(_T_2368, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] + node _T_2370 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 458:64] + node _T_2371 = and(_T_2369, _T_2370) @[el2_lsu_bus_buffer.scala 458:52] + node _T_2372 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:85] + node _T_2373 = and(_T_2371, _T_2372) @[el2_lsu_bus_buffer.scala 458:73] + node _T_2374 = or(_T_2367, _T_2373) @[el2_lsu_bus_buffer.scala 457:114] + node _T_2375 = and(_T_2354, _T_2374) @[el2_lsu_bus_buffer.scala 455:113] + node _T_2376 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 458:109] + node _T_2377 = or(_T_2375, _T_2376) @[el2_lsu_bus_buffer.scala 458:97] + node _T_2378 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] + node _T_2379 = and(_T_2378, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 455:94] + node _T_2380 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] + node _T_2381 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] + node _T_2382 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] + node _T_2383 = and(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 456:57] + node _T_2384 = or(_T_2380, _T_2383) @[el2_lsu_bus_buffer.scala 456:31] + node _T_2385 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] + node _T_2386 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] + node _T_2387 = and(_T_2385, _T_2386) @[el2_lsu_bus_buffer.scala 457:41] + node _T_2388 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2389 = and(_T_2387, _T_2388) @[el2_lsu_bus_buffer.scala 457:71] + node _T_2390 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 457:104] + node _T_2391 = and(_T_2389, _T_2390) @[el2_lsu_bus_buffer.scala 457:92] + node _T_2392 = or(_T_2384, _T_2391) @[el2_lsu_bus_buffer.scala 456:86] + node _T_2393 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] + node _T_2394 = and(_T_2393, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] + node _T_2395 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 458:64] + node _T_2396 = and(_T_2394, _T_2395) @[el2_lsu_bus_buffer.scala 458:52] + node _T_2397 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 458:85] + node _T_2398 = and(_T_2396, _T_2397) @[el2_lsu_bus_buffer.scala 458:73] + node _T_2399 = or(_T_2392, _T_2398) @[el2_lsu_bus_buffer.scala 457:114] + node _T_2400 = and(_T_2379, _T_2399) @[el2_lsu_bus_buffer.scala 455:113] + node _T_2401 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 458:109] + node _T_2402 = or(_T_2400, _T_2401) @[el2_lsu_bus_buffer.scala 458:97] + node _T_2403 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] + node _T_2404 = and(_T_2403, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 455:94] + node _T_2405 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] + node _T_2406 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] + node _T_2407 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] + node _T_2408 = and(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 456:57] + node _T_2409 = or(_T_2405, _T_2408) @[el2_lsu_bus_buffer.scala 456:31] + node _T_2410 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] + node _T_2411 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] + node _T_2412 = and(_T_2410, _T_2411) @[el2_lsu_bus_buffer.scala 457:41] + node _T_2413 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2414 = and(_T_2412, _T_2413) @[el2_lsu_bus_buffer.scala 457:71] + node _T_2415 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 457:104] + node _T_2416 = and(_T_2414, _T_2415) @[el2_lsu_bus_buffer.scala 457:92] + node _T_2417 = or(_T_2409, _T_2416) @[el2_lsu_bus_buffer.scala 456:86] + node _T_2418 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] + node _T_2419 = and(_T_2418, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] + node _T_2420 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 458:64] + node _T_2421 = and(_T_2419, _T_2420) @[el2_lsu_bus_buffer.scala 458:52] + node _T_2422 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 458:85] + node _T_2423 = and(_T_2421, _T_2422) @[el2_lsu_bus_buffer.scala 458:73] + node _T_2424 = or(_T_2417, _T_2423) @[el2_lsu_bus_buffer.scala 457:114] + node _T_2425 = and(_T_2404, _T_2424) @[el2_lsu_bus_buffer.scala 455:113] + node _T_2426 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 458:109] + node _T_2427 = or(_T_2425, _T_2426) @[el2_lsu_bus_buffer.scala 458:97] + node _T_2428 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] + node _T_2429 = and(_T_2428, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 455:94] + node _T_2430 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] + node _T_2431 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] + node _T_2432 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] + node _T_2433 = and(_T_2431, _T_2432) @[el2_lsu_bus_buffer.scala 456:57] + node _T_2434 = or(_T_2430, _T_2433) @[el2_lsu_bus_buffer.scala 456:31] + node _T_2435 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] + node _T_2436 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] + node _T_2437 = and(_T_2435, _T_2436) @[el2_lsu_bus_buffer.scala 457:41] + node _T_2438 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2439 = and(_T_2437, _T_2438) @[el2_lsu_bus_buffer.scala 457:71] + node _T_2440 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 457:104] + node _T_2441 = and(_T_2439, _T_2440) @[el2_lsu_bus_buffer.scala 457:92] + node _T_2442 = or(_T_2434, _T_2441) @[el2_lsu_bus_buffer.scala 456:86] + node _T_2443 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] + node _T_2444 = and(_T_2443, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] + node _T_2445 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 458:64] + node _T_2446 = and(_T_2444, _T_2445) @[el2_lsu_bus_buffer.scala 458:52] + node _T_2447 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 458:85] + node _T_2448 = and(_T_2446, _T_2447) @[el2_lsu_bus_buffer.scala 458:73] + node _T_2449 = or(_T_2442, _T_2448) @[el2_lsu_bus_buffer.scala 457:114] + node _T_2450 = and(_T_2429, _T_2449) @[el2_lsu_bus_buffer.scala 455:113] + node _T_2451 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 458:109] + node _T_2452 = or(_T_2450, _T_2451) @[el2_lsu_bus_buffer.scala 458:97] + node _T_2453 = cat(_T_2452, _T_2427) @[Cat.scala 29:58] + node _T_2454 = cat(_T_2453, _T_2402) @[Cat.scala 29:58] + node buf_age_in_2 = cat(_T_2454, _T_2377) @[Cat.scala 29:58] + node _T_2455 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] + node _T_2456 = and(_T_2455, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 455:94] + node _T_2457 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] + node _T_2458 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] + node _T_2459 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] + node _T_2460 = and(_T_2458, _T_2459) @[el2_lsu_bus_buffer.scala 456:57] + node _T_2461 = or(_T_2457, _T_2460) @[el2_lsu_bus_buffer.scala 456:31] + node _T_2462 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] + node _T_2463 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] + node _T_2464 = and(_T_2462, _T_2463) @[el2_lsu_bus_buffer.scala 457:41] + node _T_2465 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2466 = and(_T_2464, _T_2465) @[el2_lsu_bus_buffer.scala 457:71] + node _T_2467 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 457:104] + node _T_2468 = and(_T_2466, _T_2467) @[el2_lsu_bus_buffer.scala 457:92] + node _T_2469 = or(_T_2461, _T_2468) @[el2_lsu_bus_buffer.scala 456:86] + node _T_2470 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] + node _T_2471 = and(_T_2470, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] + node _T_2472 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 458:64] + node _T_2473 = and(_T_2471, _T_2472) @[el2_lsu_bus_buffer.scala 458:52] + node _T_2474 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:85] + node _T_2475 = and(_T_2473, _T_2474) @[el2_lsu_bus_buffer.scala 458:73] + node _T_2476 = or(_T_2469, _T_2475) @[el2_lsu_bus_buffer.scala 457:114] + node _T_2477 = and(_T_2456, _T_2476) @[el2_lsu_bus_buffer.scala 455:113] + node _T_2478 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 458:109] + node _T_2479 = or(_T_2477, _T_2478) @[el2_lsu_bus_buffer.scala 458:97] + node _T_2480 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] + node _T_2481 = and(_T_2480, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 455:94] + node _T_2482 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] + node _T_2483 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] + node _T_2484 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] + node _T_2485 = and(_T_2483, _T_2484) @[el2_lsu_bus_buffer.scala 456:57] + node _T_2486 = or(_T_2482, _T_2485) @[el2_lsu_bus_buffer.scala 456:31] + node _T_2487 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] + node _T_2488 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] + node _T_2489 = and(_T_2487, _T_2488) @[el2_lsu_bus_buffer.scala 457:41] + node _T_2490 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2491 = and(_T_2489, _T_2490) @[el2_lsu_bus_buffer.scala 457:71] + node _T_2492 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 457:104] + node _T_2493 = and(_T_2491, _T_2492) @[el2_lsu_bus_buffer.scala 457:92] + node _T_2494 = or(_T_2486, _T_2493) @[el2_lsu_bus_buffer.scala 456:86] + node _T_2495 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] + node _T_2496 = and(_T_2495, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] + node _T_2497 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 458:64] + node _T_2498 = and(_T_2496, _T_2497) @[el2_lsu_bus_buffer.scala 458:52] + node _T_2499 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 458:85] + node _T_2500 = and(_T_2498, _T_2499) @[el2_lsu_bus_buffer.scala 458:73] + node _T_2501 = or(_T_2494, _T_2500) @[el2_lsu_bus_buffer.scala 457:114] + node _T_2502 = and(_T_2481, _T_2501) @[el2_lsu_bus_buffer.scala 455:113] + node _T_2503 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 458:109] + node _T_2504 = or(_T_2502, _T_2503) @[el2_lsu_bus_buffer.scala 458:97] + node _T_2505 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] + node _T_2506 = and(_T_2505, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 455:94] + node _T_2507 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] + node _T_2508 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] + node _T_2509 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] + node _T_2510 = and(_T_2508, _T_2509) @[el2_lsu_bus_buffer.scala 456:57] + node _T_2511 = or(_T_2507, _T_2510) @[el2_lsu_bus_buffer.scala 456:31] + node _T_2512 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] + node _T_2513 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] + node _T_2514 = and(_T_2512, _T_2513) @[el2_lsu_bus_buffer.scala 457:41] + node _T_2515 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2516 = and(_T_2514, _T_2515) @[el2_lsu_bus_buffer.scala 457:71] + node _T_2517 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 457:104] + node _T_2518 = and(_T_2516, _T_2517) @[el2_lsu_bus_buffer.scala 457:92] + node _T_2519 = or(_T_2511, _T_2518) @[el2_lsu_bus_buffer.scala 456:86] + node _T_2520 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] + node _T_2521 = and(_T_2520, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] + node _T_2522 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 458:64] + node _T_2523 = and(_T_2521, _T_2522) @[el2_lsu_bus_buffer.scala 458:52] + node _T_2524 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 458:85] + node _T_2525 = and(_T_2523, _T_2524) @[el2_lsu_bus_buffer.scala 458:73] + node _T_2526 = or(_T_2519, _T_2525) @[el2_lsu_bus_buffer.scala 457:114] + node _T_2527 = and(_T_2506, _T_2526) @[el2_lsu_bus_buffer.scala 455:113] + node _T_2528 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 458:109] + node _T_2529 = or(_T_2527, _T_2528) @[el2_lsu_bus_buffer.scala 458:97] + node _T_2530 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] + node _T_2531 = and(_T_2530, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 455:94] + node _T_2532 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] + node _T_2533 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] + node _T_2534 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] + node _T_2535 = and(_T_2533, _T_2534) @[el2_lsu_bus_buffer.scala 456:57] + node _T_2536 = or(_T_2532, _T_2535) @[el2_lsu_bus_buffer.scala 456:31] + node _T_2537 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] + node _T_2538 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] + node _T_2539 = and(_T_2537, _T_2538) @[el2_lsu_bus_buffer.scala 457:41] + node _T_2540 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2541 = and(_T_2539, _T_2540) @[el2_lsu_bus_buffer.scala 457:71] + node _T_2542 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 457:104] + node _T_2543 = and(_T_2541, _T_2542) @[el2_lsu_bus_buffer.scala 457:92] + node _T_2544 = or(_T_2536, _T_2543) @[el2_lsu_bus_buffer.scala 456:86] + node _T_2545 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] + node _T_2546 = and(_T_2545, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] + node _T_2547 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 458:64] + node _T_2548 = and(_T_2546, _T_2547) @[el2_lsu_bus_buffer.scala 458:52] + node _T_2549 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 458:85] + node _T_2550 = and(_T_2548, _T_2549) @[el2_lsu_bus_buffer.scala 458:73] + node _T_2551 = or(_T_2544, _T_2550) @[el2_lsu_bus_buffer.scala 457:114] + node _T_2552 = and(_T_2531, _T_2551) @[el2_lsu_bus_buffer.scala 455:113] + node _T_2553 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 458:109] + node _T_2554 = or(_T_2552, _T_2553) @[el2_lsu_bus_buffer.scala 458:97] + node _T_2555 = cat(_T_2554, _T_2529) @[Cat.scala 29:58] + node _T_2556 = cat(_T_2555, _T_2504) @[Cat.scala 29:58] + node buf_age_in_3 = cat(_T_2556, _T_2479) @[Cat.scala 29:58] + wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 459:22] + buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 460:12] + buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 460:12] + buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 460:12] + buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 460:12] + node _T_2557 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 461:74] + node _T_2558 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] + node _T_2559 = and(_T_2558, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 461:105] + node _T_2560 = eq(_T_2559, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] + node _T_2561 = and(_T_2557, _T_2560) @[el2_lsu_bus_buffer.scala 461:78] + node _T_2562 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 461:74] + node _T_2563 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] + node _T_2564 = and(_T_2563, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 461:105] + node _T_2565 = eq(_T_2564, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] + node _T_2566 = and(_T_2562, _T_2565) @[el2_lsu_bus_buffer.scala 461:78] + node _T_2567 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 461:74] + node _T_2568 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] + node _T_2569 = and(_T_2568, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 461:105] + node _T_2570 = eq(_T_2569, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] + node _T_2571 = and(_T_2567, _T_2570) @[el2_lsu_bus_buffer.scala 461:78] + node _T_2572 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 461:74] + node _T_2573 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] + node _T_2574 = and(_T_2573, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 461:105] + node _T_2575 = eq(_T_2574, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] + node _T_2576 = and(_T_2572, _T_2575) @[el2_lsu_bus_buffer.scala 461:78] + node _T_2577 = cat(_T_2576, _T_2571) @[Cat.scala 29:58] + node _T_2578 = cat(_T_2577, _T_2566) @[Cat.scala 29:58] + node _T_2579 = cat(_T_2578, _T_2561) @[Cat.scala 29:58] + node _T_2580 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 461:74] + node _T_2581 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] + node _T_2582 = and(_T_2581, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 461:105] + node _T_2583 = eq(_T_2582, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] + node _T_2584 = and(_T_2580, _T_2583) @[el2_lsu_bus_buffer.scala 461:78] + node _T_2585 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 461:74] + node _T_2586 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] + node _T_2587 = and(_T_2586, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 461:105] + node _T_2588 = eq(_T_2587, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] + node _T_2589 = and(_T_2585, _T_2588) @[el2_lsu_bus_buffer.scala 461:78] + node _T_2590 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 461:74] + node _T_2591 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] + node _T_2592 = and(_T_2591, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 461:105] + node _T_2593 = eq(_T_2592, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] + node _T_2594 = and(_T_2590, _T_2593) @[el2_lsu_bus_buffer.scala 461:78] + node _T_2595 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 461:74] + node _T_2596 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] + node _T_2597 = and(_T_2596, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 461:105] + node _T_2598 = eq(_T_2597, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] + node _T_2599 = and(_T_2595, _T_2598) @[el2_lsu_bus_buffer.scala 461:78] + node _T_2600 = cat(_T_2599, _T_2594) @[Cat.scala 29:58] + node _T_2601 = cat(_T_2600, _T_2589) @[Cat.scala 29:58] + node _T_2602 = cat(_T_2601, _T_2584) @[Cat.scala 29:58] + node _T_2603 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 461:74] + node _T_2604 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] + node _T_2605 = and(_T_2604, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 461:105] + node _T_2606 = eq(_T_2605, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] + node _T_2607 = and(_T_2603, _T_2606) @[el2_lsu_bus_buffer.scala 461:78] + node _T_2608 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 461:74] + node _T_2609 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] + node _T_2610 = and(_T_2609, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 461:105] + node _T_2611 = eq(_T_2610, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] + node _T_2612 = and(_T_2608, _T_2611) @[el2_lsu_bus_buffer.scala 461:78] + node _T_2613 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 461:74] + node _T_2614 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] + node _T_2615 = and(_T_2614, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 461:105] + node _T_2616 = eq(_T_2615, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] + node _T_2617 = and(_T_2613, _T_2616) @[el2_lsu_bus_buffer.scala 461:78] + node _T_2618 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 461:74] + node _T_2619 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] + node _T_2620 = and(_T_2619, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 461:105] + node _T_2621 = eq(_T_2620, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] + node _T_2622 = and(_T_2618, _T_2621) @[el2_lsu_bus_buffer.scala 461:78] + node _T_2623 = cat(_T_2622, _T_2617) @[Cat.scala 29:58] + node _T_2624 = cat(_T_2623, _T_2612) @[Cat.scala 29:58] + node _T_2625 = cat(_T_2624, _T_2607) @[Cat.scala 29:58] + node _T_2626 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 461:74] + node _T_2627 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] + node _T_2628 = and(_T_2627, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 461:105] + node _T_2629 = eq(_T_2628, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] + node _T_2630 = and(_T_2626, _T_2629) @[el2_lsu_bus_buffer.scala 461:78] + node _T_2631 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 461:74] + node _T_2632 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] + node _T_2633 = and(_T_2632, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 461:105] + node _T_2634 = eq(_T_2633, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] + node _T_2635 = and(_T_2631, _T_2634) @[el2_lsu_bus_buffer.scala 461:78] + node _T_2636 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 461:74] + node _T_2637 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] + node _T_2638 = and(_T_2637, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 461:105] + node _T_2639 = eq(_T_2638, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] + node _T_2640 = and(_T_2636, _T_2639) @[el2_lsu_bus_buffer.scala 461:78] + node _T_2641 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 461:74] + node _T_2642 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] + node _T_2643 = and(_T_2642, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 461:105] + node _T_2644 = eq(_T_2643, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] + node _T_2645 = and(_T_2641, _T_2644) @[el2_lsu_bus_buffer.scala 461:78] + node _T_2646 = cat(_T_2645, _T_2640) @[Cat.scala 29:58] + node _T_2647 = cat(_T_2646, _T_2635) @[Cat.scala 29:58] + node _T_2648 = cat(_T_2647, _T_2630) @[Cat.scala 29:58] + buf_age[0] <= _T_2579 @[el2_lsu_bus_buffer.scala 461:13] + buf_age[1] <= _T_2602 @[el2_lsu_bus_buffer.scala 461:13] + buf_age[2] <= _T_2625 @[el2_lsu_bus_buffer.scala 461:13] + buf_age[3] <= _T_2648 @[el2_lsu_bus_buffer.scala 461:13] + node _T_2649 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:78] + node _T_2650 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 462:102] + node _T_2651 = eq(_T_2650, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] + node _T_2652 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] + node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 462:106] + node _T_2654 = mux(_T_2649, UInt<1>("h00"), _T_2653) @[el2_lsu_bus_buffer.scala 462:74] + node _T_2655 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:78] + node _T_2656 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 462:102] + node _T_2657 = eq(_T_2656, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] + node _T_2658 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] + node _T_2659 = and(_T_2657, _T_2658) @[el2_lsu_bus_buffer.scala 462:106] + node _T_2660 = mux(_T_2655, UInt<1>("h00"), _T_2659) @[el2_lsu_bus_buffer.scala 462:74] + node _T_2661 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:78] + node _T_2662 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 462:102] + node _T_2663 = eq(_T_2662, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] + node _T_2664 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] + node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 462:106] + node _T_2666 = mux(_T_2661, UInt<1>("h00"), _T_2665) @[el2_lsu_bus_buffer.scala 462:74] + node _T_2667 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:78] + node _T_2668 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 462:102] + node _T_2669 = eq(_T_2668, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] + node _T_2670 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] + node _T_2671 = and(_T_2669, _T_2670) @[el2_lsu_bus_buffer.scala 462:106] + node _T_2672 = mux(_T_2667, UInt<1>("h00"), _T_2671) @[el2_lsu_bus_buffer.scala 462:74] + node _T_2673 = cat(_T_2672, _T_2666) @[Cat.scala 29:58] + node _T_2674 = cat(_T_2673, _T_2660) @[Cat.scala 29:58] + node _T_2675 = cat(_T_2674, _T_2654) @[Cat.scala 29:58] + node _T_2676 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:78] + node _T_2677 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 462:102] + node _T_2678 = eq(_T_2677, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] + node _T_2679 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] + node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 462:106] + node _T_2681 = mux(_T_2676, UInt<1>("h00"), _T_2680) @[el2_lsu_bus_buffer.scala 462:74] + node _T_2682 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:78] + node _T_2683 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 462:102] + node _T_2684 = eq(_T_2683, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] + node _T_2685 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] + node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 462:106] + node _T_2687 = mux(_T_2682, UInt<1>("h00"), _T_2686) @[el2_lsu_bus_buffer.scala 462:74] + node _T_2688 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:78] + node _T_2689 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 462:102] + node _T_2690 = eq(_T_2689, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] + node _T_2691 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] + node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 462:106] + node _T_2693 = mux(_T_2688, UInt<1>("h00"), _T_2692) @[el2_lsu_bus_buffer.scala 462:74] + node _T_2694 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:78] + node _T_2695 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 462:102] + node _T_2696 = eq(_T_2695, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] + node _T_2697 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] + node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 462:106] + node _T_2699 = mux(_T_2694, UInt<1>("h00"), _T_2698) @[el2_lsu_bus_buffer.scala 462:74] + node _T_2700 = cat(_T_2699, _T_2693) @[Cat.scala 29:58] + node _T_2701 = cat(_T_2700, _T_2687) @[Cat.scala 29:58] + node _T_2702 = cat(_T_2701, _T_2681) @[Cat.scala 29:58] + node _T_2703 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:78] + node _T_2704 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 462:102] + node _T_2705 = eq(_T_2704, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] + node _T_2706 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] + node _T_2707 = and(_T_2705, _T_2706) @[el2_lsu_bus_buffer.scala 462:106] + node _T_2708 = mux(_T_2703, UInt<1>("h00"), _T_2707) @[el2_lsu_bus_buffer.scala 462:74] + node _T_2709 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:78] + node _T_2710 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 462:102] + node _T_2711 = eq(_T_2710, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] + node _T_2712 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] + node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 462:106] + node _T_2714 = mux(_T_2709, UInt<1>("h00"), _T_2713) @[el2_lsu_bus_buffer.scala 462:74] + node _T_2715 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:78] + node _T_2716 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 462:102] + node _T_2717 = eq(_T_2716, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] + node _T_2718 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] + node _T_2719 = and(_T_2717, _T_2718) @[el2_lsu_bus_buffer.scala 462:106] + node _T_2720 = mux(_T_2715, UInt<1>("h00"), _T_2719) @[el2_lsu_bus_buffer.scala 462:74] + node _T_2721 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:78] + node _T_2722 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 462:102] + node _T_2723 = eq(_T_2722, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] + node _T_2724 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] + node _T_2725 = and(_T_2723, _T_2724) @[el2_lsu_bus_buffer.scala 462:106] + node _T_2726 = mux(_T_2721, UInt<1>("h00"), _T_2725) @[el2_lsu_bus_buffer.scala 462:74] + node _T_2727 = cat(_T_2726, _T_2720) @[Cat.scala 29:58] + node _T_2728 = cat(_T_2727, _T_2714) @[Cat.scala 29:58] + node _T_2729 = cat(_T_2728, _T_2708) @[Cat.scala 29:58] + node _T_2730 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:78] + node _T_2731 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 462:102] + node _T_2732 = eq(_T_2731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] + node _T_2733 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] + node _T_2734 = and(_T_2732, _T_2733) @[el2_lsu_bus_buffer.scala 462:106] + node _T_2735 = mux(_T_2730, UInt<1>("h00"), _T_2734) @[el2_lsu_bus_buffer.scala 462:74] + node _T_2736 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:78] + node _T_2737 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 462:102] + node _T_2738 = eq(_T_2737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] + node _T_2739 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] + node _T_2740 = and(_T_2738, _T_2739) @[el2_lsu_bus_buffer.scala 462:106] + node _T_2741 = mux(_T_2736, UInt<1>("h00"), _T_2740) @[el2_lsu_bus_buffer.scala 462:74] + node _T_2742 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:78] + node _T_2743 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 462:102] + node _T_2744 = eq(_T_2743, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] + node _T_2745 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] + node _T_2746 = and(_T_2744, _T_2745) @[el2_lsu_bus_buffer.scala 462:106] + node _T_2747 = mux(_T_2742, UInt<1>("h00"), _T_2746) @[el2_lsu_bus_buffer.scala 462:74] + node _T_2748 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:78] + node _T_2749 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 462:102] + node _T_2750 = eq(_T_2749, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] + node _T_2751 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] + node _T_2752 = and(_T_2750, _T_2751) @[el2_lsu_bus_buffer.scala 462:106] + node _T_2753 = mux(_T_2748, UInt<1>("h00"), _T_2752) @[el2_lsu_bus_buffer.scala 462:74] + node _T_2754 = cat(_T_2753, _T_2747) @[Cat.scala 29:58] + node _T_2755 = cat(_T_2754, _T_2741) @[Cat.scala 29:58] + node _T_2756 = cat(_T_2755, _T_2735) @[Cat.scala 29:58] + buf_age_younger[0] <= _T_2675 @[el2_lsu_bus_buffer.scala 462:21] + buf_age_younger[1] <= _T_2702 @[el2_lsu_bus_buffer.scala 462:21] + buf_age_younger[2] <= _T_2729 @[el2_lsu_bus_buffer.scala 462:21] + buf_age_younger[3] <= _T_2756 @[el2_lsu_bus_buffer.scala 462:21] + node _T_2757 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 463:85] + node _T_2758 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 463:89] + node _T_2760 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 463:85] + node _T_2761 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2762 = and(_T_2760, _T_2761) @[el2_lsu_bus_buffer.scala 463:89] + node _T_2763 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 463:85] + node _T_2764 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2765 = and(_T_2763, _T_2764) @[el2_lsu_bus_buffer.scala 463:89] + node _T_2766 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 463:85] + node _T_2767 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 463:89] + node _T_2769 = cat(_T_2768, _T_2765) @[Cat.scala 29:58] + node _T_2770 = cat(_T_2769, _T_2762) @[Cat.scala 29:58] + node _T_2771 = cat(_T_2770, _T_2759) @[Cat.scala 29:58] + node _T_2772 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 463:85] + node _T_2773 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2774 = and(_T_2772, _T_2773) @[el2_lsu_bus_buffer.scala 463:89] + node _T_2775 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 463:85] + node _T_2776 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2777 = and(_T_2775, _T_2776) @[el2_lsu_bus_buffer.scala 463:89] + node _T_2778 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 463:85] + node _T_2779 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2780 = and(_T_2778, _T_2779) @[el2_lsu_bus_buffer.scala 463:89] + node _T_2781 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 463:85] + node _T_2782 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 463:89] + node _T_2784 = cat(_T_2783, _T_2780) @[Cat.scala 29:58] + node _T_2785 = cat(_T_2784, _T_2777) @[Cat.scala 29:58] + node _T_2786 = cat(_T_2785, _T_2774) @[Cat.scala 29:58] + node _T_2787 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 463:85] + node _T_2788 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2789 = and(_T_2787, _T_2788) @[el2_lsu_bus_buffer.scala 463:89] + node _T_2790 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 463:85] + node _T_2791 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2792 = and(_T_2790, _T_2791) @[el2_lsu_bus_buffer.scala 463:89] + node _T_2793 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 463:85] + node _T_2794 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2795 = and(_T_2793, _T_2794) @[el2_lsu_bus_buffer.scala 463:89] + node _T_2796 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 463:85] + node _T_2797 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2798 = and(_T_2796, _T_2797) @[el2_lsu_bus_buffer.scala 463:89] + node _T_2799 = cat(_T_2798, _T_2795) @[Cat.scala 29:58] + node _T_2800 = cat(_T_2799, _T_2792) @[Cat.scala 29:58] + node _T_2801 = cat(_T_2800, _T_2789) @[Cat.scala 29:58] + node _T_2802 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 463:85] + node _T_2803 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 463:89] + node _T_2805 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 463:85] + node _T_2806 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2807 = and(_T_2805, _T_2806) @[el2_lsu_bus_buffer.scala 463:89] + node _T_2808 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 463:85] + node _T_2809 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2810 = and(_T_2808, _T_2809) @[el2_lsu_bus_buffer.scala 463:89] + node _T_2811 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 463:85] + node _T_2812 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 463:89] + node _T_2814 = cat(_T_2813, _T_2810) @[Cat.scala 29:58] + node _T_2815 = cat(_T_2814, _T_2807) @[Cat.scala 29:58] + node _T_2816 = cat(_T_2815, _T_2804) @[Cat.scala 29:58] + buf_rsp_pickage[0] <= _T_2771 @[el2_lsu_bus_buffer.scala 463:21] + buf_rsp_pickage[1] <= _T_2786 @[el2_lsu_bus_buffer.scala 463:21] + buf_rsp_pickage[2] <= _T_2801 @[el2_lsu_bus_buffer.scala 463:21] + buf_rsp_pickage[3] <= _T_2816 @[el2_lsu_bus_buffer.scala 463:21] + node _T_2817 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] + node _T_2818 = and(_T_2817, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 465:95] + node _T_2819 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] + node _T_2820 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] + node _T_2821 = or(_T_2819, _T_2820) @[el2_lsu_bus_buffer.scala 466:34] + node _T_2822 = eq(_T_2821, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] + node _T_2823 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] + node _T_2824 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] + node _T_2825 = and(_T_2823, _T_2824) @[el2_lsu_bus_buffer.scala 467:43] + node _T_2826 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2827 = and(_T_2825, _T_2826) @[el2_lsu_bus_buffer.scala 467:73] + node _T_2828 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:103] + node _T_2829 = and(_T_2827, _T_2828) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2830 = or(_T_2822, _T_2829) @[el2_lsu_bus_buffer.scala 466:61] + node _T_2831 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] + node _T_2832 = and(_T_2831, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] + node _T_2833 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:65] + node _T_2834 = and(_T_2832, _T_2833) @[el2_lsu_bus_buffer.scala 468:54] + node _T_2835 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2836 = and(_T_2834, _T_2835) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2837 = or(_T_2830, _T_2836) @[el2_lsu_bus_buffer.scala 467:112] + node _T_2838 = and(_T_2818, _T_2837) @[el2_lsu_bus_buffer.scala 465:114] + node _T_2839 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] + node _T_2840 = and(_T_2839, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 465:95] + node _T_2841 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] + node _T_2842 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] + node _T_2843 = or(_T_2841, _T_2842) @[el2_lsu_bus_buffer.scala 466:34] + node _T_2844 = eq(_T_2843, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] + node _T_2845 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] + node _T_2846 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] + node _T_2847 = and(_T_2845, _T_2846) @[el2_lsu_bus_buffer.scala 467:43] + node _T_2848 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2849 = and(_T_2847, _T_2848) @[el2_lsu_bus_buffer.scala 467:73] + node _T_2850 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:103] + node _T_2851 = and(_T_2849, _T_2850) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2852 = or(_T_2844, _T_2851) @[el2_lsu_bus_buffer.scala 466:61] + node _T_2853 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] + node _T_2854 = and(_T_2853, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] + node _T_2855 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:65] + node _T_2856 = and(_T_2854, _T_2855) @[el2_lsu_bus_buffer.scala 468:54] + node _T_2857 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2858 = and(_T_2856, _T_2857) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2859 = or(_T_2852, _T_2858) @[el2_lsu_bus_buffer.scala 467:112] + node _T_2860 = and(_T_2840, _T_2859) @[el2_lsu_bus_buffer.scala 465:114] + node _T_2861 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] + node _T_2862 = and(_T_2861, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 465:95] + node _T_2863 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] + node _T_2864 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] + node _T_2865 = or(_T_2863, _T_2864) @[el2_lsu_bus_buffer.scala 466:34] + node _T_2866 = eq(_T_2865, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] + node _T_2867 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] + node _T_2868 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] + node _T_2869 = and(_T_2867, _T_2868) @[el2_lsu_bus_buffer.scala 467:43] + node _T_2870 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2871 = and(_T_2869, _T_2870) @[el2_lsu_bus_buffer.scala 467:73] + node _T_2872 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:103] + node _T_2873 = and(_T_2871, _T_2872) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2874 = or(_T_2866, _T_2873) @[el2_lsu_bus_buffer.scala 466:61] + node _T_2875 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] + node _T_2876 = and(_T_2875, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] + node _T_2877 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:65] + node _T_2878 = and(_T_2876, _T_2877) @[el2_lsu_bus_buffer.scala 468:54] + node _T_2879 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2880 = and(_T_2878, _T_2879) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2881 = or(_T_2874, _T_2880) @[el2_lsu_bus_buffer.scala 467:112] + node _T_2882 = and(_T_2862, _T_2881) @[el2_lsu_bus_buffer.scala 465:114] + node _T_2883 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] + node _T_2884 = and(_T_2883, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 465:95] + node _T_2885 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] + node _T_2886 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] + node _T_2887 = or(_T_2885, _T_2886) @[el2_lsu_bus_buffer.scala 466:34] + node _T_2888 = eq(_T_2887, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] + node _T_2889 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] + node _T_2890 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] + node _T_2891 = and(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 467:43] + node _T_2892 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2893 = and(_T_2891, _T_2892) @[el2_lsu_bus_buffer.scala 467:73] + node _T_2894 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:103] + node _T_2895 = and(_T_2893, _T_2894) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2896 = or(_T_2888, _T_2895) @[el2_lsu_bus_buffer.scala 466:61] + node _T_2897 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] + node _T_2898 = and(_T_2897, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] + node _T_2899 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:65] + node _T_2900 = and(_T_2898, _T_2899) @[el2_lsu_bus_buffer.scala 468:54] + node _T_2901 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2902 = and(_T_2900, _T_2901) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2903 = or(_T_2896, _T_2902) @[el2_lsu_bus_buffer.scala 467:112] + node _T_2904 = and(_T_2884, _T_2903) @[el2_lsu_bus_buffer.scala 465:114] + node _T_2905 = cat(_T_2904, _T_2882) @[Cat.scala 29:58] + node _T_2906 = cat(_T_2905, _T_2860) @[Cat.scala 29:58] + node _T_2907 = cat(_T_2906, _T_2838) @[Cat.scala 29:58] + node _T_2908 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] + node _T_2909 = and(_T_2908, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 465:95] + node _T_2910 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] + node _T_2911 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] + node _T_2912 = or(_T_2910, _T_2911) @[el2_lsu_bus_buffer.scala 466:34] + node _T_2913 = eq(_T_2912, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] + node _T_2914 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] + node _T_2915 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] + node _T_2916 = and(_T_2914, _T_2915) @[el2_lsu_bus_buffer.scala 467:43] + node _T_2917 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2918 = and(_T_2916, _T_2917) @[el2_lsu_bus_buffer.scala 467:73] + node _T_2919 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:103] + node _T_2920 = and(_T_2918, _T_2919) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2921 = or(_T_2913, _T_2920) @[el2_lsu_bus_buffer.scala 466:61] + node _T_2922 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] + node _T_2923 = and(_T_2922, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] + node _T_2924 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:65] + node _T_2925 = and(_T_2923, _T_2924) @[el2_lsu_bus_buffer.scala 468:54] + node _T_2926 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2927 = and(_T_2925, _T_2926) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2928 = or(_T_2921, _T_2927) @[el2_lsu_bus_buffer.scala 467:112] + node _T_2929 = and(_T_2909, _T_2928) @[el2_lsu_bus_buffer.scala 465:114] + node _T_2930 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] + node _T_2931 = and(_T_2930, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 465:95] + node _T_2932 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] + node _T_2933 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] + node _T_2934 = or(_T_2932, _T_2933) @[el2_lsu_bus_buffer.scala 466:34] + node _T_2935 = eq(_T_2934, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] + node _T_2936 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] + node _T_2937 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] + node _T_2938 = and(_T_2936, _T_2937) @[el2_lsu_bus_buffer.scala 467:43] + node _T_2939 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2940 = and(_T_2938, _T_2939) @[el2_lsu_bus_buffer.scala 467:73] + node _T_2941 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:103] + node _T_2942 = and(_T_2940, _T_2941) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2943 = or(_T_2935, _T_2942) @[el2_lsu_bus_buffer.scala 466:61] + node _T_2944 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] + node _T_2945 = and(_T_2944, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] + node _T_2946 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:65] + node _T_2947 = and(_T_2945, _T_2946) @[el2_lsu_bus_buffer.scala 468:54] + node _T_2948 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2949 = and(_T_2947, _T_2948) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2950 = or(_T_2943, _T_2949) @[el2_lsu_bus_buffer.scala 467:112] + node _T_2951 = and(_T_2931, _T_2950) @[el2_lsu_bus_buffer.scala 465:114] + node _T_2952 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] + node _T_2953 = and(_T_2952, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 465:95] + node _T_2954 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] + node _T_2955 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] + node _T_2956 = or(_T_2954, _T_2955) @[el2_lsu_bus_buffer.scala 466:34] + node _T_2957 = eq(_T_2956, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] + node _T_2958 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] + node _T_2959 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] + node _T_2960 = and(_T_2958, _T_2959) @[el2_lsu_bus_buffer.scala 467:43] + node _T_2961 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2962 = and(_T_2960, _T_2961) @[el2_lsu_bus_buffer.scala 467:73] + node _T_2963 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:103] + node _T_2964 = and(_T_2962, _T_2963) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2965 = or(_T_2957, _T_2964) @[el2_lsu_bus_buffer.scala 466:61] + node _T_2966 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] + node _T_2967 = and(_T_2966, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] + node _T_2968 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:65] + node _T_2969 = and(_T_2967, _T_2968) @[el2_lsu_bus_buffer.scala 468:54] + node _T_2970 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2971 = and(_T_2969, _T_2970) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2972 = or(_T_2965, _T_2971) @[el2_lsu_bus_buffer.scala 467:112] + node _T_2973 = and(_T_2953, _T_2972) @[el2_lsu_bus_buffer.scala 465:114] + node _T_2974 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] + node _T_2975 = and(_T_2974, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 465:95] + node _T_2976 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] + node _T_2977 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] + node _T_2978 = or(_T_2976, _T_2977) @[el2_lsu_bus_buffer.scala 466:34] + node _T_2979 = eq(_T_2978, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] + node _T_2980 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] + node _T_2981 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] + node _T_2982 = and(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 467:43] + node _T_2983 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2984 = and(_T_2982, _T_2983) @[el2_lsu_bus_buffer.scala 467:73] + node _T_2985 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:103] + node _T_2986 = and(_T_2984, _T_2985) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2987 = or(_T_2979, _T_2986) @[el2_lsu_bus_buffer.scala 466:61] + node _T_2988 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] + node _T_2989 = and(_T_2988, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] + node _T_2990 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:65] + node _T_2991 = and(_T_2989, _T_2990) @[el2_lsu_bus_buffer.scala 468:54] + node _T_2992 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2993 = and(_T_2991, _T_2992) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2994 = or(_T_2987, _T_2993) @[el2_lsu_bus_buffer.scala 467:112] + node _T_2995 = and(_T_2975, _T_2994) @[el2_lsu_bus_buffer.scala 465:114] + node _T_2996 = cat(_T_2995, _T_2973) @[Cat.scala 29:58] + node _T_2997 = cat(_T_2996, _T_2951) @[Cat.scala 29:58] + node _T_2998 = cat(_T_2997, _T_2929) @[Cat.scala 29:58] + node _T_2999 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] + node _T_3000 = and(_T_2999, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 465:95] + node _T_3001 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] + node _T_3002 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] + node _T_3003 = or(_T_3001, _T_3002) @[el2_lsu_bus_buffer.scala 466:34] + node _T_3004 = eq(_T_3003, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] + node _T_3005 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] + node _T_3006 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] + node _T_3007 = and(_T_3005, _T_3006) @[el2_lsu_bus_buffer.scala 467:43] + node _T_3008 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_3009 = and(_T_3007, _T_3008) @[el2_lsu_bus_buffer.scala 467:73] + node _T_3010 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:103] + node _T_3011 = and(_T_3009, _T_3010) @[el2_lsu_bus_buffer.scala 467:92] + node _T_3012 = or(_T_3004, _T_3011) @[el2_lsu_bus_buffer.scala 466:61] + node _T_3013 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] + node _T_3014 = and(_T_3013, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] + node _T_3015 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:65] + node _T_3016 = and(_T_3014, _T_3015) @[el2_lsu_bus_buffer.scala 468:54] + node _T_3017 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3018 = and(_T_3016, _T_3017) @[el2_lsu_bus_buffer.scala 468:73] + node _T_3019 = or(_T_3012, _T_3018) @[el2_lsu_bus_buffer.scala 467:112] + node _T_3020 = and(_T_3000, _T_3019) @[el2_lsu_bus_buffer.scala 465:114] + node _T_3021 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] + node _T_3022 = and(_T_3021, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 465:95] + node _T_3023 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] + node _T_3024 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] + node _T_3025 = or(_T_3023, _T_3024) @[el2_lsu_bus_buffer.scala 466:34] + node _T_3026 = eq(_T_3025, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] + node _T_3027 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] + node _T_3028 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] + node _T_3029 = and(_T_3027, _T_3028) @[el2_lsu_bus_buffer.scala 467:43] + node _T_3030 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_3031 = and(_T_3029, _T_3030) @[el2_lsu_bus_buffer.scala 467:73] + node _T_3032 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:103] + node _T_3033 = and(_T_3031, _T_3032) @[el2_lsu_bus_buffer.scala 467:92] + node _T_3034 = or(_T_3026, _T_3033) @[el2_lsu_bus_buffer.scala 466:61] + node _T_3035 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] + node _T_3036 = and(_T_3035, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] + node _T_3037 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:65] + node _T_3038 = and(_T_3036, _T_3037) @[el2_lsu_bus_buffer.scala 468:54] + node _T_3039 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3040 = and(_T_3038, _T_3039) @[el2_lsu_bus_buffer.scala 468:73] + node _T_3041 = or(_T_3034, _T_3040) @[el2_lsu_bus_buffer.scala 467:112] + node _T_3042 = and(_T_3022, _T_3041) @[el2_lsu_bus_buffer.scala 465:114] + node _T_3043 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] + node _T_3044 = and(_T_3043, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 465:95] + node _T_3045 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] + node _T_3046 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] + node _T_3047 = or(_T_3045, _T_3046) @[el2_lsu_bus_buffer.scala 466:34] + node _T_3048 = eq(_T_3047, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] + node _T_3049 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] + node _T_3050 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] + node _T_3051 = and(_T_3049, _T_3050) @[el2_lsu_bus_buffer.scala 467:43] + node _T_3052 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_3053 = and(_T_3051, _T_3052) @[el2_lsu_bus_buffer.scala 467:73] + node _T_3054 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:103] + node _T_3055 = and(_T_3053, _T_3054) @[el2_lsu_bus_buffer.scala 467:92] + node _T_3056 = or(_T_3048, _T_3055) @[el2_lsu_bus_buffer.scala 466:61] + node _T_3057 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] + node _T_3058 = and(_T_3057, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] + node _T_3059 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:65] + node _T_3060 = and(_T_3058, _T_3059) @[el2_lsu_bus_buffer.scala 468:54] + node _T_3061 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3062 = and(_T_3060, _T_3061) @[el2_lsu_bus_buffer.scala 468:73] + node _T_3063 = or(_T_3056, _T_3062) @[el2_lsu_bus_buffer.scala 467:112] + node _T_3064 = and(_T_3044, _T_3063) @[el2_lsu_bus_buffer.scala 465:114] + node _T_3065 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] + node _T_3066 = and(_T_3065, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 465:95] + node _T_3067 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] + node _T_3068 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] + node _T_3069 = or(_T_3067, _T_3068) @[el2_lsu_bus_buffer.scala 466:34] + node _T_3070 = eq(_T_3069, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] + node _T_3071 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] + node _T_3072 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] + node _T_3073 = and(_T_3071, _T_3072) @[el2_lsu_bus_buffer.scala 467:43] + node _T_3074 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_3075 = and(_T_3073, _T_3074) @[el2_lsu_bus_buffer.scala 467:73] + node _T_3076 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:103] + node _T_3077 = and(_T_3075, _T_3076) @[el2_lsu_bus_buffer.scala 467:92] + node _T_3078 = or(_T_3070, _T_3077) @[el2_lsu_bus_buffer.scala 466:61] + node _T_3079 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] + node _T_3080 = and(_T_3079, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] + node _T_3081 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:65] + node _T_3082 = and(_T_3080, _T_3081) @[el2_lsu_bus_buffer.scala 468:54] + node _T_3083 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3084 = and(_T_3082, _T_3083) @[el2_lsu_bus_buffer.scala 468:73] + node _T_3085 = or(_T_3078, _T_3084) @[el2_lsu_bus_buffer.scala 467:112] + node _T_3086 = and(_T_3066, _T_3085) @[el2_lsu_bus_buffer.scala 465:114] + node _T_3087 = cat(_T_3086, _T_3064) @[Cat.scala 29:58] + node _T_3088 = cat(_T_3087, _T_3042) @[Cat.scala 29:58] + node _T_3089 = cat(_T_3088, _T_3020) @[Cat.scala 29:58] + node _T_3090 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] + node _T_3091 = and(_T_3090, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 465:95] + node _T_3092 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] + node _T_3093 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] + node _T_3094 = or(_T_3092, _T_3093) @[el2_lsu_bus_buffer.scala 466:34] + node _T_3095 = eq(_T_3094, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] + node _T_3096 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] + node _T_3097 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] + node _T_3098 = and(_T_3096, _T_3097) @[el2_lsu_bus_buffer.scala 467:43] + node _T_3099 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_3100 = and(_T_3098, _T_3099) @[el2_lsu_bus_buffer.scala 467:73] + node _T_3101 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:103] + node _T_3102 = and(_T_3100, _T_3101) @[el2_lsu_bus_buffer.scala 467:92] + node _T_3103 = or(_T_3095, _T_3102) @[el2_lsu_bus_buffer.scala 466:61] + node _T_3104 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] + node _T_3105 = and(_T_3104, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] + node _T_3106 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:65] + node _T_3107 = and(_T_3105, _T_3106) @[el2_lsu_bus_buffer.scala 468:54] + node _T_3108 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3109 = and(_T_3107, _T_3108) @[el2_lsu_bus_buffer.scala 468:73] + node _T_3110 = or(_T_3103, _T_3109) @[el2_lsu_bus_buffer.scala 467:112] + node _T_3111 = and(_T_3091, _T_3110) @[el2_lsu_bus_buffer.scala 465:114] + node _T_3112 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] + node _T_3113 = and(_T_3112, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 465:95] + node _T_3114 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] + node _T_3115 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] + node _T_3116 = or(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 466:34] + node _T_3117 = eq(_T_3116, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] + node _T_3118 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] + node _T_3119 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] + node _T_3120 = and(_T_3118, _T_3119) @[el2_lsu_bus_buffer.scala 467:43] + node _T_3121 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_3122 = and(_T_3120, _T_3121) @[el2_lsu_bus_buffer.scala 467:73] + node _T_3123 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:103] + node _T_3124 = and(_T_3122, _T_3123) @[el2_lsu_bus_buffer.scala 467:92] + node _T_3125 = or(_T_3117, _T_3124) @[el2_lsu_bus_buffer.scala 466:61] + node _T_3126 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] + node _T_3127 = and(_T_3126, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] + node _T_3128 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:65] + node _T_3129 = and(_T_3127, _T_3128) @[el2_lsu_bus_buffer.scala 468:54] + node _T_3130 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3131 = and(_T_3129, _T_3130) @[el2_lsu_bus_buffer.scala 468:73] + node _T_3132 = or(_T_3125, _T_3131) @[el2_lsu_bus_buffer.scala 467:112] + node _T_3133 = and(_T_3113, _T_3132) @[el2_lsu_bus_buffer.scala 465:114] + node _T_3134 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] + node _T_3135 = and(_T_3134, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 465:95] + node _T_3136 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] + node _T_3137 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] + node _T_3138 = or(_T_3136, _T_3137) @[el2_lsu_bus_buffer.scala 466:34] + node _T_3139 = eq(_T_3138, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] + node _T_3140 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] + node _T_3141 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] + node _T_3142 = and(_T_3140, _T_3141) @[el2_lsu_bus_buffer.scala 467:43] + node _T_3143 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_3144 = and(_T_3142, _T_3143) @[el2_lsu_bus_buffer.scala 467:73] + node _T_3145 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:103] + node _T_3146 = and(_T_3144, _T_3145) @[el2_lsu_bus_buffer.scala 467:92] + node _T_3147 = or(_T_3139, _T_3146) @[el2_lsu_bus_buffer.scala 466:61] + node _T_3148 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] + node _T_3149 = and(_T_3148, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] + node _T_3150 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:65] + node _T_3151 = and(_T_3149, _T_3150) @[el2_lsu_bus_buffer.scala 468:54] + node _T_3152 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3153 = and(_T_3151, _T_3152) @[el2_lsu_bus_buffer.scala 468:73] + node _T_3154 = or(_T_3147, _T_3153) @[el2_lsu_bus_buffer.scala 467:112] + node _T_3155 = and(_T_3135, _T_3154) @[el2_lsu_bus_buffer.scala 465:114] + node _T_3156 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] + node _T_3157 = and(_T_3156, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 465:95] + node _T_3158 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] + node _T_3159 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] + node _T_3160 = or(_T_3158, _T_3159) @[el2_lsu_bus_buffer.scala 466:34] + node _T_3161 = eq(_T_3160, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] + node _T_3162 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] + node _T_3163 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] + node _T_3164 = and(_T_3162, _T_3163) @[el2_lsu_bus_buffer.scala 467:43] + node _T_3165 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_3166 = and(_T_3164, _T_3165) @[el2_lsu_bus_buffer.scala 467:73] + node _T_3167 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:103] + node _T_3168 = and(_T_3166, _T_3167) @[el2_lsu_bus_buffer.scala 467:92] + node _T_3169 = or(_T_3161, _T_3168) @[el2_lsu_bus_buffer.scala 466:61] + node _T_3170 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] + node _T_3171 = and(_T_3170, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] + node _T_3172 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:65] + node _T_3173 = and(_T_3171, _T_3172) @[el2_lsu_bus_buffer.scala 468:54] + node _T_3174 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3175 = and(_T_3173, _T_3174) @[el2_lsu_bus_buffer.scala 468:73] + node _T_3176 = or(_T_3169, _T_3175) @[el2_lsu_bus_buffer.scala 467:112] + node _T_3177 = and(_T_3157, _T_3176) @[el2_lsu_bus_buffer.scala 465:114] + node _T_3178 = cat(_T_3177, _T_3155) @[Cat.scala 29:58] + node _T_3179 = cat(_T_3178, _T_3133) @[Cat.scala 29:58] + node _T_3180 = cat(_T_3179, _T_3111) @[Cat.scala 29:58] + buf_rspage_set[0] <= _T_2907 @[el2_lsu_bus_buffer.scala 465:20] + buf_rspage_set[1] <= _T_2998 @[el2_lsu_bus_buffer.scala 465:20] + buf_rspage_set[2] <= _T_3089 @[el2_lsu_bus_buffer.scala 465:20] + buf_rspage_set[3] <= _T_3180 @[el2_lsu_bus_buffer.scala 465:20] + node _T_3181 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 469:86] + node _T_3182 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 469:105] + node _T_3183 = or(_T_3181, _T_3182) @[el2_lsu_bus_buffer.scala 469:90] + node _T_3184 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 469:86] + node _T_3185 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 469:105] + node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 469:90] + node _T_3187 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 469:86] + node _T_3188 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 469:105] + node _T_3189 = or(_T_3187, _T_3188) @[el2_lsu_bus_buffer.scala 469:90] + node _T_3190 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 469:86] + node _T_3191 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 469:105] + node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 469:90] + node _T_3193 = cat(_T_3192, _T_3189) @[Cat.scala 29:58] + node _T_3194 = cat(_T_3193, _T_3186) @[Cat.scala 29:58] + node _T_3195 = cat(_T_3194, _T_3183) @[Cat.scala 29:58] + node _T_3196 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 469:86] + node _T_3197 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 469:105] + node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 469:90] + node _T_3199 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 469:86] + node _T_3200 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 469:105] + node _T_3201 = or(_T_3199, _T_3200) @[el2_lsu_bus_buffer.scala 469:90] + node _T_3202 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 469:86] + node _T_3203 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 469:105] + node _T_3204 = or(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 469:90] + node _T_3205 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 469:86] + node _T_3206 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 469:105] + node _T_3207 = or(_T_3205, _T_3206) @[el2_lsu_bus_buffer.scala 469:90] + node _T_3208 = cat(_T_3207, _T_3204) @[Cat.scala 29:58] + node _T_3209 = cat(_T_3208, _T_3201) @[Cat.scala 29:58] + node _T_3210 = cat(_T_3209, _T_3198) @[Cat.scala 29:58] + node _T_3211 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 469:86] + node _T_3212 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 469:105] + node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 469:90] + node _T_3214 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 469:86] + node _T_3215 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 469:105] + node _T_3216 = or(_T_3214, _T_3215) @[el2_lsu_bus_buffer.scala 469:90] + node _T_3217 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 469:86] + node _T_3218 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 469:105] + node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 469:90] + node _T_3220 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 469:86] + node _T_3221 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 469:105] + node _T_3222 = or(_T_3220, _T_3221) @[el2_lsu_bus_buffer.scala 469:90] + node _T_3223 = cat(_T_3222, _T_3219) @[Cat.scala 29:58] + node _T_3224 = cat(_T_3223, _T_3216) @[Cat.scala 29:58] + node _T_3225 = cat(_T_3224, _T_3213) @[Cat.scala 29:58] + node _T_3226 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 469:86] + node _T_3227 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 469:105] + node _T_3228 = or(_T_3226, _T_3227) @[el2_lsu_bus_buffer.scala 469:90] + node _T_3229 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 469:86] + node _T_3230 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 469:105] + node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 469:90] + node _T_3232 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 469:86] + node _T_3233 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 469:105] + node _T_3234 = or(_T_3232, _T_3233) @[el2_lsu_bus_buffer.scala 469:90] + node _T_3235 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 469:86] + node _T_3236 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 469:105] + node _T_3237 = or(_T_3235, _T_3236) @[el2_lsu_bus_buffer.scala 469:90] + node _T_3238 = cat(_T_3237, _T_3234) @[Cat.scala 29:58] + node _T_3239 = cat(_T_3238, _T_3231) @[Cat.scala 29:58] + node _T_3240 = cat(_T_3239, _T_3228) @[Cat.scala 29:58] + buf_rspage_in[0] <= _T_3195 @[el2_lsu_bus_buffer.scala 469:19] + buf_rspage_in[1] <= _T_3210 @[el2_lsu_bus_buffer.scala 469:19] + buf_rspage_in[2] <= _T_3225 @[el2_lsu_bus_buffer.scala 469:19] + buf_rspage_in[3] <= _T_3240 @[el2_lsu_bus_buffer.scala 469:19] + node _T_3241 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 470:80] + node _T_3242 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] + node _T_3243 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] + node _T_3244 = or(_T_3242, _T_3243) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3245 = eq(_T_3244, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] + node _T_3246 = and(_T_3241, _T_3245) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3247 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 470:80] + node _T_3248 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] + node _T_3249 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] + node _T_3250 = or(_T_3248, _T_3249) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3251 = eq(_T_3250, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] + node _T_3252 = and(_T_3247, _T_3251) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3253 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 470:80] + node _T_3254 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] + node _T_3255 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] + node _T_3256 = or(_T_3254, _T_3255) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3257 = eq(_T_3256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] + node _T_3258 = and(_T_3253, _T_3257) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3259 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 470:80] + node _T_3260 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] + node _T_3261 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] + node _T_3262 = or(_T_3260, _T_3261) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3263 = eq(_T_3262, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] + node _T_3264 = and(_T_3259, _T_3263) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3265 = cat(_T_3264, _T_3258) @[Cat.scala 29:58] + node _T_3266 = cat(_T_3265, _T_3252) @[Cat.scala 29:58] + node _T_3267 = cat(_T_3266, _T_3246) @[Cat.scala 29:58] + node _T_3268 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 470:80] + node _T_3269 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] + node _T_3270 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] + node _T_3271 = or(_T_3269, _T_3270) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3272 = eq(_T_3271, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] + node _T_3273 = and(_T_3268, _T_3272) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3274 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 470:80] + node _T_3275 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] + node _T_3276 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] + node _T_3277 = or(_T_3275, _T_3276) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3278 = eq(_T_3277, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] + node _T_3279 = and(_T_3274, _T_3278) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3280 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 470:80] + node _T_3281 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] + node _T_3282 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] + node _T_3283 = or(_T_3281, _T_3282) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3284 = eq(_T_3283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] + node _T_3285 = and(_T_3280, _T_3284) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3286 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 470:80] + node _T_3287 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] + node _T_3288 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] + node _T_3289 = or(_T_3287, _T_3288) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3290 = eq(_T_3289, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] + node _T_3291 = and(_T_3286, _T_3290) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3292 = cat(_T_3291, _T_3285) @[Cat.scala 29:58] + node _T_3293 = cat(_T_3292, _T_3279) @[Cat.scala 29:58] + node _T_3294 = cat(_T_3293, _T_3273) @[Cat.scala 29:58] + node _T_3295 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 470:80] + node _T_3296 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] + node _T_3297 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] + node _T_3298 = or(_T_3296, _T_3297) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3299 = eq(_T_3298, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] + node _T_3300 = and(_T_3295, _T_3299) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3301 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 470:80] + node _T_3302 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] + node _T_3303 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] + node _T_3304 = or(_T_3302, _T_3303) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3305 = eq(_T_3304, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] + node _T_3306 = and(_T_3301, _T_3305) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3307 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 470:80] + node _T_3308 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] + node _T_3309 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] + node _T_3310 = or(_T_3308, _T_3309) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3311 = eq(_T_3310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] + node _T_3312 = and(_T_3307, _T_3311) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3313 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 470:80] + node _T_3314 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] + node _T_3315 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] + node _T_3316 = or(_T_3314, _T_3315) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3317 = eq(_T_3316, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] + node _T_3318 = and(_T_3313, _T_3317) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3319 = cat(_T_3318, _T_3312) @[Cat.scala 29:58] + node _T_3320 = cat(_T_3319, _T_3306) @[Cat.scala 29:58] + node _T_3321 = cat(_T_3320, _T_3300) @[Cat.scala 29:58] + node _T_3322 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 470:80] + node _T_3323 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] + node _T_3324 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] + node _T_3325 = or(_T_3323, _T_3324) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3326 = eq(_T_3325, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] + node _T_3327 = and(_T_3322, _T_3326) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3328 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 470:80] + node _T_3329 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] + node _T_3330 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] + node _T_3331 = or(_T_3329, _T_3330) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3332 = eq(_T_3331, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] + node _T_3333 = and(_T_3328, _T_3332) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3334 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 470:80] + node _T_3335 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] + node _T_3336 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] + node _T_3337 = or(_T_3335, _T_3336) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3338 = eq(_T_3337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] + node _T_3339 = and(_T_3334, _T_3338) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3340 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 470:80] + node _T_3341 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] + node _T_3342 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] + node _T_3343 = or(_T_3341, _T_3342) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3344 = eq(_T_3343, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] + node _T_3345 = and(_T_3340, _T_3344) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3346 = cat(_T_3345, _T_3339) @[Cat.scala 29:58] + node _T_3347 = cat(_T_3346, _T_3333) @[Cat.scala 29:58] + node _T_3348 = cat(_T_3347, _T_3327) @[Cat.scala 29:58] + buf_rspage[0] <= _T_3267 @[el2_lsu_bus_buffer.scala 470:16] + buf_rspage[1] <= _T_3294 @[el2_lsu_bus_buffer.scala 470:16] + buf_rspage[2] <= _T_3321 @[el2_lsu_bus_buffer.scala 470:16] + buf_rspage[3] <= _T_3348 @[el2_lsu_bus_buffer.scala 470:16] + node _T_3349 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:77] + node _T_3350 = and(ibuf_drain_vld, _T_3349) @[el2_lsu_bus_buffer.scala 475:65] + node _T_3351 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 475:77] + node _T_3352 = and(ibuf_drain_vld, _T_3351) @[el2_lsu_bus_buffer.scala 475:65] + node _T_3353 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 475:77] + node _T_3354 = and(ibuf_drain_vld, _T_3353) @[el2_lsu_bus_buffer.scala 475:65] + node _T_3355 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 475:77] + node _T_3356 = and(ibuf_drain_vld, _T_3355) @[el2_lsu_bus_buffer.scala 475:65] + node _T_3357 = cat(_T_3356, _T_3354) @[Cat.scala 29:58] + node _T_3358 = cat(_T_3357, _T_3352) @[Cat.scala 29:58] + node _T_3359 = cat(_T_3358, _T_3350) @[Cat.scala 29:58] + ibuf_drainvec_vld <= _T_3359 @[el2_lsu_bus_buffer.scala 475:23] + node _T_3360 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 476:66] + node _T_3361 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 476:86] + node _T_3362 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:20] + node _T_3363 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:48] + node _T_3364 = and(_T_3362, _T_3363) @[el2_lsu_bus_buffer.scala 477:37] + node _T_3365 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 477:73] + node _T_3366 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 477:96] + node _T_3367 = mux(_T_3364, _T_3365, _T_3366) @[el2_lsu_bus_buffer.scala 477:10] + node _T_3368 = mux(_T_3360, _T_3361, _T_3367) @[el2_lsu_bus_buffer.scala 476:48] + node _T_3369 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 476:66] + node _T_3370 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 476:86] + node _T_3371 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:20] + node _T_3372 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:48] + node _T_3373 = and(_T_3371, _T_3372) @[el2_lsu_bus_buffer.scala 477:37] + node _T_3374 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 477:73] + node _T_3375 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 477:96] + node _T_3376 = mux(_T_3373, _T_3374, _T_3375) @[el2_lsu_bus_buffer.scala 477:10] + node _T_3377 = mux(_T_3369, _T_3370, _T_3376) @[el2_lsu_bus_buffer.scala 476:48] + node _T_3378 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 476:66] + node _T_3379 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 476:86] + node _T_3380 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:20] + node _T_3381 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:48] + node _T_3382 = and(_T_3380, _T_3381) @[el2_lsu_bus_buffer.scala 477:37] + node _T_3383 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 477:73] + node _T_3384 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 477:96] + node _T_3385 = mux(_T_3382, _T_3383, _T_3384) @[el2_lsu_bus_buffer.scala 477:10] + node _T_3386 = mux(_T_3378, _T_3379, _T_3385) @[el2_lsu_bus_buffer.scala 476:48] + node _T_3387 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 476:66] + node _T_3388 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 476:86] + node _T_3389 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:20] + node _T_3390 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:48] + node _T_3391 = and(_T_3389, _T_3390) @[el2_lsu_bus_buffer.scala 477:37] + node _T_3392 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 477:73] + node _T_3393 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 477:96] + node _T_3394 = mux(_T_3391, _T_3392, _T_3393) @[el2_lsu_bus_buffer.scala 477:10] + node _T_3395 = mux(_T_3387, _T_3388, _T_3394) @[el2_lsu_bus_buffer.scala 476:48] + buf_byteen_in[0] <= _T_3368 @[el2_lsu_bus_buffer.scala 476:19] + buf_byteen_in[1] <= _T_3377 @[el2_lsu_bus_buffer.scala 476:19] + buf_byteen_in[2] <= _T_3386 @[el2_lsu_bus_buffer.scala 476:19] + buf_byteen_in[3] <= _T_3395 @[el2_lsu_bus_buffer.scala 476:19] + node _T_3396 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 478:64] + node _T_3397 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:93] + node _T_3398 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:121] + node _T_3399 = and(_T_3397, _T_3398) @[el2_lsu_bus_buffer.scala 478:110] + node _T_3400 = mux(_T_3399, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 478:83] + node _T_3401 = mux(_T_3396, ibuf_addr, _T_3400) @[el2_lsu_bus_buffer.scala 478:46] + node _T_3402 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 478:64] + node _T_3403 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:93] + node _T_3404 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:121] + node _T_3405 = and(_T_3403, _T_3404) @[el2_lsu_bus_buffer.scala 478:110] + node _T_3406 = mux(_T_3405, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 478:83] + node _T_3407 = mux(_T_3402, ibuf_addr, _T_3406) @[el2_lsu_bus_buffer.scala 478:46] + node _T_3408 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 478:64] + node _T_3409 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:93] + node _T_3410 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:121] + node _T_3411 = and(_T_3409, _T_3410) @[el2_lsu_bus_buffer.scala 478:110] + node _T_3412 = mux(_T_3411, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 478:83] + node _T_3413 = mux(_T_3408, ibuf_addr, _T_3412) @[el2_lsu_bus_buffer.scala 478:46] + node _T_3414 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 478:64] + node _T_3415 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:93] + node _T_3416 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:121] + node _T_3417 = and(_T_3415, _T_3416) @[el2_lsu_bus_buffer.scala 478:110] + node _T_3418 = mux(_T_3417, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 478:83] + node _T_3419 = mux(_T_3414, ibuf_addr, _T_3418) @[el2_lsu_bus_buffer.scala 478:46] + buf_addr_in[0] <= _T_3401 @[el2_lsu_bus_buffer.scala 478:17] + buf_addr_in[1] <= _T_3407 @[el2_lsu_bus_buffer.scala 478:17] + buf_addr_in[2] <= _T_3413 @[el2_lsu_bus_buffer.scala 478:17] + buf_addr_in[3] <= _T_3419 @[el2_lsu_bus_buffer.scala 478:17] + node _T_3420 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 479:65] + node _T_3421 = mux(_T_3420, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:47] + node _T_3422 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 479:65] + node _T_3423 = mux(_T_3422, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:47] + node _T_3424 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 479:65] + node _T_3425 = mux(_T_3424, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:47] + node _T_3426 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 479:65] + node _T_3427 = mux(_T_3426, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:47] + node _T_3428 = cat(_T_3427, _T_3425) @[Cat.scala 29:58] + node _T_3429 = cat(_T_3428, _T_3423) @[Cat.scala 29:58] + node _T_3430 = cat(_T_3429, _T_3421) @[Cat.scala 29:58] + buf_dual_in <= _T_3430 @[el2_lsu_bus_buffer.scala 479:17] + node _T_3431 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 480:67] + node _T_3432 = mux(_T_3431, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 480:49] + node _T_3433 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 480:67] + node _T_3434 = mux(_T_3433, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 480:49] + node _T_3435 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 480:67] + node _T_3436 = mux(_T_3435, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 480:49] + node _T_3437 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 480:67] + node _T_3438 = mux(_T_3437, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 480:49] + node _T_3439 = cat(_T_3438, _T_3436) @[Cat.scala 29:58] + node _T_3440 = cat(_T_3439, _T_3434) @[Cat.scala 29:58] + node _T_3441 = cat(_T_3440, _T_3432) @[Cat.scala 29:58] + buf_samedw_in <= _T_3441 @[el2_lsu_bus_buffer.scala 480:19] + node _T_3442 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 481:68] + node _T_3443 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3444 = mux(_T_3442, _T_3443, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 481:50] + node _T_3445 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 481:68] + node _T_3446 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3447 = mux(_T_3445, _T_3446, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 481:50] + node _T_3448 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 481:68] + node _T_3449 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3450 = mux(_T_3448, _T_3449, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 481:50] + node _T_3451 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 481:68] + node _T_3452 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 481:86] + node _T_3453 = mux(_T_3451, _T_3452, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 481:50] + node _T_3454 = cat(_T_3453, _T_3450) @[Cat.scala 29:58] + node _T_3455 = cat(_T_3454, _T_3447) @[Cat.scala 29:58] + node _T_3456 = cat(_T_3455, _T_3444) @[Cat.scala 29:58] + buf_nomerge_in <= _T_3456 @[el2_lsu_bus_buffer.scala 481:20] + node _T_3457 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 482:67] + node _T_3458 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:92] + node _T_3459 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:120] + node _T_3460 = and(_T_3458, _T_3459) @[el2_lsu_bus_buffer.scala 482:109] + node _T_3461 = mux(_T_3457, ibuf_dual, _T_3460) @[el2_lsu_bus_buffer.scala 482:49] + node _T_3462 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 482:67] + node _T_3463 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:92] + node _T_3464 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 482:120] + node _T_3465 = and(_T_3463, _T_3464) @[el2_lsu_bus_buffer.scala 482:109] + node _T_3466 = mux(_T_3462, ibuf_dual, _T_3465) @[el2_lsu_bus_buffer.scala 482:49] + node _T_3467 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 482:67] + node _T_3468 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:92] + node _T_3469 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 482:120] + node _T_3470 = and(_T_3468, _T_3469) @[el2_lsu_bus_buffer.scala 482:109] + node _T_3471 = mux(_T_3467, ibuf_dual, _T_3470) @[el2_lsu_bus_buffer.scala 482:49] + node _T_3472 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 482:67] + node _T_3473 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:92] + node _T_3474 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 482:120] + node _T_3475 = and(_T_3473, _T_3474) @[el2_lsu_bus_buffer.scala 482:109] + node _T_3476 = mux(_T_3472, ibuf_dual, _T_3475) @[el2_lsu_bus_buffer.scala 482:49] + node _T_3477 = cat(_T_3476, _T_3471) @[Cat.scala 29:58] + node _T_3478 = cat(_T_3477, _T_3466) @[Cat.scala 29:58] + node _T_3479 = cat(_T_3478, _T_3461) @[Cat.scala 29:58] + buf_dualhi_in <= _T_3479 @[el2_lsu_bus_buffer.scala 482:19] + node _T_3480 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3481 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:99] + node _T_3482 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:127] + node _T_3483 = and(_T_3481, _T_3482) @[el2_lsu_bus_buffer.scala 483:116] + node _T_3484 = mux(_T_3483, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 483:89] + node _T_3485 = mux(_T_3480, ibuf_dualtag, _T_3484) @[el2_lsu_bus_buffer.scala 483:49] + node _T_3486 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3487 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:99] + node _T_3488 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 483:127] + node _T_3489 = and(_T_3487, _T_3488) @[el2_lsu_bus_buffer.scala 483:116] + node _T_3490 = mux(_T_3489, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 483:89] + node _T_3491 = mux(_T_3486, ibuf_dualtag, _T_3490) @[el2_lsu_bus_buffer.scala 483:49] + node _T_3492 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3493 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:99] + node _T_3494 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 483:127] + node _T_3495 = and(_T_3493, _T_3494) @[el2_lsu_bus_buffer.scala 483:116] + node _T_3496 = mux(_T_3495, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 483:89] + node _T_3497 = mux(_T_3492, ibuf_dualtag, _T_3496) @[el2_lsu_bus_buffer.scala 483:49] + node _T_3498 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3499 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:99] + node _T_3500 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 483:127] + node _T_3501 = and(_T_3499, _T_3500) @[el2_lsu_bus_buffer.scala 483:116] + node _T_3502 = mux(_T_3501, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 483:89] + node _T_3503 = mux(_T_3498, ibuf_dualtag, _T_3502) @[el2_lsu_bus_buffer.scala 483:49] + buf_dualtag_in[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 483:20] + buf_dualtag_in[1] <= _T_3491 @[el2_lsu_bus_buffer.scala 483:20] + buf_dualtag_in[2] <= _T_3497 @[el2_lsu_bus_buffer.scala 483:20] + buf_dualtag_in[3] <= _T_3503 @[el2_lsu_bus_buffer.scala 483:20] + node _T_3504 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 484:71] + node _T_3505 = mux(_T_3504, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 484:53] + node _T_3506 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 484:71] + node _T_3507 = mux(_T_3506, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 484:53] + node _T_3508 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 484:71] + node _T_3509 = mux(_T_3508, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 484:53] + node _T_3510 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 484:71] + node _T_3511 = mux(_T_3510, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 484:53] + node _T_3512 = cat(_T_3511, _T_3509) @[Cat.scala 29:58] + node _T_3513 = cat(_T_3512, _T_3507) @[Cat.scala 29:58] + node _T_3514 = cat(_T_3513, _T_3505) @[Cat.scala 29:58] + buf_sideeffect_in <= _T_3514 @[el2_lsu_bus_buffer.scala 484:23] + node _T_3515 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 485:67] + node _T_3516 = mux(_T_3515, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 485:49] + node _T_3517 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 485:67] + node _T_3518 = mux(_T_3517, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 485:49] + node _T_3519 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 485:67] + node _T_3520 = mux(_T_3519, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 485:49] + node _T_3521 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 485:67] + node _T_3522 = mux(_T_3521, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 485:49] + node _T_3523 = cat(_T_3522, _T_3520) @[Cat.scala 29:58] + node _T_3524 = cat(_T_3523, _T_3518) @[Cat.scala 29:58] + node _T_3525 = cat(_T_3524, _T_3516) @[Cat.scala 29:58] + buf_unsign_in <= _T_3525 @[el2_lsu_bus_buffer.scala 485:19] + node _T_3526 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 486:62] + node _T_3527 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3528 = mux(_T_3526, ibuf_sz, _T_3527) @[el2_lsu_bus_buffer.scala 486:44] + node _T_3529 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 486:62] node _T_3530 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3531 = mux(_T_3529, ibuf_sz, _T_3530) @[el2_lsu_bus_buffer.scala 499:44] - node _T_3532 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 499:62] + node _T_3531 = mux(_T_3529, ibuf_sz, _T_3530) @[el2_lsu_bus_buffer.scala 486:44] + node _T_3532 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 486:62] node _T_3533 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3534 = mux(_T_3532, ibuf_sz, _T_3533) @[el2_lsu_bus_buffer.scala 499:44] - node _T_3535 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 499:62] + node _T_3534 = mux(_T_3532, ibuf_sz, _T_3533) @[el2_lsu_bus_buffer.scala 486:44] + node _T_3535 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 486:62] node _T_3536 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3537 = mux(_T_3535, ibuf_sz, _T_3536) @[el2_lsu_bus_buffer.scala 499:44] - node _T_3538 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 499:62] - node _T_3539 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3540 = mux(_T_3538, ibuf_sz, _T_3539) @[el2_lsu_bus_buffer.scala 499:44] - buf_sz_in[0] <= _T_3531 @[el2_lsu_bus_buffer.scala 499:15] - buf_sz_in[1] <= _T_3534 @[el2_lsu_bus_buffer.scala 499:15] - buf_sz_in[2] <= _T_3537 @[el2_lsu_bus_buffer.scala 499:15] - buf_sz_in[3] <= _T_3540 @[el2_lsu_bus_buffer.scala 499:15] - node _T_3541 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 500:66] - node _T_3542 = mux(_T_3541, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 500:48] - node _T_3543 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 500:66] - node _T_3544 = mux(_T_3543, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 500:48] - node _T_3545 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 500:66] - node _T_3546 = mux(_T_3545, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 500:48] - node _T_3547 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 500:66] - node _T_3548 = mux(_T_3547, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 500:48] - node _T_3549 = cat(_T_3548, _T_3546) @[Cat.scala 29:58] - node _T_3550 = cat(_T_3549, _T_3544) @[Cat.scala 29:58] - node _T_3551 = cat(_T_3550, _T_3542) @[Cat.scala 29:58] - buf_write_in <= _T_3551 @[el2_lsu_bus_buffer.scala 500:18] - node _T_3552 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3552 : @[Conditional.scala 40:58] - node _T_3553 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 505:56] - node _T_3554 = mux(_T_3553, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 505:31] - buf_nxtstate[0] <= _T_3554 @[el2_lsu_bus_buffer.scala 505:25] - node _T_3555 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 506:45] - node _T_3556 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 506:77] - node _T_3557 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 506:97] - node _T_3558 = and(_T_3556, _T_3557) @[el2_lsu_bus_buffer.scala 506:95] - node _T_3559 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 506:117] - node _T_3560 = and(_T_3558, _T_3559) @[el2_lsu_bus_buffer.scala 506:112] - node _T_3561 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 506:144] - node _T_3562 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 506:166] - node _T_3563 = and(_T_3561, _T_3562) @[el2_lsu_bus_buffer.scala 506:161] - node _T_3564 = or(_T_3560, _T_3563) @[el2_lsu_bus_buffer.scala 506:132] - node _T_3565 = and(_T_3555, _T_3564) @[el2_lsu_bus_buffer.scala 506:63] - node _T_3566 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 506:206] - node _T_3567 = and(ibuf_drain_vld, _T_3566) @[el2_lsu_bus_buffer.scala 506:201] - node _T_3568 = or(_T_3565, _T_3567) @[el2_lsu_bus_buffer.scala 506:183] - buf_state_en[0] <= _T_3568 @[el2_lsu_bus_buffer.scala 506:25] - buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 507:22] - buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 508:24] - node _T_3569 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 509:52] - node _T_3570 = and(ibuf_drain_vld, _T_3569) @[el2_lsu_bus_buffer.scala 509:47] - node _T_3571 = bits(_T_3570, 0, 0) @[el2_lsu_bus_buffer.scala 509:73] - node _T_3572 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 509:90] - node _T_3573 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 509:114] - node _T_3574 = mux(_T_3571, _T_3572, _T_3573) @[el2_lsu_bus_buffer.scala 509:30] - buf_data_in[0] <= _T_3574 @[el2_lsu_bus_buffer.scala 509:24] + node _T_3537 = mux(_T_3535, ibuf_sz, _T_3536) @[el2_lsu_bus_buffer.scala 486:44] + buf_sz_in[0] <= _T_3528 @[el2_lsu_bus_buffer.scala 486:15] + buf_sz_in[1] <= _T_3531 @[el2_lsu_bus_buffer.scala 486:15] + buf_sz_in[2] <= _T_3534 @[el2_lsu_bus_buffer.scala 486:15] + buf_sz_in[3] <= _T_3537 @[el2_lsu_bus_buffer.scala 486:15] + node _T_3538 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 487:66] + node _T_3539 = mux(_T_3538, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 487:48] + node _T_3540 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 487:66] + node _T_3541 = mux(_T_3540, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 487:48] + node _T_3542 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 487:66] + node _T_3543 = mux(_T_3542, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 487:48] + node _T_3544 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 487:66] + node _T_3545 = mux(_T_3544, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 487:48] + node _T_3546 = cat(_T_3545, _T_3543) @[Cat.scala 29:58] + node _T_3547 = cat(_T_3546, _T_3541) @[Cat.scala 29:58] + node _T_3548 = cat(_T_3547, _T_3539) @[Cat.scala 29:58] + buf_write_in <= _T_3548 @[el2_lsu_bus_buffer.scala 487:18] + node _T_3549 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3549 : @[Conditional.scala 40:58] + node _T_3550 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 492:56] + node _T_3551 = mux(_T_3550, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 492:31] + buf_nxtstate[0] <= _T_3551 @[el2_lsu_bus_buffer.scala 492:25] + node _T_3552 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 493:45] + node _T_3553 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:77] + node _T_3554 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:97] + node _T_3555 = and(_T_3553, _T_3554) @[el2_lsu_bus_buffer.scala 493:95] + node _T_3556 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 493:117] + node _T_3557 = and(_T_3555, _T_3556) @[el2_lsu_bus_buffer.scala 493:112] + node _T_3558 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:144] + node _T_3559 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 493:166] + node _T_3560 = and(_T_3558, _T_3559) @[el2_lsu_bus_buffer.scala 493:161] + node _T_3561 = or(_T_3557, _T_3560) @[el2_lsu_bus_buffer.scala 493:132] + node _T_3562 = and(_T_3552, _T_3561) @[el2_lsu_bus_buffer.scala 493:63] + node _T_3563 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 493:206] + node _T_3564 = and(ibuf_drain_vld, _T_3563) @[el2_lsu_bus_buffer.scala 493:201] + node _T_3565 = or(_T_3562, _T_3564) @[el2_lsu_bus_buffer.scala 493:183] + buf_state_en[0] <= _T_3565 @[el2_lsu_bus_buffer.scala 493:25] + buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 494:22] + buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 495:24] + node _T_3566 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 496:52] + node _T_3567 = and(ibuf_drain_vld, _T_3566) @[el2_lsu_bus_buffer.scala 496:47] + node _T_3568 = bits(_T_3567, 0, 0) @[el2_lsu_bus_buffer.scala 496:73] + node _T_3569 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 496:90] + node _T_3570 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 496:114] + node _T_3571 = mux(_T_3568, _T_3569, _T_3570) @[el2_lsu_bus_buffer.scala 496:30] + buf_data_in[0] <= _T_3571 @[el2_lsu_bus_buffer.scala 496:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3575 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3575 : @[Conditional.scala 39:67] - node _T_3576 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 512:60] - node _T_3577 = mux(_T_3576, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 512:31] - buf_nxtstate[0] <= _T_3577 @[el2_lsu_bus_buffer.scala 512:25] - node _T_3578 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 513:46] - buf_state_en[0] <= _T_3578 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3572 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3572 : @[Conditional.scala 39:67] + node _T_3573 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 499:60] + node _T_3574 = mux(_T_3573, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 499:31] + buf_nxtstate[0] <= _T_3574 @[el2_lsu_bus_buffer.scala 499:25] + node _T_3575 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 500:46] + buf_state_en[0] <= _T_3575 @[el2_lsu_bus_buffer.scala 500:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3579 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3579 : @[Conditional.scala 39:67] - node _T_3580 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 516:60] - node _T_3581 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 516:89] - node _T_3582 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 516:124] - node _T_3583 = and(_T_3581, _T_3582) @[el2_lsu_bus_buffer.scala 516:104] - node _T_3584 = mux(_T_3583, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 516:75] - node _T_3585 = mux(_T_3580, UInt<3>("h00"), _T_3584) @[el2_lsu_bus_buffer.scala 516:31] - buf_nxtstate[0] <= _T_3585 @[el2_lsu_bus_buffer.scala 516:25] - node _T_3586 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 517:48] - node _T_3587 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 517:104] - node _T_3588 = and(obuf_merge, _T_3587) @[el2_lsu_bus_buffer.scala 517:91] - node _T_3589 = or(_T_3586, _T_3588) @[el2_lsu_bus_buffer.scala 517:77] - node _T_3590 = and(_T_3589, obuf_valid) @[el2_lsu_bus_buffer.scala 517:135] - node _T_3591 = and(_T_3590, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 517:148] - buf_cmd_state_bus_en[0] <= _T_3591 @[el2_lsu_bus_buffer.scala 517:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 518:29] - node _T_3592 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 519:49] - node _T_3593 = or(_T_3592, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 519:70] - buf_state_en[0] <= _T_3593 @[el2_lsu_bus_buffer.scala 519:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 520:25] - node _T_3594 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 521:56] - node _T_3595 = eq(_T_3594, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 521:46] - node _T_3596 = and(buf_state_en[0], _T_3595) @[el2_lsu_bus_buffer.scala 521:44] - node _T_3597 = and(_T_3596, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:60] - node _T_3598 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 521:76] - node _T_3599 = and(_T_3597, _T_3598) @[el2_lsu_bus_buffer.scala 521:74] - buf_ldfwd_en[0] <= _T_3599 @[el2_lsu_bus_buffer.scala 521:25] - node _T_3600 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 522:46] - buf_ldfwdtag_in[0] <= _T_3600 @[el2_lsu_bus_buffer.scala 522:28] - node _T_3601 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 523:47] - node _T_3602 = and(_T_3601, obuf_nosend) @[el2_lsu_bus_buffer.scala 523:67] - node _T_3603 = and(_T_3602, bus_rsp_read) @[el2_lsu_bus_buffer.scala 523:81] - buf_data_en[0] <= _T_3603 @[el2_lsu_bus_buffer.scala 523:24] - node _T_3604 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 524:48] - node _T_3605 = and(_T_3604, obuf_nosend) @[el2_lsu_bus_buffer.scala 524:68] - node _T_3606 = and(_T_3605, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 524:82] - buf_error_en[0] <= _T_3606 @[el2_lsu_bus_buffer.scala 524:25] - node _T_3607 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 525:61] - node _T_3608 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 525:85] - node _T_3609 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 525:103] - node _T_3610 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 525:126] - node _T_3611 = mux(_T_3608, _T_3609, _T_3610) @[el2_lsu_bus_buffer.scala 525:73] - node _T_3612 = mux(buf_error_en[0], _T_3607, _T_3611) @[el2_lsu_bus_buffer.scala 525:30] - buf_data_in[0] <= _T_3612 @[el2_lsu_bus_buffer.scala 525:24] + node _T_3576 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3576 : @[Conditional.scala 39:67] + node _T_3577 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 503:60] + node _T_3578 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 503:89] + node _T_3579 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 503:124] + node _T_3580 = and(_T_3578, _T_3579) @[el2_lsu_bus_buffer.scala 503:104] + node _T_3581 = mux(_T_3580, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 503:75] + node _T_3582 = mux(_T_3577, UInt<3>("h00"), _T_3581) @[el2_lsu_bus_buffer.scala 503:31] + buf_nxtstate[0] <= _T_3582 @[el2_lsu_bus_buffer.scala 503:25] + node _T_3583 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 504:48] + node _T_3584 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 504:104] + node _T_3585 = and(obuf_merge, _T_3584) @[el2_lsu_bus_buffer.scala 504:91] + node _T_3586 = or(_T_3583, _T_3585) @[el2_lsu_bus_buffer.scala 504:77] + node _T_3587 = and(_T_3586, obuf_valid) @[el2_lsu_bus_buffer.scala 504:135] + node _T_3588 = and(_T_3587, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 504:148] + buf_cmd_state_bus_en[0] <= _T_3588 @[el2_lsu_bus_buffer.scala 504:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 505:29] + node _T_3589 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 506:49] + node _T_3590 = or(_T_3589, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 506:70] + buf_state_en[0] <= _T_3590 @[el2_lsu_bus_buffer.scala 506:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 507:25] + node _T_3591 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 508:56] + node _T_3592 = eq(_T_3591, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 508:46] + node _T_3593 = and(buf_state_en[0], _T_3592) @[el2_lsu_bus_buffer.scala 508:44] + node _T_3594 = and(_T_3593, obuf_nosend) @[el2_lsu_bus_buffer.scala 508:60] + node _T_3595 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 508:76] + node _T_3596 = and(_T_3594, _T_3595) @[el2_lsu_bus_buffer.scala 508:74] + buf_ldfwd_en[0] <= _T_3596 @[el2_lsu_bus_buffer.scala 508:25] + node _T_3597 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 509:46] + buf_ldfwdtag_in[0] <= _T_3597 @[el2_lsu_bus_buffer.scala 509:28] + node _T_3598 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:47] + node _T_3599 = and(_T_3598, obuf_nosend) @[el2_lsu_bus_buffer.scala 510:67] + node _T_3600 = and(_T_3599, bus_rsp_read) @[el2_lsu_bus_buffer.scala 510:81] + buf_data_en[0] <= _T_3600 @[el2_lsu_bus_buffer.scala 510:24] + node _T_3601 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:48] + node _T_3602 = and(_T_3601, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:68] + node _T_3603 = and(_T_3602, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 511:82] + buf_error_en[0] <= _T_3603 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3604 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 512:61] + node _T_3605 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 512:85] + node _T_3606 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 512:103] + node _T_3607 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 512:126] + node _T_3608 = mux(_T_3605, _T_3606, _T_3607) @[el2_lsu_bus_buffer.scala 512:73] + node _T_3609 = mux(buf_error_en[0], _T_3604, _T_3608) @[el2_lsu_bus_buffer.scala 512:30] + buf_data_in[0] <= _T_3609 @[el2_lsu_bus_buffer.scala 512:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3613 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3613 : @[Conditional.scala 39:67] - node _T_3614 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 528:67] - node _T_3615 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 528:94] - node _T_3616 = eq(_T_3615, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:73] - node _T_3617 = and(_T_3614, _T_3616) @[el2_lsu_bus_buffer.scala 528:71] - node _T_3618 = or(io.dec_tlu_force_halt, _T_3617) @[el2_lsu_bus_buffer.scala 528:55] - node _T_3619 = bits(_T_3618, 0, 0) @[el2_lsu_bus_buffer.scala 528:125] - node _T_3620 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:30] - node _T_3621 = and(buf_dual[0], _T_3620) @[el2_lsu_bus_buffer.scala 529:28] - node _T_3622 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 529:57] - node _T_3623 = eq(_T_3622, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:47] - node _T_3624 = and(_T_3621, _T_3623) @[el2_lsu_bus_buffer.scala 529:45] - node _T_3625 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 529:90] - node _T_3626 = and(_T_3624, _T_3625) @[el2_lsu_bus_buffer.scala 529:61] - node _T_3627 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 530:27] - node _T_3628 = or(_T_3627, any_done_wait_state) @[el2_lsu_bus_buffer.scala 530:31] - node _T_3629 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 530:70] - node _T_3630 = and(buf_dual[0], _T_3629) @[el2_lsu_bus_buffer.scala 530:68] - node _T_3631 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 530:97] - node _T_3632 = eq(_T_3631, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 530:87] - node _T_3633 = and(_T_3630, _T_3632) @[el2_lsu_bus_buffer.scala 530:85] - node _T_3634 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_3635 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_3636 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_3637 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_3638 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_3639 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_3640 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_3641 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_3642 = mux(_T_3634, _T_3635, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3643 = mux(_T_3636, _T_3637, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3644 = mux(_T_3638, _T_3639, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3645 = mux(_T_3640, _T_3641, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3646 = or(_T_3642, _T_3643) @[Mux.scala 27:72] - node _T_3647 = or(_T_3646, _T_3644) @[Mux.scala 27:72] - node _T_3648 = or(_T_3647, _T_3645) @[Mux.scala 27:72] - wire _T_3649 : UInt<1> @[Mux.scala 27:72] - _T_3649 <= _T_3648 @[Mux.scala 27:72] - node _T_3650 = and(_T_3633, _T_3649) @[el2_lsu_bus_buffer.scala 530:101] - node _T_3651 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 530:167] - node _T_3652 = and(_T_3650, _T_3651) @[el2_lsu_bus_buffer.scala 530:138] - node _T_3653 = and(_T_3652, any_done_wait_state) @[el2_lsu_bus_buffer.scala 530:187] - node _T_3654 = or(_T_3628, _T_3653) @[el2_lsu_bus_buffer.scala 530:53] - node _T_3655 = mux(_T_3654, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 530:16] - node _T_3656 = mux(_T_3626, UInt<3>("h04"), _T_3655) @[el2_lsu_bus_buffer.scala 529:14] - node _T_3657 = mux(_T_3619, UInt<3>("h00"), _T_3656) @[el2_lsu_bus_buffer.scala 528:31] - buf_nxtstate[0] <= _T_3657 @[el2_lsu_bus_buffer.scala 528:25] - node _T_3658 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 531:73] - node _T_3659 = and(bus_rsp_write, _T_3658) @[el2_lsu_bus_buffer.scala 531:52] - node _T_3660 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 532:46] - node _T_3661 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 533:23] - node _T_3662 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 533:47] - node _T_3663 = and(_T_3661, _T_3662) @[el2_lsu_bus_buffer.scala 533:27] - node _T_3664 = or(_T_3660, _T_3663) @[el2_lsu_bus_buffer.scala 532:77] - node _T_3665 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 534:26] - node _T_3666 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 534:54] - node _T_3667 = not(_T_3666) @[el2_lsu_bus_buffer.scala 534:44] - node _T_3668 = and(_T_3665, _T_3667) @[el2_lsu_bus_buffer.scala 534:42] - node _T_3669 = and(_T_3668, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 534:58] - node _T_3670 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 534:94] - node _T_3671 = and(_T_3669, _T_3670) @[el2_lsu_bus_buffer.scala 534:74] - node _T_3672 = or(_T_3664, _T_3671) @[el2_lsu_bus_buffer.scala 533:71] - node _T_3673 = and(bus_rsp_read, _T_3672) @[el2_lsu_bus_buffer.scala 532:25] - node _T_3674 = or(_T_3659, _T_3673) @[el2_lsu_bus_buffer.scala 531:105] - buf_resp_state_bus_en[0] <= _T_3674 @[el2_lsu_bus_buffer.scala 531:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 535:29] - node _T_3675 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:49] - node _T_3676 = or(_T_3675, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 536:70] - buf_state_en[0] <= _T_3676 @[el2_lsu_bus_buffer.scala 536:25] - node _T_3677 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 537:47] - node _T_3678 = and(_T_3677, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:62] - buf_data_en[0] <= _T_3678 @[el2_lsu_bus_buffer.scala 537:24] - node _T_3679 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 538:48] - node _T_3680 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 538:111] - node _T_3681 = and(bus_rsp_read_error, _T_3680) @[el2_lsu_bus_buffer.scala 538:91] - node _T_3682 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 539:42] - node _T_3683 = and(bus_rsp_read_error, _T_3682) @[el2_lsu_bus_buffer.scala 539:31] - node _T_3684 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 539:66] - node _T_3685 = and(_T_3683, _T_3684) @[el2_lsu_bus_buffer.scala 539:46] - node _T_3686 = or(_T_3681, _T_3685) @[el2_lsu_bus_buffer.scala 538:143] - node _T_3687 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 540:32] - node _T_3688 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 540:74] - node _T_3689 = and(_T_3687, _T_3688) @[el2_lsu_bus_buffer.scala 540:53] - node _T_3690 = or(_T_3686, _T_3689) @[el2_lsu_bus_buffer.scala 539:88] - node _T_3691 = and(_T_3679, _T_3690) @[el2_lsu_bus_buffer.scala 538:68] - buf_error_en[0] <= _T_3691 @[el2_lsu_bus_buffer.scala 538:25] - node _T_3692 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 541:50] - node _T_3693 = and(buf_state_en[0], _T_3692) @[el2_lsu_bus_buffer.scala 541:48] - node _T_3694 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 541:84] - node _T_3695 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 541:102] - node _T_3696 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 541:125] - node _T_3697 = mux(_T_3694, _T_3695, _T_3696) @[el2_lsu_bus_buffer.scala 541:72] - node _T_3698 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 541:148] - node _T_3699 = mux(_T_3693, _T_3697, _T_3698) @[el2_lsu_bus_buffer.scala 541:30] - buf_data_in[0] <= _T_3699 @[el2_lsu_bus_buffer.scala 541:24] + node _T_3610 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3610 : @[Conditional.scala 39:67] + node _T_3611 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 515:67] + node _T_3612 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 515:94] + node _T_3613 = eq(_T_3612, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 515:73] + node _T_3614 = and(_T_3611, _T_3613) @[el2_lsu_bus_buffer.scala 515:71] + node _T_3615 = or(io.dec_tlu_force_halt, _T_3614) @[el2_lsu_bus_buffer.scala 515:55] + node _T_3616 = bits(_T_3615, 0, 0) @[el2_lsu_bus_buffer.scala 515:125] + node _T_3617 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:30] + node _T_3618 = and(buf_dual[0], _T_3617) @[el2_lsu_bus_buffer.scala 516:28] + node _T_3619 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 516:57] + node _T_3620 = eq(_T_3619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:47] + node _T_3621 = and(_T_3618, _T_3620) @[el2_lsu_bus_buffer.scala 516:45] + node _T_3622 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 516:90] + node _T_3623 = and(_T_3621, _T_3622) @[el2_lsu_bus_buffer.scala 516:61] + node _T_3624 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 517:27] + node _T_3625 = or(_T_3624, any_done_wait_state) @[el2_lsu_bus_buffer.scala 517:31] + node _T_3626 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:70] + node _T_3627 = and(buf_dual[0], _T_3626) @[el2_lsu_bus_buffer.scala 517:68] + node _T_3628 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 517:97] + node _T_3629 = eq(_T_3628, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:87] + node _T_3630 = and(_T_3627, _T_3629) @[el2_lsu_bus_buffer.scala 517:85] + node _T_3631 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_3632 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] + node _T_3633 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_3634 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 109:129] + node _T_3635 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_3636 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 109:129] + node _T_3637 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_3638 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 109:129] + node _T_3639 = mux(_T_3631, _T_3632, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3640 = mux(_T_3633, _T_3634, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3641 = mux(_T_3635, _T_3636, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3642 = mux(_T_3637, _T_3638, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3643 = or(_T_3639, _T_3640) @[Mux.scala 27:72] + node _T_3644 = or(_T_3643, _T_3641) @[Mux.scala 27:72] + node _T_3645 = or(_T_3644, _T_3642) @[Mux.scala 27:72] + wire _T_3646 : UInt<1> @[Mux.scala 27:72] + _T_3646 <= _T_3645 @[Mux.scala 27:72] + node _T_3647 = and(_T_3630, _T_3646) @[el2_lsu_bus_buffer.scala 517:101] + node _T_3648 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 517:167] + node _T_3649 = and(_T_3647, _T_3648) @[el2_lsu_bus_buffer.scala 517:138] + node _T_3650 = and(_T_3649, any_done_wait_state) @[el2_lsu_bus_buffer.scala 517:187] + node _T_3651 = or(_T_3625, _T_3650) @[el2_lsu_bus_buffer.scala 517:53] + node _T_3652 = mux(_T_3651, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 517:16] + node _T_3653 = mux(_T_3623, UInt<3>("h04"), _T_3652) @[el2_lsu_bus_buffer.scala 516:14] + node _T_3654 = mux(_T_3616, UInt<3>("h00"), _T_3653) @[el2_lsu_bus_buffer.scala 515:31] + buf_nxtstate[0] <= _T_3654 @[el2_lsu_bus_buffer.scala 515:25] + node _T_3655 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 518:73] + node _T_3656 = and(bus_rsp_write, _T_3655) @[el2_lsu_bus_buffer.scala 518:52] + node _T_3657 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 519:46] + node _T_3658 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 520:23] + node _T_3659 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 520:47] + node _T_3660 = and(_T_3658, _T_3659) @[el2_lsu_bus_buffer.scala 520:27] + node _T_3661 = or(_T_3657, _T_3660) @[el2_lsu_bus_buffer.scala 519:77] + node _T_3662 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 521:26] + node _T_3663 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 521:54] + node _T_3664 = not(_T_3663) @[el2_lsu_bus_buffer.scala 521:44] + node _T_3665 = and(_T_3662, _T_3664) @[el2_lsu_bus_buffer.scala 521:42] + node _T_3666 = and(_T_3665, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 521:58] + node _T_3667 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 521:94] + node _T_3668 = and(_T_3666, _T_3667) @[el2_lsu_bus_buffer.scala 521:74] + node _T_3669 = or(_T_3661, _T_3668) @[el2_lsu_bus_buffer.scala 520:71] + node _T_3670 = and(bus_rsp_read, _T_3669) @[el2_lsu_bus_buffer.scala 519:25] + node _T_3671 = or(_T_3656, _T_3670) @[el2_lsu_bus_buffer.scala 518:105] + buf_resp_state_bus_en[0] <= _T_3671 @[el2_lsu_bus_buffer.scala 518:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 522:29] + node _T_3672 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 523:49] + node _T_3673 = or(_T_3672, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 523:70] + buf_state_en[0] <= _T_3673 @[el2_lsu_bus_buffer.scala 523:25] + node _T_3674 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 524:47] + node _T_3675 = and(_T_3674, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 524:62] + buf_data_en[0] <= _T_3675 @[el2_lsu_bus_buffer.scala 524:24] + node _T_3676 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:48] + node _T_3677 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 525:111] + node _T_3678 = and(bus_rsp_read_error, _T_3677) @[el2_lsu_bus_buffer.scala 525:91] + node _T_3679 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 526:42] + node _T_3680 = and(bus_rsp_read_error, _T_3679) @[el2_lsu_bus_buffer.scala 526:31] + node _T_3681 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 526:66] + node _T_3682 = and(_T_3680, _T_3681) @[el2_lsu_bus_buffer.scala 526:46] + node _T_3683 = or(_T_3678, _T_3682) @[el2_lsu_bus_buffer.scala 525:143] + node _T_3684 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 527:32] + node _T_3685 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 527:74] + node _T_3686 = and(_T_3684, _T_3685) @[el2_lsu_bus_buffer.scala 527:53] + node _T_3687 = or(_T_3683, _T_3686) @[el2_lsu_bus_buffer.scala 526:88] + node _T_3688 = and(_T_3676, _T_3687) @[el2_lsu_bus_buffer.scala 525:68] + buf_error_en[0] <= _T_3688 @[el2_lsu_bus_buffer.scala 525:25] + node _T_3689 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:50] + node _T_3690 = and(buf_state_en[0], _T_3689) @[el2_lsu_bus_buffer.scala 528:48] + node _T_3691 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 528:84] + node _T_3692 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 528:102] + node _T_3693 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 528:125] + node _T_3694 = mux(_T_3691, _T_3692, _T_3693) @[el2_lsu_bus_buffer.scala 528:72] + node _T_3695 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 528:148] + node _T_3696 = mux(_T_3690, _T_3694, _T_3695) @[el2_lsu_bus_buffer.scala 528:30] + buf_data_in[0] <= _T_3696 @[el2_lsu_bus_buffer.scala 528:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3700 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3700 : @[Conditional.scala 39:67] - node _T_3701 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 544:60] - node _T_3702 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 544:86] - node _T_3703 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 544:101] - node _T_3704 = bits(_T_3703, 0, 0) @[el2_lsu_bus_buffer.scala 544:101] - node _T_3705 = or(_T_3702, _T_3704) @[el2_lsu_bus_buffer.scala 544:90] - node _T_3706 = or(_T_3705, any_done_wait_state) @[el2_lsu_bus_buffer.scala 544:118] - node _T_3707 = mux(_T_3706, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 544:75] - node _T_3708 = mux(_T_3701, UInt<3>("h00"), _T_3707) @[el2_lsu_bus_buffer.scala 544:31] - buf_nxtstate[0] <= _T_3708 @[el2_lsu_bus_buffer.scala 544:25] - node _T_3709 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 545:66] - node _T_3710 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 546:21] - node _T_3711 = bits(_T_3710, 0, 0) @[el2_lsu_bus_buffer.scala 546:21] - node _T_3712 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 546:58] - node _T_3713 = and(_T_3711, _T_3712) @[el2_lsu_bus_buffer.scala 546:38] - node _T_3714 = or(_T_3709, _T_3713) @[el2_lsu_bus_buffer.scala 545:95] - node _T_3715 = and(bus_rsp_read, _T_3714) @[el2_lsu_bus_buffer.scala 545:45] - buf_state_bus_en[0] <= _T_3715 @[el2_lsu_bus_buffer.scala 545:29] - node _T_3716 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 547:49] - node _T_3717 = or(_T_3716, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 547:70] - buf_state_en[0] <= _T_3717 @[el2_lsu_bus_buffer.scala 547:25] + node _T_3697 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3697 : @[Conditional.scala 39:67] + node _T_3698 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 531:60] + node _T_3699 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 531:86] + node _T_3700 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 531:101] + node _T_3701 = bits(_T_3700, 0, 0) @[el2_lsu_bus_buffer.scala 531:101] + node _T_3702 = or(_T_3699, _T_3701) @[el2_lsu_bus_buffer.scala 531:90] + node _T_3703 = or(_T_3702, any_done_wait_state) @[el2_lsu_bus_buffer.scala 531:118] + node _T_3704 = mux(_T_3703, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 531:75] + node _T_3705 = mux(_T_3698, UInt<3>("h00"), _T_3704) @[el2_lsu_bus_buffer.scala 531:31] + buf_nxtstate[0] <= _T_3705 @[el2_lsu_bus_buffer.scala 531:25] + node _T_3706 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 532:66] + node _T_3707 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 533:21] + node _T_3708 = bits(_T_3707, 0, 0) @[el2_lsu_bus_buffer.scala 533:21] + node _T_3709 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 533:58] + node _T_3710 = and(_T_3708, _T_3709) @[el2_lsu_bus_buffer.scala 533:38] + node _T_3711 = or(_T_3706, _T_3710) @[el2_lsu_bus_buffer.scala 532:95] + node _T_3712 = and(bus_rsp_read, _T_3711) @[el2_lsu_bus_buffer.scala 532:45] + buf_state_bus_en[0] <= _T_3712 @[el2_lsu_bus_buffer.scala 532:29] + node _T_3713 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:49] + node _T_3714 = or(_T_3713, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 534:70] + buf_state_en[0] <= _T_3714 @[el2_lsu_bus_buffer.scala 534:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3718 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3718 : @[Conditional.scala 39:67] - node _T_3719 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 550:60] - node _T_3720 = mux(_T_3719, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:31] - buf_nxtstate[0] <= _T_3720 @[el2_lsu_bus_buffer.scala 550:25] - node _T_3721 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 551:37] - node _T_3722 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 551:98] - node _T_3723 = and(buf_dual[0], _T_3722) @[el2_lsu_bus_buffer.scala 551:80] - node _T_3724 = or(_T_3721, _T_3723) @[el2_lsu_bus_buffer.scala 551:65] - node _T_3725 = or(_T_3724, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 551:112] - buf_state_en[0] <= _T_3725 @[el2_lsu_bus_buffer.scala 551:25] + node _T_3715 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3715 : @[Conditional.scala 39:67] + node _T_3716 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 537:60] + node _T_3717 = mux(_T_3716, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 537:31] + buf_nxtstate[0] <= _T_3717 @[el2_lsu_bus_buffer.scala 537:25] + node _T_3718 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 538:37] + node _T_3719 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 538:98] + node _T_3720 = and(buf_dual[0], _T_3719) @[el2_lsu_bus_buffer.scala 538:80] + node _T_3721 = or(_T_3718, _T_3720) @[el2_lsu_bus_buffer.scala 538:65] + node _T_3722 = or(_T_3721, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 538:112] + buf_state_en[0] <= _T_3722 @[el2_lsu_bus_buffer.scala 538:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3726 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3726 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 554:25] - buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 555:20] - buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 556:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 557:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 558:25] + node _T_3723 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3723 : @[Conditional.scala 39:67] + buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 541:25] + buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 542:20] + buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 543:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 544:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 545:25] skip @[Conditional.scala 39:67] - node _T_3727 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 561:108] - reg _T_3728 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3727 : @[Reg.scala 28:19] - _T_3728 <= buf_nxtstate[0] @[Reg.scala 28:23] + node _T_3724 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 548:108] + reg _T_3725 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3724 : @[Reg.scala 28:19] + _T_3725 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3728 @[el2_lsu_bus_buffer.scala 561:18] - reg _T_3729 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 562:60] - _T_3729 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 562:60] - buf_ageQ[0] <= _T_3729 @[el2_lsu_bus_buffer.scala 562:17] - reg _T_3730 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 563:63] - _T_3730 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 563:63] - buf_rspageQ[0] <= _T_3730 @[el2_lsu_bus_buffer.scala 563:20] - node _T_3731 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 564:109] - reg _T_3732 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + buf_state[0] <= _T_3725 @[el2_lsu_bus_buffer.scala 548:18] + reg _T_3726 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 549:60] + _T_3726 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 549:60] + buf_ageQ[0] <= _T_3726 @[el2_lsu_bus_buffer.scala 549:17] + reg _T_3727 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 550:63] + _T_3727 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 550:63] + buf_rspageQ[0] <= _T_3727 @[el2_lsu_bus_buffer.scala 550:20] + node _T_3728 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 551:109] + reg _T_3729 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3728 : @[Reg.scala 28:19] + _T_3729 <= buf_dualtag_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[0] <= _T_3729 @[el2_lsu_bus_buffer.scala 551:20] + node _T_3730 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 552:74] + node _T_3731 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 552:107] + reg _T_3732 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3731 : @[Reg.scala 28:19] - _T_3732 <= buf_dualtag_in[0] @[Reg.scala 28:23] + _T_3732 <= _T_3730 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3732 @[el2_lsu_bus_buffer.scala 564:20] - node _T_3733 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 565:74] - node _T_3734 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 565:107] + buf_dual[0] <= _T_3732 @[el2_lsu_bus_buffer.scala 552:17] + node _T_3733 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 553:78] + node _T_3734 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 553:111] reg _T_3735 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3734 : @[Reg.scala 28:19] _T_3735 <= _T_3733 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3735 @[el2_lsu_bus_buffer.scala 565:17] - node _T_3736 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 566:78] - node _T_3737 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 566:111] + buf_samedw[0] <= _T_3735 @[el2_lsu_bus_buffer.scala 553:19] + node _T_3736 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 554:80] + node _T_3737 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 554:113] reg _T_3738 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3737 : @[Reg.scala 28:19] _T_3738 <= _T_3736 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3738 @[el2_lsu_bus_buffer.scala 566:19] - node _T_3739 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 567:80] - node _T_3740 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 567:113] + buf_nomerge[0] <= _T_3738 @[el2_lsu_bus_buffer.scala 554:20] + node _T_3739 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 555:78] + node _T_3740 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 555:111] reg _T_3741 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3740 : @[Reg.scala 28:19] _T_3741 <= _T_3739 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3741 @[el2_lsu_bus_buffer.scala 567:20] - node _T_3742 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 568:78] - node _T_3743 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 568:111] - reg _T_3744 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3743 : @[Reg.scala 28:19] - _T_3744 <= _T_3742 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3744 @[el2_lsu_bus_buffer.scala 568:19] - node _T_3745 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3745 : @[Conditional.scala 40:58] - node _T_3746 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 505:56] - node _T_3747 = mux(_T_3746, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 505:31] - buf_nxtstate[1] <= _T_3747 @[el2_lsu_bus_buffer.scala 505:25] - node _T_3748 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 506:45] - node _T_3749 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 506:77] - node _T_3750 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 506:97] - node _T_3751 = and(_T_3749, _T_3750) @[el2_lsu_bus_buffer.scala 506:95] - node _T_3752 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 506:117] - node _T_3753 = and(_T_3751, _T_3752) @[el2_lsu_bus_buffer.scala 506:112] - node _T_3754 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 506:144] - node _T_3755 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 506:166] - node _T_3756 = and(_T_3754, _T_3755) @[el2_lsu_bus_buffer.scala 506:161] - node _T_3757 = or(_T_3753, _T_3756) @[el2_lsu_bus_buffer.scala 506:132] - node _T_3758 = and(_T_3748, _T_3757) @[el2_lsu_bus_buffer.scala 506:63] - node _T_3759 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 506:206] - node _T_3760 = and(ibuf_drain_vld, _T_3759) @[el2_lsu_bus_buffer.scala 506:201] - node _T_3761 = or(_T_3758, _T_3760) @[el2_lsu_bus_buffer.scala 506:183] - buf_state_en[1] <= _T_3761 @[el2_lsu_bus_buffer.scala 506:25] - buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 507:22] - buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 508:24] - node _T_3762 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 509:52] - node _T_3763 = and(ibuf_drain_vld, _T_3762) @[el2_lsu_bus_buffer.scala 509:47] - node _T_3764 = bits(_T_3763, 0, 0) @[el2_lsu_bus_buffer.scala 509:73] - node _T_3765 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 509:90] - node _T_3766 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 509:114] - node _T_3767 = mux(_T_3764, _T_3765, _T_3766) @[el2_lsu_bus_buffer.scala 509:30] - buf_data_in[1] <= _T_3767 @[el2_lsu_bus_buffer.scala 509:24] + buf_dualhi[0] <= _T_3741 @[el2_lsu_bus_buffer.scala 555:19] + node _T_3742 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3742 : @[Conditional.scala 40:58] + node _T_3743 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 492:56] + node _T_3744 = mux(_T_3743, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 492:31] + buf_nxtstate[1] <= _T_3744 @[el2_lsu_bus_buffer.scala 492:25] + node _T_3745 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 493:45] + node _T_3746 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:77] + node _T_3747 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:97] + node _T_3748 = and(_T_3746, _T_3747) @[el2_lsu_bus_buffer.scala 493:95] + node _T_3749 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 493:117] + node _T_3750 = and(_T_3748, _T_3749) @[el2_lsu_bus_buffer.scala 493:112] + node _T_3751 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:144] + node _T_3752 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 493:166] + node _T_3753 = and(_T_3751, _T_3752) @[el2_lsu_bus_buffer.scala 493:161] + node _T_3754 = or(_T_3750, _T_3753) @[el2_lsu_bus_buffer.scala 493:132] + node _T_3755 = and(_T_3745, _T_3754) @[el2_lsu_bus_buffer.scala 493:63] + node _T_3756 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 493:206] + node _T_3757 = and(ibuf_drain_vld, _T_3756) @[el2_lsu_bus_buffer.scala 493:201] + node _T_3758 = or(_T_3755, _T_3757) @[el2_lsu_bus_buffer.scala 493:183] + buf_state_en[1] <= _T_3758 @[el2_lsu_bus_buffer.scala 493:25] + buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 494:22] + buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 495:24] + node _T_3759 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 496:52] + node _T_3760 = and(ibuf_drain_vld, _T_3759) @[el2_lsu_bus_buffer.scala 496:47] + node _T_3761 = bits(_T_3760, 0, 0) @[el2_lsu_bus_buffer.scala 496:73] + node _T_3762 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 496:90] + node _T_3763 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 496:114] + node _T_3764 = mux(_T_3761, _T_3762, _T_3763) @[el2_lsu_bus_buffer.scala 496:30] + buf_data_in[1] <= _T_3764 @[el2_lsu_bus_buffer.scala 496:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3768 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3768 : @[Conditional.scala 39:67] - node _T_3769 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 512:60] - node _T_3770 = mux(_T_3769, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 512:31] - buf_nxtstate[1] <= _T_3770 @[el2_lsu_bus_buffer.scala 512:25] - node _T_3771 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 513:46] - buf_state_en[1] <= _T_3771 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3765 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3765 : @[Conditional.scala 39:67] + node _T_3766 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 499:60] + node _T_3767 = mux(_T_3766, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 499:31] + buf_nxtstate[1] <= _T_3767 @[el2_lsu_bus_buffer.scala 499:25] + node _T_3768 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 500:46] + buf_state_en[1] <= _T_3768 @[el2_lsu_bus_buffer.scala 500:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3772 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3772 : @[Conditional.scala 39:67] - node _T_3773 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 516:60] - node _T_3774 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 516:89] - node _T_3775 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 516:124] - node _T_3776 = and(_T_3774, _T_3775) @[el2_lsu_bus_buffer.scala 516:104] - node _T_3777 = mux(_T_3776, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 516:75] - node _T_3778 = mux(_T_3773, UInt<3>("h00"), _T_3777) @[el2_lsu_bus_buffer.scala 516:31] - buf_nxtstate[1] <= _T_3778 @[el2_lsu_bus_buffer.scala 516:25] - node _T_3779 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 517:48] - node _T_3780 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 517:104] - node _T_3781 = and(obuf_merge, _T_3780) @[el2_lsu_bus_buffer.scala 517:91] - node _T_3782 = or(_T_3779, _T_3781) @[el2_lsu_bus_buffer.scala 517:77] - node _T_3783 = and(_T_3782, obuf_valid) @[el2_lsu_bus_buffer.scala 517:135] - node _T_3784 = and(_T_3783, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 517:148] - buf_cmd_state_bus_en[1] <= _T_3784 @[el2_lsu_bus_buffer.scala 517:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 518:29] - node _T_3785 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 519:49] - node _T_3786 = or(_T_3785, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 519:70] - buf_state_en[1] <= _T_3786 @[el2_lsu_bus_buffer.scala 519:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 520:25] - node _T_3787 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 521:56] - node _T_3788 = eq(_T_3787, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 521:46] - node _T_3789 = and(buf_state_en[1], _T_3788) @[el2_lsu_bus_buffer.scala 521:44] - node _T_3790 = and(_T_3789, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:60] - node _T_3791 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 521:76] - node _T_3792 = and(_T_3790, _T_3791) @[el2_lsu_bus_buffer.scala 521:74] - buf_ldfwd_en[1] <= _T_3792 @[el2_lsu_bus_buffer.scala 521:25] - node _T_3793 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 522:46] - buf_ldfwdtag_in[1] <= _T_3793 @[el2_lsu_bus_buffer.scala 522:28] - node _T_3794 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 523:47] - node _T_3795 = and(_T_3794, obuf_nosend) @[el2_lsu_bus_buffer.scala 523:67] - node _T_3796 = and(_T_3795, bus_rsp_read) @[el2_lsu_bus_buffer.scala 523:81] - buf_data_en[1] <= _T_3796 @[el2_lsu_bus_buffer.scala 523:24] - node _T_3797 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 524:48] - node _T_3798 = and(_T_3797, obuf_nosend) @[el2_lsu_bus_buffer.scala 524:68] - node _T_3799 = and(_T_3798, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 524:82] - buf_error_en[1] <= _T_3799 @[el2_lsu_bus_buffer.scala 524:25] - node _T_3800 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 525:61] - node _T_3801 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 525:85] - node _T_3802 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 525:103] - node _T_3803 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 525:126] - node _T_3804 = mux(_T_3801, _T_3802, _T_3803) @[el2_lsu_bus_buffer.scala 525:73] - node _T_3805 = mux(buf_error_en[1], _T_3800, _T_3804) @[el2_lsu_bus_buffer.scala 525:30] - buf_data_in[1] <= _T_3805 @[el2_lsu_bus_buffer.scala 525:24] + node _T_3769 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3769 : @[Conditional.scala 39:67] + node _T_3770 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 503:60] + node _T_3771 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 503:89] + node _T_3772 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 503:124] + node _T_3773 = and(_T_3771, _T_3772) @[el2_lsu_bus_buffer.scala 503:104] + node _T_3774 = mux(_T_3773, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 503:75] + node _T_3775 = mux(_T_3770, UInt<3>("h00"), _T_3774) @[el2_lsu_bus_buffer.scala 503:31] + buf_nxtstate[1] <= _T_3775 @[el2_lsu_bus_buffer.scala 503:25] + node _T_3776 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 504:48] + node _T_3777 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 504:104] + node _T_3778 = and(obuf_merge, _T_3777) @[el2_lsu_bus_buffer.scala 504:91] + node _T_3779 = or(_T_3776, _T_3778) @[el2_lsu_bus_buffer.scala 504:77] + node _T_3780 = and(_T_3779, obuf_valid) @[el2_lsu_bus_buffer.scala 504:135] + node _T_3781 = and(_T_3780, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 504:148] + buf_cmd_state_bus_en[1] <= _T_3781 @[el2_lsu_bus_buffer.scala 504:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 505:29] + node _T_3782 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 506:49] + node _T_3783 = or(_T_3782, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 506:70] + buf_state_en[1] <= _T_3783 @[el2_lsu_bus_buffer.scala 506:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 507:25] + node _T_3784 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 508:56] + node _T_3785 = eq(_T_3784, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 508:46] + node _T_3786 = and(buf_state_en[1], _T_3785) @[el2_lsu_bus_buffer.scala 508:44] + node _T_3787 = and(_T_3786, obuf_nosend) @[el2_lsu_bus_buffer.scala 508:60] + node _T_3788 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 508:76] + node _T_3789 = and(_T_3787, _T_3788) @[el2_lsu_bus_buffer.scala 508:74] + buf_ldfwd_en[1] <= _T_3789 @[el2_lsu_bus_buffer.scala 508:25] + node _T_3790 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 509:46] + buf_ldfwdtag_in[1] <= _T_3790 @[el2_lsu_bus_buffer.scala 509:28] + node _T_3791 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:47] + node _T_3792 = and(_T_3791, obuf_nosend) @[el2_lsu_bus_buffer.scala 510:67] + node _T_3793 = and(_T_3792, bus_rsp_read) @[el2_lsu_bus_buffer.scala 510:81] + buf_data_en[1] <= _T_3793 @[el2_lsu_bus_buffer.scala 510:24] + node _T_3794 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:48] + node _T_3795 = and(_T_3794, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:68] + node _T_3796 = and(_T_3795, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 511:82] + buf_error_en[1] <= _T_3796 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3797 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 512:61] + node _T_3798 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 512:85] + node _T_3799 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 512:103] + node _T_3800 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 512:126] + node _T_3801 = mux(_T_3798, _T_3799, _T_3800) @[el2_lsu_bus_buffer.scala 512:73] + node _T_3802 = mux(buf_error_en[1], _T_3797, _T_3801) @[el2_lsu_bus_buffer.scala 512:30] + buf_data_in[1] <= _T_3802 @[el2_lsu_bus_buffer.scala 512:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3806 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3806 : @[Conditional.scala 39:67] - node _T_3807 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 528:67] - node _T_3808 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 528:94] - node _T_3809 = eq(_T_3808, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:73] - node _T_3810 = and(_T_3807, _T_3809) @[el2_lsu_bus_buffer.scala 528:71] - node _T_3811 = or(io.dec_tlu_force_halt, _T_3810) @[el2_lsu_bus_buffer.scala 528:55] - node _T_3812 = bits(_T_3811, 0, 0) @[el2_lsu_bus_buffer.scala 528:125] - node _T_3813 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:30] - node _T_3814 = and(buf_dual[1], _T_3813) @[el2_lsu_bus_buffer.scala 529:28] - node _T_3815 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 529:57] - node _T_3816 = eq(_T_3815, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:47] - node _T_3817 = and(_T_3814, _T_3816) @[el2_lsu_bus_buffer.scala 529:45] - node _T_3818 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 529:90] - node _T_3819 = and(_T_3817, _T_3818) @[el2_lsu_bus_buffer.scala 529:61] - node _T_3820 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 530:27] - node _T_3821 = or(_T_3820, any_done_wait_state) @[el2_lsu_bus_buffer.scala 530:31] - node _T_3822 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 530:70] - node _T_3823 = and(buf_dual[1], _T_3822) @[el2_lsu_bus_buffer.scala 530:68] - node _T_3824 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 530:97] - node _T_3825 = eq(_T_3824, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 530:87] - node _T_3826 = and(_T_3823, _T_3825) @[el2_lsu_bus_buffer.scala 530:85] - node _T_3827 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_3828 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_3829 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_3830 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_3831 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_3832 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_3833 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_3834 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_3835 = mux(_T_3827, _T_3828, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3836 = mux(_T_3829, _T_3830, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3837 = mux(_T_3831, _T_3832, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3838 = mux(_T_3833, _T_3834, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3839 = or(_T_3835, _T_3836) @[Mux.scala 27:72] - node _T_3840 = or(_T_3839, _T_3837) @[Mux.scala 27:72] - node _T_3841 = or(_T_3840, _T_3838) @[Mux.scala 27:72] - wire _T_3842 : UInt<1> @[Mux.scala 27:72] - _T_3842 <= _T_3841 @[Mux.scala 27:72] - node _T_3843 = and(_T_3826, _T_3842) @[el2_lsu_bus_buffer.scala 530:101] - node _T_3844 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 530:167] - node _T_3845 = and(_T_3843, _T_3844) @[el2_lsu_bus_buffer.scala 530:138] - node _T_3846 = and(_T_3845, any_done_wait_state) @[el2_lsu_bus_buffer.scala 530:187] - node _T_3847 = or(_T_3821, _T_3846) @[el2_lsu_bus_buffer.scala 530:53] - node _T_3848 = mux(_T_3847, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 530:16] - node _T_3849 = mux(_T_3819, UInt<3>("h04"), _T_3848) @[el2_lsu_bus_buffer.scala 529:14] - node _T_3850 = mux(_T_3812, UInt<3>("h00"), _T_3849) @[el2_lsu_bus_buffer.scala 528:31] - buf_nxtstate[1] <= _T_3850 @[el2_lsu_bus_buffer.scala 528:25] - node _T_3851 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 531:73] - node _T_3852 = and(bus_rsp_write, _T_3851) @[el2_lsu_bus_buffer.scala 531:52] - node _T_3853 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 532:46] - node _T_3854 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 533:23] - node _T_3855 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 533:47] - node _T_3856 = and(_T_3854, _T_3855) @[el2_lsu_bus_buffer.scala 533:27] - node _T_3857 = or(_T_3853, _T_3856) @[el2_lsu_bus_buffer.scala 532:77] - node _T_3858 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 534:26] - node _T_3859 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 534:54] - node _T_3860 = not(_T_3859) @[el2_lsu_bus_buffer.scala 534:44] - node _T_3861 = and(_T_3858, _T_3860) @[el2_lsu_bus_buffer.scala 534:42] - node _T_3862 = and(_T_3861, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 534:58] - node _T_3863 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 534:94] - node _T_3864 = and(_T_3862, _T_3863) @[el2_lsu_bus_buffer.scala 534:74] - node _T_3865 = or(_T_3857, _T_3864) @[el2_lsu_bus_buffer.scala 533:71] - node _T_3866 = and(bus_rsp_read, _T_3865) @[el2_lsu_bus_buffer.scala 532:25] - node _T_3867 = or(_T_3852, _T_3866) @[el2_lsu_bus_buffer.scala 531:105] - buf_resp_state_bus_en[1] <= _T_3867 @[el2_lsu_bus_buffer.scala 531:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 535:29] - node _T_3868 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:49] - node _T_3869 = or(_T_3868, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 536:70] - buf_state_en[1] <= _T_3869 @[el2_lsu_bus_buffer.scala 536:25] - node _T_3870 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 537:47] - node _T_3871 = and(_T_3870, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:62] - buf_data_en[1] <= _T_3871 @[el2_lsu_bus_buffer.scala 537:24] - node _T_3872 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 538:48] - node _T_3873 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 538:111] - node _T_3874 = and(bus_rsp_read_error, _T_3873) @[el2_lsu_bus_buffer.scala 538:91] - node _T_3875 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 539:42] - node _T_3876 = and(bus_rsp_read_error, _T_3875) @[el2_lsu_bus_buffer.scala 539:31] - node _T_3877 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 539:66] - node _T_3878 = and(_T_3876, _T_3877) @[el2_lsu_bus_buffer.scala 539:46] - node _T_3879 = or(_T_3874, _T_3878) @[el2_lsu_bus_buffer.scala 538:143] - node _T_3880 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 540:32] - node _T_3881 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 540:74] - node _T_3882 = and(_T_3880, _T_3881) @[el2_lsu_bus_buffer.scala 540:53] - node _T_3883 = or(_T_3879, _T_3882) @[el2_lsu_bus_buffer.scala 539:88] - node _T_3884 = and(_T_3872, _T_3883) @[el2_lsu_bus_buffer.scala 538:68] - buf_error_en[1] <= _T_3884 @[el2_lsu_bus_buffer.scala 538:25] - node _T_3885 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 541:50] - node _T_3886 = and(buf_state_en[1], _T_3885) @[el2_lsu_bus_buffer.scala 541:48] - node _T_3887 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 541:84] - node _T_3888 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 541:102] - node _T_3889 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 541:125] - node _T_3890 = mux(_T_3887, _T_3888, _T_3889) @[el2_lsu_bus_buffer.scala 541:72] - node _T_3891 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 541:148] - node _T_3892 = mux(_T_3886, _T_3890, _T_3891) @[el2_lsu_bus_buffer.scala 541:30] - buf_data_in[1] <= _T_3892 @[el2_lsu_bus_buffer.scala 541:24] + node _T_3803 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3803 : @[Conditional.scala 39:67] + node _T_3804 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 515:67] + node _T_3805 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 515:94] + node _T_3806 = eq(_T_3805, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 515:73] + node _T_3807 = and(_T_3804, _T_3806) @[el2_lsu_bus_buffer.scala 515:71] + node _T_3808 = or(io.dec_tlu_force_halt, _T_3807) @[el2_lsu_bus_buffer.scala 515:55] + node _T_3809 = bits(_T_3808, 0, 0) @[el2_lsu_bus_buffer.scala 515:125] + node _T_3810 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:30] + node _T_3811 = and(buf_dual[1], _T_3810) @[el2_lsu_bus_buffer.scala 516:28] + node _T_3812 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 516:57] + node _T_3813 = eq(_T_3812, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:47] + node _T_3814 = and(_T_3811, _T_3813) @[el2_lsu_bus_buffer.scala 516:45] + node _T_3815 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 516:90] + node _T_3816 = and(_T_3814, _T_3815) @[el2_lsu_bus_buffer.scala 516:61] + node _T_3817 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 517:27] + node _T_3818 = or(_T_3817, any_done_wait_state) @[el2_lsu_bus_buffer.scala 517:31] + node _T_3819 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:70] + node _T_3820 = and(buf_dual[1], _T_3819) @[el2_lsu_bus_buffer.scala 517:68] + node _T_3821 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 517:97] + node _T_3822 = eq(_T_3821, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:87] + node _T_3823 = and(_T_3820, _T_3822) @[el2_lsu_bus_buffer.scala 517:85] + node _T_3824 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_3825 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] + node _T_3826 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_3827 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 109:129] + node _T_3828 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_3829 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 109:129] + node _T_3830 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_3831 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 109:129] + node _T_3832 = mux(_T_3824, _T_3825, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3833 = mux(_T_3826, _T_3827, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3834 = mux(_T_3828, _T_3829, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3835 = mux(_T_3830, _T_3831, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3836 = or(_T_3832, _T_3833) @[Mux.scala 27:72] + node _T_3837 = or(_T_3836, _T_3834) @[Mux.scala 27:72] + node _T_3838 = or(_T_3837, _T_3835) @[Mux.scala 27:72] + wire _T_3839 : UInt<1> @[Mux.scala 27:72] + _T_3839 <= _T_3838 @[Mux.scala 27:72] + node _T_3840 = and(_T_3823, _T_3839) @[el2_lsu_bus_buffer.scala 517:101] + node _T_3841 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 517:167] + node _T_3842 = and(_T_3840, _T_3841) @[el2_lsu_bus_buffer.scala 517:138] + node _T_3843 = and(_T_3842, any_done_wait_state) @[el2_lsu_bus_buffer.scala 517:187] + node _T_3844 = or(_T_3818, _T_3843) @[el2_lsu_bus_buffer.scala 517:53] + node _T_3845 = mux(_T_3844, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 517:16] + node _T_3846 = mux(_T_3816, UInt<3>("h04"), _T_3845) @[el2_lsu_bus_buffer.scala 516:14] + node _T_3847 = mux(_T_3809, UInt<3>("h00"), _T_3846) @[el2_lsu_bus_buffer.scala 515:31] + buf_nxtstate[1] <= _T_3847 @[el2_lsu_bus_buffer.scala 515:25] + node _T_3848 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 518:73] + node _T_3849 = and(bus_rsp_write, _T_3848) @[el2_lsu_bus_buffer.scala 518:52] + node _T_3850 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 519:46] + node _T_3851 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 520:23] + node _T_3852 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 520:47] + node _T_3853 = and(_T_3851, _T_3852) @[el2_lsu_bus_buffer.scala 520:27] + node _T_3854 = or(_T_3850, _T_3853) @[el2_lsu_bus_buffer.scala 519:77] + node _T_3855 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 521:26] + node _T_3856 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 521:54] + node _T_3857 = not(_T_3856) @[el2_lsu_bus_buffer.scala 521:44] + node _T_3858 = and(_T_3855, _T_3857) @[el2_lsu_bus_buffer.scala 521:42] + node _T_3859 = and(_T_3858, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 521:58] + node _T_3860 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 521:94] + node _T_3861 = and(_T_3859, _T_3860) @[el2_lsu_bus_buffer.scala 521:74] + node _T_3862 = or(_T_3854, _T_3861) @[el2_lsu_bus_buffer.scala 520:71] + node _T_3863 = and(bus_rsp_read, _T_3862) @[el2_lsu_bus_buffer.scala 519:25] + node _T_3864 = or(_T_3849, _T_3863) @[el2_lsu_bus_buffer.scala 518:105] + buf_resp_state_bus_en[1] <= _T_3864 @[el2_lsu_bus_buffer.scala 518:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 522:29] + node _T_3865 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 523:49] + node _T_3866 = or(_T_3865, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 523:70] + buf_state_en[1] <= _T_3866 @[el2_lsu_bus_buffer.scala 523:25] + node _T_3867 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 524:47] + node _T_3868 = and(_T_3867, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 524:62] + buf_data_en[1] <= _T_3868 @[el2_lsu_bus_buffer.scala 524:24] + node _T_3869 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:48] + node _T_3870 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 525:111] + node _T_3871 = and(bus_rsp_read_error, _T_3870) @[el2_lsu_bus_buffer.scala 525:91] + node _T_3872 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 526:42] + node _T_3873 = and(bus_rsp_read_error, _T_3872) @[el2_lsu_bus_buffer.scala 526:31] + node _T_3874 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 526:66] + node _T_3875 = and(_T_3873, _T_3874) @[el2_lsu_bus_buffer.scala 526:46] + node _T_3876 = or(_T_3871, _T_3875) @[el2_lsu_bus_buffer.scala 525:143] + node _T_3877 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 527:32] + node _T_3878 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 527:74] + node _T_3879 = and(_T_3877, _T_3878) @[el2_lsu_bus_buffer.scala 527:53] + node _T_3880 = or(_T_3876, _T_3879) @[el2_lsu_bus_buffer.scala 526:88] + node _T_3881 = and(_T_3869, _T_3880) @[el2_lsu_bus_buffer.scala 525:68] + buf_error_en[1] <= _T_3881 @[el2_lsu_bus_buffer.scala 525:25] + node _T_3882 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:50] + node _T_3883 = and(buf_state_en[1], _T_3882) @[el2_lsu_bus_buffer.scala 528:48] + node _T_3884 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 528:84] + node _T_3885 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 528:102] + node _T_3886 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 528:125] + node _T_3887 = mux(_T_3884, _T_3885, _T_3886) @[el2_lsu_bus_buffer.scala 528:72] + node _T_3888 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 528:148] + node _T_3889 = mux(_T_3883, _T_3887, _T_3888) @[el2_lsu_bus_buffer.scala 528:30] + buf_data_in[1] <= _T_3889 @[el2_lsu_bus_buffer.scala 528:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3893 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3893 : @[Conditional.scala 39:67] - node _T_3894 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 544:60] - node _T_3895 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 544:86] - node _T_3896 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 544:101] - node _T_3897 = bits(_T_3896, 0, 0) @[el2_lsu_bus_buffer.scala 544:101] - node _T_3898 = or(_T_3895, _T_3897) @[el2_lsu_bus_buffer.scala 544:90] - node _T_3899 = or(_T_3898, any_done_wait_state) @[el2_lsu_bus_buffer.scala 544:118] - node _T_3900 = mux(_T_3899, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 544:75] - node _T_3901 = mux(_T_3894, UInt<3>("h00"), _T_3900) @[el2_lsu_bus_buffer.scala 544:31] - buf_nxtstate[1] <= _T_3901 @[el2_lsu_bus_buffer.scala 544:25] - node _T_3902 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 545:66] - node _T_3903 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 546:21] - node _T_3904 = bits(_T_3903, 0, 0) @[el2_lsu_bus_buffer.scala 546:21] - node _T_3905 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 546:58] - node _T_3906 = and(_T_3904, _T_3905) @[el2_lsu_bus_buffer.scala 546:38] - node _T_3907 = or(_T_3902, _T_3906) @[el2_lsu_bus_buffer.scala 545:95] - node _T_3908 = and(bus_rsp_read, _T_3907) @[el2_lsu_bus_buffer.scala 545:45] - buf_state_bus_en[1] <= _T_3908 @[el2_lsu_bus_buffer.scala 545:29] - node _T_3909 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 547:49] - node _T_3910 = or(_T_3909, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 547:70] - buf_state_en[1] <= _T_3910 @[el2_lsu_bus_buffer.scala 547:25] + node _T_3890 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3890 : @[Conditional.scala 39:67] + node _T_3891 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 531:60] + node _T_3892 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 531:86] + node _T_3893 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 531:101] + node _T_3894 = bits(_T_3893, 0, 0) @[el2_lsu_bus_buffer.scala 531:101] + node _T_3895 = or(_T_3892, _T_3894) @[el2_lsu_bus_buffer.scala 531:90] + node _T_3896 = or(_T_3895, any_done_wait_state) @[el2_lsu_bus_buffer.scala 531:118] + node _T_3897 = mux(_T_3896, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 531:75] + node _T_3898 = mux(_T_3891, UInt<3>("h00"), _T_3897) @[el2_lsu_bus_buffer.scala 531:31] + buf_nxtstate[1] <= _T_3898 @[el2_lsu_bus_buffer.scala 531:25] + node _T_3899 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 532:66] + node _T_3900 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 533:21] + node _T_3901 = bits(_T_3900, 0, 0) @[el2_lsu_bus_buffer.scala 533:21] + node _T_3902 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 533:58] + node _T_3903 = and(_T_3901, _T_3902) @[el2_lsu_bus_buffer.scala 533:38] + node _T_3904 = or(_T_3899, _T_3903) @[el2_lsu_bus_buffer.scala 532:95] + node _T_3905 = and(bus_rsp_read, _T_3904) @[el2_lsu_bus_buffer.scala 532:45] + buf_state_bus_en[1] <= _T_3905 @[el2_lsu_bus_buffer.scala 532:29] + node _T_3906 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:49] + node _T_3907 = or(_T_3906, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 534:70] + buf_state_en[1] <= _T_3907 @[el2_lsu_bus_buffer.scala 534:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3911 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3911 : @[Conditional.scala 39:67] - node _T_3912 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 550:60] - node _T_3913 = mux(_T_3912, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:31] - buf_nxtstate[1] <= _T_3913 @[el2_lsu_bus_buffer.scala 550:25] - node _T_3914 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 551:37] - node _T_3915 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 551:98] - node _T_3916 = and(buf_dual[1], _T_3915) @[el2_lsu_bus_buffer.scala 551:80] - node _T_3917 = or(_T_3914, _T_3916) @[el2_lsu_bus_buffer.scala 551:65] - node _T_3918 = or(_T_3917, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 551:112] - buf_state_en[1] <= _T_3918 @[el2_lsu_bus_buffer.scala 551:25] + node _T_3908 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3908 : @[Conditional.scala 39:67] + node _T_3909 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 537:60] + node _T_3910 = mux(_T_3909, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 537:31] + buf_nxtstate[1] <= _T_3910 @[el2_lsu_bus_buffer.scala 537:25] + node _T_3911 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 538:37] + node _T_3912 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 538:98] + node _T_3913 = and(buf_dual[1], _T_3912) @[el2_lsu_bus_buffer.scala 538:80] + node _T_3914 = or(_T_3911, _T_3913) @[el2_lsu_bus_buffer.scala 538:65] + node _T_3915 = or(_T_3914, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 538:112] + buf_state_en[1] <= _T_3915 @[el2_lsu_bus_buffer.scala 538:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3919 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3919 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 554:25] - buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 555:20] - buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 556:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 557:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 558:25] + node _T_3916 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3916 : @[Conditional.scala 39:67] + buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 541:25] + buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 542:20] + buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 543:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 544:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 545:25] skip @[Conditional.scala 39:67] - node _T_3920 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 561:108] - reg _T_3921 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3920 : @[Reg.scala 28:19] - _T_3921 <= buf_nxtstate[1] @[Reg.scala 28:23] + node _T_3917 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 548:108] + reg _T_3918 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3917 : @[Reg.scala 28:19] + _T_3918 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3921 @[el2_lsu_bus_buffer.scala 561:18] - reg _T_3922 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 562:60] - _T_3922 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 562:60] - buf_ageQ[1] <= _T_3922 @[el2_lsu_bus_buffer.scala 562:17] - reg _T_3923 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 563:63] - _T_3923 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 563:63] - buf_rspageQ[1] <= _T_3923 @[el2_lsu_bus_buffer.scala 563:20] - node _T_3924 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 564:109] - reg _T_3925 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + buf_state[1] <= _T_3918 @[el2_lsu_bus_buffer.scala 548:18] + reg _T_3919 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 549:60] + _T_3919 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 549:60] + buf_ageQ[1] <= _T_3919 @[el2_lsu_bus_buffer.scala 549:17] + reg _T_3920 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 550:63] + _T_3920 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 550:63] + buf_rspageQ[1] <= _T_3920 @[el2_lsu_bus_buffer.scala 550:20] + node _T_3921 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 551:109] + reg _T_3922 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3921 : @[Reg.scala 28:19] + _T_3922 <= buf_dualtag_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[1] <= _T_3922 @[el2_lsu_bus_buffer.scala 551:20] + node _T_3923 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 552:74] + node _T_3924 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 552:107] + reg _T_3925 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3924 : @[Reg.scala 28:19] - _T_3925 <= buf_dualtag_in[1] @[Reg.scala 28:23] + _T_3925 <= _T_3923 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3925 @[el2_lsu_bus_buffer.scala 564:20] - node _T_3926 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 565:74] - node _T_3927 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 565:107] + buf_dual[1] <= _T_3925 @[el2_lsu_bus_buffer.scala 552:17] + node _T_3926 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 553:78] + node _T_3927 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 553:111] reg _T_3928 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3927 : @[Reg.scala 28:19] _T_3928 <= _T_3926 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3928 @[el2_lsu_bus_buffer.scala 565:17] - node _T_3929 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 566:78] - node _T_3930 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 566:111] + buf_samedw[1] <= _T_3928 @[el2_lsu_bus_buffer.scala 553:19] + node _T_3929 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 554:80] + node _T_3930 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 554:113] reg _T_3931 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3930 : @[Reg.scala 28:19] _T_3931 <= _T_3929 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3931 @[el2_lsu_bus_buffer.scala 566:19] - node _T_3932 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 567:80] - node _T_3933 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 567:113] + buf_nomerge[1] <= _T_3931 @[el2_lsu_bus_buffer.scala 554:20] + node _T_3932 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 555:78] + node _T_3933 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 555:111] reg _T_3934 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3933 : @[Reg.scala 28:19] _T_3934 <= _T_3932 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3934 @[el2_lsu_bus_buffer.scala 567:20] - node _T_3935 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 568:78] - node _T_3936 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 568:111] - reg _T_3937 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3936 : @[Reg.scala 28:19] - _T_3937 <= _T_3935 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3937 @[el2_lsu_bus_buffer.scala 568:19] - node _T_3938 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3938 : @[Conditional.scala 40:58] - node _T_3939 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 505:56] - node _T_3940 = mux(_T_3939, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 505:31] - buf_nxtstate[2] <= _T_3940 @[el2_lsu_bus_buffer.scala 505:25] - node _T_3941 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 506:45] - node _T_3942 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 506:77] - node _T_3943 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 506:97] - node _T_3944 = and(_T_3942, _T_3943) @[el2_lsu_bus_buffer.scala 506:95] - node _T_3945 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 506:117] - node _T_3946 = and(_T_3944, _T_3945) @[el2_lsu_bus_buffer.scala 506:112] - node _T_3947 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 506:144] - node _T_3948 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 506:166] - node _T_3949 = and(_T_3947, _T_3948) @[el2_lsu_bus_buffer.scala 506:161] - node _T_3950 = or(_T_3946, _T_3949) @[el2_lsu_bus_buffer.scala 506:132] - node _T_3951 = and(_T_3941, _T_3950) @[el2_lsu_bus_buffer.scala 506:63] - node _T_3952 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 506:206] - node _T_3953 = and(ibuf_drain_vld, _T_3952) @[el2_lsu_bus_buffer.scala 506:201] - node _T_3954 = or(_T_3951, _T_3953) @[el2_lsu_bus_buffer.scala 506:183] - buf_state_en[2] <= _T_3954 @[el2_lsu_bus_buffer.scala 506:25] - buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 507:22] - buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 508:24] - node _T_3955 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 509:52] - node _T_3956 = and(ibuf_drain_vld, _T_3955) @[el2_lsu_bus_buffer.scala 509:47] - node _T_3957 = bits(_T_3956, 0, 0) @[el2_lsu_bus_buffer.scala 509:73] - node _T_3958 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 509:90] - node _T_3959 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 509:114] - node _T_3960 = mux(_T_3957, _T_3958, _T_3959) @[el2_lsu_bus_buffer.scala 509:30] - buf_data_in[2] <= _T_3960 @[el2_lsu_bus_buffer.scala 509:24] + buf_dualhi[1] <= _T_3934 @[el2_lsu_bus_buffer.scala 555:19] + node _T_3935 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3935 : @[Conditional.scala 40:58] + node _T_3936 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 492:56] + node _T_3937 = mux(_T_3936, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 492:31] + buf_nxtstate[2] <= _T_3937 @[el2_lsu_bus_buffer.scala 492:25] + node _T_3938 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 493:45] + node _T_3939 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:77] + node _T_3940 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:97] + node _T_3941 = and(_T_3939, _T_3940) @[el2_lsu_bus_buffer.scala 493:95] + node _T_3942 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 493:117] + node _T_3943 = and(_T_3941, _T_3942) @[el2_lsu_bus_buffer.scala 493:112] + node _T_3944 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:144] + node _T_3945 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 493:166] + node _T_3946 = and(_T_3944, _T_3945) @[el2_lsu_bus_buffer.scala 493:161] + node _T_3947 = or(_T_3943, _T_3946) @[el2_lsu_bus_buffer.scala 493:132] + node _T_3948 = and(_T_3938, _T_3947) @[el2_lsu_bus_buffer.scala 493:63] + node _T_3949 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 493:206] + node _T_3950 = and(ibuf_drain_vld, _T_3949) @[el2_lsu_bus_buffer.scala 493:201] + node _T_3951 = or(_T_3948, _T_3950) @[el2_lsu_bus_buffer.scala 493:183] + buf_state_en[2] <= _T_3951 @[el2_lsu_bus_buffer.scala 493:25] + buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 494:22] + buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 495:24] + node _T_3952 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 496:52] + node _T_3953 = and(ibuf_drain_vld, _T_3952) @[el2_lsu_bus_buffer.scala 496:47] + node _T_3954 = bits(_T_3953, 0, 0) @[el2_lsu_bus_buffer.scala 496:73] + node _T_3955 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 496:90] + node _T_3956 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 496:114] + node _T_3957 = mux(_T_3954, _T_3955, _T_3956) @[el2_lsu_bus_buffer.scala 496:30] + buf_data_in[2] <= _T_3957 @[el2_lsu_bus_buffer.scala 496:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3961 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3961 : @[Conditional.scala 39:67] - node _T_3962 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 512:60] - node _T_3963 = mux(_T_3962, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 512:31] - buf_nxtstate[2] <= _T_3963 @[el2_lsu_bus_buffer.scala 512:25] - node _T_3964 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 513:46] - buf_state_en[2] <= _T_3964 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3958 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3958 : @[Conditional.scala 39:67] + node _T_3959 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 499:60] + node _T_3960 = mux(_T_3959, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 499:31] + buf_nxtstate[2] <= _T_3960 @[el2_lsu_bus_buffer.scala 499:25] + node _T_3961 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 500:46] + buf_state_en[2] <= _T_3961 @[el2_lsu_bus_buffer.scala 500:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3965 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3965 : @[Conditional.scala 39:67] - node _T_3966 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 516:60] - node _T_3967 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 516:89] - node _T_3968 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 516:124] - node _T_3969 = and(_T_3967, _T_3968) @[el2_lsu_bus_buffer.scala 516:104] - node _T_3970 = mux(_T_3969, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 516:75] - node _T_3971 = mux(_T_3966, UInt<3>("h00"), _T_3970) @[el2_lsu_bus_buffer.scala 516:31] - buf_nxtstate[2] <= _T_3971 @[el2_lsu_bus_buffer.scala 516:25] - node _T_3972 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 517:48] - node _T_3973 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 517:104] - node _T_3974 = and(obuf_merge, _T_3973) @[el2_lsu_bus_buffer.scala 517:91] - node _T_3975 = or(_T_3972, _T_3974) @[el2_lsu_bus_buffer.scala 517:77] - node _T_3976 = and(_T_3975, obuf_valid) @[el2_lsu_bus_buffer.scala 517:135] - node _T_3977 = and(_T_3976, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 517:148] - buf_cmd_state_bus_en[2] <= _T_3977 @[el2_lsu_bus_buffer.scala 517:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 518:29] - node _T_3978 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 519:49] - node _T_3979 = or(_T_3978, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 519:70] - buf_state_en[2] <= _T_3979 @[el2_lsu_bus_buffer.scala 519:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 520:25] - node _T_3980 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 521:56] - node _T_3981 = eq(_T_3980, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 521:46] - node _T_3982 = and(buf_state_en[2], _T_3981) @[el2_lsu_bus_buffer.scala 521:44] - node _T_3983 = and(_T_3982, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:60] - node _T_3984 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 521:76] - node _T_3985 = and(_T_3983, _T_3984) @[el2_lsu_bus_buffer.scala 521:74] - buf_ldfwd_en[2] <= _T_3985 @[el2_lsu_bus_buffer.scala 521:25] - node _T_3986 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 522:46] - buf_ldfwdtag_in[2] <= _T_3986 @[el2_lsu_bus_buffer.scala 522:28] - node _T_3987 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 523:47] - node _T_3988 = and(_T_3987, obuf_nosend) @[el2_lsu_bus_buffer.scala 523:67] - node _T_3989 = and(_T_3988, bus_rsp_read) @[el2_lsu_bus_buffer.scala 523:81] - buf_data_en[2] <= _T_3989 @[el2_lsu_bus_buffer.scala 523:24] - node _T_3990 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 524:48] - node _T_3991 = and(_T_3990, obuf_nosend) @[el2_lsu_bus_buffer.scala 524:68] - node _T_3992 = and(_T_3991, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 524:82] - buf_error_en[2] <= _T_3992 @[el2_lsu_bus_buffer.scala 524:25] - node _T_3993 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 525:61] - node _T_3994 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 525:85] - node _T_3995 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 525:103] - node _T_3996 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 525:126] - node _T_3997 = mux(_T_3994, _T_3995, _T_3996) @[el2_lsu_bus_buffer.scala 525:73] - node _T_3998 = mux(buf_error_en[2], _T_3993, _T_3997) @[el2_lsu_bus_buffer.scala 525:30] - buf_data_in[2] <= _T_3998 @[el2_lsu_bus_buffer.scala 525:24] + node _T_3962 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3962 : @[Conditional.scala 39:67] + node _T_3963 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 503:60] + node _T_3964 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 503:89] + node _T_3965 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 503:124] + node _T_3966 = and(_T_3964, _T_3965) @[el2_lsu_bus_buffer.scala 503:104] + node _T_3967 = mux(_T_3966, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 503:75] + node _T_3968 = mux(_T_3963, UInt<3>("h00"), _T_3967) @[el2_lsu_bus_buffer.scala 503:31] + buf_nxtstate[2] <= _T_3968 @[el2_lsu_bus_buffer.scala 503:25] + node _T_3969 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 504:48] + node _T_3970 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 504:104] + node _T_3971 = and(obuf_merge, _T_3970) @[el2_lsu_bus_buffer.scala 504:91] + node _T_3972 = or(_T_3969, _T_3971) @[el2_lsu_bus_buffer.scala 504:77] + node _T_3973 = and(_T_3972, obuf_valid) @[el2_lsu_bus_buffer.scala 504:135] + node _T_3974 = and(_T_3973, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 504:148] + buf_cmd_state_bus_en[2] <= _T_3974 @[el2_lsu_bus_buffer.scala 504:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 505:29] + node _T_3975 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 506:49] + node _T_3976 = or(_T_3975, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 506:70] + buf_state_en[2] <= _T_3976 @[el2_lsu_bus_buffer.scala 506:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 507:25] + node _T_3977 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 508:56] + node _T_3978 = eq(_T_3977, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 508:46] + node _T_3979 = and(buf_state_en[2], _T_3978) @[el2_lsu_bus_buffer.scala 508:44] + node _T_3980 = and(_T_3979, obuf_nosend) @[el2_lsu_bus_buffer.scala 508:60] + node _T_3981 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 508:76] + node _T_3982 = and(_T_3980, _T_3981) @[el2_lsu_bus_buffer.scala 508:74] + buf_ldfwd_en[2] <= _T_3982 @[el2_lsu_bus_buffer.scala 508:25] + node _T_3983 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 509:46] + buf_ldfwdtag_in[2] <= _T_3983 @[el2_lsu_bus_buffer.scala 509:28] + node _T_3984 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:47] + node _T_3985 = and(_T_3984, obuf_nosend) @[el2_lsu_bus_buffer.scala 510:67] + node _T_3986 = and(_T_3985, bus_rsp_read) @[el2_lsu_bus_buffer.scala 510:81] + buf_data_en[2] <= _T_3986 @[el2_lsu_bus_buffer.scala 510:24] + node _T_3987 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:48] + node _T_3988 = and(_T_3987, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:68] + node _T_3989 = and(_T_3988, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 511:82] + buf_error_en[2] <= _T_3989 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3990 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 512:61] + node _T_3991 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 512:85] + node _T_3992 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 512:103] + node _T_3993 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 512:126] + node _T_3994 = mux(_T_3991, _T_3992, _T_3993) @[el2_lsu_bus_buffer.scala 512:73] + node _T_3995 = mux(buf_error_en[2], _T_3990, _T_3994) @[el2_lsu_bus_buffer.scala 512:30] + buf_data_in[2] <= _T_3995 @[el2_lsu_bus_buffer.scala 512:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3999 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3999 : @[Conditional.scala 39:67] - node _T_4000 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 528:67] - node _T_4001 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 528:94] - node _T_4002 = eq(_T_4001, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:73] - node _T_4003 = and(_T_4000, _T_4002) @[el2_lsu_bus_buffer.scala 528:71] - node _T_4004 = or(io.dec_tlu_force_halt, _T_4003) @[el2_lsu_bus_buffer.scala 528:55] - node _T_4005 = bits(_T_4004, 0, 0) @[el2_lsu_bus_buffer.scala 528:125] - node _T_4006 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:30] - node _T_4007 = and(buf_dual[2], _T_4006) @[el2_lsu_bus_buffer.scala 529:28] - node _T_4008 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 529:57] - node _T_4009 = eq(_T_4008, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:47] - node _T_4010 = and(_T_4007, _T_4009) @[el2_lsu_bus_buffer.scala 529:45] - node _T_4011 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 529:90] - node _T_4012 = and(_T_4010, _T_4011) @[el2_lsu_bus_buffer.scala 529:61] - node _T_4013 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 530:27] - node _T_4014 = or(_T_4013, any_done_wait_state) @[el2_lsu_bus_buffer.scala 530:31] - node _T_4015 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 530:70] - node _T_4016 = and(buf_dual[2], _T_4015) @[el2_lsu_bus_buffer.scala 530:68] - node _T_4017 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 530:97] - node _T_4018 = eq(_T_4017, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 530:87] - node _T_4019 = and(_T_4016, _T_4018) @[el2_lsu_bus_buffer.scala 530:85] - node _T_4020 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4021 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4022 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4023 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4024 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4025 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4026 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4027 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4028 = mux(_T_4020, _T_4021, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4029 = mux(_T_4022, _T_4023, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4030 = mux(_T_4024, _T_4025, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4031 = mux(_T_4026, _T_4027, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4032 = or(_T_4028, _T_4029) @[Mux.scala 27:72] - node _T_4033 = or(_T_4032, _T_4030) @[Mux.scala 27:72] - node _T_4034 = or(_T_4033, _T_4031) @[Mux.scala 27:72] - wire _T_4035 : UInt<1> @[Mux.scala 27:72] - _T_4035 <= _T_4034 @[Mux.scala 27:72] - node _T_4036 = and(_T_4019, _T_4035) @[el2_lsu_bus_buffer.scala 530:101] - node _T_4037 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 530:167] - node _T_4038 = and(_T_4036, _T_4037) @[el2_lsu_bus_buffer.scala 530:138] - node _T_4039 = and(_T_4038, any_done_wait_state) @[el2_lsu_bus_buffer.scala 530:187] - node _T_4040 = or(_T_4014, _T_4039) @[el2_lsu_bus_buffer.scala 530:53] - node _T_4041 = mux(_T_4040, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 530:16] - node _T_4042 = mux(_T_4012, UInt<3>("h04"), _T_4041) @[el2_lsu_bus_buffer.scala 529:14] - node _T_4043 = mux(_T_4005, UInt<3>("h00"), _T_4042) @[el2_lsu_bus_buffer.scala 528:31] - buf_nxtstate[2] <= _T_4043 @[el2_lsu_bus_buffer.scala 528:25] - node _T_4044 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 531:73] - node _T_4045 = and(bus_rsp_write, _T_4044) @[el2_lsu_bus_buffer.scala 531:52] - node _T_4046 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 532:46] - node _T_4047 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 533:23] - node _T_4048 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 533:47] - node _T_4049 = and(_T_4047, _T_4048) @[el2_lsu_bus_buffer.scala 533:27] - node _T_4050 = or(_T_4046, _T_4049) @[el2_lsu_bus_buffer.scala 532:77] - node _T_4051 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 534:26] - node _T_4052 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 534:54] - node _T_4053 = not(_T_4052) @[el2_lsu_bus_buffer.scala 534:44] - node _T_4054 = and(_T_4051, _T_4053) @[el2_lsu_bus_buffer.scala 534:42] - node _T_4055 = and(_T_4054, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 534:58] - node _T_4056 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 534:94] - node _T_4057 = and(_T_4055, _T_4056) @[el2_lsu_bus_buffer.scala 534:74] - node _T_4058 = or(_T_4050, _T_4057) @[el2_lsu_bus_buffer.scala 533:71] - node _T_4059 = and(bus_rsp_read, _T_4058) @[el2_lsu_bus_buffer.scala 532:25] - node _T_4060 = or(_T_4045, _T_4059) @[el2_lsu_bus_buffer.scala 531:105] - buf_resp_state_bus_en[2] <= _T_4060 @[el2_lsu_bus_buffer.scala 531:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 535:29] - node _T_4061 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:49] - node _T_4062 = or(_T_4061, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 536:70] - buf_state_en[2] <= _T_4062 @[el2_lsu_bus_buffer.scala 536:25] - node _T_4063 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 537:47] - node _T_4064 = and(_T_4063, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:62] - buf_data_en[2] <= _T_4064 @[el2_lsu_bus_buffer.scala 537:24] - node _T_4065 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 538:48] - node _T_4066 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:111] - node _T_4067 = and(bus_rsp_read_error, _T_4066) @[el2_lsu_bus_buffer.scala 538:91] - node _T_4068 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 539:42] - node _T_4069 = and(bus_rsp_read_error, _T_4068) @[el2_lsu_bus_buffer.scala 539:31] - node _T_4070 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 539:66] - node _T_4071 = and(_T_4069, _T_4070) @[el2_lsu_bus_buffer.scala 539:46] - node _T_4072 = or(_T_4067, _T_4071) @[el2_lsu_bus_buffer.scala 538:143] - node _T_4073 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 540:32] - node _T_4074 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 540:74] - node _T_4075 = and(_T_4073, _T_4074) @[el2_lsu_bus_buffer.scala 540:53] - node _T_4076 = or(_T_4072, _T_4075) @[el2_lsu_bus_buffer.scala 539:88] - node _T_4077 = and(_T_4065, _T_4076) @[el2_lsu_bus_buffer.scala 538:68] - buf_error_en[2] <= _T_4077 @[el2_lsu_bus_buffer.scala 538:25] - node _T_4078 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 541:50] - node _T_4079 = and(buf_state_en[2], _T_4078) @[el2_lsu_bus_buffer.scala 541:48] - node _T_4080 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 541:84] - node _T_4081 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 541:102] - node _T_4082 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 541:125] - node _T_4083 = mux(_T_4080, _T_4081, _T_4082) @[el2_lsu_bus_buffer.scala 541:72] - node _T_4084 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 541:148] - node _T_4085 = mux(_T_4079, _T_4083, _T_4084) @[el2_lsu_bus_buffer.scala 541:30] - buf_data_in[2] <= _T_4085 @[el2_lsu_bus_buffer.scala 541:24] + node _T_3996 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3996 : @[Conditional.scala 39:67] + node _T_3997 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 515:67] + node _T_3998 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 515:94] + node _T_3999 = eq(_T_3998, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 515:73] + node _T_4000 = and(_T_3997, _T_3999) @[el2_lsu_bus_buffer.scala 515:71] + node _T_4001 = or(io.dec_tlu_force_halt, _T_4000) @[el2_lsu_bus_buffer.scala 515:55] + node _T_4002 = bits(_T_4001, 0, 0) @[el2_lsu_bus_buffer.scala 515:125] + node _T_4003 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:30] + node _T_4004 = and(buf_dual[2], _T_4003) @[el2_lsu_bus_buffer.scala 516:28] + node _T_4005 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 516:57] + node _T_4006 = eq(_T_4005, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:47] + node _T_4007 = and(_T_4004, _T_4006) @[el2_lsu_bus_buffer.scala 516:45] + node _T_4008 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 516:90] + node _T_4009 = and(_T_4007, _T_4008) @[el2_lsu_bus_buffer.scala 516:61] + node _T_4010 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 517:27] + node _T_4011 = or(_T_4010, any_done_wait_state) @[el2_lsu_bus_buffer.scala 517:31] + node _T_4012 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:70] + node _T_4013 = and(buf_dual[2], _T_4012) @[el2_lsu_bus_buffer.scala 517:68] + node _T_4014 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 517:97] + node _T_4015 = eq(_T_4014, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:87] + node _T_4016 = and(_T_4013, _T_4015) @[el2_lsu_bus_buffer.scala 517:85] + node _T_4017 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_4018 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] + node _T_4019 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_4020 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 109:129] + node _T_4021 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_4022 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 109:129] + node _T_4023 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_4024 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 109:129] + node _T_4025 = mux(_T_4017, _T_4018, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4026 = mux(_T_4019, _T_4020, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4027 = mux(_T_4021, _T_4022, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4028 = mux(_T_4023, _T_4024, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4029 = or(_T_4025, _T_4026) @[Mux.scala 27:72] + node _T_4030 = or(_T_4029, _T_4027) @[Mux.scala 27:72] + node _T_4031 = or(_T_4030, _T_4028) @[Mux.scala 27:72] + wire _T_4032 : UInt<1> @[Mux.scala 27:72] + _T_4032 <= _T_4031 @[Mux.scala 27:72] + node _T_4033 = and(_T_4016, _T_4032) @[el2_lsu_bus_buffer.scala 517:101] + node _T_4034 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 517:167] + node _T_4035 = and(_T_4033, _T_4034) @[el2_lsu_bus_buffer.scala 517:138] + node _T_4036 = and(_T_4035, any_done_wait_state) @[el2_lsu_bus_buffer.scala 517:187] + node _T_4037 = or(_T_4011, _T_4036) @[el2_lsu_bus_buffer.scala 517:53] + node _T_4038 = mux(_T_4037, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 517:16] + node _T_4039 = mux(_T_4009, UInt<3>("h04"), _T_4038) @[el2_lsu_bus_buffer.scala 516:14] + node _T_4040 = mux(_T_4002, UInt<3>("h00"), _T_4039) @[el2_lsu_bus_buffer.scala 515:31] + buf_nxtstate[2] <= _T_4040 @[el2_lsu_bus_buffer.scala 515:25] + node _T_4041 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 518:73] + node _T_4042 = and(bus_rsp_write, _T_4041) @[el2_lsu_bus_buffer.scala 518:52] + node _T_4043 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 519:46] + node _T_4044 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 520:23] + node _T_4045 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 520:47] + node _T_4046 = and(_T_4044, _T_4045) @[el2_lsu_bus_buffer.scala 520:27] + node _T_4047 = or(_T_4043, _T_4046) @[el2_lsu_bus_buffer.scala 519:77] + node _T_4048 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 521:26] + node _T_4049 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 521:54] + node _T_4050 = not(_T_4049) @[el2_lsu_bus_buffer.scala 521:44] + node _T_4051 = and(_T_4048, _T_4050) @[el2_lsu_bus_buffer.scala 521:42] + node _T_4052 = and(_T_4051, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 521:58] + node _T_4053 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 521:94] + node _T_4054 = and(_T_4052, _T_4053) @[el2_lsu_bus_buffer.scala 521:74] + node _T_4055 = or(_T_4047, _T_4054) @[el2_lsu_bus_buffer.scala 520:71] + node _T_4056 = and(bus_rsp_read, _T_4055) @[el2_lsu_bus_buffer.scala 519:25] + node _T_4057 = or(_T_4042, _T_4056) @[el2_lsu_bus_buffer.scala 518:105] + buf_resp_state_bus_en[2] <= _T_4057 @[el2_lsu_bus_buffer.scala 518:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 522:29] + node _T_4058 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 523:49] + node _T_4059 = or(_T_4058, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 523:70] + buf_state_en[2] <= _T_4059 @[el2_lsu_bus_buffer.scala 523:25] + node _T_4060 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 524:47] + node _T_4061 = and(_T_4060, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 524:62] + buf_data_en[2] <= _T_4061 @[el2_lsu_bus_buffer.scala 524:24] + node _T_4062 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:48] + node _T_4063 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 525:111] + node _T_4064 = and(bus_rsp_read_error, _T_4063) @[el2_lsu_bus_buffer.scala 525:91] + node _T_4065 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 526:42] + node _T_4066 = and(bus_rsp_read_error, _T_4065) @[el2_lsu_bus_buffer.scala 526:31] + node _T_4067 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 526:66] + node _T_4068 = and(_T_4066, _T_4067) @[el2_lsu_bus_buffer.scala 526:46] + node _T_4069 = or(_T_4064, _T_4068) @[el2_lsu_bus_buffer.scala 525:143] + node _T_4070 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 527:32] + node _T_4071 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 527:74] + node _T_4072 = and(_T_4070, _T_4071) @[el2_lsu_bus_buffer.scala 527:53] + node _T_4073 = or(_T_4069, _T_4072) @[el2_lsu_bus_buffer.scala 526:88] + node _T_4074 = and(_T_4062, _T_4073) @[el2_lsu_bus_buffer.scala 525:68] + buf_error_en[2] <= _T_4074 @[el2_lsu_bus_buffer.scala 525:25] + node _T_4075 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:50] + node _T_4076 = and(buf_state_en[2], _T_4075) @[el2_lsu_bus_buffer.scala 528:48] + node _T_4077 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 528:84] + node _T_4078 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 528:102] + node _T_4079 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 528:125] + node _T_4080 = mux(_T_4077, _T_4078, _T_4079) @[el2_lsu_bus_buffer.scala 528:72] + node _T_4081 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 528:148] + node _T_4082 = mux(_T_4076, _T_4080, _T_4081) @[el2_lsu_bus_buffer.scala 528:30] + buf_data_in[2] <= _T_4082 @[el2_lsu_bus_buffer.scala 528:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4086 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] - when _T_4086 : @[Conditional.scala 39:67] - node _T_4087 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 544:60] - node _T_4088 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 544:86] - node _T_4089 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 544:101] - node _T_4090 = bits(_T_4089, 0, 0) @[el2_lsu_bus_buffer.scala 544:101] - node _T_4091 = or(_T_4088, _T_4090) @[el2_lsu_bus_buffer.scala 544:90] - node _T_4092 = or(_T_4091, any_done_wait_state) @[el2_lsu_bus_buffer.scala 544:118] - node _T_4093 = mux(_T_4092, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 544:75] - node _T_4094 = mux(_T_4087, UInt<3>("h00"), _T_4093) @[el2_lsu_bus_buffer.scala 544:31] - buf_nxtstate[2] <= _T_4094 @[el2_lsu_bus_buffer.scala 544:25] - node _T_4095 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 545:66] - node _T_4096 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 546:21] - node _T_4097 = bits(_T_4096, 0, 0) @[el2_lsu_bus_buffer.scala 546:21] - node _T_4098 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 546:58] - node _T_4099 = and(_T_4097, _T_4098) @[el2_lsu_bus_buffer.scala 546:38] - node _T_4100 = or(_T_4095, _T_4099) @[el2_lsu_bus_buffer.scala 545:95] - node _T_4101 = and(bus_rsp_read, _T_4100) @[el2_lsu_bus_buffer.scala 545:45] - buf_state_bus_en[2] <= _T_4101 @[el2_lsu_bus_buffer.scala 545:29] - node _T_4102 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 547:49] - node _T_4103 = or(_T_4102, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 547:70] - buf_state_en[2] <= _T_4103 @[el2_lsu_bus_buffer.scala 547:25] + node _T_4083 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4083 : @[Conditional.scala 39:67] + node _T_4084 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 531:60] + node _T_4085 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 531:86] + node _T_4086 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 531:101] + node _T_4087 = bits(_T_4086, 0, 0) @[el2_lsu_bus_buffer.scala 531:101] + node _T_4088 = or(_T_4085, _T_4087) @[el2_lsu_bus_buffer.scala 531:90] + node _T_4089 = or(_T_4088, any_done_wait_state) @[el2_lsu_bus_buffer.scala 531:118] + node _T_4090 = mux(_T_4089, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 531:75] + node _T_4091 = mux(_T_4084, UInt<3>("h00"), _T_4090) @[el2_lsu_bus_buffer.scala 531:31] + buf_nxtstate[2] <= _T_4091 @[el2_lsu_bus_buffer.scala 531:25] + node _T_4092 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 532:66] + node _T_4093 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 533:21] + node _T_4094 = bits(_T_4093, 0, 0) @[el2_lsu_bus_buffer.scala 533:21] + node _T_4095 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 533:58] + node _T_4096 = and(_T_4094, _T_4095) @[el2_lsu_bus_buffer.scala 533:38] + node _T_4097 = or(_T_4092, _T_4096) @[el2_lsu_bus_buffer.scala 532:95] + node _T_4098 = and(bus_rsp_read, _T_4097) @[el2_lsu_bus_buffer.scala 532:45] + buf_state_bus_en[2] <= _T_4098 @[el2_lsu_bus_buffer.scala 532:29] + node _T_4099 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:49] + node _T_4100 = or(_T_4099, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 534:70] + buf_state_en[2] <= _T_4100 @[el2_lsu_bus_buffer.scala 534:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4104 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] - when _T_4104 : @[Conditional.scala 39:67] - node _T_4105 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 550:60] - node _T_4106 = mux(_T_4105, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:31] - buf_nxtstate[2] <= _T_4106 @[el2_lsu_bus_buffer.scala 550:25] - node _T_4107 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 551:37] - node _T_4108 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 551:98] - node _T_4109 = and(buf_dual[2], _T_4108) @[el2_lsu_bus_buffer.scala 551:80] - node _T_4110 = or(_T_4107, _T_4109) @[el2_lsu_bus_buffer.scala 551:65] - node _T_4111 = or(_T_4110, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 551:112] - buf_state_en[2] <= _T_4111 @[el2_lsu_bus_buffer.scala 551:25] + node _T_4101 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4101 : @[Conditional.scala 39:67] + node _T_4102 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 537:60] + node _T_4103 = mux(_T_4102, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 537:31] + buf_nxtstate[2] <= _T_4103 @[el2_lsu_bus_buffer.scala 537:25] + node _T_4104 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 538:37] + node _T_4105 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 538:98] + node _T_4106 = and(buf_dual[2], _T_4105) @[el2_lsu_bus_buffer.scala 538:80] + node _T_4107 = or(_T_4104, _T_4106) @[el2_lsu_bus_buffer.scala 538:65] + node _T_4108 = or(_T_4107, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 538:112] + buf_state_en[2] <= _T_4108 @[el2_lsu_bus_buffer.scala 538:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4112 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] - when _T_4112 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 554:25] - buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 555:20] - buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 556:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 557:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 558:25] + node _T_4109 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4109 : @[Conditional.scala 39:67] + buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 541:25] + buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 542:20] + buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 543:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 544:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 545:25] skip @[Conditional.scala 39:67] - node _T_4113 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 561:108] - reg _T_4114 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4113 : @[Reg.scala 28:19] - _T_4114 <= buf_nxtstate[2] @[Reg.scala 28:23] + node _T_4110 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 548:108] + reg _T_4111 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4110 : @[Reg.scala 28:19] + _T_4111 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_4114 @[el2_lsu_bus_buffer.scala 561:18] - reg _T_4115 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 562:60] - _T_4115 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 562:60] - buf_ageQ[2] <= _T_4115 @[el2_lsu_bus_buffer.scala 562:17] - reg _T_4116 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 563:63] - _T_4116 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 563:63] - buf_rspageQ[2] <= _T_4116 @[el2_lsu_bus_buffer.scala 563:20] - node _T_4117 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 564:109] - reg _T_4118 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + buf_state[2] <= _T_4111 @[el2_lsu_bus_buffer.scala 548:18] + reg _T_4112 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 549:60] + _T_4112 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 549:60] + buf_ageQ[2] <= _T_4112 @[el2_lsu_bus_buffer.scala 549:17] + reg _T_4113 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 550:63] + _T_4113 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 550:63] + buf_rspageQ[2] <= _T_4113 @[el2_lsu_bus_buffer.scala 550:20] + node _T_4114 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 551:109] + reg _T_4115 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4114 : @[Reg.scala 28:19] + _T_4115 <= buf_dualtag_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[2] <= _T_4115 @[el2_lsu_bus_buffer.scala 551:20] + node _T_4116 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 552:74] + node _T_4117 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 552:107] + reg _T_4118 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4117 : @[Reg.scala 28:19] - _T_4118 <= buf_dualtag_in[2] @[Reg.scala 28:23] + _T_4118 <= _T_4116 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4118 @[el2_lsu_bus_buffer.scala 564:20] - node _T_4119 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 565:74] - node _T_4120 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 565:107] + buf_dual[2] <= _T_4118 @[el2_lsu_bus_buffer.scala 552:17] + node _T_4119 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 553:78] + node _T_4120 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 553:111] reg _T_4121 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4120 : @[Reg.scala 28:19] _T_4121 <= _T_4119 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4121 @[el2_lsu_bus_buffer.scala 565:17] - node _T_4122 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 566:78] - node _T_4123 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 566:111] + buf_samedw[2] <= _T_4121 @[el2_lsu_bus_buffer.scala 553:19] + node _T_4122 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 554:80] + node _T_4123 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 554:113] reg _T_4124 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4123 : @[Reg.scala 28:19] _T_4124 <= _T_4122 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4124 @[el2_lsu_bus_buffer.scala 566:19] - node _T_4125 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 567:80] - node _T_4126 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 567:113] + buf_nomerge[2] <= _T_4124 @[el2_lsu_bus_buffer.scala 554:20] + node _T_4125 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 555:78] + node _T_4126 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 555:111] reg _T_4127 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4126 : @[Reg.scala 28:19] _T_4127 <= _T_4125 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4127 @[el2_lsu_bus_buffer.scala 567:20] - node _T_4128 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 568:78] - node _T_4129 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 568:111] - reg _T_4130 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4129 : @[Reg.scala 28:19] - _T_4130 <= _T_4128 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4130 @[el2_lsu_bus_buffer.scala 568:19] - node _T_4131 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4131 : @[Conditional.scala 40:58] - node _T_4132 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 505:56] - node _T_4133 = mux(_T_4132, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 505:31] - buf_nxtstate[3] <= _T_4133 @[el2_lsu_bus_buffer.scala 505:25] - node _T_4134 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 506:45] - node _T_4135 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 506:77] - node _T_4136 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 506:97] - node _T_4137 = and(_T_4135, _T_4136) @[el2_lsu_bus_buffer.scala 506:95] - node _T_4138 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 506:117] - node _T_4139 = and(_T_4137, _T_4138) @[el2_lsu_bus_buffer.scala 506:112] - node _T_4140 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 506:144] - node _T_4141 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 506:166] - node _T_4142 = and(_T_4140, _T_4141) @[el2_lsu_bus_buffer.scala 506:161] - node _T_4143 = or(_T_4139, _T_4142) @[el2_lsu_bus_buffer.scala 506:132] - node _T_4144 = and(_T_4134, _T_4143) @[el2_lsu_bus_buffer.scala 506:63] - node _T_4145 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 506:206] - node _T_4146 = and(ibuf_drain_vld, _T_4145) @[el2_lsu_bus_buffer.scala 506:201] - node _T_4147 = or(_T_4144, _T_4146) @[el2_lsu_bus_buffer.scala 506:183] - buf_state_en[3] <= _T_4147 @[el2_lsu_bus_buffer.scala 506:25] - buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 507:22] - buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 508:24] - node _T_4148 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 509:52] - node _T_4149 = and(ibuf_drain_vld, _T_4148) @[el2_lsu_bus_buffer.scala 509:47] - node _T_4150 = bits(_T_4149, 0, 0) @[el2_lsu_bus_buffer.scala 509:73] - node _T_4151 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 509:90] - node _T_4152 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 509:114] - node _T_4153 = mux(_T_4150, _T_4151, _T_4152) @[el2_lsu_bus_buffer.scala 509:30] - buf_data_in[3] <= _T_4153 @[el2_lsu_bus_buffer.scala 509:24] + buf_dualhi[2] <= _T_4127 @[el2_lsu_bus_buffer.scala 555:19] + node _T_4128 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4128 : @[Conditional.scala 40:58] + node _T_4129 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 492:56] + node _T_4130 = mux(_T_4129, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 492:31] + buf_nxtstate[3] <= _T_4130 @[el2_lsu_bus_buffer.scala 492:25] + node _T_4131 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 493:45] + node _T_4132 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:77] + node _T_4133 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:97] + node _T_4134 = and(_T_4132, _T_4133) @[el2_lsu_bus_buffer.scala 493:95] + node _T_4135 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 493:117] + node _T_4136 = and(_T_4134, _T_4135) @[el2_lsu_bus_buffer.scala 493:112] + node _T_4137 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:144] + node _T_4138 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 493:166] + node _T_4139 = and(_T_4137, _T_4138) @[el2_lsu_bus_buffer.scala 493:161] + node _T_4140 = or(_T_4136, _T_4139) @[el2_lsu_bus_buffer.scala 493:132] + node _T_4141 = and(_T_4131, _T_4140) @[el2_lsu_bus_buffer.scala 493:63] + node _T_4142 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 493:206] + node _T_4143 = and(ibuf_drain_vld, _T_4142) @[el2_lsu_bus_buffer.scala 493:201] + node _T_4144 = or(_T_4141, _T_4143) @[el2_lsu_bus_buffer.scala 493:183] + buf_state_en[3] <= _T_4144 @[el2_lsu_bus_buffer.scala 493:25] + buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 494:22] + buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 495:24] + node _T_4145 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 496:52] + node _T_4146 = and(ibuf_drain_vld, _T_4145) @[el2_lsu_bus_buffer.scala 496:47] + node _T_4147 = bits(_T_4146, 0, 0) @[el2_lsu_bus_buffer.scala 496:73] + node _T_4148 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 496:90] + node _T_4149 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 496:114] + node _T_4150 = mux(_T_4147, _T_4148, _T_4149) @[el2_lsu_bus_buffer.scala 496:30] + buf_data_in[3] <= _T_4150 @[el2_lsu_bus_buffer.scala 496:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_4154 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4154 : @[Conditional.scala 39:67] - node _T_4155 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 512:60] - node _T_4156 = mux(_T_4155, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 512:31] - buf_nxtstate[3] <= _T_4156 @[el2_lsu_bus_buffer.scala 512:25] - node _T_4157 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 513:46] - buf_state_en[3] <= _T_4157 @[el2_lsu_bus_buffer.scala 513:25] + node _T_4151 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4151 : @[Conditional.scala 39:67] + node _T_4152 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 499:60] + node _T_4153 = mux(_T_4152, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 499:31] + buf_nxtstate[3] <= _T_4153 @[el2_lsu_bus_buffer.scala 499:25] + node _T_4154 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 500:46] + buf_state_en[3] <= _T_4154 @[el2_lsu_bus_buffer.scala 500:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4158 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4158 : @[Conditional.scala 39:67] - node _T_4159 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 516:60] - node _T_4160 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 516:89] - node _T_4161 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 516:124] - node _T_4162 = and(_T_4160, _T_4161) @[el2_lsu_bus_buffer.scala 516:104] - node _T_4163 = mux(_T_4162, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 516:75] - node _T_4164 = mux(_T_4159, UInt<3>("h00"), _T_4163) @[el2_lsu_bus_buffer.scala 516:31] - buf_nxtstate[3] <= _T_4164 @[el2_lsu_bus_buffer.scala 516:25] - node _T_4165 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 517:48] - node _T_4166 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 517:104] - node _T_4167 = and(obuf_merge, _T_4166) @[el2_lsu_bus_buffer.scala 517:91] - node _T_4168 = or(_T_4165, _T_4167) @[el2_lsu_bus_buffer.scala 517:77] - node _T_4169 = and(_T_4168, obuf_valid) @[el2_lsu_bus_buffer.scala 517:135] - node _T_4170 = and(_T_4169, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 517:148] - buf_cmd_state_bus_en[3] <= _T_4170 @[el2_lsu_bus_buffer.scala 517:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 518:29] - node _T_4171 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 519:49] - node _T_4172 = or(_T_4171, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 519:70] - buf_state_en[3] <= _T_4172 @[el2_lsu_bus_buffer.scala 519:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 520:25] - node _T_4173 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 521:56] - node _T_4174 = eq(_T_4173, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 521:46] - node _T_4175 = and(buf_state_en[3], _T_4174) @[el2_lsu_bus_buffer.scala 521:44] - node _T_4176 = and(_T_4175, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:60] - node _T_4177 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 521:76] - node _T_4178 = and(_T_4176, _T_4177) @[el2_lsu_bus_buffer.scala 521:74] - buf_ldfwd_en[3] <= _T_4178 @[el2_lsu_bus_buffer.scala 521:25] - node _T_4179 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 522:46] - buf_ldfwdtag_in[3] <= _T_4179 @[el2_lsu_bus_buffer.scala 522:28] - node _T_4180 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 523:47] - node _T_4181 = and(_T_4180, obuf_nosend) @[el2_lsu_bus_buffer.scala 523:67] - node _T_4182 = and(_T_4181, bus_rsp_read) @[el2_lsu_bus_buffer.scala 523:81] - buf_data_en[3] <= _T_4182 @[el2_lsu_bus_buffer.scala 523:24] - node _T_4183 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 524:48] - node _T_4184 = and(_T_4183, obuf_nosend) @[el2_lsu_bus_buffer.scala 524:68] - node _T_4185 = and(_T_4184, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 524:82] - buf_error_en[3] <= _T_4185 @[el2_lsu_bus_buffer.scala 524:25] - node _T_4186 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 525:61] - node _T_4187 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 525:85] - node _T_4188 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 525:103] - node _T_4189 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 525:126] - node _T_4190 = mux(_T_4187, _T_4188, _T_4189) @[el2_lsu_bus_buffer.scala 525:73] - node _T_4191 = mux(buf_error_en[3], _T_4186, _T_4190) @[el2_lsu_bus_buffer.scala 525:30] - buf_data_in[3] <= _T_4191 @[el2_lsu_bus_buffer.scala 525:24] + node _T_4155 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4155 : @[Conditional.scala 39:67] + node _T_4156 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 503:60] + node _T_4157 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 503:89] + node _T_4158 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 503:124] + node _T_4159 = and(_T_4157, _T_4158) @[el2_lsu_bus_buffer.scala 503:104] + node _T_4160 = mux(_T_4159, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 503:75] + node _T_4161 = mux(_T_4156, UInt<3>("h00"), _T_4160) @[el2_lsu_bus_buffer.scala 503:31] + buf_nxtstate[3] <= _T_4161 @[el2_lsu_bus_buffer.scala 503:25] + node _T_4162 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 504:48] + node _T_4163 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 504:104] + node _T_4164 = and(obuf_merge, _T_4163) @[el2_lsu_bus_buffer.scala 504:91] + node _T_4165 = or(_T_4162, _T_4164) @[el2_lsu_bus_buffer.scala 504:77] + node _T_4166 = and(_T_4165, obuf_valid) @[el2_lsu_bus_buffer.scala 504:135] + node _T_4167 = and(_T_4166, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 504:148] + buf_cmd_state_bus_en[3] <= _T_4167 @[el2_lsu_bus_buffer.scala 504:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 505:29] + node _T_4168 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 506:49] + node _T_4169 = or(_T_4168, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 506:70] + buf_state_en[3] <= _T_4169 @[el2_lsu_bus_buffer.scala 506:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 507:25] + node _T_4170 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 508:56] + node _T_4171 = eq(_T_4170, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 508:46] + node _T_4172 = and(buf_state_en[3], _T_4171) @[el2_lsu_bus_buffer.scala 508:44] + node _T_4173 = and(_T_4172, obuf_nosend) @[el2_lsu_bus_buffer.scala 508:60] + node _T_4174 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 508:76] + node _T_4175 = and(_T_4173, _T_4174) @[el2_lsu_bus_buffer.scala 508:74] + buf_ldfwd_en[3] <= _T_4175 @[el2_lsu_bus_buffer.scala 508:25] + node _T_4176 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 509:46] + buf_ldfwdtag_in[3] <= _T_4176 @[el2_lsu_bus_buffer.scala 509:28] + node _T_4177 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:47] + node _T_4178 = and(_T_4177, obuf_nosend) @[el2_lsu_bus_buffer.scala 510:67] + node _T_4179 = and(_T_4178, bus_rsp_read) @[el2_lsu_bus_buffer.scala 510:81] + buf_data_en[3] <= _T_4179 @[el2_lsu_bus_buffer.scala 510:24] + node _T_4180 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:48] + node _T_4181 = and(_T_4180, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:68] + node _T_4182 = and(_T_4181, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 511:82] + buf_error_en[3] <= _T_4182 @[el2_lsu_bus_buffer.scala 511:25] + node _T_4183 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 512:61] + node _T_4184 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 512:85] + node _T_4185 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 512:103] + node _T_4186 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 512:126] + node _T_4187 = mux(_T_4184, _T_4185, _T_4186) @[el2_lsu_bus_buffer.scala 512:73] + node _T_4188 = mux(buf_error_en[3], _T_4183, _T_4187) @[el2_lsu_bus_buffer.scala 512:30] + buf_data_in[3] <= _T_4188 @[el2_lsu_bus_buffer.scala 512:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4192 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4192 : @[Conditional.scala 39:67] - node _T_4193 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 528:67] - node _T_4194 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 528:94] - node _T_4195 = eq(_T_4194, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:73] - node _T_4196 = and(_T_4193, _T_4195) @[el2_lsu_bus_buffer.scala 528:71] - node _T_4197 = or(io.dec_tlu_force_halt, _T_4196) @[el2_lsu_bus_buffer.scala 528:55] - node _T_4198 = bits(_T_4197, 0, 0) @[el2_lsu_bus_buffer.scala 528:125] - node _T_4199 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:30] - node _T_4200 = and(buf_dual[3], _T_4199) @[el2_lsu_bus_buffer.scala 529:28] - node _T_4201 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 529:57] - node _T_4202 = eq(_T_4201, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:47] - node _T_4203 = and(_T_4200, _T_4202) @[el2_lsu_bus_buffer.scala 529:45] - node _T_4204 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 529:90] - node _T_4205 = and(_T_4203, _T_4204) @[el2_lsu_bus_buffer.scala 529:61] - node _T_4206 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 530:27] - node _T_4207 = or(_T_4206, any_done_wait_state) @[el2_lsu_bus_buffer.scala 530:31] - node _T_4208 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 530:70] - node _T_4209 = and(buf_dual[3], _T_4208) @[el2_lsu_bus_buffer.scala 530:68] - node _T_4210 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 530:97] - node _T_4211 = eq(_T_4210, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 530:87] - node _T_4212 = and(_T_4209, _T_4211) @[el2_lsu_bus_buffer.scala 530:85] - node _T_4213 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4214 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4215 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4216 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4217 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4218 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4219 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4220 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4221 = mux(_T_4213, _T_4214, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4222 = mux(_T_4215, _T_4216, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4223 = mux(_T_4217, _T_4218, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4224 = mux(_T_4219, _T_4220, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4225 = or(_T_4221, _T_4222) @[Mux.scala 27:72] - node _T_4226 = or(_T_4225, _T_4223) @[Mux.scala 27:72] - node _T_4227 = or(_T_4226, _T_4224) @[Mux.scala 27:72] - wire _T_4228 : UInt<1> @[Mux.scala 27:72] - _T_4228 <= _T_4227 @[Mux.scala 27:72] - node _T_4229 = and(_T_4212, _T_4228) @[el2_lsu_bus_buffer.scala 530:101] - node _T_4230 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 530:167] - node _T_4231 = and(_T_4229, _T_4230) @[el2_lsu_bus_buffer.scala 530:138] - node _T_4232 = and(_T_4231, any_done_wait_state) @[el2_lsu_bus_buffer.scala 530:187] - node _T_4233 = or(_T_4207, _T_4232) @[el2_lsu_bus_buffer.scala 530:53] - node _T_4234 = mux(_T_4233, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 530:16] - node _T_4235 = mux(_T_4205, UInt<3>("h04"), _T_4234) @[el2_lsu_bus_buffer.scala 529:14] - node _T_4236 = mux(_T_4198, UInt<3>("h00"), _T_4235) @[el2_lsu_bus_buffer.scala 528:31] - buf_nxtstate[3] <= _T_4236 @[el2_lsu_bus_buffer.scala 528:25] - node _T_4237 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 531:73] - node _T_4238 = and(bus_rsp_write, _T_4237) @[el2_lsu_bus_buffer.scala 531:52] - node _T_4239 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 532:46] - node _T_4240 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 533:23] - node _T_4241 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 533:47] - node _T_4242 = and(_T_4240, _T_4241) @[el2_lsu_bus_buffer.scala 533:27] - node _T_4243 = or(_T_4239, _T_4242) @[el2_lsu_bus_buffer.scala 532:77] - node _T_4244 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 534:26] - node _T_4245 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 534:54] - node _T_4246 = not(_T_4245) @[el2_lsu_bus_buffer.scala 534:44] - node _T_4247 = and(_T_4244, _T_4246) @[el2_lsu_bus_buffer.scala 534:42] - node _T_4248 = and(_T_4247, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 534:58] - node _T_4249 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 534:94] - node _T_4250 = and(_T_4248, _T_4249) @[el2_lsu_bus_buffer.scala 534:74] - node _T_4251 = or(_T_4243, _T_4250) @[el2_lsu_bus_buffer.scala 533:71] - node _T_4252 = and(bus_rsp_read, _T_4251) @[el2_lsu_bus_buffer.scala 532:25] - node _T_4253 = or(_T_4238, _T_4252) @[el2_lsu_bus_buffer.scala 531:105] - buf_resp_state_bus_en[3] <= _T_4253 @[el2_lsu_bus_buffer.scala 531:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 535:29] - node _T_4254 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:49] - node _T_4255 = or(_T_4254, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 536:70] - buf_state_en[3] <= _T_4255 @[el2_lsu_bus_buffer.scala 536:25] - node _T_4256 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 537:47] - node _T_4257 = and(_T_4256, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:62] - buf_data_en[3] <= _T_4257 @[el2_lsu_bus_buffer.scala 537:24] - node _T_4258 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 538:48] - node _T_4259 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 538:111] - node _T_4260 = and(bus_rsp_read_error, _T_4259) @[el2_lsu_bus_buffer.scala 538:91] - node _T_4261 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 539:42] - node _T_4262 = and(bus_rsp_read_error, _T_4261) @[el2_lsu_bus_buffer.scala 539:31] - node _T_4263 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 539:66] - node _T_4264 = and(_T_4262, _T_4263) @[el2_lsu_bus_buffer.scala 539:46] - node _T_4265 = or(_T_4260, _T_4264) @[el2_lsu_bus_buffer.scala 538:143] - node _T_4266 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 540:32] - node _T_4267 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 540:74] - node _T_4268 = and(_T_4266, _T_4267) @[el2_lsu_bus_buffer.scala 540:53] - node _T_4269 = or(_T_4265, _T_4268) @[el2_lsu_bus_buffer.scala 539:88] - node _T_4270 = and(_T_4258, _T_4269) @[el2_lsu_bus_buffer.scala 538:68] - buf_error_en[3] <= _T_4270 @[el2_lsu_bus_buffer.scala 538:25] - node _T_4271 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 541:50] - node _T_4272 = and(buf_state_en[3], _T_4271) @[el2_lsu_bus_buffer.scala 541:48] - node _T_4273 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 541:84] - node _T_4274 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 541:102] - node _T_4275 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 541:125] - node _T_4276 = mux(_T_4273, _T_4274, _T_4275) @[el2_lsu_bus_buffer.scala 541:72] - node _T_4277 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 541:148] - node _T_4278 = mux(_T_4272, _T_4276, _T_4277) @[el2_lsu_bus_buffer.scala 541:30] - buf_data_in[3] <= _T_4278 @[el2_lsu_bus_buffer.scala 541:24] + node _T_4189 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4189 : @[Conditional.scala 39:67] + node _T_4190 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 515:67] + node _T_4191 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 515:94] + node _T_4192 = eq(_T_4191, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 515:73] + node _T_4193 = and(_T_4190, _T_4192) @[el2_lsu_bus_buffer.scala 515:71] + node _T_4194 = or(io.dec_tlu_force_halt, _T_4193) @[el2_lsu_bus_buffer.scala 515:55] + node _T_4195 = bits(_T_4194, 0, 0) @[el2_lsu_bus_buffer.scala 515:125] + node _T_4196 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:30] + node _T_4197 = and(buf_dual[3], _T_4196) @[el2_lsu_bus_buffer.scala 516:28] + node _T_4198 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 516:57] + node _T_4199 = eq(_T_4198, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:47] + node _T_4200 = and(_T_4197, _T_4199) @[el2_lsu_bus_buffer.scala 516:45] + node _T_4201 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 516:90] + node _T_4202 = and(_T_4200, _T_4201) @[el2_lsu_bus_buffer.scala 516:61] + node _T_4203 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 517:27] + node _T_4204 = or(_T_4203, any_done_wait_state) @[el2_lsu_bus_buffer.scala 517:31] + node _T_4205 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:70] + node _T_4206 = and(buf_dual[3], _T_4205) @[el2_lsu_bus_buffer.scala 517:68] + node _T_4207 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 517:97] + node _T_4208 = eq(_T_4207, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:87] + node _T_4209 = and(_T_4206, _T_4208) @[el2_lsu_bus_buffer.scala 517:85] + node _T_4210 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_4211 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] + node _T_4212 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_4213 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 109:129] + node _T_4214 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_4215 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 109:129] + node _T_4216 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_4217 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 109:129] + node _T_4218 = mux(_T_4210, _T_4211, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4219 = mux(_T_4212, _T_4213, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4220 = mux(_T_4214, _T_4215, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4221 = mux(_T_4216, _T_4217, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4222 = or(_T_4218, _T_4219) @[Mux.scala 27:72] + node _T_4223 = or(_T_4222, _T_4220) @[Mux.scala 27:72] + node _T_4224 = or(_T_4223, _T_4221) @[Mux.scala 27:72] + wire _T_4225 : UInt<1> @[Mux.scala 27:72] + _T_4225 <= _T_4224 @[Mux.scala 27:72] + node _T_4226 = and(_T_4209, _T_4225) @[el2_lsu_bus_buffer.scala 517:101] + node _T_4227 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 517:167] + node _T_4228 = and(_T_4226, _T_4227) @[el2_lsu_bus_buffer.scala 517:138] + node _T_4229 = and(_T_4228, any_done_wait_state) @[el2_lsu_bus_buffer.scala 517:187] + node _T_4230 = or(_T_4204, _T_4229) @[el2_lsu_bus_buffer.scala 517:53] + node _T_4231 = mux(_T_4230, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 517:16] + node _T_4232 = mux(_T_4202, UInt<3>("h04"), _T_4231) @[el2_lsu_bus_buffer.scala 516:14] + node _T_4233 = mux(_T_4195, UInt<3>("h00"), _T_4232) @[el2_lsu_bus_buffer.scala 515:31] + buf_nxtstate[3] <= _T_4233 @[el2_lsu_bus_buffer.scala 515:25] + node _T_4234 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 518:73] + node _T_4235 = and(bus_rsp_write, _T_4234) @[el2_lsu_bus_buffer.scala 518:52] + node _T_4236 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 519:46] + node _T_4237 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 520:23] + node _T_4238 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 520:47] + node _T_4239 = and(_T_4237, _T_4238) @[el2_lsu_bus_buffer.scala 520:27] + node _T_4240 = or(_T_4236, _T_4239) @[el2_lsu_bus_buffer.scala 519:77] + node _T_4241 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 521:26] + node _T_4242 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 521:54] + node _T_4243 = not(_T_4242) @[el2_lsu_bus_buffer.scala 521:44] + node _T_4244 = and(_T_4241, _T_4243) @[el2_lsu_bus_buffer.scala 521:42] + node _T_4245 = and(_T_4244, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 521:58] + node _T_4246 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 521:94] + node _T_4247 = and(_T_4245, _T_4246) @[el2_lsu_bus_buffer.scala 521:74] + node _T_4248 = or(_T_4240, _T_4247) @[el2_lsu_bus_buffer.scala 520:71] + node _T_4249 = and(bus_rsp_read, _T_4248) @[el2_lsu_bus_buffer.scala 519:25] + node _T_4250 = or(_T_4235, _T_4249) @[el2_lsu_bus_buffer.scala 518:105] + buf_resp_state_bus_en[3] <= _T_4250 @[el2_lsu_bus_buffer.scala 518:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 522:29] + node _T_4251 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 523:49] + node _T_4252 = or(_T_4251, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 523:70] + buf_state_en[3] <= _T_4252 @[el2_lsu_bus_buffer.scala 523:25] + node _T_4253 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 524:47] + node _T_4254 = and(_T_4253, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 524:62] + buf_data_en[3] <= _T_4254 @[el2_lsu_bus_buffer.scala 524:24] + node _T_4255 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:48] + node _T_4256 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 525:111] + node _T_4257 = and(bus_rsp_read_error, _T_4256) @[el2_lsu_bus_buffer.scala 525:91] + node _T_4258 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 526:42] + node _T_4259 = and(bus_rsp_read_error, _T_4258) @[el2_lsu_bus_buffer.scala 526:31] + node _T_4260 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 526:66] + node _T_4261 = and(_T_4259, _T_4260) @[el2_lsu_bus_buffer.scala 526:46] + node _T_4262 = or(_T_4257, _T_4261) @[el2_lsu_bus_buffer.scala 525:143] + node _T_4263 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 527:32] + node _T_4264 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 527:74] + node _T_4265 = and(_T_4263, _T_4264) @[el2_lsu_bus_buffer.scala 527:53] + node _T_4266 = or(_T_4262, _T_4265) @[el2_lsu_bus_buffer.scala 526:88] + node _T_4267 = and(_T_4255, _T_4266) @[el2_lsu_bus_buffer.scala 525:68] + buf_error_en[3] <= _T_4267 @[el2_lsu_bus_buffer.scala 525:25] + node _T_4268 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:50] + node _T_4269 = and(buf_state_en[3], _T_4268) @[el2_lsu_bus_buffer.scala 528:48] + node _T_4270 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 528:84] + node _T_4271 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 528:102] + node _T_4272 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 528:125] + node _T_4273 = mux(_T_4270, _T_4271, _T_4272) @[el2_lsu_bus_buffer.scala 528:72] + node _T_4274 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 528:148] + node _T_4275 = mux(_T_4269, _T_4273, _T_4274) @[el2_lsu_bus_buffer.scala 528:30] + buf_data_in[3] <= _T_4275 @[el2_lsu_bus_buffer.scala 528:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4279 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4279 : @[Conditional.scala 39:67] - node _T_4280 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 544:60] - node _T_4281 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 544:86] - node _T_4282 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 544:101] - node _T_4283 = bits(_T_4282, 0, 0) @[el2_lsu_bus_buffer.scala 544:101] - node _T_4284 = or(_T_4281, _T_4283) @[el2_lsu_bus_buffer.scala 544:90] - node _T_4285 = or(_T_4284, any_done_wait_state) @[el2_lsu_bus_buffer.scala 544:118] - node _T_4286 = mux(_T_4285, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 544:75] - node _T_4287 = mux(_T_4280, UInt<3>("h00"), _T_4286) @[el2_lsu_bus_buffer.scala 544:31] - buf_nxtstate[3] <= _T_4287 @[el2_lsu_bus_buffer.scala 544:25] - node _T_4288 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 545:66] - node _T_4289 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 546:21] - node _T_4290 = bits(_T_4289, 0, 0) @[el2_lsu_bus_buffer.scala 546:21] - node _T_4291 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 546:58] - node _T_4292 = and(_T_4290, _T_4291) @[el2_lsu_bus_buffer.scala 546:38] - node _T_4293 = or(_T_4288, _T_4292) @[el2_lsu_bus_buffer.scala 545:95] - node _T_4294 = and(bus_rsp_read, _T_4293) @[el2_lsu_bus_buffer.scala 545:45] - buf_state_bus_en[3] <= _T_4294 @[el2_lsu_bus_buffer.scala 545:29] - node _T_4295 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 547:49] - node _T_4296 = or(_T_4295, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 547:70] - buf_state_en[3] <= _T_4296 @[el2_lsu_bus_buffer.scala 547:25] + node _T_4276 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4276 : @[Conditional.scala 39:67] + node _T_4277 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 531:60] + node _T_4278 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 531:86] + node _T_4279 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 531:101] + node _T_4280 = bits(_T_4279, 0, 0) @[el2_lsu_bus_buffer.scala 531:101] + node _T_4281 = or(_T_4278, _T_4280) @[el2_lsu_bus_buffer.scala 531:90] + node _T_4282 = or(_T_4281, any_done_wait_state) @[el2_lsu_bus_buffer.scala 531:118] + node _T_4283 = mux(_T_4282, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 531:75] + node _T_4284 = mux(_T_4277, UInt<3>("h00"), _T_4283) @[el2_lsu_bus_buffer.scala 531:31] + buf_nxtstate[3] <= _T_4284 @[el2_lsu_bus_buffer.scala 531:25] + node _T_4285 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 532:66] + node _T_4286 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 533:21] + node _T_4287 = bits(_T_4286, 0, 0) @[el2_lsu_bus_buffer.scala 533:21] + node _T_4288 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 533:58] + node _T_4289 = and(_T_4287, _T_4288) @[el2_lsu_bus_buffer.scala 533:38] + node _T_4290 = or(_T_4285, _T_4289) @[el2_lsu_bus_buffer.scala 532:95] + node _T_4291 = and(bus_rsp_read, _T_4290) @[el2_lsu_bus_buffer.scala 532:45] + buf_state_bus_en[3] <= _T_4291 @[el2_lsu_bus_buffer.scala 532:29] + node _T_4292 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:49] + node _T_4293 = or(_T_4292, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 534:70] + buf_state_en[3] <= _T_4293 @[el2_lsu_bus_buffer.scala 534:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4297 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4297 : @[Conditional.scala 39:67] - node _T_4298 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 550:60] - node _T_4299 = mux(_T_4298, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:31] - buf_nxtstate[3] <= _T_4299 @[el2_lsu_bus_buffer.scala 550:25] - node _T_4300 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 551:37] - node _T_4301 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 551:98] - node _T_4302 = and(buf_dual[3], _T_4301) @[el2_lsu_bus_buffer.scala 551:80] - node _T_4303 = or(_T_4300, _T_4302) @[el2_lsu_bus_buffer.scala 551:65] - node _T_4304 = or(_T_4303, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 551:112] - buf_state_en[3] <= _T_4304 @[el2_lsu_bus_buffer.scala 551:25] + node _T_4294 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4294 : @[Conditional.scala 39:67] + node _T_4295 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 537:60] + node _T_4296 = mux(_T_4295, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 537:31] + buf_nxtstate[3] <= _T_4296 @[el2_lsu_bus_buffer.scala 537:25] + node _T_4297 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 538:37] + node _T_4298 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 538:98] + node _T_4299 = and(buf_dual[3], _T_4298) @[el2_lsu_bus_buffer.scala 538:80] + node _T_4300 = or(_T_4297, _T_4299) @[el2_lsu_bus_buffer.scala 538:65] + node _T_4301 = or(_T_4300, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 538:112] + buf_state_en[3] <= _T_4301 @[el2_lsu_bus_buffer.scala 538:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4305 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4305 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 554:25] - buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 555:20] - buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 556:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 557:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 558:25] + node _T_4302 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4302 : @[Conditional.scala 39:67] + buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 541:25] + buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 542:20] + buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 543:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 544:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 545:25] skip @[Conditional.scala 39:67] - node _T_4306 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 561:108] - reg _T_4307 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4306 : @[Reg.scala 28:19] - _T_4307 <= buf_nxtstate[3] @[Reg.scala 28:23] + node _T_4303 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 548:108] + reg _T_4304 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4303 : @[Reg.scala 28:19] + _T_4304 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4307 @[el2_lsu_bus_buffer.scala 561:18] - reg _T_4308 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 562:60] - _T_4308 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 562:60] - buf_ageQ[3] <= _T_4308 @[el2_lsu_bus_buffer.scala 562:17] - reg _T_4309 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 563:63] - _T_4309 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 563:63] - buf_rspageQ[3] <= _T_4309 @[el2_lsu_bus_buffer.scala 563:20] - node _T_4310 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 564:109] - reg _T_4311 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + buf_state[3] <= _T_4304 @[el2_lsu_bus_buffer.scala 548:18] + reg _T_4305 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 549:60] + _T_4305 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 549:60] + buf_ageQ[3] <= _T_4305 @[el2_lsu_bus_buffer.scala 549:17] + reg _T_4306 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 550:63] + _T_4306 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 550:63] + buf_rspageQ[3] <= _T_4306 @[el2_lsu_bus_buffer.scala 550:20] + node _T_4307 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 551:109] + reg _T_4308 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4307 : @[Reg.scala 28:19] + _T_4308 <= buf_dualtag_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[3] <= _T_4308 @[el2_lsu_bus_buffer.scala 551:20] + node _T_4309 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 552:74] + node _T_4310 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 552:107] + reg _T_4311 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4310 : @[Reg.scala 28:19] - _T_4311 <= buf_dualtag_in[3] @[Reg.scala 28:23] + _T_4311 <= _T_4309 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4311 @[el2_lsu_bus_buffer.scala 564:20] - node _T_4312 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 565:74] - node _T_4313 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 565:107] + buf_dual[3] <= _T_4311 @[el2_lsu_bus_buffer.scala 552:17] + node _T_4312 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 553:78] + node _T_4313 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 553:111] reg _T_4314 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4313 : @[Reg.scala 28:19] _T_4314 <= _T_4312 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4314 @[el2_lsu_bus_buffer.scala 565:17] - node _T_4315 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 566:78] - node _T_4316 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 566:111] + buf_samedw[3] <= _T_4314 @[el2_lsu_bus_buffer.scala 553:19] + node _T_4315 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 554:80] + node _T_4316 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 554:113] reg _T_4317 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4316 : @[Reg.scala 28:19] _T_4317 <= _T_4315 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4317 @[el2_lsu_bus_buffer.scala 566:19] - node _T_4318 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 567:80] - node _T_4319 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 567:113] + buf_nomerge[3] <= _T_4317 @[el2_lsu_bus_buffer.scala 554:20] + node _T_4318 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 555:78] + node _T_4319 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 555:111] reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4319 : @[Reg.scala 28:19] _T_4320 <= _T_4318 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4320 @[el2_lsu_bus_buffer.scala 567:20] - node _T_4321 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 568:78] - node _T_4322 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 568:111] - reg _T_4323 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4322 : @[Reg.scala 28:19] - _T_4323 <= _T_4321 @[Reg.scala 28:23] + buf_dualhi[3] <= _T_4320 @[el2_lsu_bus_buffer.scala 555:19] + node _T_4321 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 558:133] + reg _T_4322 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4321 : @[Reg.scala 28:19] + _T_4322 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4323 @[el2_lsu_bus_buffer.scala 568:19] - node _T_4324 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 571:133] - reg _T_4325 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4324 : @[Reg.scala 28:19] - _T_4325 <= buf_ldfwd_in[0] @[Reg.scala 28:23] + node _T_4323 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 558:133] + reg _T_4324 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4323 : @[Reg.scala 28:19] + _T_4324 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4326 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 571:133] - reg _T_4327 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4326 : @[Reg.scala 28:19] - _T_4327 <= buf_ldfwd_in[1] @[Reg.scala 28:23] + node _T_4325 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 558:133] + reg _T_4326 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4325 : @[Reg.scala 28:19] + _T_4326 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4328 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 571:133] - reg _T_4329 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4328 : @[Reg.scala 28:19] - _T_4329 <= buf_ldfwd_in[2] @[Reg.scala 28:23] + node _T_4327 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 558:133] + reg _T_4328 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4327 : @[Reg.scala 28:19] + _T_4328 <= buf_ldfwd_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4330 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 571:133] - reg _T_4331 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4330 : @[Reg.scala 28:19] - _T_4331 <= buf_ldfwd_in[3] @[Reg.scala 28:23] + node _T_4329 = cat(_T_4328, _T_4326) @[Cat.scala 29:58] + node _T_4330 = cat(_T_4329, _T_4324) @[Cat.scala 29:58] + node _T_4331 = cat(_T_4330, _T_4322) @[Cat.scala 29:58] + buf_ldfwd <= _T_4331 @[el2_lsu_bus_buffer.scala 558:15] + node _T_4332 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 559:134] + reg _T_4333 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4332 : @[Reg.scala 28:19] + _T_4333 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4332 = cat(_T_4331, _T_4329) @[Cat.scala 29:58] - node _T_4333 = cat(_T_4332, _T_4327) @[Cat.scala 29:58] - node _T_4334 = cat(_T_4333, _T_4325) @[Cat.scala 29:58] - buf_ldfwd <= _T_4334 @[el2_lsu_bus_buffer.scala 571:15] - node _T_4335 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 572:134] - reg _T_4336 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4335 : @[Reg.scala 28:19] - _T_4336 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] + node _T_4334 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 559:134] + reg _T_4335 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4334 : @[Reg.scala 28:19] + _T_4335 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4337 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 572:134] - reg _T_4338 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4337 : @[Reg.scala 28:19] - _T_4338 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] + node _T_4336 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 559:134] + reg _T_4337 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4336 : @[Reg.scala 28:19] + _T_4337 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4339 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 572:134] - reg _T_4340 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4339 : @[Reg.scala 28:19] - _T_4340 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] + node _T_4338 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 559:134] + reg _T_4339 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4338 : @[Reg.scala 28:19] + _T_4339 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4341 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 572:134] - reg _T_4342 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + buf_ldfwdtag[0] <= _T_4333 @[el2_lsu_bus_buffer.scala 559:18] + buf_ldfwdtag[1] <= _T_4335 @[el2_lsu_bus_buffer.scala 559:18] + buf_ldfwdtag[2] <= _T_4337 @[el2_lsu_bus_buffer.scala 559:18] + buf_ldfwdtag[3] <= _T_4339 @[el2_lsu_bus_buffer.scala 559:18] + node _T_4340 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 560:107] + node _T_4341 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 560:140] + reg _T_4342 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4341 : @[Reg.scala 28:19] - _T_4342 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] + _T_4342 <= _T_4340 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4336 @[el2_lsu_bus_buffer.scala 572:18] - buf_ldfwdtag[1] <= _T_4338 @[el2_lsu_bus_buffer.scala 572:18] - buf_ldfwdtag[2] <= _T_4340 @[el2_lsu_bus_buffer.scala 572:18] - buf_ldfwdtag[3] <= _T_4342 @[el2_lsu_bus_buffer.scala 572:18] - node _T_4343 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 573:107] - node _T_4344 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 573:140] + node _T_4343 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 560:107] + node _T_4344 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 560:140] reg _T_4345 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4344 : @[Reg.scala 28:19] _T_4345 <= _T_4343 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4346 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 573:107] - node _T_4347 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 573:140] + node _T_4346 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 560:107] + node _T_4347 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 560:140] reg _T_4348 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4347 : @[Reg.scala 28:19] _T_4348 <= _T_4346 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4349 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 573:107] - node _T_4350 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 573:140] + node _T_4349 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 560:107] + node _T_4350 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 560:140] reg _T_4351 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4350 : @[Reg.scala 28:19] _T_4351 <= _T_4349 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4352 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 573:107] - node _T_4353 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 573:140] - reg _T_4354 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4353 : @[Reg.scala 28:19] - _T_4354 <= _T_4352 @[Reg.scala 28:23] + node _T_4352 = cat(_T_4351, _T_4348) @[Cat.scala 29:58] + node _T_4353 = cat(_T_4352, _T_4345) @[Cat.scala 29:58] + node _T_4354 = cat(_T_4353, _T_4342) @[Cat.scala 29:58] + buf_sideeffect <= _T_4354 @[el2_lsu_bus_buffer.scala 560:20] + node _T_4355 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 561:99] + node _T_4356 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 561:132] + reg _T_4357 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4356 : @[Reg.scala 28:19] + _T_4357 <= _T_4355 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4355 = cat(_T_4354, _T_4351) @[Cat.scala 29:58] - node _T_4356 = cat(_T_4355, _T_4348) @[Cat.scala 29:58] - node _T_4357 = cat(_T_4356, _T_4345) @[Cat.scala 29:58] - buf_sideeffect <= _T_4357 @[el2_lsu_bus_buffer.scala 573:20] - node _T_4358 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 574:99] - node _T_4359 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 574:132] + node _T_4358 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 561:99] + node _T_4359 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 561:132] reg _T_4360 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4359 : @[Reg.scala 28:19] _T_4360 <= _T_4358 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4361 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 574:99] - node _T_4362 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 574:132] + node _T_4361 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 561:99] + node _T_4362 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 561:132] reg _T_4363 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4362 : @[Reg.scala 28:19] _T_4363 <= _T_4361 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4364 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 574:99] - node _T_4365 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 574:132] + node _T_4364 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 561:99] + node _T_4365 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 561:132] reg _T_4366 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4365 : @[Reg.scala 28:19] _T_4366 <= _T_4364 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4367 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 574:99] - node _T_4368 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 574:132] - reg _T_4369 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4368 : @[Reg.scala 28:19] - _T_4369 <= _T_4367 @[Reg.scala 28:23] + node _T_4367 = cat(_T_4366, _T_4363) @[Cat.scala 29:58] + node _T_4368 = cat(_T_4367, _T_4360) @[Cat.scala 29:58] + node _T_4369 = cat(_T_4368, _T_4357) @[Cat.scala 29:58] + buf_unsign <= _T_4369 @[el2_lsu_bus_buffer.scala 561:16] + node _T_4370 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 562:97] + node _T_4371 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 562:130] + reg _T_4372 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4371 : @[Reg.scala 28:19] + _T_4372 <= _T_4370 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4370 = cat(_T_4369, _T_4366) @[Cat.scala 29:58] - node _T_4371 = cat(_T_4370, _T_4363) @[Cat.scala 29:58] - node _T_4372 = cat(_T_4371, _T_4360) @[Cat.scala 29:58] - buf_unsign <= _T_4372 @[el2_lsu_bus_buffer.scala 574:16] - node _T_4373 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 575:97] - node _T_4374 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 575:130] + node _T_4373 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 562:97] + node _T_4374 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 562:130] reg _T_4375 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4374 : @[Reg.scala 28:19] _T_4375 <= _T_4373 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4376 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 575:97] - node _T_4377 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 575:130] + node _T_4376 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 562:97] + node _T_4377 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 562:130] reg _T_4378 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4377 : @[Reg.scala 28:19] _T_4378 <= _T_4376 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4379 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 575:97] - node _T_4380 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 575:130] + node _T_4379 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 562:97] + node _T_4380 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 562:130] reg _T_4381 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4380 : @[Reg.scala 28:19] _T_4381 <= _T_4379 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4382 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 575:97] - node _T_4383 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 575:130] - reg _T_4384 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4383 : @[Reg.scala 28:19] - _T_4384 <= _T_4382 @[Reg.scala 28:23] + node _T_4382 = cat(_T_4381, _T_4378) @[Cat.scala 29:58] + node _T_4383 = cat(_T_4382, _T_4375) @[Cat.scala 29:58] + node _T_4384 = cat(_T_4383, _T_4372) @[Cat.scala 29:58] + buf_write <= _T_4384 @[el2_lsu_bus_buffer.scala 562:15] + node _T_4385 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 563:119] + reg _T_4386 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4385 : @[Reg.scala 28:19] + _T_4386 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4385 = cat(_T_4384, _T_4381) @[Cat.scala 29:58] - node _T_4386 = cat(_T_4385, _T_4378) @[Cat.scala 29:58] - node _T_4387 = cat(_T_4386, _T_4375) @[Cat.scala 29:58] - buf_write <= _T_4387 @[el2_lsu_bus_buffer.scala 575:15] - node _T_4388 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 576:119] - reg _T_4389 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4388 : @[Reg.scala 28:19] - _T_4389 <= buf_sz_in[0] @[Reg.scala 28:23] + node _T_4387 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 563:119] + reg _T_4388 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4387 : @[Reg.scala 28:19] + _T_4388 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4390 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 576:119] - reg _T_4391 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4390 : @[Reg.scala 28:19] - _T_4391 <= buf_sz_in[1] @[Reg.scala 28:23] + node _T_4389 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 563:119] + reg _T_4390 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4389 : @[Reg.scala 28:19] + _T_4390 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4392 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 576:119] - reg _T_4393 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4392 : @[Reg.scala 28:19] - _T_4393 <= buf_sz_in[2] @[Reg.scala 28:23] + node _T_4391 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 563:119] + reg _T_4392 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4391 : @[Reg.scala 28:19] + _T_4392 <= buf_sz_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4394 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 576:119] - reg _T_4395 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4394 : @[Reg.scala 28:19] - _T_4395 <= buf_sz_in[3] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4389 @[el2_lsu_bus_buffer.scala 576:12] - buf_sz[1] <= _T_4391 @[el2_lsu_bus_buffer.scala 576:12] - buf_sz[2] <= _T_4393 @[el2_lsu_bus_buffer.scala 576:12] - buf_sz[3] <= _T_4395 @[el2_lsu_bus_buffer.scala 576:12] - node _T_4396 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 577:82] + buf_sz[0] <= _T_4386 @[el2_lsu_bus_buffer.scala 563:12] + buf_sz[1] <= _T_4388 @[el2_lsu_bus_buffer.scala 563:12] + buf_sz[2] <= _T_4390 @[el2_lsu_bus_buffer.scala 563:12] + buf_sz[3] <= _T_4392 @[el2_lsu_bus_buffer.scala 563:12] + node _T_4393 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 564:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 485:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset rvclkhdr_4.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_4.io.en <= _T_4396 @[el2_lib.scala 488:17] + rvclkhdr_4.io.en <= _T_4393 @[el2_lib.scala 488:17] rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4397 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4397 <= buf_addr_in[0] @[el2_lib.scala 491:16] - node _T_4398 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 577:82] + reg _T_4394 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4394 <= buf_addr_in[0] @[el2_lib.scala 491:16] + node _T_4395 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 564:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 485:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset rvclkhdr_5.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_5.io.en <= _T_4398 @[el2_lib.scala 488:17] + rvclkhdr_5.io.en <= _T_4395 @[el2_lib.scala 488:17] rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4399 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4399 <= buf_addr_in[1] @[el2_lib.scala 491:16] - node _T_4400 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 577:82] + reg _T_4396 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4396 <= buf_addr_in[1] @[el2_lib.scala 491:16] + node _T_4397 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 564:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 485:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset rvclkhdr_6.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_6.io.en <= _T_4400 @[el2_lib.scala 488:17] + rvclkhdr_6.io.en <= _T_4397 @[el2_lib.scala 488:17] rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4401 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4401 <= buf_addr_in[2] @[el2_lib.scala 491:16] - node _T_4402 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 577:82] + reg _T_4398 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4398 <= buf_addr_in[2] @[el2_lib.scala 491:16] + node _T_4399 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 564:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 485:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset rvclkhdr_7.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_7.io.en <= _T_4402 @[el2_lib.scala 488:17] + rvclkhdr_7.io.en <= _T_4399 @[el2_lib.scala 488:17] rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4403 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4403 <= buf_addr_in[3] @[el2_lib.scala 491:16] - buf_addr[0] <= _T_4397 @[el2_lsu_bus_buffer.scala 577:14] - buf_addr[1] <= _T_4399 @[el2_lsu_bus_buffer.scala 577:14] - buf_addr[2] <= _T_4401 @[el2_lsu_bus_buffer.scala 577:14] - buf_addr[3] <= _T_4403 @[el2_lsu_bus_buffer.scala 577:14] - node _T_4404 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 578:127] - reg _T_4405 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4404 : @[Reg.scala 28:19] - _T_4405 <= buf_byteen_in[0] @[Reg.scala 28:23] + reg _T_4400 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4400 <= buf_addr_in[3] @[el2_lib.scala 491:16] + buf_addr[0] <= _T_4394 @[el2_lsu_bus_buffer.scala 564:14] + buf_addr[1] <= _T_4396 @[el2_lsu_bus_buffer.scala 564:14] + buf_addr[2] <= _T_4398 @[el2_lsu_bus_buffer.scala 564:14] + buf_addr[3] <= _T_4400 @[el2_lsu_bus_buffer.scala 564:14] + node _T_4401 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 565:127] + reg _T_4402 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4401 : @[Reg.scala 28:19] + _T_4402 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4406 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 578:127] - reg _T_4407 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4406 : @[Reg.scala 28:19] - _T_4407 <= buf_byteen_in[1] @[Reg.scala 28:23] + node _T_4403 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 565:127] + reg _T_4404 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4403 : @[Reg.scala 28:19] + _T_4404 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4408 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 578:127] - reg _T_4409 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4408 : @[Reg.scala 28:19] - _T_4409 <= buf_byteen_in[2] @[Reg.scala 28:23] + node _T_4405 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 565:127] + reg _T_4406 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4405 : @[Reg.scala 28:19] + _T_4406 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4410 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 578:127] - reg _T_4411 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4410 : @[Reg.scala 28:19] - _T_4411 <= buf_byteen_in[3] @[Reg.scala 28:23] + node _T_4407 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 565:127] + reg _T_4408 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4407 : @[Reg.scala 28:19] + _T_4408 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4405 @[el2_lsu_bus_buffer.scala 578:16] - buf_byteen[1] <= _T_4407 @[el2_lsu_bus_buffer.scala 578:16] - buf_byteen[2] <= _T_4409 @[el2_lsu_bus_buffer.scala 578:16] - buf_byteen[3] <= _T_4411 @[el2_lsu_bus_buffer.scala 578:16] + buf_byteen[0] <= _T_4402 @[el2_lsu_bus_buffer.scala 565:16] + buf_byteen[1] <= _T_4404 @[el2_lsu_bus_buffer.scala 565:16] + buf_byteen[2] <= _T_4406 @[el2_lsu_bus_buffer.scala 565:16] + buf_byteen[3] <= _T_4408 @[el2_lsu_bus_buffer.scala 565:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 485:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset rvclkhdr_8.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_8.io.en <= buf_data_en[0] @[el2_lib.scala 488:17] rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4412 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4412 <= buf_data_in[0] @[el2_lib.scala 491:16] + reg _T_4409 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4409 <= buf_data_in[0] @[el2_lib.scala 491:16] inst rvclkhdr_9 of rvclkhdr_9 @[el2_lib.scala 485:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset rvclkhdr_9.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_9.io.en <= buf_data_en[1] @[el2_lib.scala 488:17] rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4413 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4413 <= buf_data_in[1] @[el2_lib.scala 491:16] + reg _T_4410 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4410 <= buf_data_in[1] @[el2_lib.scala 491:16] inst rvclkhdr_10 of rvclkhdr_10 @[el2_lib.scala 485:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_10.io.en <= buf_data_en[2] @[el2_lib.scala 488:17] rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4414 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4414 <= buf_data_in[2] @[el2_lib.scala 491:16] + reg _T_4411 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4411 <= buf_data_in[2] @[el2_lib.scala 491:16] inst rvclkhdr_11 of rvclkhdr_11 @[el2_lib.scala 485:23] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset rvclkhdr_11.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_11.io.en <= buf_data_en[3] @[el2_lib.scala 488:17] rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4415 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4415 <= buf_data_in[3] @[el2_lib.scala 491:16] - buf_data[0] <= _T_4412 @[el2_lsu_bus_buffer.scala 579:14] - buf_data[1] <= _T_4413 @[el2_lsu_bus_buffer.scala 579:14] - buf_data[2] <= _T_4414 @[el2_lsu_bus_buffer.scala 579:14] - buf_data[3] <= _T_4415 @[el2_lsu_bus_buffer.scala 579:14] - node _T_4416 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 580:121] - node _T_4417 = mux(buf_error_en[0], UInt<1>("h01"), _T_4416) @[el2_lsu_bus_buffer.scala 580:86] - node _T_4418 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:128] - node _T_4419 = and(_T_4417, _T_4418) @[el2_lsu_bus_buffer.scala 580:126] - reg _T_4420 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 580:82] - _T_4420 <= _T_4419 @[el2_lsu_bus_buffer.scala 580:82] - node _T_4421 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 580:121] - node _T_4422 = mux(buf_error_en[1], UInt<1>("h01"), _T_4421) @[el2_lsu_bus_buffer.scala 580:86] - node _T_4423 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:128] - node _T_4424 = and(_T_4422, _T_4423) @[el2_lsu_bus_buffer.scala 580:126] - reg _T_4425 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 580:82] - _T_4425 <= _T_4424 @[el2_lsu_bus_buffer.scala 580:82] - node _T_4426 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 580:121] - node _T_4427 = mux(buf_error_en[2], UInt<1>("h01"), _T_4426) @[el2_lsu_bus_buffer.scala 580:86] - node _T_4428 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:128] - node _T_4429 = and(_T_4427, _T_4428) @[el2_lsu_bus_buffer.scala 580:126] - reg _T_4430 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 580:82] - _T_4430 <= _T_4429 @[el2_lsu_bus_buffer.scala 580:82] - node _T_4431 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 580:121] - node _T_4432 = mux(buf_error_en[3], UInt<1>("h01"), _T_4431) @[el2_lsu_bus_buffer.scala 580:86] - node _T_4433 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:128] - node _T_4434 = and(_T_4432, _T_4433) @[el2_lsu_bus_buffer.scala 580:126] - reg _T_4435 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 580:82] - _T_4435 <= _T_4434 @[el2_lsu_bus_buffer.scala 580:82] - node _T_4436 = cat(_T_4435, _T_4430) @[Cat.scala 29:58] - node _T_4437 = cat(_T_4436, _T_4425) @[Cat.scala 29:58] - node _T_4438 = cat(_T_4437, _T_4420) @[Cat.scala 29:58] - buf_error <= _T_4438 @[el2_lsu_bus_buffer.scala 580:15] - node _T_4439 = cat(buf_data_en[3], buf_data_en[2]) @[Cat.scala 29:58] - node _T_4440 = cat(_T_4439, buf_data_en[1]) @[Cat.scala 29:58] - node _T_4441 = cat(_T_4440, buf_data_en[0]) @[Cat.scala 29:58] - io.data_en <= _T_4441 @[el2_lsu_bus_buffer.scala 581:14] - node _T_4442 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4443 = mux(io.ldst_dual_m, _T_4442, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 583:28] - node _T_4444 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4445 = mux(io.ldst_dual_r, _T_4444, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 583:94] - node _T_4446 = add(_T_4443, _T_4445) @[el2_lsu_bus_buffer.scala 583:88] - node _T_4447 = add(_T_4446, ibuf_valid) @[el2_lsu_bus_buffer.scala 583:154] - node _T_4448 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 583:190] - node _T_4449 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 583:190] - node _T_4450 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 583:190] - node _T_4451 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 583:190] - node _T_4452 = add(_T_4448, _T_4449) @[el2_lsu_bus_buffer.scala 583:217] - node _T_4453 = add(_T_4452, _T_4450) @[el2_lsu_bus_buffer.scala 583:217] - node _T_4454 = add(_T_4453, _T_4451) @[el2_lsu_bus_buffer.scala 583:217] - node _T_4455 = add(_T_4447, _T_4454) @[el2_lsu_bus_buffer.scala 583:169] - node buf_numvld_any = tail(_T_4455, 1) @[el2_lsu_bus_buffer.scala 583:169] - node _T_4456 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 584:60] - node _T_4457 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:79] - node _T_4458 = and(_T_4456, _T_4457) @[el2_lsu_bus_buffer.scala 584:64] - node _T_4459 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:91] - node _T_4460 = and(_T_4458, _T_4459) @[el2_lsu_bus_buffer.scala 584:89] - node _T_4461 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 584:60] - node _T_4462 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:79] - node _T_4463 = and(_T_4461, _T_4462) @[el2_lsu_bus_buffer.scala 584:64] - node _T_4464 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:91] - node _T_4465 = and(_T_4463, _T_4464) @[el2_lsu_bus_buffer.scala 584:89] - node _T_4466 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 584:60] - node _T_4467 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:79] - node _T_4468 = and(_T_4466, _T_4467) @[el2_lsu_bus_buffer.scala 584:64] - node _T_4469 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:91] - node _T_4470 = and(_T_4468, _T_4469) @[el2_lsu_bus_buffer.scala 584:89] - node _T_4471 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 584:60] - node _T_4472 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:79] - node _T_4473 = and(_T_4471, _T_4472) @[el2_lsu_bus_buffer.scala 584:64] - node _T_4474 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:91] - node _T_4475 = and(_T_4473, _T_4474) @[el2_lsu_bus_buffer.scala 584:89] - node _T_4476 = add(_T_4475, _T_4470) @[el2_lsu_bus_buffer.scala 584:142] - node _T_4477 = add(_T_4476, _T_4465) @[el2_lsu_bus_buffer.scala 584:142] - node _T_4478 = add(_T_4477, _T_4460) @[el2_lsu_bus_buffer.scala 584:142] - buf_numvld_wrcmd_any <= _T_4478 @[el2_lsu_bus_buffer.scala 584:24] - node _T_4479 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 585:63] - node _T_4480 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:75] - node _T_4481 = and(_T_4479, _T_4480) @[el2_lsu_bus_buffer.scala 585:73] - node _T_4482 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 585:63] - node _T_4483 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:75] - node _T_4484 = and(_T_4482, _T_4483) @[el2_lsu_bus_buffer.scala 585:73] - node _T_4485 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 585:63] - node _T_4486 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:75] - node _T_4487 = and(_T_4485, _T_4486) @[el2_lsu_bus_buffer.scala 585:73] - node _T_4488 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 585:63] - node _T_4489 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:75] - node _T_4490 = and(_T_4488, _T_4489) @[el2_lsu_bus_buffer.scala 585:73] - node _T_4491 = add(_T_4490, _T_4487) @[el2_lsu_bus_buffer.scala 585:126] - node _T_4492 = add(_T_4491, _T_4484) @[el2_lsu_bus_buffer.scala 585:126] - node _T_4493 = add(_T_4492, _T_4481) @[el2_lsu_bus_buffer.scala 585:126] - buf_numvld_cmd_any <= _T_4493 @[el2_lsu_bus_buffer.scala 585:22] - node _T_4494 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 586:63] - node _T_4495 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 586:90] - node _T_4496 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:102] - node _T_4497 = and(_T_4495, _T_4496) @[el2_lsu_bus_buffer.scala 586:100] - node _T_4498 = or(_T_4494, _T_4497) @[el2_lsu_bus_buffer.scala 586:74] - node _T_4499 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 586:63] - node _T_4500 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 586:90] - node _T_4501 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:102] - node _T_4502 = and(_T_4500, _T_4501) @[el2_lsu_bus_buffer.scala 586:100] - node _T_4503 = or(_T_4499, _T_4502) @[el2_lsu_bus_buffer.scala 586:74] - node _T_4504 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 586:63] - node _T_4505 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 586:90] - node _T_4506 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:102] - node _T_4507 = and(_T_4505, _T_4506) @[el2_lsu_bus_buffer.scala 586:100] - node _T_4508 = or(_T_4504, _T_4507) @[el2_lsu_bus_buffer.scala 586:74] - node _T_4509 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 586:63] - node _T_4510 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 586:90] - node _T_4511 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:102] - node _T_4512 = and(_T_4510, _T_4511) @[el2_lsu_bus_buffer.scala 586:100] - node _T_4513 = or(_T_4509, _T_4512) @[el2_lsu_bus_buffer.scala 586:74] - node _T_4514 = add(_T_4513, _T_4508) @[el2_lsu_bus_buffer.scala 586:154] - node _T_4515 = add(_T_4514, _T_4503) @[el2_lsu_bus_buffer.scala 586:154] - node _T_4516 = add(_T_4515, _T_4498) @[el2_lsu_bus_buffer.scala 586:154] - buf_numvld_pend_any <= _T_4516 @[el2_lsu_bus_buffer.scala 586:23] - node _T_4517 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 587:61] - node _T_4518 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 587:61] - node _T_4519 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 587:61] - node _T_4520 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 587:61] - node _T_4521 = or(_T_4520, _T_4519) @[el2_lsu_bus_buffer.scala 587:93] - node _T_4522 = or(_T_4521, _T_4518) @[el2_lsu_bus_buffer.scala 587:93] - node _T_4523 = or(_T_4522, _T_4517) @[el2_lsu_bus_buffer.scala 587:93] - any_done_wait_state <= _T_4523 @[el2_lsu_bus_buffer.scala 587:23] - node _T_4524 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 588:53] - io.lsu_bus_buffer_pend_any <= _T_4524 @[el2_lsu_bus_buffer.scala 588:30] - node _T_4525 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 589:52] - node _T_4526 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 589:92] - node _T_4527 = eq(buf_numvld_any, UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 589:121] - node _T_4528 = mux(_T_4525, _T_4526, _T_4527) @[el2_lsu_bus_buffer.scala 589:36] - io.lsu_bus_buffer_full_any <= _T_4528 @[el2_lsu_bus_buffer.scala 589:30] - node _T_4529 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 590:52] - node _T_4530 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 590:52] - node _T_4531 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 590:52] - node _T_4532 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 590:52] - node _T_4533 = or(_T_4529, _T_4530) @[el2_lsu_bus_buffer.scala 590:65] - node _T_4534 = or(_T_4533, _T_4531) @[el2_lsu_bus_buffer.scala 590:65] - node _T_4535 = or(_T_4534, _T_4532) @[el2_lsu_bus_buffer.scala 590:65] - node _T_4536 = eq(_T_4535, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:34] - node _T_4537 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:72] - node _T_4538 = and(_T_4536, _T_4537) @[el2_lsu_bus_buffer.scala 590:70] - node _T_4539 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:86] - node _T_4540 = and(_T_4538, _T_4539) @[el2_lsu_bus_buffer.scala 590:84] - io.lsu_bus_buffer_empty_any <= _T_4540 @[el2_lsu_bus_buffer.scala 590:31] - node _T_4541 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 592:51] - node _T_4542 = and(_T_4541, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 592:72] - node _T_4543 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 592:94] - node _T_4544 = and(_T_4542, _T_4543) @[el2_lsu_bus_buffer.scala 592:92] - node _T_4545 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 592:111] - node _T_4546 = and(_T_4544, _T_4545) @[el2_lsu_bus_buffer.scala 592:109] - io.lsu_nonblock_load_valid_m <= _T_4546 @[el2_lsu_bus_buffer.scala 592:32] - io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 593:30] + reg _T_4412 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4412 <= buf_data_in[3] @[el2_lib.scala 491:16] + buf_data[0] <= _T_4409 @[el2_lsu_bus_buffer.scala 566:14] + buf_data[1] <= _T_4410 @[el2_lsu_bus_buffer.scala 566:14] + buf_data[2] <= _T_4411 @[el2_lsu_bus_buffer.scala 566:14] + buf_data[3] <= _T_4412 @[el2_lsu_bus_buffer.scala 566:14] + node _T_4413 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 567:121] + node _T_4414 = mux(buf_error_en[0], UInt<1>("h01"), _T_4413) @[el2_lsu_bus_buffer.scala 567:86] + node _T_4415 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:128] + node _T_4416 = and(_T_4414, _T_4415) @[el2_lsu_bus_buffer.scala 567:126] + reg _T_4417 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 567:82] + _T_4417 <= _T_4416 @[el2_lsu_bus_buffer.scala 567:82] + node _T_4418 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 567:121] + node _T_4419 = mux(buf_error_en[1], UInt<1>("h01"), _T_4418) @[el2_lsu_bus_buffer.scala 567:86] + node _T_4420 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:128] + node _T_4421 = and(_T_4419, _T_4420) @[el2_lsu_bus_buffer.scala 567:126] + reg _T_4422 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 567:82] + _T_4422 <= _T_4421 @[el2_lsu_bus_buffer.scala 567:82] + node _T_4423 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 567:121] + node _T_4424 = mux(buf_error_en[2], UInt<1>("h01"), _T_4423) @[el2_lsu_bus_buffer.scala 567:86] + node _T_4425 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:128] + node _T_4426 = and(_T_4424, _T_4425) @[el2_lsu_bus_buffer.scala 567:126] + reg _T_4427 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 567:82] + _T_4427 <= _T_4426 @[el2_lsu_bus_buffer.scala 567:82] + node _T_4428 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 567:121] + node _T_4429 = mux(buf_error_en[3], UInt<1>("h01"), _T_4428) @[el2_lsu_bus_buffer.scala 567:86] + node _T_4430 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:128] + node _T_4431 = and(_T_4429, _T_4430) @[el2_lsu_bus_buffer.scala 567:126] + reg _T_4432 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 567:82] + _T_4432 <= _T_4431 @[el2_lsu_bus_buffer.scala 567:82] + node _T_4433 = cat(_T_4432, _T_4427) @[Cat.scala 29:58] + node _T_4434 = cat(_T_4433, _T_4422) @[Cat.scala 29:58] + node _T_4435 = cat(_T_4434, _T_4417) @[Cat.scala 29:58] + buf_error <= _T_4435 @[el2_lsu_bus_buffer.scala 567:15] + node _T_4436 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4437 = mux(io.ldst_dual_m, _T_4436, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 570:28] + node _T_4438 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4439 = mux(io.ldst_dual_r, _T_4438, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 570:94] + node _T_4440 = add(_T_4437, _T_4439) @[el2_lsu_bus_buffer.scala 570:88] + node _T_4441 = add(_T_4440, ibuf_valid) @[el2_lsu_bus_buffer.scala 570:154] + node _T_4442 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 570:190] + node _T_4443 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 570:190] + node _T_4444 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 570:190] + node _T_4445 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 570:190] + node _T_4446 = add(_T_4442, _T_4443) @[el2_lsu_bus_buffer.scala 570:217] + node _T_4447 = add(_T_4446, _T_4444) @[el2_lsu_bus_buffer.scala 570:217] + node _T_4448 = add(_T_4447, _T_4445) @[el2_lsu_bus_buffer.scala 570:217] + node _T_4449 = add(_T_4441, _T_4448) @[el2_lsu_bus_buffer.scala 570:169] + node buf_numvld_any = tail(_T_4449, 1) @[el2_lsu_bus_buffer.scala 570:169] + node _T_4450 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 571:60] + node _T_4451 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 571:79] + node _T_4452 = and(_T_4450, _T_4451) @[el2_lsu_bus_buffer.scala 571:64] + node _T_4453 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:91] + node _T_4454 = and(_T_4452, _T_4453) @[el2_lsu_bus_buffer.scala 571:89] + node _T_4455 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 571:60] + node _T_4456 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 571:79] + node _T_4457 = and(_T_4455, _T_4456) @[el2_lsu_bus_buffer.scala 571:64] + node _T_4458 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:91] + node _T_4459 = and(_T_4457, _T_4458) @[el2_lsu_bus_buffer.scala 571:89] + node _T_4460 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 571:60] + node _T_4461 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 571:79] + node _T_4462 = and(_T_4460, _T_4461) @[el2_lsu_bus_buffer.scala 571:64] + node _T_4463 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:91] + node _T_4464 = and(_T_4462, _T_4463) @[el2_lsu_bus_buffer.scala 571:89] + node _T_4465 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 571:60] + node _T_4466 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 571:79] + node _T_4467 = and(_T_4465, _T_4466) @[el2_lsu_bus_buffer.scala 571:64] + node _T_4468 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:91] + node _T_4469 = and(_T_4467, _T_4468) @[el2_lsu_bus_buffer.scala 571:89] + node _T_4470 = add(_T_4469, _T_4464) @[el2_lsu_bus_buffer.scala 571:142] + node _T_4471 = add(_T_4470, _T_4459) @[el2_lsu_bus_buffer.scala 571:142] + node _T_4472 = add(_T_4471, _T_4454) @[el2_lsu_bus_buffer.scala 571:142] + buf_numvld_wrcmd_any <= _T_4472 @[el2_lsu_bus_buffer.scala 571:24] + node _T_4473 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 572:63] + node _T_4474 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:75] + node _T_4475 = and(_T_4473, _T_4474) @[el2_lsu_bus_buffer.scala 572:73] + node _T_4476 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 572:63] + node _T_4477 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:75] + node _T_4478 = and(_T_4476, _T_4477) @[el2_lsu_bus_buffer.scala 572:73] + node _T_4479 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 572:63] + node _T_4480 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:75] + node _T_4481 = and(_T_4479, _T_4480) @[el2_lsu_bus_buffer.scala 572:73] + node _T_4482 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 572:63] + node _T_4483 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:75] + node _T_4484 = and(_T_4482, _T_4483) @[el2_lsu_bus_buffer.scala 572:73] + node _T_4485 = add(_T_4484, _T_4481) @[el2_lsu_bus_buffer.scala 572:126] + node _T_4486 = add(_T_4485, _T_4478) @[el2_lsu_bus_buffer.scala 572:126] + node _T_4487 = add(_T_4486, _T_4475) @[el2_lsu_bus_buffer.scala 572:126] + buf_numvld_cmd_any <= _T_4487 @[el2_lsu_bus_buffer.scala 572:22] + node _T_4488 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 573:63] + node _T_4489 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:90] + node _T_4490 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:102] + node _T_4491 = and(_T_4489, _T_4490) @[el2_lsu_bus_buffer.scala 573:100] + node _T_4492 = or(_T_4488, _T_4491) @[el2_lsu_bus_buffer.scala 573:74] + node _T_4493 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 573:63] + node _T_4494 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:90] + node _T_4495 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:102] + node _T_4496 = and(_T_4494, _T_4495) @[el2_lsu_bus_buffer.scala 573:100] + node _T_4497 = or(_T_4493, _T_4496) @[el2_lsu_bus_buffer.scala 573:74] + node _T_4498 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 573:63] + node _T_4499 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:90] + node _T_4500 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:102] + node _T_4501 = and(_T_4499, _T_4500) @[el2_lsu_bus_buffer.scala 573:100] + node _T_4502 = or(_T_4498, _T_4501) @[el2_lsu_bus_buffer.scala 573:74] + node _T_4503 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 573:63] + node _T_4504 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:90] + node _T_4505 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:102] + node _T_4506 = and(_T_4504, _T_4505) @[el2_lsu_bus_buffer.scala 573:100] + node _T_4507 = or(_T_4503, _T_4506) @[el2_lsu_bus_buffer.scala 573:74] + node _T_4508 = add(_T_4507, _T_4502) @[el2_lsu_bus_buffer.scala 573:154] + node _T_4509 = add(_T_4508, _T_4497) @[el2_lsu_bus_buffer.scala 573:154] + node _T_4510 = add(_T_4509, _T_4492) @[el2_lsu_bus_buffer.scala 573:154] + buf_numvld_pend_any <= _T_4510 @[el2_lsu_bus_buffer.scala 573:23] + node _T_4511 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 574:61] + node _T_4512 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 574:61] + node _T_4513 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 574:61] + node _T_4514 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 574:61] + node _T_4515 = or(_T_4514, _T_4513) @[el2_lsu_bus_buffer.scala 574:93] + node _T_4516 = or(_T_4515, _T_4512) @[el2_lsu_bus_buffer.scala 574:93] + node _T_4517 = or(_T_4516, _T_4511) @[el2_lsu_bus_buffer.scala 574:93] + any_done_wait_state <= _T_4517 @[el2_lsu_bus_buffer.scala 574:23] + node _T_4518 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 575:53] + io.lsu_bus_buffer_pend_any <= _T_4518 @[el2_lsu_bus_buffer.scala 575:30] + node _T_4519 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 576:52] + node _T_4520 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 576:92] + node _T_4521 = eq(buf_numvld_any, UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 576:121] + node _T_4522 = mux(_T_4519, _T_4520, _T_4521) @[el2_lsu_bus_buffer.scala 576:36] + io.lsu_bus_buffer_full_any <= _T_4522 @[el2_lsu_bus_buffer.scala 576:30] + node _T_4523 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 577:52] + node _T_4524 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 577:52] + node _T_4525 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 577:52] + node _T_4526 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 577:52] + node _T_4527 = or(_T_4523, _T_4524) @[el2_lsu_bus_buffer.scala 577:65] + node _T_4528 = or(_T_4527, _T_4525) @[el2_lsu_bus_buffer.scala 577:65] + node _T_4529 = or(_T_4528, _T_4526) @[el2_lsu_bus_buffer.scala 577:65] + node _T_4530 = eq(_T_4529, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:34] + node _T_4531 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:72] + node _T_4532 = and(_T_4530, _T_4531) @[el2_lsu_bus_buffer.scala 577:70] + node _T_4533 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:86] + node _T_4534 = and(_T_4532, _T_4533) @[el2_lsu_bus_buffer.scala 577:84] + io.lsu_bus_buffer_empty_any <= _T_4534 @[el2_lsu_bus_buffer.scala 577:31] + node _T_4535 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 579:51] + node _T_4536 = and(_T_4535, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 579:72] + node _T_4537 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:94] + node _T_4538 = and(_T_4536, _T_4537) @[el2_lsu_bus_buffer.scala 579:92] + node _T_4539 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:111] + node _T_4540 = and(_T_4538, _T_4539) @[el2_lsu_bus_buffer.scala 579:109] + io.lsu_nonblock_load_valid_m <= _T_4540 @[el2_lsu_bus_buffer.scala 579:32] + io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 580:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4547 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:61] - node _T_4548 = and(lsu_nonblock_load_valid_r, _T_4547) @[el2_lsu_bus_buffer.scala 595:59] - io.lsu_nonblock_load_inv_r <= _T_4548 @[el2_lsu_bus_buffer.scala 595:30] - io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 596:34] - node _T_4549 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:80] - node _T_4550 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 597:127] - node _T_4551 = and(UInt<1>("h01"), _T_4550) @[el2_lsu_bus_buffer.scala 597:116] - node _T_4552 = eq(_T_4551, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:95] - node _T_4553 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:80] - node _T_4554 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 597:127] - node _T_4555 = and(UInt<1>("h01"), _T_4554) @[el2_lsu_bus_buffer.scala 597:116] - node _T_4556 = eq(_T_4555, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:95] - node _T_4557 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:80] - node _T_4558 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 597:127] - node _T_4559 = and(UInt<1>("h01"), _T_4558) @[el2_lsu_bus_buffer.scala 597:116] - node _T_4560 = eq(_T_4559, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:95] - node _T_4561 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:80] - node _T_4562 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 597:127] - node _T_4563 = and(UInt<1>("h01"), _T_4562) @[el2_lsu_bus_buffer.scala 597:116] - node _T_4564 = eq(_T_4563, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:95] - node _T_4565 = mux(_T_4549, _T_4552, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4566 = mux(_T_4553, _T_4556, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4567 = mux(_T_4557, _T_4560, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4568 = mux(_T_4561, _T_4564, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4569 = or(_T_4565, _T_4566) @[Mux.scala 27:72] - node _T_4570 = or(_T_4569, _T_4567) @[Mux.scala 27:72] - node _T_4571 = or(_T_4570, _T_4568) @[Mux.scala 27:72] + node _T_4541 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:61] + node _T_4542 = and(lsu_nonblock_load_valid_r, _T_4541) @[el2_lsu_bus_buffer.scala 582:59] + io.lsu_nonblock_load_inv_r <= _T_4542 @[el2_lsu_bus_buffer.scala 582:30] + io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 583:34] + node _T_4543 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 584:80] + node _T_4544 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 584:127] + node _T_4545 = and(UInt<1>("h01"), _T_4544) @[el2_lsu_bus_buffer.scala 584:116] + node _T_4546 = eq(_T_4545, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:95] + node _T_4547 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 584:80] + node _T_4548 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 584:127] + node _T_4549 = and(UInt<1>("h01"), _T_4548) @[el2_lsu_bus_buffer.scala 584:116] + node _T_4550 = eq(_T_4549, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:95] + node _T_4551 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 584:80] + node _T_4552 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 584:127] + node _T_4553 = and(UInt<1>("h01"), _T_4552) @[el2_lsu_bus_buffer.scala 584:116] + node _T_4554 = eq(_T_4553, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:95] + node _T_4555 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 584:80] + node _T_4556 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 584:127] + node _T_4557 = and(UInt<1>("h01"), _T_4556) @[el2_lsu_bus_buffer.scala 584:116] + node _T_4558 = eq(_T_4557, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:95] + node _T_4559 = mux(_T_4543, _T_4546, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4560 = mux(_T_4547, _T_4550, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4561 = mux(_T_4551, _T_4554, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4562 = mux(_T_4555, _T_4558, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4563 = or(_T_4559, _T_4560) @[Mux.scala 27:72] + node _T_4564 = or(_T_4563, _T_4561) @[Mux.scala 27:72] + node _T_4565 = or(_T_4564, _T_4562) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_load_data_ready <= _T_4571 @[Mux.scala 27:72] - node _T_4572 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:80] - node _T_4573 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 598:104] - node _T_4574 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 598:120] - node _T_4575 = eq(_T_4574, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:110] - node _T_4576 = and(_T_4573, _T_4575) @[el2_lsu_bus_buffer.scala 598:108] - node _T_4577 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:80] - node _T_4578 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 598:104] - node _T_4579 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 598:120] - node _T_4580 = eq(_T_4579, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:110] - node _T_4581 = and(_T_4578, _T_4580) @[el2_lsu_bus_buffer.scala 598:108] - node _T_4582 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:80] - node _T_4583 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 598:104] - node _T_4584 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 598:120] - node _T_4585 = eq(_T_4584, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:110] - node _T_4586 = and(_T_4583, _T_4585) @[el2_lsu_bus_buffer.scala 598:108] - node _T_4587 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:80] - node _T_4588 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 598:104] - node _T_4589 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 598:120] - node _T_4590 = eq(_T_4589, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:110] - node _T_4591 = and(_T_4588, _T_4590) @[el2_lsu_bus_buffer.scala 598:108] - node _T_4592 = mux(_T_4572, _T_4576, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4593 = mux(_T_4577, _T_4581, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4594 = mux(_T_4582, _T_4586, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4595 = mux(_T_4587, _T_4591, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4596 = or(_T_4592, _T_4593) @[Mux.scala 27:72] - node _T_4597 = or(_T_4596, _T_4594) @[Mux.scala 27:72] - node _T_4598 = or(_T_4597, _T_4595) @[Mux.scala 27:72] - wire _T_4599 : UInt<1> @[Mux.scala 27:72] - _T_4599 <= _T_4598 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4599 @[el2_lsu_bus_buffer.scala 598:35] - node _T_4600 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:79] - node _T_4601 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 599:102] - node _T_4602 = eq(_T_4601, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:92] - node _T_4603 = and(_T_4600, _T_4602) @[el2_lsu_bus_buffer.scala 599:90] - node _T_4604 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:109] - node _T_4605 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:124] - node _T_4606 = or(_T_4604, _T_4605) @[el2_lsu_bus_buffer.scala 599:122] - node _T_4607 = and(_T_4603, _T_4606) @[el2_lsu_bus_buffer.scala 599:106] - node _T_4608 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:79] - node _T_4609 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 599:102] - node _T_4610 = eq(_T_4609, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:92] - node _T_4611 = and(_T_4608, _T_4610) @[el2_lsu_bus_buffer.scala 599:90] - node _T_4612 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:109] - node _T_4613 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:124] - node _T_4614 = or(_T_4612, _T_4613) @[el2_lsu_bus_buffer.scala 599:122] - node _T_4615 = and(_T_4611, _T_4614) @[el2_lsu_bus_buffer.scala 599:106] - node _T_4616 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:79] - node _T_4617 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 599:102] - node _T_4618 = eq(_T_4617, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:92] - node _T_4619 = and(_T_4616, _T_4618) @[el2_lsu_bus_buffer.scala 599:90] - node _T_4620 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:109] - node _T_4621 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:124] - node _T_4622 = or(_T_4620, _T_4621) @[el2_lsu_bus_buffer.scala 599:122] - node _T_4623 = and(_T_4619, _T_4622) @[el2_lsu_bus_buffer.scala 599:106] - node _T_4624 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:79] - node _T_4625 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 599:102] - node _T_4626 = eq(_T_4625, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:92] - node _T_4627 = and(_T_4624, _T_4626) @[el2_lsu_bus_buffer.scala 599:90] - node _T_4628 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:109] - node _T_4629 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:124] - node _T_4630 = or(_T_4628, _T_4629) @[el2_lsu_bus_buffer.scala 599:122] - node _T_4631 = and(_T_4627, _T_4630) @[el2_lsu_bus_buffer.scala 599:106] - node _T_4632 = mux(_T_4607, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4633 = mux(_T_4615, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4634 = mux(_T_4623, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4635 = mux(_T_4631, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4636 = or(_T_4632, _T_4633) @[Mux.scala 27:72] - node _T_4637 = or(_T_4636, _T_4634) @[Mux.scala 27:72] - node _T_4638 = or(_T_4637, _T_4635) @[Mux.scala 27:72] - wire _T_4639 : UInt<2> @[Mux.scala 27:72] - _T_4639 <= _T_4638 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4639 @[el2_lsu_bus_buffer.scala 599:33] - node _T_4640 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 600:78] - node _T_4641 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 600:101] - node _T_4642 = eq(_T_4641, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:91] - node _T_4643 = and(_T_4640, _T_4642) @[el2_lsu_bus_buffer.scala 600:89] - node _T_4644 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:108] - node _T_4645 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:123] - node _T_4646 = or(_T_4644, _T_4645) @[el2_lsu_bus_buffer.scala 600:121] - node _T_4647 = and(_T_4643, _T_4646) @[el2_lsu_bus_buffer.scala 600:105] - node _T_4648 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 600:78] - node _T_4649 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 600:101] - node _T_4650 = eq(_T_4649, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:91] - node _T_4651 = and(_T_4648, _T_4650) @[el2_lsu_bus_buffer.scala 600:89] - node _T_4652 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:108] - node _T_4653 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:123] - node _T_4654 = or(_T_4652, _T_4653) @[el2_lsu_bus_buffer.scala 600:121] - node _T_4655 = and(_T_4651, _T_4654) @[el2_lsu_bus_buffer.scala 600:105] - node _T_4656 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 600:78] - node _T_4657 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 600:101] - node _T_4658 = eq(_T_4657, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:91] - node _T_4659 = and(_T_4656, _T_4658) @[el2_lsu_bus_buffer.scala 600:89] - node _T_4660 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:108] - node _T_4661 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:123] - node _T_4662 = or(_T_4660, _T_4661) @[el2_lsu_bus_buffer.scala 600:121] - node _T_4663 = and(_T_4659, _T_4662) @[el2_lsu_bus_buffer.scala 600:105] - node _T_4664 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 600:78] - node _T_4665 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 600:101] - node _T_4666 = eq(_T_4665, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:91] - node _T_4667 = and(_T_4664, _T_4666) @[el2_lsu_bus_buffer.scala 600:89] - node _T_4668 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:108] - node _T_4669 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:123] - node _T_4670 = or(_T_4668, _T_4669) @[el2_lsu_bus_buffer.scala 600:121] - node _T_4671 = and(_T_4667, _T_4670) @[el2_lsu_bus_buffer.scala 600:105] - node _T_4672 = mux(_T_4647, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4673 = mux(_T_4655, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4674 = mux(_T_4663, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4675 = mux(_T_4671, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4676 = or(_T_4672, _T_4673) @[Mux.scala 27:72] - node _T_4677 = or(_T_4676, _T_4674) @[Mux.scala 27:72] - node _T_4678 = or(_T_4677, _T_4675) @[Mux.scala 27:72] + lsu_nonblock_load_data_ready <= _T_4565 @[Mux.scala 27:72] + node _T_4566 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 585:80] + node _T_4567 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 585:104] + node _T_4568 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 585:120] + node _T_4569 = eq(_T_4568, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:110] + node _T_4570 = and(_T_4567, _T_4569) @[el2_lsu_bus_buffer.scala 585:108] + node _T_4571 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 585:80] + node _T_4572 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 585:104] + node _T_4573 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 585:120] + node _T_4574 = eq(_T_4573, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:110] + node _T_4575 = and(_T_4572, _T_4574) @[el2_lsu_bus_buffer.scala 585:108] + node _T_4576 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 585:80] + node _T_4577 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 585:104] + node _T_4578 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 585:120] + node _T_4579 = eq(_T_4578, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:110] + node _T_4580 = and(_T_4577, _T_4579) @[el2_lsu_bus_buffer.scala 585:108] + node _T_4581 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 585:80] + node _T_4582 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 585:104] + node _T_4583 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 585:120] + node _T_4584 = eq(_T_4583, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:110] + node _T_4585 = and(_T_4582, _T_4584) @[el2_lsu_bus_buffer.scala 585:108] + node _T_4586 = mux(_T_4566, _T_4570, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4587 = mux(_T_4571, _T_4575, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4588 = mux(_T_4576, _T_4580, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4589 = mux(_T_4581, _T_4585, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4590 = or(_T_4586, _T_4587) @[Mux.scala 27:72] + node _T_4591 = or(_T_4590, _T_4588) @[Mux.scala 27:72] + node _T_4592 = or(_T_4591, _T_4589) @[Mux.scala 27:72] + wire _T_4593 : UInt<1> @[Mux.scala 27:72] + _T_4593 <= _T_4592 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_error <= _T_4593 @[el2_lsu_bus_buffer.scala 585:35] + node _T_4594 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:79] + node _T_4595 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 586:102] + node _T_4596 = eq(_T_4595, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:92] + node _T_4597 = and(_T_4594, _T_4596) @[el2_lsu_bus_buffer.scala 586:90] + node _T_4598 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:109] + node _T_4599 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:124] + node _T_4600 = or(_T_4598, _T_4599) @[el2_lsu_bus_buffer.scala 586:122] + node _T_4601 = and(_T_4597, _T_4600) @[el2_lsu_bus_buffer.scala 586:106] + node _T_4602 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:79] + node _T_4603 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 586:102] + node _T_4604 = eq(_T_4603, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:92] + node _T_4605 = and(_T_4602, _T_4604) @[el2_lsu_bus_buffer.scala 586:90] + node _T_4606 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:109] + node _T_4607 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:124] + node _T_4608 = or(_T_4606, _T_4607) @[el2_lsu_bus_buffer.scala 586:122] + node _T_4609 = and(_T_4605, _T_4608) @[el2_lsu_bus_buffer.scala 586:106] + node _T_4610 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:79] + node _T_4611 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 586:102] + node _T_4612 = eq(_T_4611, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:92] + node _T_4613 = and(_T_4610, _T_4612) @[el2_lsu_bus_buffer.scala 586:90] + node _T_4614 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:109] + node _T_4615 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:124] + node _T_4616 = or(_T_4614, _T_4615) @[el2_lsu_bus_buffer.scala 586:122] + node _T_4617 = and(_T_4613, _T_4616) @[el2_lsu_bus_buffer.scala 586:106] + node _T_4618 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:79] + node _T_4619 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 586:102] + node _T_4620 = eq(_T_4619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:92] + node _T_4621 = and(_T_4618, _T_4620) @[el2_lsu_bus_buffer.scala 586:90] + node _T_4622 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:109] + node _T_4623 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:124] + node _T_4624 = or(_T_4622, _T_4623) @[el2_lsu_bus_buffer.scala 586:122] + node _T_4625 = and(_T_4621, _T_4624) @[el2_lsu_bus_buffer.scala 586:106] + node _T_4626 = mux(_T_4601, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4627 = mux(_T_4609, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4628 = mux(_T_4617, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4629 = mux(_T_4625, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4630 = or(_T_4626, _T_4627) @[Mux.scala 27:72] + node _T_4631 = or(_T_4630, _T_4628) @[Mux.scala 27:72] + node _T_4632 = or(_T_4631, _T_4629) @[Mux.scala 27:72] + wire _T_4633 : UInt<2> @[Mux.scala 27:72] + _T_4633 <= _T_4632 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_tag <= _T_4633 @[el2_lsu_bus_buffer.scala 586:33] + node _T_4634 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:78] + node _T_4635 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 587:101] + node _T_4636 = eq(_T_4635, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:91] + node _T_4637 = and(_T_4634, _T_4636) @[el2_lsu_bus_buffer.scala 587:89] + node _T_4638 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:108] + node _T_4639 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:123] + node _T_4640 = or(_T_4638, _T_4639) @[el2_lsu_bus_buffer.scala 587:121] + node _T_4641 = and(_T_4637, _T_4640) @[el2_lsu_bus_buffer.scala 587:105] + node _T_4642 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:78] + node _T_4643 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 587:101] + node _T_4644 = eq(_T_4643, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:91] + node _T_4645 = and(_T_4642, _T_4644) @[el2_lsu_bus_buffer.scala 587:89] + node _T_4646 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:108] + node _T_4647 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:123] + node _T_4648 = or(_T_4646, _T_4647) @[el2_lsu_bus_buffer.scala 587:121] + node _T_4649 = and(_T_4645, _T_4648) @[el2_lsu_bus_buffer.scala 587:105] + node _T_4650 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:78] + node _T_4651 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 587:101] + node _T_4652 = eq(_T_4651, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:91] + node _T_4653 = and(_T_4650, _T_4652) @[el2_lsu_bus_buffer.scala 587:89] + node _T_4654 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:108] + node _T_4655 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:123] + node _T_4656 = or(_T_4654, _T_4655) @[el2_lsu_bus_buffer.scala 587:121] + node _T_4657 = and(_T_4653, _T_4656) @[el2_lsu_bus_buffer.scala 587:105] + node _T_4658 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:78] + node _T_4659 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 587:101] + node _T_4660 = eq(_T_4659, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:91] + node _T_4661 = and(_T_4658, _T_4660) @[el2_lsu_bus_buffer.scala 587:89] + node _T_4662 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:108] + node _T_4663 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:123] + node _T_4664 = or(_T_4662, _T_4663) @[el2_lsu_bus_buffer.scala 587:121] + node _T_4665 = and(_T_4661, _T_4664) @[el2_lsu_bus_buffer.scala 587:105] + node _T_4666 = mux(_T_4641, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4667 = mux(_T_4649, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4668 = mux(_T_4657, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4669 = mux(_T_4665, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4670 = or(_T_4666, _T_4667) @[Mux.scala 27:72] + node _T_4671 = or(_T_4670, _T_4668) @[Mux.scala 27:72] + node _T_4672 = or(_T_4671, _T_4669) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_lo <= _T_4678 @[Mux.scala 27:72] - node _T_4679 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 601:78] - node _T_4680 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 601:101] - node _T_4681 = eq(_T_4680, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:91] - node _T_4682 = and(_T_4679, _T_4681) @[el2_lsu_bus_buffer.scala 601:89] - node _T_4683 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 601:120] - node _T_4684 = and(_T_4682, _T_4683) @[el2_lsu_bus_buffer.scala 601:105] - node _T_4685 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 601:78] - node _T_4686 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 601:101] - node _T_4687 = eq(_T_4686, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:91] - node _T_4688 = and(_T_4685, _T_4687) @[el2_lsu_bus_buffer.scala 601:89] - node _T_4689 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 601:120] - node _T_4690 = and(_T_4688, _T_4689) @[el2_lsu_bus_buffer.scala 601:105] - node _T_4691 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 601:78] - node _T_4692 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 601:101] - node _T_4693 = eq(_T_4692, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:91] - node _T_4694 = and(_T_4691, _T_4693) @[el2_lsu_bus_buffer.scala 601:89] - node _T_4695 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 601:120] - node _T_4696 = and(_T_4694, _T_4695) @[el2_lsu_bus_buffer.scala 601:105] - node _T_4697 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 601:78] - node _T_4698 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 601:101] - node _T_4699 = eq(_T_4698, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:91] - node _T_4700 = and(_T_4697, _T_4699) @[el2_lsu_bus_buffer.scala 601:89] - node _T_4701 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 601:120] - node _T_4702 = and(_T_4700, _T_4701) @[el2_lsu_bus_buffer.scala 601:105] - node _T_4703 = mux(_T_4684, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4704 = mux(_T_4690, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4705 = mux(_T_4696, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4706 = mux(_T_4702, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4707 = or(_T_4703, _T_4704) @[Mux.scala 27:72] - node _T_4708 = or(_T_4707, _T_4705) @[Mux.scala 27:72] - node _T_4709 = or(_T_4708, _T_4706) @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4672 @[Mux.scala 27:72] + node _T_4673 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:78] + node _T_4674 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 588:101] + node _T_4675 = eq(_T_4674, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:91] + node _T_4676 = and(_T_4673, _T_4675) @[el2_lsu_bus_buffer.scala 588:89] + node _T_4677 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 588:120] + node _T_4678 = and(_T_4676, _T_4677) @[el2_lsu_bus_buffer.scala 588:105] + node _T_4679 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:78] + node _T_4680 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 588:101] + node _T_4681 = eq(_T_4680, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:91] + node _T_4682 = and(_T_4679, _T_4681) @[el2_lsu_bus_buffer.scala 588:89] + node _T_4683 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 588:120] + node _T_4684 = and(_T_4682, _T_4683) @[el2_lsu_bus_buffer.scala 588:105] + node _T_4685 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:78] + node _T_4686 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 588:101] + node _T_4687 = eq(_T_4686, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:91] + node _T_4688 = and(_T_4685, _T_4687) @[el2_lsu_bus_buffer.scala 588:89] + node _T_4689 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 588:120] + node _T_4690 = and(_T_4688, _T_4689) @[el2_lsu_bus_buffer.scala 588:105] + node _T_4691 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:78] + node _T_4692 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 588:101] + node _T_4693 = eq(_T_4692, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:91] + node _T_4694 = and(_T_4691, _T_4693) @[el2_lsu_bus_buffer.scala 588:89] + node _T_4695 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 588:120] + node _T_4696 = and(_T_4694, _T_4695) @[el2_lsu_bus_buffer.scala 588:105] + node _T_4697 = mux(_T_4678, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4698 = mux(_T_4684, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4699 = mux(_T_4690, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4700 = mux(_T_4696, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4701 = or(_T_4697, _T_4698) @[Mux.scala 27:72] + node _T_4702 = or(_T_4701, _T_4699) @[Mux.scala 27:72] + node _T_4703 = or(_T_4702, _T_4700) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_hi <= _T_4709 @[Mux.scala 27:72] - node _T_4710 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4711 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4712 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4713 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4714 = mux(_T_4710, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4715 = mux(_T_4711, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4716 = mux(_T_4712, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4717 = mux(_T_4713, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4718 = or(_T_4714, _T_4715) @[Mux.scala 27:72] - node _T_4719 = or(_T_4718, _T_4716) @[Mux.scala 27:72] - node _T_4720 = or(_T_4719, _T_4717) @[Mux.scala 27:72] - wire _T_4721 : UInt<32> @[Mux.scala 27:72] - _T_4721 <= _T_4720 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4721, 1, 0) @[el2_lsu_bus_buffer.scala 602:83] - node _T_4722 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4723 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4724 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4725 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4726 = mux(_T_4722, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4727 = mux(_T_4723, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4728 = mux(_T_4724, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4729 = mux(_T_4725, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4730 = or(_T_4726, _T_4727) @[Mux.scala 27:72] - node _T_4731 = or(_T_4730, _T_4728) @[Mux.scala 27:72] - node _T_4732 = or(_T_4731, _T_4729) @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4703 @[Mux.scala 27:72] + node _T_4704 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_4705 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_4706 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_4707 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_4708 = mux(_T_4704, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4709 = mux(_T_4705, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4710 = mux(_T_4706, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4711 = mux(_T_4707, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4712 = or(_T_4708, _T_4709) @[Mux.scala 27:72] + node _T_4713 = or(_T_4712, _T_4710) @[Mux.scala 27:72] + node _T_4714 = or(_T_4713, _T_4711) @[Mux.scala 27:72] + wire _T_4715 : UInt<32> @[Mux.scala 27:72] + _T_4715 <= _T_4714 @[Mux.scala 27:72] + node lsu_nonblock_addr_offset = bits(_T_4715, 1, 0) @[el2_lsu_bus_buffer.scala 589:83] + node _T_4716 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_4717 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_4718 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_4719 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_4720 = mux(_T_4716, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4721 = mux(_T_4717, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4722 = mux(_T_4718, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4723 = mux(_T_4719, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4724 = or(_T_4720, _T_4721) @[Mux.scala 27:72] + node _T_4725 = or(_T_4724, _T_4722) @[Mux.scala 27:72] + node _T_4726 = or(_T_4725, _T_4723) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] - lsu_nonblock_sz <= _T_4732 @[Mux.scala 27:72] - node _T_4733 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4734 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4735 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4736 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4737 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4738 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4739 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4740 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4741 = mux(_T_4733, _T_4734, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4742 = mux(_T_4735, _T_4736, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4743 = mux(_T_4737, _T_4738, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4744 = mux(_T_4739, _T_4740, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4745 = or(_T_4741, _T_4742) @[Mux.scala 27:72] - node _T_4746 = or(_T_4745, _T_4743) @[Mux.scala 27:72] - node _T_4747 = or(_T_4746, _T_4744) @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4726 @[Mux.scala 27:72] + node _T_4727 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_4728 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] + node _T_4729 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_4730 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 109:129] + node _T_4731 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_4732 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 109:129] + node _T_4733 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_4734 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 109:129] + node _T_4735 = mux(_T_4727, _T_4728, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4736 = mux(_T_4729, _T_4730, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4737 = mux(_T_4731, _T_4732, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4738 = mux(_T_4733, _T_4734, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4739 = or(_T_4735, _T_4736) @[Mux.scala 27:72] + node _T_4740 = or(_T_4739, _T_4737) @[Mux.scala 27:72] + node _T_4741 = or(_T_4740, _T_4738) @[Mux.scala 27:72] wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_unsign <= _T_4747 @[Mux.scala 27:72] - node _T_4748 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_4749 = cat(_T_4748, buf_dual[1]) @[Cat.scala 29:58] - node _T_4750 = cat(_T_4749, buf_dual[0]) @[Cat.scala 29:58] - node _T_4751 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4752 = bits(_T_4750, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4753 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4754 = bits(_T_4750, 1, 1) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4755 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4756 = bits(_T_4750, 2, 2) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4757 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:118] - node _T_4758 = bits(_T_4750, 3, 3) @[el2_lsu_bus_buffer.scala 119:129] - node _T_4759 = mux(_T_4751, _T_4752, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4760 = mux(_T_4753, _T_4754, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4761 = mux(_T_4755, _T_4756, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4762 = mux(_T_4757, _T_4758, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4763 = or(_T_4759, _T_4760) @[Mux.scala 27:72] - node _T_4764 = or(_T_4763, _T_4761) @[Mux.scala 27:72] - node _T_4765 = or(_T_4764, _T_4762) @[Mux.scala 27:72] + lsu_nonblock_unsign <= _T_4741 @[Mux.scala 27:72] + node _T_4742 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_4743 = cat(_T_4742, buf_dual[1]) @[Cat.scala 29:58] + node _T_4744 = cat(_T_4743, buf_dual[0]) @[Cat.scala 29:58] + node _T_4745 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_4746 = bits(_T_4744, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] + node _T_4747 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_4748 = bits(_T_4744, 1, 1) @[el2_lsu_bus_buffer.scala 109:129] + node _T_4749 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_4750 = bits(_T_4744, 2, 2) @[el2_lsu_bus_buffer.scala 109:129] + node _T_4751 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 109:118] + node _T_4752 = bits(_T_4744, 3, 3) @[el2_lsu_bus_buffer.scala 109:129] + node _T_4753 = mux(_T_4745, _T_4746, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4754 = mux(_T_4747, _T_4748, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4755 = mux(_T_4749, _T_4750, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4756 = mux(_T_4751, _T_4752, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4757 = or(_T_4753, _T_4754) @[Mux.scala 27:72] + node _T_4758 = or(_T_4757, _T_4755) @[Mux.scala 27:72] + node _T_4759 = or(_T_4758, _T_4756) @[Mux.scala 27:72] wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_dual <= _T_4765 @[Mux.scala 27:72] - node _T_4766 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4767 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 606:121] - node lsu_nonblock_data_unalgn = dshr(_T_4766, _T_4767) @[el2_lsu_bus_buffer.scala 606:92] - io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 607:14] - io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 608:14] - node _T_4768 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 609:69] - node _T_4769 = and(lsu_nonblock_load_data_ready, _T_4768) @[el2_lsu_bus_buffer.scala 609:67] - io.lsu_nonblock_load_data_valid <= _T_4769 @[el2_lsu_bus_buffer.scala 609:35] - node _T_4770 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 610:81] - node _T_4771 = and(lsu_nonblock_unsign, _T_4770) @[el2_lsu_bus_buffer.scala 610:63] - node _T_4772 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 610:131] - node _T_4773 = cat(UInt<24>("h00"), _T_4772) @[Cat.scala 29:58] - node _T_4774 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 611:45] - node _T_4775 = and(lsu_nonblock_unsign, _T_4774) @[el2_lsu_bus_buffer.scala 611:26] - node _T_4776 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 611:95] - node _T_4777 = cat(UInt<16>("h00"), _T_4776) @[Cat.scala 29:58] - node _T_4778 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 612:6] - node _T_4779 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 612:45] - node _T_4780 = and(_T_4778, _T_4779) @[el2_lsu_bus_buffer.scala 612:27] - node _T_4781 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 612:93] - node _T_4782 = bits(_T_4781, 0, 0) @[Bitwise.scala 72:15] - node _T_4783 = mux(_T_4782, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4784 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 612:123] - node _T_4785 = cat(_T_4783, _T_4784) @[Cat.scala 29:58] - node _T_4786 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 613:6] - node _T_4787 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 613:45] - node _T_4788 = and(_T_4786, _T_4787) @[el2_lsu_bus_buffer.scala 613:27] - node _T_4789 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 613:93] - node _T_4790 = bits(_T_4789, 0, 0) @[Bitwise.scala 72:15] - node _T_4791 = mux(_T_4790, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4792 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 613:124] - node _T_4793 = cat(_T_4791, _T_4792) @[Cat.scala 29:58] - node _T_4794 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 614:21] - node _T_4795 = mux(_T_4771, _T_4773, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4796 = mux(_T_4775, _T_4777, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4797 = mux(_T_4780, _T_4785, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4798 = mux(_T_4788, _T_4793, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4799 = mux(_T_4794, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4800 = or(_T_4795, _T_4796) @[Mux.scala 27:72] - node _T_4801 = or(_T_4800, _T_4797) @[Mux.scala 27:72] - node _T_4802 = or(_T_4801, _T_4798) @[Mux.scala 27:72] - node _T_4803 = or(_T_4802, _T_4799) @[Mux.scala 27:72] - wire _T_4804 : UInt<64> @[Mux.scala 27:72] - _T_4804 <= _T_4803 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4804 @[el2_lsu_bus_buffer.scala 610:29] - node _T_4805 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 615:62] - node _T_4806 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 615:89] - node _T_4807 = and(_T_4805, _T_4806) @[el2_lsu_bus_buffer.scala 615:73] - node _T_4808 = and(_T_4807, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 615:93] - node _T_4809 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 615:62] - node _T_4810 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 615:89] - node _T_4811 = and(_T_4809, _T_4810) @[el2_lsu_bus_buffer.scala 615:73] - node _T_4812 = and(_T_4811, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 615:93] - node _T_4813 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 615:62] - node _T_4814 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 615:89] - node _T_4815 = and(_T_4813, _T_4814) @[el2_lsu_bus_buffer.scala 615:73] - node _T_4816 = and(_T_4815, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 615:93] - node _T_4817 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 615:62] - node _T_4818 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 615:89] - node _T_4819 = and(_T_4817, _T_4818) @[el2_lsu_bus_buffer.scala 615:73] - node _T_4820 = and(_T_4819, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 615:93] - node _T_4821 = or(_T_4808, _T_4812) @[el2_lsu_bus_buffer.scala 615:141] - node _T_4822 = or(_T_4821, _T_4816) @[el2_lsu_bus_buffer.scala 615:141] - node _T_4823 = or(_T_4822, _T_4820) @[el2_lsu_bus_buffer.scala 615:141] - bus_sideeffect_pend <= _T_4823 @[el2_lsu_bus_buffer.scala 615:23] - node _T_4824 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 616:71] - node _T_4825 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 617:25] - node _T_4826 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 617:50] - node _T_4827 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 617:70] - node _T_4828 = eq(_T_4826, _T_4827) @[el2_lsu_bus_buffer.scala 617:56] - node _T_4829 = and(_T_4825, _T_4828) @[el2_lsu_bus_buffer.scala 617:38] - node _T_4830 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 617:92] - node _T_4831 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 617:126] - node _T_4832 = and(obuf_merge, _T_4831) @[el2_lsu_bus_buffer.scala 617:114] - node _T_4833 = or(_T_4830, _T_4832) @[el2_lsu_bus_buffer.scala 617:100] - node _T_4834 = eq(_T_4833, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 617:80] - node _T_4835 = and(_T_4829, _T_4834) @[el2_lsu_bus_buffer.scala 617:78] - node _T_4836 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 616:71] - node _T_4837 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 617:25] - node _T_4838 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 617:50] - node _T_4839 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 617:70] - node _T_4840 = eq(_T_4838, _T_4839) @[el2_lsu_bus_buffer.scala 617:56] - node _T_4841 = and(_T_4837, _T_4840) @[el2_lsu_bus_buffer.scala 617:38] - node _T_4842 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 617:92] - node _T_4843 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 617:126] - node _T_4844 = and(obuf_merge, _T_4843) @[el2_lsu_bus_buffer.scala 617:114] - node _T_4845 = or(_T_4842, _T_4844) @[el2_lsu_bus_buffer.scala 617:100] - node _T_4846 = eq(_T_4845, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 617:80] - node _T_4847 = and(_T_4841, _T_4846) @[el2_lsu_bus_buffer.scala 617:78] - node _T_4848 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 616:71] - node _T_4849 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 617:25] - node _T_4850 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 617:50] - node _T_4851 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 617:70] - node _T_4852 = eq(_T_4850, _T_4851) @[el2_lsu_bus_buffer.scala 617:56] - node _T_4853 = and(_T_4849, _T_4852) @[el2_lsu_bus_buffer.scala 617:38] - node _T_4854 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 617:92] - node _T_4855 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 617:126] - node _T_4856 = and(obuf_merge, _T_4855) @[el2_lsu_bus_buffer.scala 617:114] - node _T_4857 = or(_T_4854, _T_4856) @[el2_lsu_bus_buffer.scala 617:100] - node _T_4858 = eq(_T_4857, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 617:80] - node _T_4859 = and(_T_4853, _T_4858) @[el2_lsu_bus_buffer.scala 617:78] - node _T_4860 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 616:71] - node _T_4861 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 617:25] - node _T_4862 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 617:50] - node _T_4863 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 617:70] - node _T_4864 = eq(_T_4862, _T_4863) @[el2_lsu_bus_buffer.scala 617:56] - node _T_4865 = and(_T_4861, _T_4864) @[el2_lsu_bus_buffer.scala 617:38] - node _T_4866 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 617:92] - node _T_4867 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 617:126] - node _T_4868 = and(obuf_merge, _T_4867) @[el2_lsu_bus_buffer.scala 617:114] - node _T_4869 = or(_T_4866, _T_4868) @[el2_lsu_bus_buffer.scala 617:100] - node _T_4870 = eq(_T_4869, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 617:80] - node _T_4871 = and(_T_4865, _T_4870) @[el2_lsu_bus_buffer.scala 617:78] - node _T_4872 = mux(_T_4824, _T_4835, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4873 = mux(_T_4836, _T_4847, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4874 = mux(_T_4848, _T_4859, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4875 = mux(_T_4860, _T_4871, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4876 = or(_T_4872, _T_4873) @[Mux.scala 27:72] - node _T_4877 = or(_T_4876, _T_4874) @[Mux.scala 27:72] - node _T_4878 = or(_T_4877, _T_4875) @[Mux.scala 27:72] - wire _T_4879 : UInt<1> @[Mux.scala 27:72] - _T_4879 <= _T_4878 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4879 @[el2_lsu_bus_buffer.scala 616:26] - node _T_4880 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 619:54] - node _T_4881 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 619:75] - node _T_4882 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 619:150] - node _T_4883 = mux(_T_4880, _T_4881, _T_4882) @[el2_lsu_bus_buffer.scala 619:39] - node _T_4884 = mux(obuf_write, _T_4883, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 619:23] - bus_cmd_ready <= _T_4884 @[el2_lsu_bus_buffer.scala 619:17] - node _T_4885 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 620:39] - bus_wcmd_sent <= _T_4885 @[el2_lsu_bus_buffer.scala 620:17] - node _T_4886 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 621:39] - bus_wdata_sent <= _T_4886 @[el2_lsu_bus_buffer.scala 621:18] - node _T_4887 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 622:35] - node _T_4888 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 622:70] - node _T_4889 = and(_T_4887, _T_4888) @[el2_lsu_bus_buffer.scala 622:52] - node _T_4890 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 622:111] - node _T_4891 = or(_T_4889, _T_4890) @[el2_lsu_bus_buffer.scala 622:89] - bus_cmd_sent <= _T_4891 @[el2_lsu_bus_buffer.scala 622:16] - node _T_4892 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 623:37] - bus_rsp_read <= _T_4892 @[el2_lsu_bus_buffer.scala 623:16] - node _T_4893 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 624:38] - bus_rsp_write <= _T_4893 @[el2_lsu_bus_buffer.scala 624:17] - bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 625:20] - bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 626:21] - node _T_4894 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 627:60] - node _T_4895 = and(bus_rsp_write, _T_4894) @[el2_lsu_bus_buffer.scala 627:40] - bus_rsp_write_error <= _T_4895 @[el2_lsu_bus_buffer.scala 627:23] - node _T_4896 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 628:58] - node _T_4897 = and(bus_rsp_read, _T_4896) @[el2_lsu_bus_buffer.scala 628:38] - bus_rsp_read_error <= _T_4897 @[el2_lsu_bus_buffer.scala 628:22] - bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 629:17] - node _T_4898 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 632:36] - node _T_4899 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 632:51] - node _T_4900 = and(_T_4898, _T_4899) @[el2_lsu_bus_buffer.scala 632:49] - node _T_4901 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 632:68] - node _T_4902 = and(_T_4900, _T_4901) @[el2_lsu_bus_buffer.scala 632:66] - io.lsu_axi_awvalid <= _T_4902 @[el2_lsu_bus_buffer.scala 632:22] - io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 633:19] - node _T_4903 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 634:69] - node _T_4904 = cat(_T_4903, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4905 = mux(obuf_sideeffect, obuf_addr, _T_4904) @[el2_lsu_bus_buffer.scala 634:27] - io.lsu_axi_awaddr <= _T_4905 @[el2_lsu_bus_buffer.scala 634:21] - node _T_4906 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4907 = mux(obuf_sideeffect, _T_4906, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 635:27] - io.lsu_axi_awsize <= _T_4907 @[el2_lsu_bus_buffer.scala 635:21] - io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 636:21] - node _T_4908 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 637:28] - io.lsu_axi_awcache <= _T_4908 @[el2_lsu_bus_buffer.scala 637:22] - node _T_4909 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 638:35] - io.lsu_axi_awregion <= _T_4909 @[el2_lsu_bus_buffer.scala 638:23] - io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 639:20] - io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 640:22] - io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 641:20] - io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 642:21] - node _T_4910 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 644:35] - node _T_4911 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 644:50] - node _T_4912 = and(_T_4910, _T_4911) @[el2_lsu_bus_buffer.scala 644:48] - node _T_4913 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 644:68] - node _T_4914 = and(_T_4912, _T_4913) @[el2_lsu_bus_buffer.scala 644:66] - io.lsu_axi_wvalid <= _T_4914 @[el2_lsu_bus_buffer.scala 644:21] - node _T_4915 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] - node _T_4916 = mux(_T_4915, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4917 = and(obuf_byteen, _T_4916) @[el2_lsu_bus_buffer.scala 645:35] - io.lsu_axi_wstrb <= _T_4917 @[el2_lsu_bus_buffer.scala 645:20] - io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 646:20] - io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 647:20] - node _T_4918 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 649:38] - node _T_4919 = and(obuf_valid, _T_4918) @[el2_lsu_bus_buffer.scala 649:36] - node _T_4920 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 649:52] - node _T_4921 = and(_T_4919, _T_4920) @[el2_lsu_bus_buffer.scala 649:50] - node _T_4922 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 649:67] - node _T_4923 = and(_T_4921, _T_4922) @[el2_lsu_bus_buffer.scala 649:65] - io.lsu_axi_arvalid <= _T_4923 @[el2_lsu_bus_buffer.scala 649:22] - io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 650:19] - node _T_4924 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 651:69] - node _T_4925 = cat(_T_4924, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4926 = mux(obuf_sideeffect, obuf_addr, _T_4925) @[el2_lsu_bus_buffer.scala 651:27] - io.lsu_axi_araddr <= _T_4926 @[el2_lsu_bus_buffer.scala 651:21] - node _T_4927 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4928 = mux(obuf_sideeffect, _T_4927, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 652:27] - io.lsu_axi_arsize <= _T_4928 @[el2_lsu_bus_buffer.scala 652:21] - io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 653:21] - node _T_4929 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 654:28] - io.lsu_axi_arcache <= _T_4929 @[el2_lsu_bus_buffer.scala 654:22] - node _T_4930 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 655:35] - io.lsu_axi_arregion <= _T_4930 @[el2_lsu_bus_buffer.scala 655:23] - io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 656:20] - io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 657:22] - io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 658:20] - io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 659:21] - io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 660:21] - io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 661:21] - node _T_4931 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 662:81] - node _T_4932 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 662:125] - node _T_4933 = and(io.lsu_bus_clk_en_q, _T_4932) @[el2_lsu_bus_buffer.scala 662:114] - node _T_4934 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 662:140] - node _T_4935 = and(_T_4933, _T_4934) @[el2_lsu_bus_buffer.scala 662:129] - node _T_4936 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 662:81] - node _T_4937 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 662:125] - node _T_4938 = and(io.lsu_bus_clk_en_q, _T_4937) @[el2_lsu_bus_buffer.scala 662:114] - node _T_4939 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 662:140] - node _T_4940 = and(_T_4938, _T_4939) @[el2_lsu_bus_buffer.scala 662:129] - node _T_4941 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 662:81] - node _T_4942 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 662:125] - node _T_4943 = and(io.lsu_bus_clk_en_q, _T_4942) @[el2_lsu_bus_buffer.scala 662:114] - node _T_4944 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 662:140] - node _T_4945 = and(_T_4943, _T_4944) @[el2_lsu_bus_buffer.scala 662:129] - node _T_4946 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 662:81] - node _T_4947 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 662:125] - node _T_4948 = and(io.lsu_bus_clk_en_q, _T_4947) @[el2_lsu_bus_buffer.scala 662:114] - node _T_4949 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 662:140] - node _T_4950 = and(_T_4948, _T_4949) @[el2_lsu_bus_buffer.scala 662:129] - node _T_4951 = mux(_T_4931, _T_4935, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4952 = mux(_T_4936, _T_4940, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4953 = mux(_T_4941, _T_4945, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4954 = mux(_T_4946, _T_4950, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4955 = or(_T_4951, _T_4952) @[Mux.scala 27:72] - node _T_4956 = or(_T_4955, _T_4953) @[Mux.scala 27:72] - node _T_4957 = or(_T_4956, _T_4954) @[Mux.scala 27:72] - wire _T_4958 : UInt<1> @[Mux.scala 27:72] - _T_4958 <= _T_4957 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4958 @[el2_lsu_bus_buffer.scala 662:36] - node _T_4959 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 663:87] - node _T_4960 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 663:109] - node _T_4961 = and(_T_4959, _T_4960) @[el2_lsu_bus_buffer.scala 663:98] - node _T_4962 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 663:124] - node _T_4963 = and(_T_4961, _T_4962) @[el2_lsu_bus_buffer.scala 663:113] - node _T_4964 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 663:87] - node _T_4965 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 663:109] - node _T_4966 = and(_T_4964, _T_4965) @[el2_lsu_bus_buffer.scala 663:98] - node _T_4967 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 663:124] - node _T_4968 = and(_T_4966, _T_4967) @[el2_lsu_bus_buffer.scala 663:113] - node _T_4969 = mux(_T_4963, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4970 = mux(_T_4968, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4971 = or(_T_4969, _T_4970) @[Mux.scala 27:72] + lsu_nonblock_dual <= _T_4759 @[Mux.scala 27:72] + node _T_4760 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4761 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 593:121] + node lsu_nonblock_data_unalgn = dshr(_T_4760, _T_4761) @[el2_lsu_bus_buffer.scala 593:92] + node _T_4762 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:69] + node _T_4763 = and(lsu_nonblock_load_data_ready, _T_4762) @[el2_lsu_bus_buffer.scala 595:67] + io.lsu_nonblock_load_data_valid <= _T_4763 @[el2_lsu_bus_buffer.scala 595:35] + node _T_4764 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:81] + node _T_4765 = and(lsu_nonblock_unsign, _T_4764) @[el2_lsu_bus_buffer.scala 596:63] + node _T_4766 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 596:131] + node _T_4767 = cat(UInt<24>("h00"), _T_4766) @[Cat.scala 29:58] + node _T_4768 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 597:45] + node _T_4769 = and(lsu_nonblock_unsign, _T_4768) @[el2_lsu_bus_buffer.scala 597:26] + node _T_4770 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 597:95] + node _T_4771 = cat(UInt<16>("h00"), _T_4770) @[Cat.scala 29:58] + node _T_4772 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:6] + node _T_4773 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:45] + node _T_4774 = and(_T_4772, _T_4773) @[el2_lsu_bus_buffer.scala 598:27] + node _T_4775 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 598:93] + node _T_4776 = bits(_T_4775, 0, 0) @[Bitwise.scala 72:15] + node _T_4777 = mux(_T_4776, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4778 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 598:123] + node _T_4779 = cat(_T_4777, _T_4778) @[Cat.scala 29:58] + node _T_4780 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:6] + node _T_4781 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 599:45] + node _T_4782 = and(_T_4780, _T_4781) @[el2_lsu_bus_buffer.scala 599:27] + node _T_4783 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 599:93] + node _T_4784 = bits(_T_4783, 0, 0) @[Bitwise.scala 72:15] + node _T_4785 = mux(_T_4784, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4786 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 599:124] + node _T_4787 = cat(_T_4785, _T_4786) @[Cat.scala 29:58] + node _T_4788 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 600:21] + node _T_4789 = mux(_T_4765, _T_4767, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4790 = mux(_T_4769, _T_4771, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4791 = mux(_T_4774, _T_4779, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4792 = mux(_T_4782, _T_4787, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4793 = mux(_T_4788, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4794 = or(_T_4789, _T_4790) @[Mux.scala 27:72] + node _T_4795 = or(_T_4794, _T_4791) @[Mux.scala 27:72] + node _T_4796 = or(_T_4795, _T_4792) @[Mux.scala 27:72] + node _T_4797 = or(_T_4796, _T_4793) @[Mux.scala 27:72] + wire _T_4798 : UInt<64> @[Mux.scala 27:72] + _T_4798 <= _T_4797 @[Mux.scala 27:72] + io.lsu_nonblock_load_data <= _T_4798 @[el2_lsu_bus_buffer.scala 596:29] + node _T_4799 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 601:62] + node _T_4800 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 601:89] + node _T_4801 = and(_T_4799, _T_4800) @[el2_lsu_bus_buffer.scala 601:73] + node _T_4802 = and(_T_4801, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 601:93] + node _T_4803 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 601:62] + node _T_4804 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 601:89] + node _T_4805 = and(_T_4803, _T_4804) @[el2_lsu_bus_buffer.scala 601:73] + node _T_4806 = and(_T_4805, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 601:93] + node _T_4807 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 601:62] + node _T_4808 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 601:89] + node _T_4809 = and(_T_4807, _T_4808) @[el2_lsu_bus_buffer.scala 601:73] + node _T_4810 = and(_T_4809, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 601:93] + node _T_4811 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 601:62] + node _T_4812 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 601:89] + node _T_4813 = and(_T_4811, _T_4812) @[el2_lsu_bus_buffer.scala 601:73] + node _T_4814 = and(_T_4813, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 601:93] + node _T_4815 = or(_T_4802, _T_4806) @[el2_lsu_bus_buffer.scala 601:141] + node _T_4816 = or(_T_4815, _T_4810) @[el2_lsu_bus_buffer.scala 601:141] + node _T_4817 = or(_T_4816, _T_4814) @[el2_lsu_bus_buffer.scala 601:141] + bus_sideeffect_pend <= _T_4817 @[el2_lsu_bus_buffer.scala 601:23] + node _T_4818 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 602:71] + node _T_4819 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 603:25] + node _T_4820 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 603:50] + node _T_4821 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 603:70] + node _T_4822 = eq(_T_4820, _T_4821) @[el2_lsu_bus_buffer.scala 603:56] + node _T_4823 = and(_T_4819, _T_4822) @[el2_lsu_bus_buffer.scala 603:38] + node _T_4824 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 603:92] + node _T_4825 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 603:126] + node _T_4826 = and(obuf_merge, _T_4825) @[el2_lsu_bus_buffer.scala 603:114] + node _T_4827 = or(_T_4824, _T_4826) @[el2_lsu_bus_buffer.scala 603:100] + node _T_4828 = eq(_T_4827, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 603:80] + node _T_4829 = and(_T_4823, _T_4828) @[el2_lsu_bus_buffer.scala 603:78] + node _T_4830 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 602:71] + node _T_4831 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 603:25] + node _T_4832 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 603:50] + node _T_4833 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 603:70] + node _T_4834 = eq(_T_4832, _T_4833) @[el2_lsu_bus_buffer.scala 603:56] + node _T_4835 = and(_T_4831, _T_4834) @[el2_lsu_bus_buffer.scala 603:38] + node _T_4836 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 603:92] + node _T_4837 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 603:126] + node _T_4838 = and(obuf_merge, _T_4837) @[el2_lsu_bus_buffer.scala 603:114] + node _T_4839 = or(_T_4836, _T_4838) @[el2_lsu_bus_buffer.scala 603:100] + node _T_4840 = eq(_T_4839, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 603:80] + node _T_4841 = and(_T_4835, _T_4840) @[el2_lsu_bus_buffer.scala 603:78] + node _T_4842 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 602:71] + node _T_4843 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 603:25] + node _T_4844 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 603:50] + node _T_4845 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 603:70] + node _T_4846 = eq(_T_4844, _T_4845) @[el2_lsu_bus_buffer.scala 603:56] + node _T_4847 = and(_T_4843, _T_4846) @[el2_lsu_bus_buffer.scala 603:38] + node _T_4848 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 603:92] + node _T_4849 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 603:126] + node _T_4850 = and(obuf_merge, _T_4849) @[el2_lsu_bus_buffer.scala 603:114] + node _T_4851 = or(_T_4848, _T_4850) @[el2_lsu_bus_buffer.scala 603:100] + node _T_4852 = eq(_T_4851, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 603:80] + node _T_4853 = and(_T_4847, _T_4852) @[el2_lsu_bus_buffer.scala 603:78] + node _T_4854 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 602:71] + node _T_4855 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 603:25] + node _T_4856 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 603:50] + node _T_4857 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 603:70] + node _T_4858 = eq(_T_4856, _T_4857) @[el2_lsu_bus_buffer.scala 603:56] + node _T_4859 = and(_T_4855, _T_4858) @[el2_lsu_bus_buffer.scala 603:38] + node _T_4860 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 603:92] + node _T_4861 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 603:126] + node _T_4862 = and(obuf_merge, _T_4861) @[el2_lsu_bus_buffer.scala 603:114] + node _T_4863 = or(_T_4860, _T_4862) @[el2_lsu_bus_buffer.scala 603:100] + node _T_4864 = eq(_T_4863, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 603:80] + node _T_4865 = and(_T_4859, _T_4864) @[el2_lsu_bus_buffer.scala 603:78] + node _T_4866 = mux(_T_4818, _T_4829, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4867 = mux(_T_4830, _T_4841, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4868 = mux(_T_4842, _T_4853, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4869 = mux(_T_4854, _T_4865, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4870 = or(_T_4866, _T_4867) @[Mux.scala 27:72] + node _T_4871 = or(_T_4870, _T_4868) @[Mux.scala 27:72] + node _T_4872 = or(_T_4871, _T_4869) @[Mux.scala 27:72] + wire _T_4873 : UInt<1> @[Mux.scala 27:72] + _T_4873 <= _T_4872 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4873 @[el2_lsu_bus_buffer.scala 602:26] + node _T_4874 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 605:54] + node _T_4875 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 605:75] + node _T_4876 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 605:150] + node _T_4877 = mux(_T_4874, _T_4875, _T_4876) @[el2_lsu_bus_buffer.scala 605:39] + node _T_4878 = mux(obuf_write, _T_4877, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 605:23] + bus_cmd_ready <= _T_4878 @[el2_lsu_bus_buffer.scala 605:17] + node _T_4879 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 606:39] + bus_wcmd_sent <= _T_4879 @[el2_lsu_bus_buffer.scala 606:17] + node _T_4880 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 607:39] + bus_wdata_sent <= _T_4880 @[el2_lsu_bus_buffer.scala 607:18] + node _T_4881 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 608:35] + node _T_4882 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 608:70] + node _T_4883 = and(_T_4881, _T_4882) @[el2_lsu_bus_buffer.scala 608:52] + node _T_4884 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 608:111] + node _T_4885 = or(_T_4883, _T_4884) @[el2_lsu_bus_buffer.scala 608:89] + bus_cmd_sent <= _T_4885 @[el2_lsu_bus_buffer.scala 608:16] + node _T_4886 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 609:37] + bus_rsp_read <= _T_4886 @[el2_lsu_bus_buffer.scala 609:16] + node _T_4887 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 610:38] + bus_rsp_write <= _T_4887 @[el2_lsu_bus_buffer.scala 610:17] + bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 611:20] + bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 612:21] + node _T_4888 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 613:60] + node _T_4889 = and(bus_rsp_write, _T_4888) @[el2_lsu_bus_buffer.scala 613:40] + bus_rsp_write_error <= _T_4889 @[el2_lsu_bus_buffer.scala 613:23] + node _T_4890 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 614:58] + node _T_4891 = and(bus_rsp_read, _T_4890) @[el2_lsu_bus_buffer.scala 614:38] + bus_rsp_read_error <= _T_4891 @[el2_lsu_bus_buffer.scala 614:22] + bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 615:17] + node _T_4892 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 618:36] + node _T_4893 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 618:51] + node _T_4894 = and(_T_4892, _T_4893) @[el2_lsu_bus_buffer.scala 618:49] + node _T_4895 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 618:68] + node _T_4896 = and(_T_4894, _T_4895) @[el2_lsu_bus_buffer.scala 618:66] + io.lsu_axi_awvalid <= _T_4896 @[el2_lsu_bus_buffer.scala 618:22] + io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 619:19] + node _T_4897 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 620:69] + node _T_4898 = cat(_T_4897, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4899 = mux(obuf_sideeffect, obuf_addr, _T_4898) @[el2_lsu_bus_buffer.scala 620:27] + io.lsu_axi_awaddr <= _T_4899 @[el2_lsu_bus_buffer.scala 620:21] + node _T_4900 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4901 = mux(obuf_sideeffect, _T_4900, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 621:27] + io.lsu_axi_awsize <= _T_4901 @[el2_lsu_bus_buffer.scala 621:21] + io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 622:21] + node _T_4902 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 623:28] + io.lsu_axi_awcache <= _T_4902 @[el2_lsu_bus_buffer.scala 623:22] + node _T_4903 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 624:35] + io.lsu_axi_awregion <= _T_4903 @[el2_lsu_bus_buffer.scala 624:23] + io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 625:20] + io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 626:22] + io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 627:20] + io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 628:21] + node _T_4904 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 630:35] + node _T_4905 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 630:50] + node _T_4906 = and(_T_4904, _T_4905) @[el2_lsu_bus_buffer.scala 630:48] + node _T_4907 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 630:68] + node _T_4908 = and(_T_4906, _T_4907) @[el2_lsu_bus_buffer.scala 630:66] + io.lsu_axi_wvalid <= _T_4908 @[el2_lsu_bus_buffer.scala 630:21] + node _T_4909 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4910 = mux(_T_4909, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4911 = and(obuf_byteen, _T_4910) @[el2_lsu_bus_buffer.scala 631:35] + io.lsu_axi_wstrb <= _T_4911 @[el2_lsu_bus_buffer.scala 631:20] + io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 632:20] + io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 633:20] + node _T_4912 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 635:38] + node _T_4913 = and(obuf_valid, _T_4912) @[el2_lsu_bus_buffer.scala 635:36] + node _T_4914 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 635:52] + node _T_4915 = and(_T_4913, _T_4914) @[el2_lsu_bus_buffer.scala 635:50] + node _T_4916 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 635:67] + node _T_4917 = and(_T_4915, _T_4916) @[el2_lsu_bus_buffer.scala 635:65] + io.lsu_axi_arvalid <= _T_4917 @[el2_lsu_bus_buffer.scala 635:22] + io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 636:19] + node _T_4918 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 637:69] + node _T_4919 = cat(_T_4918, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4920 = mux(obuf_sideeffect, obuf_addr, _T_4919) @[el2_lsu_bus_buffer.scala 637:27] + io.lsu_axi_araddr <= _T_4920 @[el2_lsu_bus_buffer.scala 637:21] + node _T_4921 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4922 = mux(obuf_sideeffect, _T_4921, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 638:27] + io.lsu_axi_arsize <= _T_4922 @[el2_lsu_bus_buffer.scala 638:21] + io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 639:21] + node _T_4923 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 640:28] + io.lsu_axi_arcache <= _T_4923 @[el2_lsu_bus_buffer.scala 640:22] + node _T_4924 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 641:35] + io.lsu_axi_arregion <= _T_4924 @[el2_lsu_bus_buffer.scala 641:23] + io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 642:20] + io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 643:22] + io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 644:20] + io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 645:21] + io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 646:21] + io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 647:21] + node _T_4925 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 648:81] + node _T_4926 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 648:125] + node _T_4927 = and(io.lsu_bus_clk_en_q, _T_4926) @[el2_lsu_bus_buffer.scala 648:114] + node _T_4928 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 648:140] + node _T_4929 = and(_T_4927, _T_4928) @[el2_lsu_bus_buffer.scala 648:129] + node _T_4930 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 648:81] + node _T_4931 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 648:125] + node _T_4932 = and(io.lsu_bus_clk_en_q, _T_4931) @[el2_lsu_bus_buffer.scala 648:114] + node _T_4933 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 648:140] + node _T_4934 = and(_T_4932, _T_4933) @[el2_lsu_bus_buffer.scala 648:129] + node _T_4935 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 648:81] + node _T_4936 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 648:125] + node _T_4937 = and(io.lsu_bus_clk_en_q, _T_4936) @[el2_lsu_bus_buffer.scala 648:114] + node _T_4938 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 648:140] + node _T_4939 = and(_T_4937, _T_4938) @[el2_lsu_bus_buffer.scala 648:129] + node _T_4940 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 648:81] + node _T_4941 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 648:125] + node _T_4942 = and(io.lsu_bus_clk_en_q, _T_4941) @[el2_lsu_bus_buffer.scala 648:114] + node _T_4943 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 648:140] + node _T_4944 = and(_T_4942, _T_4943) @[el2_lsu_bus_buffer.scala 648:129] + node _T_4945 = mux(_T_4925, _T_4929, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4946 = mux(_T_4930, _T_4934, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4947 = mux(_T_4935, _T_4939, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4948 = mux(_T_4940, _T_4944, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4949 = or(_T_4945, _T_4946) @[Mux.scala 27:72] + node _T_4950 = or(_T_4949, _T_4947) @[Mux.scala 27:72] + node _T_4951 = or(_T_4950, _T_4948) @[Mux.scala 27:72] + wire _T_4952 : UInt<1> @[Mux.scala 27:72] + _T_4952 <= _T_4951 @[Mux.scala 27:72] + io.lsu_imprecise_error_store_any <= _T_4952 @[el2_lsu_bus_buffer.scala 648:36] + node _T_4953 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 649:87] + node _T_4954 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 649:109] + node _T_4955 = and(_T_4953, _T_4954) @[el2_lsu_bus_buffer.scala 649:98] + node _T_4956 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 649:124] + node _T_4957 = and(_T_4955, _T_4956) @[el2_lsu_bus_buffer.scala 649:113] + node _T_4958 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 649:87] + node _T_4959 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 649:109] + node _T_4960 = and(_T_4958, _T_4959) @[el2_lsu_bus_buffer.scala 649:98] + node _T_4961 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 649:124] + node _T_4962 = and(_T_4960, _T_4961) @[el2_lsu_bus_buffer.scala 649:113] + node _T_4963 = mux(_T_4957, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4964 = mux(_T_4962, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4965 = or(_T_4963, _T_4964) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] - lsu_imprecise_error_store_tag <= _T_4971 @[Mux.scala 27:72] - node _T_4972 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 665:72] - node _T_4973 = and(io.lsu_nonblock_load_data_error, _T_4972) @[el2_lsu_bus_buffer.scala 665:70] - io.lsu_imprecise_error_load_any <= _T_4973 @[el2_lsu_bus_buffer.scala 665:35] - node _T_4974 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4975 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4976 = mux(_T_4974, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4977 = mux(_T_4975, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4978 = or(_T_4976, _T_4977) @[Mux.scala 27:72] - wire _T_4979 : UInt<32> @[Mux.scala 27:72] - _T_4979 <= _T_4978 @[Mux.scala 27:72] - node _T_4980 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4981 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4982 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4983 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 120:123] - node _T_4984 = mux(_T_4980, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4985 = mux(_T_4981, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4986 = mux(_T_4982, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4987 = mux(_T_4983, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4988 = or(_T_4984, _T_4985) @[Mux.scala 27:72] - node _T_4989 = or(_T_4988, _T_4986) @[Mux.scala 27:72] - node _T_4990 = or(_T_4989, _T_4987) @[Mux.scala 27:72] - wire _T_4991 : UInt<32> @[Mux.scala 27:72] - _T_4991 <= _T_4990 @[Mux.scala 27:72] - node _T_4992 = mux(io.lsu_imprecise_error_store_any, _T_4979, _T_4991) @[el2_lsu_bus_buffer.scala 666:41] - io.lsu_imprecise_error_addr_any <= _T_4992 @[el2_lsu_bus_buffer.scala 666:35] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 667:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 669:23] - node _T_4993 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 672:46] - node _T_4994 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 672:89] - node _T_4995 = or(_T_4993, _T_4994) @[el2_lsu_bus_buffer.scala 672:68] - node _T_4996 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 672:132] - node _T_4997 = or(_T_4995, _T_4996) @[el2_lsu_bus_buffer.scala 672:110] - io.lsu_pmu_bus_trxn <= _T_4997 @[el2_lsu_bus_buffer.scala 672:23] - node _T_4998 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 673:48] - node _T_4999 = and(_T_4998, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 673:65] - io.lsu_pmu_bus_misaligned <= _T_4999 @[el2_lsu_bus_buffer.scala 673:29] - node _T_5000 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 674:59] - io.lsu_pmu_bus_error <= _T_5000 @[el2_lsu_bus_buffer.scala 674:24] - node _T_5001 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 676:48] - node _T_5002 = and(io.lsu_axi_awvalid, _T_5001) @[el2_lsu_bus_buffer.scala 676:46] - node _T_5003 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 676:92] - node _T_5004 = and(io.lsu_axi_wvalid, _T_5003) @[el2_lsu_bus_buffer.scala 676:90] - node _T_5005 = or(_T_5002, _T_5004) @[el2_lsu_bus_buffer.scala 676:69] - node _T_5006 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 676:136] - node _T_5007 = and(io.lsu_axi_arvalid, _T_5006) @[el2_lsu_bus_buffer.scala 676:134] - node _T_5008 = or(_T_5005, _T_5007) @[el2_lsu_bus_buffer.scala 676:112] - io.lsu_pmu_bus_busy <= _T_5008 @[el2_lsu_bus_buffer.scala 676:23] - reg _T_5009 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 678:49] - _T_5009 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 678:49] - WrPtr0_r <= _T_5009 @[el2_lsu_bus_buffer.scala 678:12] - reg _T_5010 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 679:49] - _T_5010 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 679:49] - WrPtr1_r <= _T_5010 @[el2_lsu_bus_buffer.scala 679:12] - node _T_5011 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 680:75] - node _T_5012 = and(io.lsu_busreq_m, _T_5011) @[el2_lsu_bus_buffer.scala 680:73] - node _T_5013 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 680:89] - node _T_5014 = and(_T_5012, _T_5013) @[el2_lsu_bus_buffer.scala 680:87] - reg _T_5015 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 680:56] - _T_5015 <= _T_5014 @[el2_lsu_bus_buffer.scala 680:56] - io.lsu_busreq_r <= _T_5015 @[el2_lsu_bus_buffer.scala 680:19] - reg _T_5016 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 681:66] - _T_5016 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 681:66] - lsu_nonblock_load_valid_r <= _T_5016 @[el2_lsu_bus_buffer.scala 681:29] + lsu_imprecise_error_store_tag <= _T_4965 @[Mux.scala 27:72] + node _T_4966 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 651:72] + node _T_4967 = and(io.lsu_nonblock_load_data_error, _T_4966) @[el2_lsu_bus_buffer.scala 651:70] + io.lsu_imprecise_error_load_any <= _T_4967 @[el2_lsu_bus_buffer.scala 651:35] + node _T_4968 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_4969 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_4970 = mux(_T_4968, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4971 = mux(_T_4969, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4972 = or(_T_4970, _T_4971) @[Mux.scala 27:72] + wire _T_4973 : UInt<32> @[Mux.scala 27:72] + _T_4973 <= _T_4972 @[Mux.scala 27:72] + node _T_4974 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_4975 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_4976 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_4977 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:123] + node _T_4978 = mux(_T_4974, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4979 = mux(_T_4975, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4980 = mux(_T_4976, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4981 = mux(_T_4977, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4982 = or(_T_4978, _T_4979) @[Mux.scala 27:72] + node _T_4983 = or(_T_4982, _T_4980) @[Mux.scala 27:72] + node _T_4984 = or(_T_4983, _T_4981) @[Mux.scala 27:72] + wire _T_4985 : UInt<32> @[Mux.scala 27:72] + _T_4985 <= _T_4984 @[Mux.scala 27:72] + node _T_4986 = mux(io.lsu_imprecise_error_store_any, _T_4973, _T_4985) @[el2_lsu_bus_buffer.scala 652:41] + io.lsu_imprecise_error_addr_any <= _T_4986 @[el2_lsu_bus_buffer.scala 652:35] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 653:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 655:23] + node _T_4987 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 658:46] + node _T_4988 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 658:89] + node _T_4989 = or(_T_4987, _T_4988) @[el2_lsu_bus_buffer.scala 658:68] + node _T_4990 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 658:132] + node _T_4991 = or(_T_4989, _T_4990) @[el2_lsu_bus_buffer.scala 658:110] + io.lsu_pmu_bus_trxn <= _T_4991 @[el2_lsu_bus_buffer.scala 658:23] + node _T_4992 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 659:48] + node _T_4993 = and(_T_4992, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 659:65] + io.lsu_pmu_bus_misaligned <= _T_4993 @[el2_lsu_bus_buffer.scala 659:29] + node _T_4994 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 660:59] + io.lsu_pmu_bus_error <= _T_4994 @[el2_lsu_bus_buffer.scala 660:24] + node _T_4995 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 662:48] + node _T_4996 = and(io.lsu_axi_awvalid, _T_4995) @[el2_lsu_bus_buffer.scala 662:46] + node _T_4997 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 662:92] + node _T_4998 = and(io.lsu_axi_wvalid, _T_4997) @[el2_lsu_bus_buffer.scala 662:90] + node _T_4999 = or(_T_4996, _T_4998) @[el2_lsu_bus_buffer.scala 662:69] + node _T_5000 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 662:136] + node _T_5001 = and(io.lsu_axi_arvalid, _T_5000) @[el2_lsu_bus_buffer.scala 662:134] + node _T_5002 = or(_T_4999, _T_5001) @[el2_lsu_bus_buffer.scala 662:112] + io.lsu_pmu_bus_busy <= _T_5002 @[el2_lsu_bus_buffer.scala 662:23] + reg _T_5003 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 664:49] + _T_5003 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 664:49] + WrPtr0_r <= _T_5003 @[el2_lsu_bus_buffer.scala 664:12] + reg _T_5004 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 665:49] + _T_5004 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 665:49] + WrPtr1_r <= _T_5004 @[el2_lsu_bus_buffer.scala 665:12] + node _T_5005 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 666:75] + node _T_5006 = and(io.lsu_busreq_m, _T_5005) @[el2_lsu_bus_buffer.scala 666:73] + node _T_5007 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 666:89] + node _T_5008 = and(_T_5006, _T_5007) @[el2_lsu_bus_buffer.scala 666:87] + reg _T_5009 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 666:56] + _T_5009 <= _T_5008 @[el2_lsu_bus_buffer.scala 666:56] + io.lsu_busreq_r <= _T_5009 @[el2_lsu_bus_buffer.scala 666:19] + reg _T_5010 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 667:66] + _T_5010 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 667:66] + lsu_nonblock_load_valid_r <= _T_5010 @[el2_lsu_bus_buffer.scala 667:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index 6c2abe97..ddb5fb54 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -140,17 +140,7 @@ module el2_lsu_bus_buffer( output [3:0] io_lsu_axi_arcache, output [2:0] io_lsu_axi_arprot, output [3:0] io_lsu_axi_arqos, - output io_lsu_axi_rready, - output [3:0] io_test, - output [31:0] io_data_hi, - output [31:0] io_data_lo, - output [3:0] io_data_en, - output [1:0] io_Cmdptr0, - output [1:0] io_Cmdptr1, - output [1:0] io_WrPtr1_r, - output [1:0] io_WrPtr1_m, - output [63:0] io_wdata_in, - output [11:0] io_buf_state + output io_lsu_axi_rready ); `ifdef RANDOMIZE_REG_INIT reg [31:0] _RAND_0; @@ -309,463 +299,463 @@ module el2_lsu_bus_buffer( wire rvclkhdr_11_io_clk; // @[el2_lib.scala 485:23] wire rvclkhdr_11_io_en; // @[el2_lib.scala 485:23] wire rvclkhdr_11_io_scan_mode; // @[el2_lib.scala 485:23] - wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[el2_lsu_bus_buffer.scala 135:46] - wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 136:46] + wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[el2_lsu_bus_buffer.scala 125:46] + wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 126:46] reg [31:0] buf_addr_0; // @[el2_lib.scala 491:16] - wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 138:74] - reg _T_4384; // @[Reg.scala 27:20] + wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 128:74] reg _T_4381; // @[Reg.scala 27:20] reg _T_4378; // @[Reg.scala 27:20] reg _T_4375; // @[Reg.scala 27:20] - wire [3:0] buf_write = {_T_4384,_T_4381,_T_4378,_T_4375}; // @[Cat.scala 29:58] - wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 138:98] + reg _T_4372; // @[Reg.scala 27:20] + wire [3:0] buf_write = {_T_4381,_T_4378,_T_4375,_T_4372}; // @[Cat.scala 29:58] + wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 128:98] reg [2:0] buf_state_0; // @[Reg.scala 27:20] - wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 138:129] - wire _T_6 = _T_4 & _T_5; // @[el2_lsu_bus_buffer.scala 138:113] - wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 138:141] + wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 128:129] + wire _T_6 = _T_4 & _T_5; // @[el2_lsu_bus_buffer.scala 128:113] + wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 128:141] reg [31:0] buf_addr_1; // @[el2_lib.scala 491:16] - wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 138:74] - wire _T_11 = _T_9 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 138:98] + wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 128:74] + wire _T_11 = _T_9 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 128:98] reg [2:0] buf_state_1; // @[Reg.scala 27:20] - wire _T_12 = buf_state_1 != 3'h0; // @[el2_lsu_bus_buffer.scala 138:129] - wire _T_13 = _T_11 & _T_12; // @[el2_lsu_bus_buffer.scala 138:113] - wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 138:141] + wire _T_12 = buf_state_1 != 3'h0; // @[el2_lsu_bus_buffer.scala 128:129] + wire _T_13 = _T_11 & _T_12; // @[el2_lsu_bus_buffer.scala 128:113] + wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 128:141] reg [31:0] buf_addr_2; // @[el2_lib.scala 491:16] - wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 138:74] - wire _T_18 = _T_16 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 138:98] + wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 128:74] + wire _T_18 = _T_16 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 128:98] reg [2:0] buf_state_2; // @[Reg.scala 27:20] - wire _T_19 = buf_state_2 != 3'h0; // @[el2_lsu_bus_buffer.scala 138:129] - wire _T_20 = _T_18 & _T_19; // @[el2_lsu_bus_buffer.scala 138:113] - wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 138:141] + wire _T_19 = buf_state_2 != 3'h0; // @[el2_lsu_bus_buffer.scala 128:129] + wire _T_20 = _T_18 & _T_19; // @[el2_lsu_bus_buffer.scala 128:113] + wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 128:141] reg [31:0] buf_addr_3; // @[el2_lib.scala 491:16] - wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 138:74] - wire _T_25 = _T_23 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 138:98] + wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 128:74] + wire _T_25 = _T_23 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 128:98] reg [2:0] buf_state_3; // @[Reg.scala 27:20] - wire _T_26 = buf_state_3 != 3'h0; // @[el2_lsu_bus_buffer.scala 138:129] - wire _T_27 = _T_25 & _T_26; // @[el2_lsu_bus_buffer.scala 138:113] - wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 138:141] - wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 139:74] - wire _T_32 = _T_30 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 139:98] - wire _T_34 = _T_32 & _T_5; // @[el2_lsu_bus_buffer.scala 139:113] - wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 139:141] - wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 139:74] - wire _T_39 = _T_37 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 139:98] - wire _T_41 = _T_39 & _T_12; // @[el2_lsu_bus_buffer.scala 139:113] - wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 139:141] - wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 139:74] - wire _T_46 = _T_44 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 139:98] - wire _T_48 = _T_46 & _T_19; // @[el2_lsu_bus_buffer.scala 139:113] - wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 139:141] - wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 139:74] - wire _T_53 = _T_51 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 139:98] - wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 139:113] - wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 139:141] + wire _T_26 = buf_state_3 != 3'h0; // @[el2_lsu_bus_buffer.scala 128:129] + wire _T_27 = _T_25 & _T_26; // @[el2_lsu_bus_buffer.scala 128:113] + wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 128:141] + wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 129:74] + wire _T_32 = _T_30 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 129:98] + wire _T_34 = _T_32 & _T_5; // @[el2_lsu_bus_buffer.scala 129:113] + wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 129:141] + wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 129:74] + wire _T_39 = _T_37 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 129:98] + wire _T_41 = _T_39 & _T_12; // @[el2_lsu_bus_buffer.scala 129:113] + wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 129:141] + wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 129:74] + wire _T_46 = _T_44 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 129:98] + wire _T_48 = _T_46 & _T_19; // @[el2_lsu_bus_buffer.scala 129:113] + wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 129:141] + wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 129:74] + wire _T_53 = _T_51 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 129:98] + wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 129:113] + wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 129:141] reg [3:0] buf_byteen_3; // @[Reg.scala 27:20] - wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 202:95] - wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 202:114] + wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 192:95] + wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 192:114] reg [3:0] buf_byteen_2; // @[Reg.scala 27:20] - wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 202:95] - wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 202:114] + wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 192:95] + wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 192:114] reg [3:0] buf_byteen_1; // @[Reg.scala 27:20] - wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 202:95] - wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 202:114] + wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 192:95] + wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 192:114] reg [3:0] buf_byteen_0; // @[Reg.scala 27:20] - wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 202:95] - wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 202:114] + wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 192:95] + wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 192:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 562:60] - wire _T_2645 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 474:95] - wire _T_4131 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4154 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4158 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] + reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 549:60] + wire _T_2642 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 461:95] + wire _T_4128 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4151 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4155 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1869; // @[Reg.scala 27:20] - wire [2:0] obuf_tag0 = {{1'd0}, _T_1869}; // @[el2_lsu_bus_buffer.scala 412:13] - wire _T_4165 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 517:48] + wire [2:0] obuf_tag0 = {{1'd0}, _T_1869}; // @[el2_lsu_bus_buffer.scala 401:13] + wire _T_4162 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 504:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 517:104] - wire _T_4166 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 517:104] - wire _T_4167 = obuf_merge & _T_4166; // @[el2_lsu_bus_buffer.scala 517:91] - wire _T_4168 = _T_4165 | _T_4167; // @[el2_lsu_bus_buffer.scala 517:77] - reg obuf_valid; // @[el2_lsu_bus_buffer.scala 406:54] - wire _T_4169 = _T_4168 & obuf_valid; // @[el2_lsu_bus_buffer.scala 517:135] - reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 405:55] - wire _T_4170 = _T_4169 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 517:148] - wire _GEN_280 = _T_4158 & _T_4170; // @[Conditional.scala 39:67] - wire _GEN_293 = _T_4154 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_3 = _T_4131 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2646 = _T_2645 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 474:105] - wire _T_2647 = ~_T_2646; // @[el2_lsu_bus_buffer.scala 474:80] - wire _T_2648 = buf_ageQ_3[3] & _T_2647; // @[el2_lsu_bus_buffer.scala 474:78] - wire _T_2640 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 474:95] - wire _T_3938 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3961 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3965 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3972 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 517:48] - wire _T_3973 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 517:104] - wire _T_3974 = obuf_merge & _T_3973; // @[el2_lsu_bus_buffer.scala 517:91] - wire _T_3975 = _T_3972 | _T_3974; // @[el2_lsu_bus_buffer.scala 517:77] - wire _T_3976 = _T_3975 & obuf_valid; // @[el2_lsu_bus_buffer.scala 517:135] - wire _T_3977 = _T_3976 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 517:148] - wire _GEN_204 = _T_3965 & _T_3977; // @[Conditional.scala 39:67] - wire _GEN_217 = _T_3961 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_2 = _T_3938 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2641 = _T_2640 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 474:105] - wire _T_2642 = ~_T_2641; // @[el2_lsu_bus_buffer.scala 474:80] - wire _T_2643 = buf_ageQ_3[2] & _T_2642; // @[el2_lsu_bus_buffer.scala 474:78] - wire _T_2635 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 474:95] - wire _T_3745 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3768 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3772 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3779 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 517:48] - wire _T_3780 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 517:104] - wire _T_3781 = obuf_merge & _T_3780; // @[el2_lsu_bus_buffer.scala 517:91] - wire _T_3782 = _T_3779 | _T_3781; // @[el2_lsu_bus_buffer.scala 517:77] - wire _T_3783 = _T_3782 & obuf_valid; // @[el2_lsu_bus_buffer.scala 517:135] - wire _T_3784 = _T_3783 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 517:148] - wire _GEN_128 = _T_3772 & _T_3784; // @[Conditional.scala 39:67] - wire _GEN_141 = _T_3768 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_1 = _T_3745 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2636 = _T_2635 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 474:105] - wire _T_2637 = ~_T_2636; // @[el2_lsu_bus_buffer.scala 474:80] - wire _T_2638 = buf_ageQ_3[1] & _T_2637; // @[el2_lsu_bus_buffer.scala 474:78] - wire _T_2630 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 474:95] - wire _T_3552 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3575 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3579 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3586 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 517:48] - wire _T_3587 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 517:104] - wire _T_3588 = obuf_merge & _T_3587; // @[el2_lsu_bus_buffer.scala 517:91] - wire _T_3589 = _T_3586 | _T_3588; // @[el2_lsu_bus_buffer.scala 517:77] - wire _T_3590 = _T_3589 & obuf_valid; // @[el2_lsu_bus_buffer.scala 517:135] - wire _T_3591 = _T_3590 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 517:148] - wire _GEN_52 = _T_3579 & _T_3591; // @[Conditional.scala 39:67] - wire _GEN_65 = _T_3575 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_0 = _T_3552 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2631 = _T_2630 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 474:105] - wire _T_2632 = ~_T_2631; // @[el2_lsu_bus_buffer.scala 474:80] - wire _T_2633 = buf_ageQ_3[0] & _T_2632; // @[el2_lsu_bus_buffer.scala 474:78] - wire [3:0] buf_age_3 = {_T_2648,_T_2643,_T_2638,_T_2633}; // @[Cat.scala 29:58] - wire _T_2747 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 475:91] - wire _T_2749 = _T_2747 & _T_19; // @[el2_lsu_bus_buffer.scala 475:106] - wire _T_2741 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 475:91] - wire _T_2743 = _T_2741 & _T_12; // @[el2_lsu_bus_buffer.scala 475:106] - wire _T_2735 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 475:91] - wire _T_2737 = _T_2735 & _T_5; // @[el2_lsu_bus_buffer.scala 475:106] - wire [3:0] buf_age_younger_3 = {1'h0,_T_2749,_T_2743,_T_2737}; // @[Cat.scala 29:58] - wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 207:122] - wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 207:144] - wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 207:99] - wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[el2_lsu_bus_buffer.scala 207:97] + wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 504:104] + wire _T_4163 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 504:104] + wire _T_4164 = obuf_merge & _T_4163; // @[el2_lsu_bus_buffer.scala 504:91] + wire _T_4165 = _T_4162 | _T_4164; // @[el2_lsu_bus_buffer.scala 504:77] + reg obuf_valid; // @[el2_lsu_bus_buffer.scala 395:54] + wire _T_4166 = _T_4165 & obuf_valid; // @[el2_lsu_bus_buffer.scala 504:135] + reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 394:55] + wire _T_4167 = _T_4166 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 504:148] + wire _GEN_280 = _T_4155 & _T_4167; // @[Conditional.scala 39:67] + wire _GEN_293 = _T_4151 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_3 = _T_4128 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] + wire _T_2643 = _T_2642 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 461:105] + wire _T_2644 = ~_T_2643; // @[el2_lsu_bus_buffer.scala 461:80] + wire _T_2645 = buf_ageQ_3[3] & _T_2644; // @[el2_lsu_bus_buffer.scala 461:78] + wire _T_2637 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 461:95] + wire _T_3935 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3958 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3962 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3969 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 504:48] + wire _T_3970 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 504:104] + wire _T_3971 = obuf_merge & _T_3970; // @[el2_lsu_bus_buffer.scala 504:91] + wire _T_3972 = _T_3969 | _T_3971; // @[el2_lsu_bus_buffer.scala 504:77] + wire _T_3973 = _T_3972 & obuf_valid; // @[el2_lsu_bus_buffer.scala 504:135] + wire _T_3974 = _T_3973 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 504:148] + wire _GEN_204 = _T_3962 & _T_3974; // @[Conditional.scala 39:67] + wire _GEN_217 = _T_3958 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_2 = _T_3935 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] + wire _T_2638 = _T_2637 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 461:105] + wire _T_2639 = ~_T_2638; // @[el2_lsu_bus_buffer.scala 461:80] + wire _T_2640 = buf_ageQ_3[2] & _T_2639; // @[el2_lsu_bus_buffer.scala 461:78] + wire _T_2632 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 461:95] + wire _T_3742 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3765 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3769 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3776 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 504:48] + wire _T_3777 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 504:104] + wire _T_3778 = obuf_merge & _T_3777; // @[el2_lsu_bus_buffer.scala 504:91] + wire _T_3779 = _T_3776 | _T_3778; // @[el2_lsu_bus_buffer.scala 504:77] + wire _T_3780 = _T_3779 & obuf_valid; // @[el2_lsu_bus_buffer.scala 504:135] + wire _T_3781 = _T_3780 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 504:148] + wire _GEN_128 = _T_3769 & _T_3781; // @[Conditional.scala 39:67] + wire _GEN_141 = _T_3765 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_1 = _T_3742 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] + wire _T_2633 = _T_2632 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 461:105] + wire _T_2634 = ~_T_2633; // @[el2_lsu_bus_buffer.scala 461:80] + wire _T_2635 = buf_ageQ_3[1] & _T_2634; // @[el2_lsu_bus_buffer.scala 461:78] + wire _T_2627 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 461:95] + wire _T_3549 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3572 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3576 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3583 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 504:48] + wire _T_3584 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 504:104] + wire _T_3585 = obuf_merge & _T_3584; // @[el2_lsu_bus_buffer.scala 504:91] + wire _T_3586 = _T_3583 | _T_3585; // @[el2_lsu_bus_buffer.scala 504:77] + wire _T_3587 = _T_3586 & obuf_valid; // @[el2_lsu_bus_buffer.scala 504:135] + wire _T_3588 = _T_3587 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 504:148] + wire _GEN_52 = _T_3576 & _T_3588; // @[Conditional.scala 39:67] + wire _GEN_65 = _T_3572 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_0 = _T_3549 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] + wire _T_2628 = _T_2627 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 461:105] + wire _T_2629 = ~_T_2628; // @[el2_lsu_bus_buffer.scala 461:80] + wire _T_2630 = buf_ageQ_3[0] & _T_2629; // @[el2_lsu_bus_buffer.scala 461:78] + wire [3:0] buf_age_3 = {_T_2645,_T_2640,_T_2635,_T_2630}; // @[Cat.scala 29:58] + wire _T_2744 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 462:91] + wire _T_2746 = _T_2744 & _T_19; // @[el2_lsu_bus_buffer.scala 462:106] + wire _T_2738 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 462:91] + wire _T_2740 = _T_2738 & _T_12; // @[el2_lsu_bus_buffer.scala 462:106] + wire _T_2732 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 462:91] + wire _T_2734 = _T_2732 & _T_5; // @[el2_lsu_bus_buffer.scala 462:106] + wire [3:0] buf_age_younger_3 = {1'h0,_T_2746,_T_2740,_T_2734}; // @[Cat.scala 29:58] + wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 197:122] + wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 197:144] + wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 197:99] + wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[el2_lsu_bus_buffer.scala 197:97] reg [31:0] ibuf_addr; // @[el2_lib.scala 491:16] - wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 213:51] + wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 203:51] reg ibuf_write; // @[Reg.scala 27:20] - wire _T_513 = _T_512 & ibuf_write; // @[el2_lsu_bus_buffer.scala 213:73] - reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 299:24] - wire _T_514 = _T_513 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 213:86] - wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 213:99] + wire _T_513 = _T_512 & ibuf_write; // @[el2_lsu_bus_buffer.scala 203:73] + reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 288:24] + wire _T_514 = _T_513 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 203:86] + wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 203:99] wire [3:0] _T_521 = ld_addr_ibuf_hit_lo ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] reg [3:0] ibuf_byteen; // @[Reg.scala 27:20] - wire [3:0] _T_522 = _T_521 & ibuf_byteen; // @[el2_lsu_bus_buffer.scala 218:55] - wire [3:0] ld_byte_ibuf_hit_lo = _T_522 & ldst_byteen_lo_m; // @[el2_lsu_bus_buffer.scala 218:69] - wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 207:150] - wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 207:148] - reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 562:60] - wire _T_2625 = buf_ageQ_2[3] & _T_2647; // @[el2_lsu_bus_buffer.scala 474:78] - wire _T_2620 = buf_ageQ_2[2] & _T_2642; // @[el2_lsu_bus_buffer.scala 474:78] - wire _T_2615 = buf_ageQ_2[1] & _T_2637; // @[el2_lsu_bus_buffer.scala 474:78] - wire _T_2610 = buf_ageQ_2[0] & _T_2632; // @[el2_lsu_bus_buffer.scala 474:78] - wire [3:0] buf_age_2 = {_T_2625,_T_2620,_T_2615,_T_2610}; // @[Cat.scala 29:58] - wire _T_2726 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 475:91] - wire _T_2728 = _T_2726 & _T_26; // @[el2_lsu_bus_buffer.scala 475:106] - wire _T_2714 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 475:91] - wire _T_2716 = _T_2714 & _T_12; // @[el2_lsu_bus_buffer.scala 475:106] - wire _T_2708 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 475:91] - wire _T_2710 = _T_2708 & _T_5; // @[el2_lsu_bus_buffer.scala 475:106] - wire [3:0] buf_age_younger_2 = {_T_2728,1'h0,_T_2716,_T_2710}; // @[Cat.scala 29:58] - wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 207:122] - wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 207:144] - wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 207:99] - wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 207:97] - wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 207:148] - reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 562:60] - wire _T_2602 = buf_ageQ_1[3] & _T_2647; // @[el2_lsu_bus_buffer.scala 474:78] - wire _T_2597 = buf_ageQ_1[2] & _T_2642; // @[el2_lsu_bus_buffer.scala 474:78] - wire _T_2592 = buf_ageQ_1[1] & _T_2637; // @[el2_lsu_bus_buffer.scala 474:78] - wire _T_2587 = buf_ageQ_1[0] & _T_2632; // @[el2_lsu_bus_buffer.scala 474:78] - wire [3:0] buf_age_1 = {_T_2602,_T_2597,_T_2592,_T_2587}; // @[Cat.scala 29:58] - wire _T_2699 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 475:91] - wire _T_2701 = _T_2699 & _T_26; // @[el2_lsu_bus_buffer.scala 475:106] - wire _T_2693 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 475:91] - wire _T_2695 = _T_2693 & _T_19; // @[el2_lsu_bus_buffer.scala 475:106] - wire _T_2681 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 475:91] - wire _T_2683 = _T_2681 & _T_5; // @[el2_lsu_bus_buffer.scala 475:106] - wire [3:0] buf_age_younger_1 = {_T_2701,_T_2695,1'h0,_T_2683}; // @[Cat.scala 29:58] - wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 207:122] - wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 207:144] - wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 207:99] - wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 207:97] - wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 207:148] - reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 562:60] - wire _T_2579 = buf_ageQ_0[3] & _T_2647; // @[el2_lsu_bus_buffer.scala 474:78] - wire _T_2574 = buf_ageQ_0[2] & _T_2642; // @[el2_lsu_bus_buffer.scala 474:78] - wire _T_2569 = buf_ageQ_0[1] & _T_2637; // @[el2_lsu_bus_buffer.scala 474:78] - wire _T_2564 = buf_ageQ_0[0] & _T_2632; // @[el2_lsu_bus_buffer.scala 474:78] - wire [3:0] buf_age_0 = {_T_2579,_T_2574,_T_2569,_T_2564}; // @[Cat.scala 29:58] - wire _T_2672 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 475:91] - wire _T_2674 = _T_2672 & _T_26; // @[el2_lsu_bus_buffer.scala 475:106] - wire _T_2666 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 475:91] - wire _T_2668 = _T_2666 & _T_19; // @[el2_lsu_bus_buffer.scala 475:106] - wire _T_2660 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 475:91] - wire _T_2662 = _T_2660 & _T_12; // @[el2_lsu_bus_buffer.scala 475:106] - wire [3:0] buf_age_younger_0 = {_T_2674,_T_2668,_T_2662,1'h0}; // @[Cat.scala 29:58] - wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 207:122] - wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 207:144] - wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 207:99] - wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[el2_lsu_bus_buffer.scala 207:97] - wire _T_237 = _T_234 & _T_260; // @[el2_lsu_bus_buffer.scala 207:148] + wire [3:0] _T_522 = _T_521 & ibuf_byteen; // @[el2_lsu_bus_buffer.scala 208:55] + wire [3:0] ld_byte_ibuf_hit_lo = _T_522 & ldst_byteen_lo_m; // @[el2_lsu_bus_buffer.scala 208:69] + wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 197:150] + wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 197:148] + reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 549:60] + wire _T_2622 = buf_ageQ_2[3] & _T_2644; // @[el2_lsu_bus_buffer.scala 461:78] + wire _T_2617 = buf_ageQ_2[2] & _T_2639; // @[el2_lsu_bus_buffer.scala 461:78] + wire _T_2612 = buf_ageQ_2[1] & _T_2634; // @[el2_lsu_bus_buffer.scala 461:78] + wire _T_2607 = buf_ageQ_2[0] & _T_2629; // @[el2_lsu_bus_buffer.scala 461:78] + wire [3:0] buf_age_2 = {_T_2622,_T_2617,_T_2612,_T_2607}; // @[Cat.scala 29:58] + wire _T_2723 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 462:91] + wire _T_2725 = _T_2723 & _T_26; // @[el2_lsu_bus_buffer.scala 462:106] + wire _T_2711 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 462:91] + wire _T_2713 = _T_2711 & _T_12; // @[el2_lsu_bus_buffer.scala 462:106] + wire _T_2705 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 462:91] + wire _T_2707 = _T_2705 & _T_5; // @[el2_lsu_bus_buffer.scala 462:106] + wire [3:0] buf_age_younger_2 = {_T_2725,1'h0,_T_2713,_T_2707}; // @[Cat.scala 29:58] + wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 197:122] + wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 197:144] + wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 197:99] + wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 197:97] + wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 197:148] + reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 549:60] + wire _T_2599 = buf_ageQ_1[3] & _T_2644; // @[el2_lsu_bus_buffer.scala 461:78] + wire _T_2594 = buf_ageQ_1[2] & _T_2639; // @[el2_lsu_bus_buffer.scala 461:78] + wire _T_2589 = buf_ageQ_1[1] & _T_2634; // @[el2_lsu_bus_buffer.scala 461:78] + wire _T_2584 = buf_ageQ_1[0] & _T_2629; // @[el2_lsu_bus_buffer.scala 461:78] + wire [3:0] buf_age_1 = {_T_2599,_T_2594,_T_2589,_T_2584}; // @[Cat.scala 29:58] + wire _T_2696 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 462:91] + wire _T_2698 = _T_2696 & _T_26; // @[el2_lsu_bus_buffer.scala 462:106] + wire _T_2690 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 462:91] + wire _T_2692 = _T_2690 & _T_19; // @[el2_lsu_bus_buffer.scala 462:106] + wire _T_2678 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 462:91] + wire _T_2680 = _T_2678 & _T_5; // @[el2_lsu_bus_buffer.scala 462:106] + wire [3:0] buf_age_younger_1 = {_T_2698,_T_2692,1'h0,_T_2680}; // @[Cat.scala 29:58] + wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 197:122] + wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 197:144] + wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 197:99] + wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 197:97] + wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 197:148] + reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 549:60] + wire _T_2576 = buf_ageQ_0[3] & _T_2644; // @[el2_lsu_bus_buffer.scala 461:78] + wire _T_2571 = buf_ageQ_0[2] & _T_2639; // @[el2_lsu_bus_buffer.scala 461:78] + wire _T_2566 = buf_ageQ_0[1] & _T_2634; // @[el2_lsu_bus_buffer.scala 461:78] + wire _T_2561 = buf_ageQ_0[0] & _T_2629; // @[el2_lsu_bus_buffer.scala 461:78] + wire [3:0] buf_age_0 = {_T_2576,_T_2571,_T_2566,_T_2561}; // @[Cat.scala 29:58] + wire _T_2669 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 462:91] + wire _T_2671 = _T_2669 & _T_26; // @[el2_lsu_bus_buffer.scala 462:106] + wire _T_2663 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 462:91] + wire _T_2665 = _T_2663 & _T_19; // @[el2_lsu_bus_buffer.scala 462:106] + wire _T_2657 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 462:91] + wire _T_2659 = _T_2657 & _T_12; // @[el2_lsu_bus_buffer.scala 462:106] + wire [3:0] buf_age_younger_0 = {_T_2671,_T_2665,_T_2659,1'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 197:122] + wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 197:144] + wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 197:99] + wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[el2_lsu_bus_buffer.scala 197:97] + wire _T_237 = _T_234 & _T_260; // @[el2_lsu_bus_buffer.scala 197:148] wire [3:0] ld_byte_hitvecfn_lo_0 = {_T_261,_T_253,_T_245,_T_237}; // @[Cat.scala 29:58] - wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[el2_lsu_bus_buffer.scala 199:73] - wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 199:77] - wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 202:95] - wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 202:114] - wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 202:95] - wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 202:114] - wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 202:95] - wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 202:114] - wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 202:95] - wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 202:114] + wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[el2_lsu_bus_buffer.scala 189:73] + wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 189:77] + wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 192:95] + wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 192:114] + wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 192:95] + wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 192:114] + wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 192:95] + wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 192:114] + wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 192:95] + wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 192:114] wire [3:0] ld_byte_hitvec_lo_1 = {_T_119,_T_115,_T_111,_T_107}; // @[Cat.scala 29:58] - wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 207:122] - wire _T_291 = |_T_290; // @[el2_lsu_bus_buffer.scala 207:144] - wire _T_292 = ~_T_291; // @[el2_lsu_bus_buffer.scala 207:99] - wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[el2_lsu_bus_buffer.scala 207:97] - wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 207:150] - wire _T_296 = _T_293 & _T_295; // @[el2_lsu_bus_buffer.scala 207:148] - wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 207:122] - wire _T_283 = |_T_282; // @[el2_lsu_bus_buffer.scala 207:144] - wire _T_284 = ~_T_283; // @[el2_lsu_bus_buffer.scala 207:99] - wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[el2_lsu_bus_buffer.scala 207:97] - wire _T_288 = _T_285 & _T_295; // @[el2_lsu_bus_buffer.scala 207:148] - wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 207:122] - wire _T_275 = |_T_274; // @[el2_lsu_bus_buffer.scala 207:144] - wire _T_276 = ~_T_275; // @[el2_lsu_bus_buffer.scala 207:99] - wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[el2_lsu_bus_buffer.scala 207:97] - wire _T_280 = _T_277 & _T_295; // @[el2_lsu_bus_buffer.scala 207:148] - wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 207:122] - wire _T_267 = |_T_266; // @[el2_lsu_bus_buffer.scala 207:144] - wire _T_268 = ~_T_267; // @[el2_lsu_bus_buffer.scala 207:99] - wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[el2_lsu_bus_buffer.scala 207:97] - wire _T_272 = _T_269 & _T_295; // @[el2_lsu_bus_buffer.scala 207:148] + wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 197:122] + wire _T_291 = |_T_290; // @[el2_lsu_bus_buffer.scala 197:144] + wire _T_292 = ~_T_291; // @[el2_lsu_bus_buffer.scala 197:99] + wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[el2_lsu_bus_buffer.scala 197:97] + wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 197:150] + wire _T_296 = _T_293 & _T_295; // @[el2_lsu_bus_buffer.scala 197:148] + wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 197:122] + wire _T_283 = |_T_282; // @[el2_lsu_bus_buffer.scala 197:144] + wire _T_284 = ~_T_283; // @[el2_lsu_bus_buffer.scala 197:99] + wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[el2_lsu_bus_buffer.scala 197:97] + wire _T_288 = _T_285 & _T_295; // @[el2_lsu_bus_buffer.scala 197:148] + wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 197:122] + wire _T_275 = |_T_274; // @[el2_lsu_bus_buffer.scala 197:144] + wire _T_276 = ~_T_275; // @[el2_lsu_bus_buffer.scala 197:99] + wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[el2_lsu_bus_buffer.scala 197:97] + wire _T_280 = _T_277 & _T_295; // @[el2_lsu_bus_buffer.scala 197:148] + wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 197:122] + wire _T_267 = |_T_266; // @[el2_lsu_bus_buffer.scala 197:144] + wire _T_268 = ~_T_267; // @[el2_lsu_bus_buffer.scala 197:99] + wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[el2_lsu_bus_buffer.scala 197:97] + wire _T_272 = _T_269 & _T_295; // @[el2_lsu_bus_buffer.scala 197:148] wire [3:0] ld_byte_hitvecfn_lo_1 = {_T_296,_T_288,_T_280,_T_272}; // @[Cat.scala 29:58] - wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[el2_lsu_bus_buffer.scala 199:73] - wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 199:77] - wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 202:95] - wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 202:114] - wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 202:95] - wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 202:114] - wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 202:95] - wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 202:114] - wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 202:95] - wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 202:114] + wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[el2_lsu_bus_buffer.scala 189:73] + wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 189:77] + wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 192:95] + wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 192:114] + wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 192:95] + wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 192:114] + wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 192:95] + wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 192:114] + wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 192:95] + wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 192:114] wire [3:0] ld_byte_hitvec_lo_2 = {_T_137,_T_133,_T_129,_T_125}; // @[Cat.scala 29:58] - wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 207:122] - wire _T_326 = |_T_325; // @[el2_lsu_bus_buffer.scala 207:144] - wire _T_327 = ~_T_326; // @[el2_lsu_bus_buffer.scala 207:99] - wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[el2_lsu_bus_buffer.scala 207:97] - wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 207:150] - wire _T_331 = _T_328 & _T_330; // @[el2_lsu_bus_buffer.scala 207:148] - wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 207:122] - wire _T_318 = |_T_317; // @[el2_lsu_bus_buffer.scala 207:144] - wire _T_319 = ~_T_318; // @[el2_lsu_bus_buffer.scala 207:99] - wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[el2_lsu_bus_buffer.scala 207:97] - wire _T_323 = _T_320 & _T_330; // @[el2_lsu_bus_buffer.scala 207:148] - wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 207:122] - wire _T_310 = |_T_309; // @[el2_lsu_bus_buffer.scala 207:144] - wire _T_311 = ~_T_310; // @[el2_lsu_bus_buffer.scala 207:99] - wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[el2_lsu_bus_buffer.scala 207:97] - wire _T_315 = _T_312 & _T_330; // @[el2_lsu_bus_buffer.scala 207:148] - wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 207:122] - wire _T_302 = |_T_301; // @[el2_lsu_bus_buffer.scala 207:144] - wire _T_303 = ~_T_302; // @[el2_lsu_bus_buffer.scala 207:99] - wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[el2_lsu_bus_buffer.scala 207:97] - wire _T_307 = _T_304 & _T_330; // @[el2_lsu_bus_buffer.scala 207:148] + wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 197:122] + wire _T_326 = |_T_325; // @[el2_lsu_bus_buffer.scala 197:144] + wire _T_327 = ~_T_326; // @[el2_lsu_bus_buffer.scala 197:99] + wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[el2_lsu_bus_buffer.scala 197:97] + wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 197:150] + wire _T_331 = _T_328 & _T_330; // @[el2_lsu_bus_buffer.scala 197:148] + wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 197:122] + wire _T_318 = |_T_317; // @[el2_lsu_bus_buffer.scala 197:144] + wire _T_319 = ~_T_318; // @[el2_lsu_bus_buffer.scala 197:99] + wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[el2_lsu_bus_buffer.scala 197:97] + wire _T_323 = _T_320 & _T_330; // @[el2_lsu_bus_buffer.scala 197:148] + wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 197:122] + wire _T_310 = |_T_309; // @[el2_lsu_bus_buffer.scala 197:144] + wire _T_311 = ~_T_310; // @[el2_lsu_bus_buffer.scala 197:99] + wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[el2_lsu_bus_buffer.scala 197:97] + wire _T_315 = _T_312 & _T_330; // @[el2_lsu_bus_buffer.scala 197:148] + wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 197:122] + wire _T_302 = |_T_301; // @[el2_lsu_bus_buffer.scala 197:144] + wire _T_303 = ~_T_302; // @[el2_lsu_bus_buffer.scala 197:99] + wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[el2_lsu_bus_buffer.scala 197:97] + wire _T_307 = _T_304 & _T_330; // @[el2_lsu_bus_buffer.scala 197:148] wire [3:0] ld_byte_hitvecfn_lo_2 = {_T_331,_T_323,_T_315,_T_307}; // @[Cat.scala 29:58] - wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[el2_lsu_bus_buffer.scala 199:73] - wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 199:77] - wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 202:95] - wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 202:114] - wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 202:95] - wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 202:114] - wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 202:95] - wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 202:114] - wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 202:95] - wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 202:114] + wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[el2_lsu_bus_buffer.scala 189:73] + wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 189:77] + wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 192:95] + wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 192:114] + wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 192:95] + wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 192:114] + wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 192:95] + wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 192:114] + wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 192:95] + wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 192:114] wire [3:0] ld_byte_hitvec_lo_3 = {_T_155,_T_151,_T_147,_T_143}; // @[Cat.scala 29:58] - wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 207:122] - wire _T_361 = |_T_360; // @[el2_lsu_bus_buffer.scala 207:144] - wire _T_362 = ~_T_361; // @[el2_lsu_bus_buffer.scala 207:99] - wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[el2_lsu_bus_buffer.scala 207:97] - wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 207:150] - wire _T_366 = _T_363 & _T_365; // @[el2_lsu_bus_buffer.scala 207:148] - wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 207:122] - wire _T_353 = |_T_352; // @[el2_lsu_bus_buffer.scala 207:144] - wire _T_354 = ~_T_353; // @[el2_lsu_bus_buffer.scala 207:99] - wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[el2_lsu_bus_buffer.scala 207:97] - wire _T_358 = _T_355 & _T_365; // @[el2_lsu_bus_buffer.scala 207:148] - wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 207:122] - wire _T_345 = |_T_344; // @[el2_lsu_bus_buffer.scala 207:144] - wire _T_346 = ~_T_345; // @[el2_lsu_bus_buffer.scala 207:99] - wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[el2_lsu_bus_buffer.scala 207:97] - wire _T_350 = _T_347 & _T_365; // @[el2_lsu_bus_buffer.scala 207:148] - wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 207:122] - wire _T_337 = |_T_336; // @[el2_lsu_bus_buffer.scala 207:144] - wire _T_338 = ~_T_337; // @[el2_lsu_bus_buffer.scala 207:99] - wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[el2_lsu_bus_buffer.scala 207:97] - wire _T_342 = _T_339 & _T_365; // @[el2_lsu_bus_buffer.scala 207:148] + wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 197:122] + wire _T_361 = |_T_360; // @[el2_lsu_bus_buffer.scala 197:144] + wire _T_362 = ~_T_361; // @[el2_lsu_bus_buffer.scala 197:99] + wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[el2_lsu_bus_buffer.scala 197:97] + wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 197:150] + wire _T_366 = _T_363 & _T_365; // @[el2_lsu_bus_buffer.scala 197:148] + wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 197:122] + wire _T_353 = |_T_352; // @[el2_lsu_bus_buffer.scala 197:144] + wire _T_354 = ~_T_353; // @[el2_lsu_bus_buffer.scala 197:99] + wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[el2_lsu_bus_buffer.scala 197:97] + wire _T_358 = _T_355 & _T_365; // @[el2_lsu_bus_buffer.scala 197:148] + wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 197:122] + wire _T_345 = |_T_344; // @[el2_lsu_bus_buffer.scala 197:144] + wire _T_346 = ~_T_345; // @[el2_lsu_bus_buffer.scala 197:99] + wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[el2_lsu_bus_buffer.scala 197:97] + wire _T_350 = _T_347 & _T_365; // @[el2_lsu_bus_buffer.scala 197:148] + wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 197:122] + wire _T_337 = |_T_336; // @[el2_lsu_bus_buffer.scala 197:144] + wire _T_338 = ~_T_337; // @[el2_lsu_bus_buffer.scala 197:99] + wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[el2_lsu_bus_buffer.scala 197:97] + wire _T_342 = _T_339 & _T_365; // @[el2_lsu_bus_buffer.scala 197:148] wire [3:0] ld_byte_hitvecfn_lo_3 = {_T_366,_T_358,_T_350,_T_342}; // @[Cat.scala 29:58] - wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[el2_lsu_bus_buffer.scala 199:73] - wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 199:77] + wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[el2_lsu_bus_buffer.scala 189:73] + wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 189:77] wire [2:0] _T_69 = {_T_67,_T_64,_T_61}; // @[Cat.scala 29:58] - wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 203:95] - wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 203:114] - wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 203:95] - wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 203:114] - wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 203:95] - wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 203:114] - wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 203:95] - wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 203:114] + wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 193:114] + wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 193:114] + wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 193:114] + wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 193:114] wire [3:0] ld_byte_hitvec_hi_0 = {_T_173,_T_169,_T_165,_T_161}; // @[Cat.scala 29:58] - wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 208:122] - wire _T_396 = |_T_395; // @[el2_lsu_bus_buffer.scala 208:144] - wire _T_397 = ~_T_396; // @[el2_lsu_bus_buffer.scala 208:99] - wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[el2_lsu_bus_buffer.scala 208:97] - wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 214:51] - wire _T_518 = _T_517 & ibuf_write; // @[el2_lsu_bus_buffer.scala 214:73] - wire _T_519 = _T_518 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 214:86] - wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 214:99] + wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_396 = |_T_395; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_397 = ~_T_396; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 204:51] + wire _T_518 = _T_517 & ibuf_write; // @[el2_lsu_bus_buffer.scala 204:73] + wire _T_519 = _T_518 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 204:86] + wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 204:99] wire [3:0] _T_525 = ld_addr_ibuf_hit_hi ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_526 = _T_525 & ibuf_byteen; // @[el2_lsu_bus_buffer.scala 219:55] - wire [3:0] ld_byte_ibuf_hit_hi = _T_526 & ldst_byteen_hi_m; // @[el2_lsu_bus_buffer.scala 219:69] - wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 208:150] - wire _T_401 = _T_398 & _T_400; // @[el2_lsu_bus_buffer.scala 208:148] - wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 208:122] - wire _T_388 = |_T_387; // @[el2_lsu_bus_buffer.scala 208:144] - wire _T_389 = ~_T_388; // @[el2_lsu_bus_buffer.scala 208:99] - wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[el2_lsu_bus_buffer.scala 208:97] - wire _T_393 = _T_390 & _T_400; // @[el2_lsu_bus_buffer.scala 208:148] - wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 208:122] - wire _T_380 = |_T_379; // @[el2_lsu_bus_buffer.scala 208:144] - wire _T_381 = ~_T_380; // @[el2_lsu_bus_buffer.scala 208:99] - wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[el2_lsu_bus_buffer.scala 208:97] - wire _T_385 = _T_382 & _T_400; // @[el2_lsu_bus_buffer.scala 208:148] - wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 208:122] - wire _T_372 = |_T_371; // @[el2_lsu_bus_buffer.scala 208:144] - wire _T_373 = ~_T_372; // @[el2_lsu_bus_buffer.scala 208:99] - wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[el2_lsu_bus_buffer.scala 208:97] - wire _T_377 = _T_374 & _T_400; // @[el2_lsu_bus_buffer.scala 208:148] + wire [3:0] _T_526 = _T_525 & ibuf_byteen; // @[el2_lsu_bus_buffer.scala 209:55] + wire [3:0] ld_byte_ibuf_hit_hi = _T_526 & ldst_byteen_hi_m; // @[el2_lsu_bus_buffer.scala 209:69] + wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 198:150] + wire _T_401 = _T_398 & _T_400; // @[el2_lsu_bus_buffer.scala 198:148] + wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_388 = |_T_387; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_389 = ~_T_388; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_393 = _T_390 & _T_400; // @[el2_lsu_bus_buffer.scala 198:148] + wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_380 = |_T_379; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_381 = ~_T_380; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_385 = _T_382 & _T_400; // @[el2_lsu_bus_buffer.scala 198:148] + wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_372 = |_T_371; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_373 = ~_T_372; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_377 = _T_374 & _T_400; // @[el2_lsu_bus_buffer.scala 198:148] wire [3:0] ld_byte_hitvecfn_hi_0 = {_T_401,_T_393,_T_385,_T_377}; // @[Cat.scala 29:58] - wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[el2_lsu_bus_buffer.scala 200:73] - wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 200:77] - wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 203:95] - wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 203:114] - wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 203:95] - wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 203:114] - wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 203:95] - wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 203:114] - wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 203:95] - wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 203:114] + wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[el2_lsu_bus_buffer.scala 190:73] + wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 190:77] + wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 193:114] + wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 193:114] + wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 193:114] + wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 193:114] wire [3:0] ld_byte_hitvec_hi_1 = {_T_191,_T_187,_T_183,_T_179}; // @[Cat.scala 29:58] - wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 208:122] - wire _T_431 = |_T_430; // @[el2_lsu_bus_buffer.scala 208:144] - wire _T_432 = ~_T_431; // @[el2_lsu_bus_buffer.scala 208:99] - wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[el2_lsu_bus_buffer.scala 208:97] - wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 208:150] - wire _T_436 = _T_433 & _T_435; // @[el2_lsu_bus_buffer.scala 208:148] - wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 208:122] - wire _T_423 = |_T_422; // @[el2_lsu_bus_buffer.scala 208:144] - wire _T_424 = ~_T_423; // @[el2_lsu_bus_buffer.scala 208:99] - wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[el2_lsu_bus_buffer.scala 208:97] - wire _T_428 = _T_425 & _T_435; // @[el2_lsu_bus_buffer.scala 208:148] - wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 208:122] - wire _T_415 = |_T_414; // @[el2_lsu_bus_buffer.scala 208:144] - wire _T_416 = ~_T_415; // @[el2_lsu_bus_buffer.scala 208:99] - wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[el2_lsu_bus_buffer.scala 208:97] - wire _T_420 = _T_417 & _T_435; // @[el2_lsu_bus_buffer.scala 208:148] - wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 208:122] - wire _T_407 = |_T_406; // @[el2_lsu_bus_buffer.scala 208:144] - wire _T_408 = ~_T_407; // @[el2_lsu_bus_buffer.scala 208:99] - wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[el2_lsu_bus_buffer.scala 208:97] - wire _T_412 = _T_409 & _T_435; // @[el2_lsu_bus_buffer.scala 208:148] + wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_431 = |_T_430; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_432 = ~_T_431; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 198:150] + wire _T_436 = _T_433 & _T_435; // @[el2_lsu_bus_buffer.scala 198:148] + wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_423 = |_T_422; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_424 = ~_T_423; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_428 = _T_425 & _T_435; // @[el2_lsu_bus_buffer.scala 198:148] + wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_415 = |_T_414; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_416 = ~_T_415; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_420 = _T_417 & _T_435; // @[el2_lsu_bus_buffer.scala 198:148] + wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_407 = |_T_406; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_408 = ~_T_407; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_412 = _T_409 & _T_435; // @[el2_lsu_bus_buffer.scala 198:148] wire [3:0] ld_byte_hitvecfn_hi_1 = {_T_436,_T_428,_T_420,_T_412}; // @[Cat.scala 29:58] - wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[el2_lsu_bus_buffer.scala 200:73] - wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 200:77] - wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 203:95] - wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 203:114] - wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 203:95] - wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 203:114] - wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 203:95] - wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 203:114] - wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 203:95] - wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 203:114] + wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[el2_lsu_bus_buffer.scala 190:73] + wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 190:77] + wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 193:114] + wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 193:114] + wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 193:114] + wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 193:114] wire [3:0] ld_byte_hitvec_hi_2 = {_T_209,_T_205,_T_201,_T_197}; // @[Cat.scala 29:58] - wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 208:122] - wire _T_466 = |_T_465; // @[el2_lsu_bus_buffer.scala 208:144] - wire _T_467 = ~_T_466; // @[el2_lsu_bus_buffer.scala 208:99] - wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[el2_lsu_bus_buffer.scala 208:97] - wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 208:150] - wire _T_471 = _T_468 & _T_470; // @[el2_lsu_bus_buffer.scala 208:148] - wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 208:122] - wire _T_458 = |_T_457; // @[el2_lsu_bus_buffer.scala 208:144] - wire _T_459 = ~_T_458; // @[el2_lsu_bus_buffer.scala 208:99] - wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[el2_lsu_bus_buffer.scala 208:97] - wire _T_463 = _T_460 & _T_470; // @[el2_lsu_bus_buffer.scala 208:148] - wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 208:122] - wire _T_450 = |_T_449; // @[el2_lsu_bus_buffer.scala 208:144] - wire _T_451 = ~_T_450; // @[el2_lsu_bus_buffer.scala 208:99] - wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[el2_lsu_bus_buffer.scala 208:97] - wire _T_455 = _T_452 & _T_470; // @[el2_lsu_bus_buffer.scala 208:148] - wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 208:122] - wire _T_442 = |_T_441; // @[el2_lsu_bus_buffer.scala 208:144] - wire _T_443 = ~_T_442; // @[el2_lsu_bus_buffer.scala 208:99] - wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[el2_lsu_bus_buffer.scala 208:97] - wire _T_447 = _T_444 & _T_470; // @[el2_lsu_bus_buffer.scala 208:148] + wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_466 = |_T_465; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_467 = ~_T_466; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 198:150] + wire _T_471 = _T_468 & _T_470; // @[el2_lsu_bus_buffer.scala 198:148] + wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_458 = |_T_457; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_459 = ~_T_458; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_463 = _T_460 & _T_470; // @[el2_lsu_bus_buffer.scala 198:148] + wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_450 = |_T_449; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_451 = ~_T_450; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_455 = _T_452 & _T_470; // @[el2_lsu_bus_buffer.scala 198:148] + wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_442 = |_T_441; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_443 = ~_T_442; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_447 = _T_444 & _T_470; // @[el2_lsu_bus_buffer.scala 198:148] wire [3:0] ld_byte_hitvecfn_hi_2 = {_T_471,_T_463,_T_455,_T_447}; // @[Cat.scala 29:58] - wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[el2_lsu_bus_buffer.scala 200:73] - wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 200:77] - wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 203:95] - wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 203:114] - wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 203:95] - wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 203:114] - wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 203:95] - wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 203:114] - wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 203:95] - wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 203:114] + wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[el2_lsu_bus_buffer.scala 190:73] + wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 190:77] + wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 193:114] + wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 193:114] + wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 193:114] + wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 193:114] wire [3:0] ld_byte_hitvec_hi_3 = {_T_227,_T_223,_T_219,_T_215}; // @[Cat.scala 29:58] - wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 208:122] - wire _T_501 = |_T_500; // @[el2_lsu_bus_buffer.scala 208:144] - wire _T_502 = ~_T_501; // @[el2_lsu_bus_buffer.scala 208:99] - wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[el2_lsu_bus_buffer.scala 208:97] - wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 208:150] - wire _T_506 = _T_503 & _T_505; // @[el2_lsu_bus_buffer.scala 208:148] - wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 208:122] - wire _T_493 = |_T_492; // @[el2_lsu_bus_buffer.scala 208:144] - wire _T_494 = ~_T_493; // @[el2_lsu_bus_buffer.scala 208:99] - wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[el2_lsu_bus_buffer.scala 208:97] - wire _T_498 = _T_495 & _T_505; // @[el2_lsu_bus_buffer.scala 208:148] - wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 208:122] - wire _T_485 = |_T_484; // @[el2_lsu_bus_buffer.scala 208:144] - wire _T_486 = ~_T_485; // @[el2_lsu_bus_buffer.scala 208:99] - wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[el2_lsu_bus_buffer.scala 208:97] - wire _T_490 = _T_487 & _T_505; // @[el2_lsu_bus_buffer.scala 208:148] - wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 208:122] - wire _T_477 = |_T_476; // @[el2_lsu_bus_buffer.scala 208:144] - wire _T_478 = ~_T_477; // @[el2_lsu_bus_buffer.scala 208:99] - wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[el2_lsu_bus_buffer.scala 208:97] - wire _T_482 = _T_479 & _T_505; // @[el2_lsu_bus_buffer.scala 208:148] + wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_501 = |_T_500; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_502 = ~_T_501; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 198:150] + wire _T_506 = _T_503 & _T_505; // @[el2_lsu_bus_buffer.scala 198:148] + wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_493 = |_T_492; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_494 = ~_T_493; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_498 = _T_495 & _T_505; // @[el2_lsu_bus_buffer.scala 198:148] + wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_485 = |_T_484; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_486 = ~_T_485; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_490 = _T_487 & _T_505; // @[el2_lsu_bus_buffer.scala 198:148] + wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_477 = |_T_476; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_478 = ~_T_477; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_482 = _T_479 & _T_505; // @[el2_lsu_bus_buffer.scala 198:148] wire [3:0] ld_byte_hitvecfn_hi_3 = {_T_506,_T_498,_T_490,_T_482}; // @[Cat.scala 29:58] - wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[el2_lsu_bus_buffer.scala 200:73] - wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 200:77] + wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[el2_lsu_bus_buffer.scala 190:73] + wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 190:77] wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] wire [7:0] _T_530 = ld_byte_ibuf_hit_lo[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] wire [7:0] _T_533 = ld_byte_ibuf_hit_lo[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] @@ -779,124 +769,124 @@ module el2_lsu_bus_buffer( wire [31:0] ld_fwddata_buf_hi_initial = {_T_553,_T_550,_T_547,_T_544}; // @[Cat.scala 29:58] wire [7:0] _T_558 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_0; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_354 = {{1'd0}, _T_558}; // @[el2_lsu_bus_buffer.scala 226:91] - wire [8:0] _T_560 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 226:91] + wire [8:0] _GEN_354 = {{1'd0}, _T_558}; // @[el2_lsu_bus_buffer.scala 216:91] + wire [8:0] _T_560 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 216:91] wire [7:0] _T_563 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_1; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_355 = {{1'd0}, _T_563}; // @[el2_lsu_bus_buffer.scala 226:91] - wire [8:0] _T_565 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 226:91] + wire [8:0] _GEN_355 = {{1'd0}, _T_563}; // @[el2_lsu_bus_buffer.scala 216:91] + wire [8:0] _T_565 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 216:91] wire [7:0] _T_568 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_2; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_356 = {{1'd0}, _T_568}; // @[el2_lsu_bus_buffer.scala 226:91] - wire [8:0] _T_570 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 226:91] + wire [8:0] _GEN_356 = {{1'd0}, _T_568}; // @[el2_lsu_bus_buffer.scala 216:91] + wire [8:0] _T_570 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 216:91] wire [7:0] _T_573 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_3; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_357 = {{1'd0}, _T_573}; // @[el2_lsu_bus_buffer.scala 226:91] - wire [8:0] _T_575 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 226:91] - wire [8:0] _T_576 = _T_560 | _T_565; // @[el2_lsu_bus_buffer.scala 226:123] - wire [8:0] _T_577 = _T_576 | _T_570; // @[el2_lsu_bus_buffer.scala 226:123] - wire [8:0] _T_578 = _T_577 | _T_575; // @[el2_lsu_bus_buffer.scala 226:123] + wire [8:0] _GEN_357 = {{1'd0}, _T_573}; // @[el2_lsu_bus_buffer.scala 216:91] + wire [8:0] _T_575 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 216:91] + wire [8:0] _T_576 = _T_560 | _T_565; // @[el2_lsu_bus_buffer.scala 216:123] + wire [8:0] _T_577 = _T_576 | _T_570; // @[el2_lsu_bus_buffer.scala 216:123] + wire [8:0] _T_578 = _T_577 | _T_575; // @[el2_lsu_bus_buffer.scala 216:123] wire [7:0] _T_581 = ld_byte_hitvecfn_lo_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_583 = _T_581 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 227:65] + wire [7:0] _T_583 = _T_581 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 217:65] wire [7:0] _T_586 = ld_byte_hitvecfn_lo_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_588 = _T_586 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 227:65] + wire [7:0] _T_588 = _T_586 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 217:65] wire [7:0] _T_591 = ld_byte_hitvecfn_lo_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_593 = _T_591 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 227:65] + wire [7:0] _T_593 = _T_591 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 217:65] wire [7:0] _T_596 = ld_byte_hitvecfn_lo_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_598 = _T_596 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 227:65] - wire [7:0] _T_599 = _T_583 | _T_588; // @[el2_lsu_bus_buffer.scala 227:97] - wire [7:0] _T_600 = _T_599 | _T_593; // @[el2_lsu_bus_buffer.scala 227:97] - wire [7:0] _T_601 = _T_600 | _T_598; // @[el2_lsu_bus_buffer.scala 227:97] + wire [7:0] _T_598 = _T_596 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 217:65] + wire [7:0] _T_599 = _T_583 | _T_588; // @[el2_lsu_bus_buffer.scala 217:97] + wire [7:0] _T_600 = _T_599 | _T_593; // @[el2_lsu_bus_buffer.scala 217:97] + wire [7:0] _T_601 = _T_600 | _T_598; // @[el2_lsu_bus_buffer.scala 217:97] wire [7:0] _T_604 = ld_byte_hitvecfn_lo_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_606 = _T_604 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 228:65] + wire [7:0] _T_606 = _T_604 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 218:65] wire [7:0] _T_609 = ld_byte_hitvecfn_lo_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_611 = _T_609 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 228:65] + wire [7:0] _T_611 = _T_609 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 218:65] wire [7:0] _T_614 = ld_byte_hitvecfn_lo_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_616 = _T_614 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 228:65] + wire [7:0] _T_616 = _T_614 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 218:65] wire [7:0] _T_619 = ld_byte_hitvecfn_lo_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_621 = _T_619 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 228:65] - wire [7:0] _T_622 = _T_606 | _T_611; // @[el2_lsu_bus_buffer.scala 228:96] - wire [7:0] _T_623 = _T_622 | _T_616; // @[el2_lsu_bus_buffer.scala 228:96] - wire [7:0] _T_624 = _T_623 | _T_621; // @[el2_lsu_bus_buffer.scala 228:96] + wire [7:0] _T_621 = _T_619 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 218:65] + wire [7:0] _T_622 = _T_606 | _T_611; // @[el2_lsu_bus_buffer.scala 218:96] + wire [7:0] _T_623 = _T_622 | _T_616; // @[el2_lsu_bus_buffer.scala 218:96] + wire [7:0] _T_624 = _T_623 | _T_621; // @[el2_lsu_bus_buffer.scala 218:96] wire [7:0] _T_627 = ld_byte_hitvecfn_lo_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_629 = _T_627 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 229:65] + wire [7:0] _T_629 = _T_627 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 219:65] wire [7:0] _T_632 = ld_byte_hitvecfn_lo_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_634 = _T_632 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 229:65] + wire [7:0] _T_634 = _T_632 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 219:65] wire [7:0] _T_637 = ld_byte_hitvecfn_lo_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_639 = _T_637 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 229:65] + wire [7:0] _T_639 = _T_637 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 219:65] wire [7:0] _T_642 = ld_byte_hitvecfn_lo_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_644 = _T_642 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 229:65] - wire [7:0] _T_645 = _T_629 | _T_634; // @[el2_lsu_bus_buffer.scala 229:95] - wire [7:0] _T_646 = _T_645 | _T_639; // @[el2_lsu_bus_buffer.scala 229:95] - wire [7:0] _T_647 = _T_646 | _T_644; // @[el2_lsu_bus_buffer.scala 229:95] + wire [7:0] _T_644 = _T_642 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 219:65] + wire [7:0] _T_645 = _T_629 | _T_634; // @[el2_lsu_bus_buffer.scala 219:95] + wire [7:0] _T_646 = _T_645 | _T_639; // @[el2_lsu_bus_buffer.scala 219:95] + wire [7:0] _T_647 = _T_646 | _T_644; // @[el2_lsu_bus_buffer.scala 219:95] wire [32:0] _T_650 = {_T_578,_T_601,_T_624,_T_647}; // @[Cat.scala 29:58] reg [31:0] ibuf_data; // @[el2_lib.scala 491:16] - wire [31:0] _T_651 = ld_fwddata_buf_lo_initial & ibuf_data; // @[el2_lsu_bus_buffer.scala 229:130] - wire [32:0] _GEN_358 = {{1'd0}, _T_651}; // @[el2_lsu_bus_buffer.scala 229:101] - wire [32:0] _T_652 = _T_650 | _GEN_358; // @[el2_lsu_bus_buffer.scala 229:101] + wire [31:0] _T_651 = ld_fwddata_buf_lo_initial & ibuf_data; // @[el2_lsu_bus_buffer.scala 219:130] + wire [32:0] _GEN_358 = {{1'd0}, _T_651}; // @[el2_lsu_bus_buffer.scala 219:101] + wire [32:0] _T_652 = _T_650 | _GEN_358; // @[el2_lsu_bus_buffer.scala 219:101] wire [7:0] _T_655 = ld_byte_hitvecfn_hi_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_359 = {{1'd0}, _T_655}; // @[el2_lsu_bus_buffer.scala 231:91] - wire [8:0] _T_657 = _GEN_359 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 231:91] + wire [8:0] _GEN_359 = {{1'd0}, _T_655}; // @[el2_lsu_bus_buffer.scala 221:91] + wire [8:0] _T_657 = _GEN_359 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 221:91] wire [7:0] _T_660 = ld_byte_hitvecfn_hi_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_360 = {{1'd0}, _T_660}; // @[el2_lsu_bus_buffer.scala 231:91] - wire [8:0] _T_662 = _GEN_360 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 231:91] + wire [8:0] _GEN_360 = {{1'd0}, _T_660}; // @[el2_lsu_bus_buffer.scala 221:91] + wire [8:0] _T_662 = _GEN_360 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 221:91] wire [7:0] _T_665 = ld_byte_hitvecfn_hi_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_361 = {{1'd0}, _T_665}; // @[el2_lsu_bus_buffer.scala 231:91] - wire [8:0] _T_667 = _GEN_361 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 231:91] + wire [8:0] _GEN_361 = {{1'd0}, _T_665}; // @[el2_lsu_bus_buffer.scala 221:91] + wire [8:0] _T_667 = _GEN_361 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 221:91] wire [7:0] _T_670 = ld_byte_hitvecfn_hi_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_362 = {{1'd0}, _T_670}; // @[el2_lsu_bus_buffer.scala 231:91] - wire [8:0] _T_672 = _GEN_362 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 231:91] - wire [8:0] _T_673 = _T_657 | _T_662; // @[el2_lsu_bus_buffer.scala 231:123] - wire [8:0] _T_674 = _T_673 | _T_667; // @[el2_lsu_bus_buffer.scala 231:123] - wire [8:0] _T_675 = _T_674 | _T_672; // @[el2_lsu_bus_buffer.scala 231:123] + wire [8:0] _GEN_362 = {{1'd0}, _T_670}; // @[el2_lsu_bus_buffer.scala 221:91] + wire [8:0] _T_672 = _GEN_362 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 221:91] + wire [8:0] _T_673 = _T_657 | _T_662; // @[el2_lsu_bus_buffer.scala 221:123] + wire [8:0] _T_674 = _T_673 | _T_667; // @[el2_lsu_bus_buffer.scala 221:123] + wire [8:0] _T_675 = _T_674 | _T_672; // @[el2_lsu_bus_buffer.scala 221:123] wire [7:0] _T_678 = ld_byte_hitvecfn_hi_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_680 = _T_678 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 232:65] + wire [7:0] _T_680 = _T_678 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 222:65] wire [7:0] _T_683 = ld_byte_hitvecfn_hi_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_685 = _T_683 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 232:65] + wire [7:0] _T_685 = _T_683 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 222:65] wire [7:0] _T_688 = ld_byte_hitvecfn_hi_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_690 = _T_688 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 232:65] + wire [7:0] _T_690 = _T_688 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 222:65] wire [7:0] _T_693 = ld_byte_hitvecfn_hi_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_695 = _T_693 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 232:65] - wire [7:0] _T_696 = _T_680 | _T_685; // @[el2_lsu_bus_buffer.scala 232:97] - wire [7:0] _T_697 = _T_696 | _T_690; // @[el2_lsu_bus_buffer.scala 232:97] - wire [7:0] _T_698 = _T_697 | _T_695; // @[el2_lsu_bus_buffer.scala 232:97] + wire [7:0] _T_695 = _T_693 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 222:65] + wire [7:0] _T_696 = _T_680 | _T_685; // @[el2_lsu_bus_buffer.scala 222:97] + wire [7:0] _T_697 = _T_696 | _T_690; // @[el2_lsu_bus_buffer.scala 222:97] + wire [7:0] _T_698 = _T_697 | _T_695; // @[el2_lsu_bus_buffer.scala 222:97] wire [7:0] _T_701 = ld_byte_hitvecfn_hi_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_703 = _T_701 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 233:65] + wire [7:0] _T_703 = _T_701 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 223:65] wire [7:0] _T_706 = ld_byte_hitvecfn_hi_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_708 = _T_706 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 233:65] + wire [7:0] _T_708 = _T_706 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 223:65] wire [7:0] _T_711 = ld_byte_hitvecfn_hi_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_713 = _T_711 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 233:65] + wire [7:0] _T_713 = _T_711 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 223:65] wire [7:0] _T_716 = ld_byte_hitvecfn_hi_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_718 = _T_716 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 233:65] - wire [7:0] _T_719 = _T_703 | _T_708; // @[el2_lsu_bus_buffer.scala 233:96] - wire [7:0] _T_720 = _T_719 | _T_713; // @[el2_lsu_bus_buffer.scala 233:96] - wire [7:0] _T_721 = _T_720 | _T_718; // @[el2_lsu_bus_buffer.scala 233:96] + wire [7:0] _T_718 = _T_716 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 223:65] + wire [7:0] _T_719 = _T_703 | _T_708; // @[el2_lsu_bus_buffer.scala 223:96] + wire [7:0] _T_720 = _T_719 | _T_713; // @[el2_lsu_bus_buffer.scala 223:96] + wire [7:0] _T_721 = _T_720 | _T_718; // @[el2_lsu_bus_buffer.scala 223:96] wire [7:0] _T_724 = ld_byte_hitvecfn_hi_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_726 = _T_724 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 234:65] + wire [7:0] _T_726 = _T_724 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 224:65] wire [7:0] _T_729 = ld_byte_hitvecfn_hi_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_731 = _T_729 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 234:65] + wire [7:0] _T_731 = _T_729 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 224:65] wire [7:0] _T_734 = ld_byte_hitvecfn_hi_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_736 = _T_734 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 234:65] + wire [7:0] _T_736 = _T_734 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 224:65] wire [7:0] _T_739 = ld_byte_hitvecfn_hi_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_741 = _T_739 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 234:65] - wire [7:0] _T_742 = _T_726 | _T_731; // @[el2_lsu_bus_buffer.scala 234:95] - wire [7:0] _T_743 = _T_742 | _T_736; // @[el2_lsu_bus_buffer.scala 234:95] - wire [7:0] _T_744 = _T_743 | _T_741; // @[el2_lsu_bus_buffer.scala 234:95] + wire [7:0] _T_741 = _T_739 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 224:65] + wire [7:0] _T_742 = _T_726 | _T_731; // @[el2_lsu_bus_buffer.scala 224:95] + wire [7:0] _T_743 = _T_742 | _T_736; // @[el2_lsu_bus_buffer.scala 224:95] + wire [7:0] _T_744 = _T_743 | _T_741; // @[el2_lsu_bus_buffer.scala 224:95] wire [32:0] _T_747 = {_T_675,_T_698,_T_721,_T_744}; // @[Cat.scala 29:58] - wire [31:0] _T_748 = ld_fwddata_buf_hi_initial & ibuf_data; // @[el2_lsu_bus_buffer.scala 234:130] - wire [32:0] _GEN_363 = {{1'd0}, _T_748}; // @[el2_lsu_bus_buffer.scala 234:101] - wire [32:0] _T_749 = _T_747 | _GEN_363; // @[el2_lsu_bus_buffer.scala 234:101] + wire [31:0] _T_748 = ld_fwddata_buf_hi_initial & ibuf_data; // @[el2_lsu_bus_buffer.scala 224:130] + wire [32:0] _GEN_363 = {{1'd0}, _T_748}; // @[el2_lsu_bus_buffer.scala 224:101] + wire [32:0] _T_749 = _T_747 | _GEN_363; // @[el2_lsu_bus_buffer.scala 224:101] wire [3:0] _T_750 = io_lsu_pkt_r_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_751 = io_lsu_pkt_r_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_752 = io_lsu_pkt_r_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_753 = _T_750 | _T_751; // @[Mux.scala 27:72] wire [3:0] ldst_byteen_r = _T_753 | _T_752; // @[Mux.scala 27:72] - wire _T_756 = io_lsu_addr_r[1:0] == 2'h0; // @[el2_lsu_bus_buffer.scala 241:55] - wire _T_758 = io_lsu_addr_r[1:0] == 2'h1; // @[el2_lsu_bus_buffer.scala 242:55] + wire _T_756 = io_lsu_addr_r[1:0] == 2'h0; // @[el2_lsu_bus_buffer.scala 231:55] + wire _T_758 = io_lsu_addr_r[1:0] == 2'h1; // @[el2_lsu_bus_buffer.scala 232:55] wire [3:0] _T_760 = {3'h0,ldst_byteen_r[3]}; // @[Cat.scala 29:58] - wire _T_762 = io_lsu_addr_r[1:0] == 2'h2; // @[el2_lsu_bus_buffer.scala 243:55] + wire _T_762 = io_lsu_addr_r[1:0] == 2'h2; // @[el2_lsu_bus_buffer.scala 233:55] wire [3:0] _T_764 = {2'h0,ldst_byteen_r[3:2]}; // @[Cat.scala 29:58] - wire _T_766 = io_lsu_addr_r[1:0] == 2'h3; // @[el2_lsu_bus_buffer.scala 244:55] + wire _T_766 = io_lsu_addr_r[1:0] == 2'h3; // @[el2_lsu_bus_buffer.scala 234:55] wire [3:0] _T_768 = {1'h0,ldst_byteen_r[3:1]}; // @[Cat.scala 29:58] wire [3:0] _T_770 = _T_758 ? _T_760 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_771 = _T_762 ? _T_764 : 4'h0; // @[Mux.scala 27:72] @@ -931,163 +921,163 @@ module el2_lsu_bus_buffer( wire [31:0] _T_836 = _T_832 | _T_833; // @[Mux.scala 27:72] wire [31:0] _T_837 = _T_836 | _T_834; // @[Mux.scala 27:72] wire [31:0] store_data_lo_r = _T_837 | _T_835; // @[Mux.scala 27:72] - wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[el2_lsu_bus_buffer.scala 262:40] - wire _T_844 = ~io_lsu_addr_r[0]; // @[el2_lsu_bus_buffer.scala 264:26] + wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[el2_lsu_bus_buffer.scala 251:40] + wire _T_844 = ~io_lsu_addr_r[0]; // @[el2_lsu_bus_buffer.scala 253:26] wire _T_845 = io_lsu_pkt_r_word & _T_756; // @[Mux.scala 27:72] wire _T_846 = io_lsu_pkt_r_half & _T_844; // @[Mux.scala 27:72] wire _T_848 = _T_845 | _T_846; // @[Mux.scala 27:72] wire is_aligned_r = _T_848 | io_lsu_pkt_r_by; // @[Mux.scala 27:72] - wire _T_850 = io_lsu_pkt_r_load | io_no_word_merge_r; // @[el2_lsu_bus_buffer.scala 266:55] - wire _T_851 = io_lsu_busreq_r & _T_850; // @[el2_lsu_bus_buffer.scala 266:34] - wire _T_852 = ~ibuf_valid; // @[el2_lsu_bus_buffer.scala 266:79] - wire ibuf_byp = _T_851 & _T_852; // @[el2_lsu_bus_buffer.scala 266:77] - wire _T_853 = io_lsu_busreq_r & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 267:36] - wire _T_854 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 267:56] - wire ibuf_wr_en = _T_853 & _T_854; // @[el2_lsu_bus_buffer.scala 267:54] - wire _T_855 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 269:36] - reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 312:59] - wire _T_864 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 275:62] - wire _T_865 = ibuf_wr_en | _T_864; // @[el2_lsu_bus_buffer.scala 275:48] - wire _T_929 = _T_853 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 294:54] - wire _T_930 = _T_929 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 294:75] - wire _T_931 = _T_930 & ibuf_write; // @[el2_lsu_bus_buffer.scala 294:88] - wire _T_934 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 294:124] - wire _T_935 = _T_931 & _T_934; // @[el2_lsu_bus_buffer.scala 294:101] - wire _T_936 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 294:147] - wire _T_937 = _T_935 & _T_936; // @[el2_lsu_bus_buffer.scala 294:145] - wire _T_938 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 294:170] - wire ibuf_merge_en = _T_937 & _T_938; // @[el2_lsu_bus_buffer.scala 294:168] - wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 295:20] - wire _T_866 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 275:98] - wire _T_867 = ~_T_866; // @[el2_lsu_bus_buffer.scala 275:82] - wire _T_868 = _T_865 & _T_867; // @[el2_lsu_bus_buffer.scala 275:80] - wire _T_869 = _T_868 | ibuf_byp; // @[el2_lsu_bus_buffer.scala 276:5] - wire _T_857 = ~io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 270:44] - wire _T_858 = io_lsu_busreq_m & _T_857; // @[el2_lsu_bus_buffer.scala 270:42] - wire _T_859 = _T_858 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 270:61] - wire _T_862 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[el2_lsu_bus_buffer.scala 270:115] - wire _T_863 = io_lsu_pkt_m_load | _T_862; // @[el2_lsu_bus_buffer.scala 270:95] - wire ibuf_force_drain = _T_859 & _T_863; // @[el2_lsu_bus_buffer.scala 270:74] - wire _T_870 = _T_869 | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 276:16] + wire _T_850 = io_lsu_pkt_r_load | io_no_word_merge_r; // @[el2_lsu_bus_buffer.scala 255:55] + wire _T_851 = io_lsu_busreq_r & _T_850; // @[el2_lsu_bus_buffer.scala 255:34] + wire _T_852 = ~ibuf_valid; // @[el2_lsu_bus_buffer.scala 255:79] + wire ibuf_byp = _T_851 & _T_852; // @[el2_lsu_bus_buffer.scala 255:77] + wire _T_853 = io_lsu_busreq_r & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 256:36] + wire _T_854 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 256:56] + wire ibuf_wr_en = _T_853 & _T_854; // @[el2_lsu_bus_buffer.scala 256:54] + wire _T_855 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 258:36] + reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 301:59] + wire _T_864 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 264:62] + wire _T_865 = ibuf_wr_en | _T_864; // @[el2_lsu_bus_buffer.scala 264:48] + wire _T_929 = _T_853 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 283:54] + wire _T_930 = _T_929 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 283:75] + wire _T_931 = _T_930 & ibuf_write; // @[el2_lsu_bus_buffer.scala 283:88] + wire _T_934 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 283:124] + wire _T_935 = _T_931 & _T_934; // @[el2_lsu_bus_buffer.scala 283:101] + wire _T_936 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 283:147] + wire _T_937 = _T_935 & _T_936; // @[el2_lsu_bus_buffer.scala 283:145] + wire _T_938 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 283:170] + wire ibuf_merge_en = _T_937 & _T_938; // @[el2_lsu_bus_buffer.scala 283:168] + wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 284:20] + wire _T_866 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 264:98] + wire _T_867 = ~_T_866; // @[el2_lsu_bus_buffer.scala 264:82] + wire _T_868 = _T_865 & _T_867; // @[el2_lsu_bus_buffer.scala 264:80] + wire _T_869 = _T_868 | ibuf_byp; // @[el2_lsu_bus_buffer.scala 265:5] + wire _T_857 = ~io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 259:44] + wire _T_858 = io_lsu_busreq_m & _T_857; // @[el2_lsu_bus_buffer.scala 259:42] + wire _T_859 = _T_858 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 259:61] + wire _T_862 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[el2_lsu_bus_buffer.scala 259:115] + wire _T_863 = io_lsu_pkt_m_load | _T_862; // @[el2_lsu_bus_buffer.scala 259:95] + wire ibuf_force_drain = _T_859 & _T_863; // @[el2_lsu_bus_buffer.scala 259:74] + wire _T_870 = _T_869 | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 265:16] reg ibuf_sideeffect; // @[Reg.scala 27:20] - wire _T_871 = _T_870 | ibuf_sideeffect; // @[el2_lsu_bus_buffer.scala 276:35] - wire _T_872 = ~ibuf_write; // @[el2_lsu_bus_buffer.scala 276:55] - wire _T_873 = _T_871 | _T_872; // @[el2_lsu_bus_buffer.scala 276:53] - wire _T_874 = _T_873 | io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 276:67] - wire ibuf_drain_vld = ibuf_valid & _T_874; // @[el2_lsu_bus_buffer.scala 275:32] - wire _T_856 = ibuf_drain_vld & _T_855; // @[el2_lsu_bus_buffer.scala 269:34] - wire ibuf_rst = _T_856 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 269:49] - reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 679:49] - reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 678:49] + wire _T_871 = _T_870 | ibuf_sideeffect; // @[el2_lsu_bus_buffer.scala 265:35] + wire _T_872 = ~ibuf_write; // @[el2_lsu_bus_buffer.scala 265:55] + wire _T_873 = _T_871 | _T_872; // @[el2_lsu_bus_buffer.scala 265:53] + wire _T_874 = _T_873 | io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 265:67] + wire ibuf_drain_vld = ibuf_valid & _T_874; // @[el2_lsu_bus_buffer.scala 264:32] + wire _T_856 = ibuf_drain_vld & _T_855; // @[el2_lsu_bus_buffer.scala 258:34] + wire ibuf_rst = _T_856 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 258:49] + reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 665:49] + reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 664:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_word,io_lsu_pkt_r_half}; // @[Cat.scala 29:58] - wire [3:0] _T_881 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 285:77] - wire [7:0] _T_889 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 290:8] - wire [7:0] _T_892 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[el2_lsu_bus_buffer.scala 291:8] - wire [7:0] _T_893 = _T_866 ? _T_889 : _T_892; // @[el2_lsu_bus_buffer.scala 289:46] - wire [7:0] _T_898 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 290:8] - wire [7:0] _T_901 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[el2_lsu_bus_buffer.scala 291:8] - wire [7:0] _T_902 = _T_866 ? _T_898 : _T_901; // @[el2_lsu_bus_buffer.scala 289:46] - wire [7:0] _T_907 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 290:8] - wire [7:0] _T_910 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[el2_lsu_bus_buffer.scala 291:8] - wire [7:0] _T_911 = _T_866 ? _T_907 : _T_910; // @[el2_lsu_bus_buffer.scala 289:46] - wire [7:0] _T_916 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 290:8] - wire [7:0] _T_919 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[el2_lsu_bus_buffer.scala 291:8] - wire [7:0] _T_920 = _T_866 ? _T_916 : _T_919; // @[el2_lsu_bus_buffer.scala 289:46] + wire [3:0] _T_881 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 274:77] + wire [7:0] _T_889 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 279:8] + wire [7:0] _T_892 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[el2_lsu_bus_buffer.scala 280:8] + wire [7:0] _T_893 = _T_866 ? _T_889 : _T_892; // @[el2_lsu_bus_buffer.scala 278:46] + wire [7:0] _T_898 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 279:8] + wire [7:0] _T_901 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[el2_lsu_bus_buffer.scala 280:8] + wire [7:0] _T_902 = _T_866 ? _T_898 : _T_901; // @[el2_lsu_bus_buffer.scala 278:46] + wire [7:0] _T_907 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 279:8] + wire [7:0] _T_910 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[el2_lsu_bus_buffer.scala 280:8] + wire [7:0] _T_911 = _T_866 ? _T_907 : _T_910; // @[el2_lsu_bus_buffer.scala 278:46] + wire [7:0] _T_916 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 279:8] + wire [7:0] _T_919 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[el2_lsu_bus_buffer.scala 280:8] + wire [7:0] _T_920 = _T_866 ? _T_916 : _T_919; // @[el2_lsu_bus_buffer.scala 278:46] wire [23:0] _T_922 = {_T_920,_T_911,_T_902}; // @[Cat.scala 29:58] - wire _T_923 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 292:59] - wire [2:0] _T_926 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 292:93] - wire _T_941 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 296:65] - wire _T_942 = ibuf_merge_en & _T_941; // @[el2_lsu_bus_buffer.scala 296:63] - wire _T_945 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 296:96] - wire _T_947 = _T_942 ? _T_945 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 296:48] - wire _T_952 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 296:96] - wire _T_954 = _T_942 ? _T_952 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 296:48] - wire _T_959 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 296:96] - wire _T_961 = _T_942 ? _T_959 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 296:48] - wire _T_966 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 296:96] - wire _T_968 = _T_942 ? _T_966 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 296:48] + wire _T_923 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 281:59] + wire [2:0] _T_926 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 281:93] + wire _T_941 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 285:65] + wire _T_942 = ibuf_merge_en & _T_941; // @[el2_lsu_bus_buffer.scala 285:63] + wire _T_945 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 285:96] + wire _T_947 = _T_942 ? _T_945 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 285:48] + wire _T_952 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 285:96] + wire _T_954 = _T_942 ? _T_952 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 285:48] + wire _T_959 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 285:96] + wire _T_961 = _T_942 ? _T_959 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 285:48] + wire _T_966 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 285:96] + wire _T_968 = _T_942 ? _T_966 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 285:48] wire [3:0] ibuf_byteen_out = {_T_968,_T_961,_T_954,_T_947}; // @[Cat.scala 29:58] - wire [7:0] _T_978 = _T_942 ? _T_889 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 297:45] - wire [7:0] _T_986 = _T_942 ? _T_898 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 297:45] - wire [7:0] _T_994 = _T_942 ? _T_907 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 297:45] - wire [7:0] _T_1002 = _T_942 ? _T_916 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 297:45] + wire [7:0] _T_978 = _T_942 ? _T_889 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 286:45] + wire [7:0] _T_986 = _T_942 ? _T_898 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 286:45] + wire [7:0] _T_994 = _T_942 ? _T_907 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 286:45] + wire [7:0] _T_1002 = _T_942 ? _T_916 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 286:45] wire [31:0] ibuf_data_out = {_T_1002,_T_994,_T_986,_T_978}; // @[Cat.scala 29:58] - wire _T_1005 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 299:28] - wire _T_1006 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 299:63] - wire _T_1011 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 300:89] + wire _T_1005 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 288:28] + wire _T_1006 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 288:63] + wire _T_1011 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 289:89] reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] reg ibuf_dual; // @[Reg.scala 27:20] reg ibuf_samedw; // @[Reg.scala 27:20] reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4473 = buf_write[3] & _T_2645; // @[el2_lsu_bus_buffer.scala 584:64] - wire _T_4474 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 584:91] - wire _T_4475 = _T_4473 & _T_4474; // @[el2_lsu_bus_buffer.scala 584:89] - wire _T_4468 = buf_write[2] & _T_2640; // @[el2_lsu_bus_buffer.scala 584:64] - wire _T_4469 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 584:91] - wire _T_4470 = _T_4468 & _T_4469; // @[el2_lsu_bus_buffer.scala 584:89] - wire [1:0] _T_4476 = _T_4475 + _T_4470; // @[el2_lsu_bus_buffer.scala 584:142] - wire _T_4463 = buf_write[1] & _T_2635; // @[el2_lsu_bus_buffer.scala 584:64] - wire _T_4464 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 584:91] - wire _T_4465 = _T_4463 & _T_4464; // @[el2_lsu_bus_buffer.scala 584:89] - wire [1:0] _GEN_364 = {{1'd0}, _T_4465}; // @[el2_lsu_bus_buffer.scala 584:142] - wire [2:0] _T_4477 = _T_4476 + _GEN_364; // @[el2_lsu_bus_buffer.scala 584:142] - wire _T_4458 = buf_write[0] & _T_2630; // @[el2_lsu_bus_buffer.scala 584:64] - wire _T_4459 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 584:91] - wire _T_4460 = _T_4458 & _T_4459; // @[el2_lsu_bus_buffer.scala 584:89] - wire [2:0] _GEN_365 = {{2'd0}, _T_4460}; // @[el2_lsu_bus_buffer.scala 584:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4477 + _GEN_365; // @[el2_lsu_bus_buffer.scala 584:142] - wire _T_1037 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 322:43] - wire _T_4490 = _T_2645 & _T_4474; // @[el2_lsu_bus_buffer.scala 585:73] - wire _T_4487 = _T_2640 & _T_4469; // @[el2_lsu_bus_buffer.scala 585:73] - wire [1:0] _T_4491 = _T_4490 + _T_4487; // @[el2_lsu_bus_buffer.scala 585:126] - wire _T_4484 = _T_2635 & _T_4464; // @[el2_lsu_bus_buffer.scala 585:73] - wire [1:0] _GEN_366 = {{1'd0}, _T_4484}; // @[el2_lsu_bus_buffer.scala 585:126] - wire [2:0] _T_4492 = _T_4491 + _GEN_366; // @[el2_lsu_bus_buffer.scala 585:126] - wire _T_4481 = _T_2630 & _T_4459; // @[el2_lsu_bus_buffer.scala 585:73] - wire [2:0] _GEN_367 = {{2'd0}, _T_4481}; // @[el2_lsu_bus_buffer.scala 585:126] - wire [3:0] buf_numvld_cmd_any = _T_4492 + _GEN_367; // @[el2_lsu_bus_buffer.scala 585:126] - wire _T_1038 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 322:72] - wire _T_1039 = _T_1037 & _T_1038; // @[el2_lsu_bus_buffer.scala 322:51] - reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 421:54] - wire _T_1040 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 322:97] - wire _T_1041 = _T_1039 & _T_1040; // @[el2_lsu_bus_buffer.scala 322:80] - wire _T_1043 = _T_1041 & _T_938; // @[el2_lsu_bus_buffer.scala 322:114] - wire _T_2003 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 439:58] - wire _T_2004 = ~_T_2003; // @[el2_lsu_bus_buffer.scala 439:45] - wire _T_2006 = _T_2004 & _T_2645; // @[el2_lsu_bus_buffer.scala 439:63] - wire _T_2008 = _T_2006 & _T_4474; // @[el2_lsu_bus_buffer.scala 439:88] - wire _T_1997 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 439:58] - wire _T_1998 = ~_T_1997; // @[el2_lsu_bus_buffer.scala 439:45] - wire _T_2000 = _T_1998 & _T_2640; // @[el2_lsu_bus_buffer.scala 439:63] - wire _T_2002 = _T_2000 & _T_4469; // @[el2_lsu_bus_buffer.scala 439:88] - wire _T_1991 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 439:58] - wire _T_1992 = ~_T_1991; // @[el2_lsu_bus_buffer.scala 439:45] - wire _T_1994 = _T_1992 & _T_2635; // @[el2_lsu_bus_buffer.scala 439:63] - wire _T_1996 = _T_1994 & _T_4464; // @[el2_lsu_bus_buffer.scala 439:88] - wire _T_1985 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 439:58] - wire _T_1986 = ~_T_1985; // @[el2_lsu_bus_buffer.scala 439:45] - wire _T_1988 = _T_1986 & _T_2630; // @[el2_lsu_bus_buffer.scala 439:63] - wire _T_1990 = _T_1988 & _T_4459; // @[el2_lsu_bus_buffer.scala 439:88] - wire [3:0] CmdPtr0Dec = {_T_2008,_T_2002,_T_1996,_T_1990}; // @[Cat.scala 29:58] - wire [7:0] _T_2078 = {4'h0,_T_2008,_T_2002,_T_1996,_T_1990}; // @[Cat.scala 29:58] - wire _T_2081 = _T_2078[4] | _T_2078[5]; // @[el2_lsu_bus_buffer.scala 447:42] - wire _T_2083 = _T_2081 | _T_2078[6]; // @[el2_lsu_bus_buffer.scala 447:48] - wire _T_2085 = _T_2083 | _T_2078[7]; // @[el2_lsu_bus_buffer.scala 447:54] - wire _T_2088 = _T_2078[2] | _T_2078[3]; // @[el2_lsu_bus_buffer.scala 447:67] - wire _T_2090 = _T_2088 | _T_2078[6]; // @[el2_lsu_bus_buffer.scala 447:73] - wire _T_2092 = _T_2090 | _T_2078[7]; // @[el2_lsu_bus_buffer.scala 447:79] - wire _T_2095 = _T_2078[1] | _T_2078[3]; // @[el2_lsu_bus_buffer.scala 447:92] - wire _T_2097 = _T_2095 | _T_2078[5]; // @[el2_lsu_bus_buffer.scala 447:98] - wire _T_2099 = _T_2097 | _T_2078[7]; // @[el2_lsu_bus_buffer.scala 447:104] - wire [2:0] _T_2101 = {_T_2085,_T_2092,_T_2099}; // @[Cat.scala 29:58] - wire [1:0] CmdPtr0 = _T_2101[1:0]; // @[el2_lsu_bus_buffer.scala 453:11] - wire _T_1044 = CmdPtr0 == 2'h0; // @[el2_lsu_bus_buffer.scala 323:114] - wire _T_1045 = CmdPtr0 == 2'h1; // @[el2_lsu_bus_buffer.scala 323:114] - wire _T_1046 = CmdPtr0 == 2'h2; // @[el2_lsu_bus_buffer.scala 323:114] - wire _T_1047 = CmdPtr0 == 2'h3; // @[el2_lsu_bus_buffer.scala 323:114] + wire _T_4467 = buf_write[3] & _T_2642; // @[el2_lsu_bus_buffer.scala 571:64] + wire _T_4468 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 571:91] + wire _T_4469 = _T_4467 & _T_4468; // @[el2_lsu_bus_buffer.scala 571:89] + wire _T_4462 = buf_write[2] & _T_2637; // @[el2_lsu_bus_buffer.scala 571:64] + wire _T_4463 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 571:91] + wire _T_4464 = _T_4462 & _T_4463; // @[el2_lsu_bus_buffer.scala 571:89] + wire [1:0] _T_4470 = _T_4469 + _T_4464; // @[el2_lsu_bus_buffer.scala 571:142] + wire _T_4457 = buf_write[1] & _T_2632; // @[el2_lsu_bus_buffer.scala 571:64] + wire _T_4458 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 571:91] + wire _T_4459 = _T_4457 & _T_4458; // @[el2_lsu_bus_buffer.scala 571:89] + wire [1:0] _GEN_364 = {{1'd0}, _T_4459}; // @[el2_lsu_bus_buffer.scala 571:142] + wire [2:0] _T_4471 = _T_4470 + _GEN_364; // @[el2_lsu_bus_buffer.scala 571:142] + wire _T_4452 = buf_write[0] & _T_2627; // @[el2_lsu_bus_buffer.scala 571:64] + wire _T_4453 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 571:91] + wire _T_4454 = _T_4452 & _T_4453; // @[el2_lsu_bus_buffer.scala 571:89] + wire [2:0] _GEN_365 = {{2'd0}, _T_4454}; // @[el2_lsu_bus_buffer.scala 571:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4471 + _GEN_365; // @[el2_lsu_bus_buffer.scala 571:142] + wire _T_1037 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 311:43] + wire _T_4484 = _T_2642 & _T_4468; // @[el2_lsu_bus_buffer.scala 572:73] + wire _T_4481 = _T_2637 & _T_4463; // @[el2_lsu_bus_buffer.scala 572:73] + wire [1:0] _T_4485 = _T_4484 + _T_4481; // @[el2_lsu_bus_buffer.scala 572:126] + wire _T_4478 = _T_2632 & _T_4458; // @[el2_lsu_bus_buffer.scala 572:73] + wire [1:0] _GEN_366 = {{1'd0}, _T_4478}; // @[el2_lsu_bus_buffer.scala 572:126] + wire [2:0] _T_4486 = _T_4485 + _GEN_366; // @[el2_lsu_bus_buffer.scala 572:126] + wire _T_4475 = _T_2627 & _T_4453; // @[el2_lsu_bus_buffer.scala 572:73] + wire [2:0] _GEN_367 = {{2'd0}, _T_4475}; // @[el2_lsu_bus_buffer.scala 572:126] + wire [3:0] buf_numvld_cmd_any = _T_4486 + _GEN_367; // @[el2_lsu_bus_buffer.scala 572:126] + wire _T_1038 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 311:72] + wire _T_1039 = _T_1037 & _T_1038; // @[el2_lsu_bus_buffer.scala 311:51] + reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 410:54] + wire _T_1040 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 311:97] + wire _T_1041 = _T_1039 & _T_1040; // @[el2_lsu_bus_buffer.scala 311:80] + wire _T_1043 = _T_1041 & _T_938; // @[el2_lsu_bus_buffer.scala 311:114] + wire _T_2000 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 427:58] + wire _T_2001 = ~_T_2000; // @[el2_lsu_bus_buffer.scala 427:45] + wire _T_2003 = _T_2001 & _T_2642; // @[el2_lsu_bus_buffer.scala 427:63] + wire _T_2005 = _T_2003 & _T_4468; // @[el2_lsu_bus_buffer.scala 427:88] + wire _T_1994 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 427:58] + wire _T_1995 = ~_T_1994; // @[el2_lsu_bus_buffer.scala 427:45] + wire _T_1997 = _T_1995 & _T_2637; // @[el2_lsu_bus_buffer.scala 427:63] + wire _T_1999 = _T_1997 & _T_4463; // @[el2_lsu_bus_buffer.scala 427:88] + wire _T_1988 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 427:58] + wire _T_1989 = ~_T_1988; // @[el2_lsu_bus_buffer.scala 427:45] + wire _T_1991 = _T_1989 & _T_2632; // @[el2_lsu_bus_buffer.scala 427:63] + wire _T_1993 = _T_1991 & _T_4458; // @[el2_lsu_bus_buffer.scala 427:88] + wire _T_1982 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 427:58] + wire _T_1983 = ~_T_1982; // @[el2_lsu_bus_buffer.scala 427:45] + wire _T_1985 = _T_1983 & _T_2627; // @[el2_lsu_bus_buffer.scala 427:63] + wire _T_1987 = _T_1985 & _T_4453; // @[el2_lsu_bus_buffer.scala 427:88] + wire [3:0] CmdPtr0Dec = {_T_2005,_T_1999,_T_1993,_T_1987}; // @[Cat.scala 29:58] + wire [7:0] _T_2075 = {4'h0,_T_2005,_T_1999,_T_1993,_T_1987}; // @[Cat.scala 29:58] + wire _T_2078 = _T_2075[4] | _T_2075[5]; // @[el2_lsu_bus_buffer.scala 435:42] + wire _T_2080 = _T_2078 | _T_2075[6]; // @[el2_lsu_bus_buffer.scala 435:48] + wire _T_2082 = _T_2080 | _T_2075[7]; // @[el2_lsu_bus_buffer.scala 435:54] + wire _T_2085 = _T_2075[2] | _T_2075[3]; // @[el2_lsu_bus_buffer.scala 435:67] + wire _T_2087 = _T_2085 | _T_2075[6]; // @[el2_lsu_bus_buffer.scala 435:73] + wire _T_2089 = _T_2087 | _T_2075[7]; // @[el2_lsu_bus_buffer.scala 435:79] + wire _T_2092 = _T_2075[1] | _T_2075[3]; // @[el2_lsu_bus_buffer.scala 435:92] + wire _T_2094 = _T_2092 | _T_2075[5]; // @[el2_lsu_bus_buffer.scala 435:98] + wire _T_2096 = _T_2094 | _T_2075[7]; // @[el2_lsu_bus_buffer.scala 435:104] + wire [2:0] _T_2098 = {_T_2082,_T_2089,_T_2096}; // @[Cat.scala 29:58] + wire [1:0] CmdPtr0 = _T_2098[1:0]; // @[el2_lsu_bus_buffer.scala 440:11] + wire _T_1044 = CmdPtr0 == 2'h0; // @[el2_lsu_bus_buffer.scala 312:114] + wire _T_1045 = CmdPtr0 == 2'h1; // @[el2_lsu_bus_buffer.scala 312:114] + wire _T_1046 = CmdPtr0 == 2'h2; // @[el2_lsu_bus_buffer.scala 312:114] + wire _T_1047 = CmdPtr0 == 2'h3; // @[el2_lsu_bus_buffer.scala 312:114] reg buf_nomerge_0; // @[Reg.scala 27:20] wire _T_1048 = _T_1044 & buf_nomerge_0; // @[Mux.scala 27:72] reg buf_nomerge_1; // @[Reg.scala 27:20] @@ -1099,13 +1089,13 @@ module el2_lsu_bus_buffer( wire _T_1052 = _T_1048 | _T_1049; // @[Mux.scala 27:72] wire _T_1053 = _T_1052 | _T_1050; // @[Mux.scala 27:72] wire _T_1054 = _T_1053 | _T_1051; // @[Mux.scala 27:72] - wire _T_1056 = ~_T_1054; // @[el2_lsu_bus_buffer.scala 323:31] - wire _T_1057 = _T_1043 & _T_1056; // @[el2_lsu_bus_buffer.scala 323:29] - reg _T_4354; // @[Reg.scala 27:20] + wire _T_1056 = ~_T_1054; // @[el2_lsu_bus_buffer.scala 312:31] + wire _T_1057 = _T_1043 & _T_1056; // @[el2_lsu_bus_buffer.scala 312:29] reg _T_4351; // @[Reg.scala 27:20] reg _T_4348; // @[Reg.scala 27:20] reg _T_4345; // @[Reg.scala 27:20] - wire [3:0] buf_sideeffect = {_T_4354,_T_4351,_T_4348,_T_4345}; // @[Cat.scala 29:58] + reg _T_4342; // @[Reg.scala 27:20] + wire [3:0] buf_sideeffect = {_T_4351,_T_4348,_T_4345,_T_4342}; // @[Cat.scala 29:58] wire _T_1066 = _T_1044 & buf_sideeffect[0]; // @[Mux.scala 27:72] wire _T_1067 = _T_1045 & buf_sideeffect[1]; // @[Mux.scala 27:72] wire _T_1068 = _T_1046 & buf_sideeffect[2]; // @[Mux.scala 27:72] @@ -1113,10 +1103,10 @@ module el2_lsu_bus_buffer( wire _T_1070 = _T_1066 | _T_1067; // @[Mux.scala 27:72] wire _T_1071 = _T_1070 | _T_1068; // @[Mux.scala 27:72] wire _T_1072 = _T_1071 | _T_1069; // @[Mux.scala 27:72] - wire _T_1074 = ~_T_1072; // @[el2_lsu_bus_buffer.scala 324:5] - wire _T_1075 = _T_1057 & _T_1074; // @[el2_lsu_bus_buffer.scala 323:140] - wire _T_1086 = _T_858 & _T_852; // @[el2_lsu_bus_buffer.scala 326:58] - wire _T_1088 = _T_1086 & _T_1038; // @[el2_lsu_bus_buffer.scala 326:72] + wire _T_1074 = ~_T_1072; // @[el2_lsu_bus_buffer.scala 313:5] + wire _T_1075 = _T_1057 & _T_1074; // @[el2_lsu_bus_buffer.scala 312:140] + wire _T_1086 = _T_858 & _T_852; // @[el2_lsu_bus_buffer.scala 315:58] + wire _T_1088 = _T_1086 & _T_1038; // @[el2_lsu_bus_buffer.scala 315:72] wire [29:0] _T_1098 = _T_1044 ? buf_addr_0[31:2] : 30'h0; // @[Mux.scala 27:72] wire [29:0] _T_1099 = _T_1045 ? buf_addr_1[31:2] : 30'h0; // @[Mux.scala 27:72] wire [29:0] _T_1102 = _T_1098 | _T_1099; // @[Mux.scala 27:72] @@ -1124,51 +1114,51 @@ module el2_lsu_bus_buffer( wire [29:0] _T_1103 = _T_1102 | _T_1100; // @[Mux.scala 27:72] wire [29:0] _T_1101 = _T_1047 ? buf_addr_3[31:2] : 30'h0; // @[Mux.scala 27:72] wire [29:0] _T_1104 = _T_1103 | _T_1101; // @[Mux.scala 27:72] - wire _T_1106 = io_lsu_addr_m[31:2] != _T_1104; // @[el2_lsu_bus_buffer.scala 326:123] - wire obuf_force_wr_en = _T_1088 & _T_1106; // @[el2_lsu_bus_buffer.scala 326:101] - wire _T_1076 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 324:119] - wire obuf_wr_wait = _T_1075 & _T_1076; // @[el2_lsu_bus_buffer.scala 324:117] - wire _T_1077 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 325:75] - wire _T_1078 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 325:95] - wire _T_1079 = _T_1077 & _T_1078; // @[el2_lsu_bus_buffer.scala 325:79] - wire [2:0] _T_1081 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 325:121] - wire _T_4509 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 586:63] - wire _T_4513 = _T_4509 | _T_4490; // @[el2_lsu_bus_buffer.scala 586:74] - wire _T_4504 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 586:63] - wire _T_4508 = _T_4504 | _T_4487; // @[el2_lsu_bus_buffer.scala 586:74] - wire [1:0] _T_4514 = _T_4513 + _T_4508; // @[el2_lsu_bus_buffer.scala 586:154] - wire _T_4499 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 586:63] - wire _T_4503 = _T_4499 | _T_4484; // @[el2_lsu_bus_buffer.scala 586:74] - wire [1:0] _GEN_368 = {{1'd0}, _T_4503}; // @[el2_lsu_bus_buffer.scala 586:154] - wire [2:0] _T_4515 = _T_4514 + _GEN_368; // @[el2_lsu_bus_buffer.scala 586:154] - wire _T_4494 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 586:63] - wire _T_4498 = _T_4494 | _T_4481; // @[el2_lsu_bus_buffer.scala 586:74] - wire [2:0] _GEN_369 = {{2'd0}, _T_4498}; // @[el2_lsu_bus_buffer.scala 586:154] - wire [3:0] buf_numvld_pend_any = _T_4515 + _GEN_369; // @[el2_lsu_bus_buffer.scala 586:154] - wire _T_1108 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 328:53] - wire _T_1109 = ibuf_byp & _T_1108; // @[el2_lsu_bus_buffer.scala 328:31] - wire _T_1110 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 328:64] - wire _T_1111 = _T_1110 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 328:84] - wire ibuf_buf_byp = _T_1109 & _T_1111; // @[el2_lsu_bus_buffer.scala 328:61] - wire _T_1112 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 343:32] - wire _T_4805 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 615:62] - wire _T_4807 = _T_4805 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 615:73] - wire _T_4808 = _T_4807 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 615:93] - wire _T_4809 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 615:62] - wire _T_4811 = _T_4809 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 615:73] - wire _T_4812 = _T_4811 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 615:93] - wire _T_4821 = _T_4808 | _T_4812; // @[el2_lsu_bus_buffer.scala 615:141] - wire _T_4813 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 615:62] - wire _T_4815 = _T_4813 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 615:73] - wire _T_4816 = _T_4815 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 615:93] - wire _T_4822 = _T_4821 | _T_4816; // @[el2_lsu_bus_buffer.scala 615:141] - wire _T_4817 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 615:62] - wire _T_4819 = _T_4817 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 615:73] - wire _T_4820 = _T_4819 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 615:93] - wire bus_sideeffect_pend = _T_4822 | _T_4820; // @[el2_lsu_bus_buffer.scala 615:141] - wire _T_1113 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 343:74] - wire _T_1114 = ~_T_1113; // @[el2_lsu_bus_buffer.scala 343:52] - wire _T_1115 = _T_1112 & _T_1114; // @[el2_lsu_bus_buffer.scala 343:50] + wire _T_1106 = io_lsu_addr_m[31:2] != _T_1104; // @[el2_lsu_bus_buffer.scala 315:123] + wire obuf_force_wr_en = _T_1088 & _T_1106; // @[el2_lsu_bus_buffer.scala 315:101] + wire _T_1076 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 313:119] + wire obuf_wr_wait = _T_1075 & _T_1076; // @[el2_lsu_bus_buffer.scala 313:117] + wire _T_1077 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 314:75] + wire _T_1078 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 314:95] + wire _T_1079 = _T_1077 & _T_1078; // @[el2_lsu_bus_buffer.scala 314:79] + wire [2:0] _T_1081 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 314:121] + wire _T_4503 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 573:63] + wire _T_4507 = _T_4503 | _T_4484; // @[el2_lsu_bus_buffer.scala 573:74] + wire _T_4498 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 573:63] + wire _T_4502 = _T_4498 | _T_4481; // @[el2_lsu_bus_buffer.scala 573:74] + wire [1:0] _T_4508 = _T_4507 + _T_4502; // @[el2_lsu_bus_buffer.scala 573:154] + wire _T_4493 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 573:63] + wire _T_4497 = _T_4493 | _T_4478; // @[el2_lsu_bus_buffer.scala 573:74] + wire [1:0] _GEN_368 = {{1'd0}, _T_4497}; // @[el2_lsu_bus_buffer.scala 573:154] + wire [2:0] _T_4509 = _T_4508 + _GEN_368; // @[el2_lsu_bus_buffer.scala 573:154] + wire _T_4488 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 573:63] + wire _T_4492 = _T_4488 | _T_4475; // @[el2_lsu_bus_buffer.scala 573:74] + wire [2:0] _GEN_369 = {{2'd0}, _T_4492}; // @[el2_lsu_bus_buffer.scala 573:154] + wire [3:0] buf_numvld_pend_any = _T_4509 + _GEN_369; // @[el2_lsu_bus_buffer.scala 573:154] + wire _T_1108 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 317:53] + wire _T_1109 = ibuf_byp & _T_1108; // @[el2_lsu_bus_buffer.scala 317:31] + wire _T_1110 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 317:64] + wire _T_1111 = _T_1110 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 317:84] + wire ibuf_buf_byp = _T_1109 & _T_1111; // @[el2_lsu_bus_buffer.scala 317:61] + wire _T_1112 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 332:32] + wire _T_4799 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 601:62] + wire _T_4801 = _T_4799 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 601:73] + wire _T_4802 = _T_4801 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 601:93] + wire _T_4803 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 601:62] + wire _T_4805 = _T_4803 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 601:73] + wire _T_4806 = _T_4805 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 601:93] + wire _T_4815 = _T_4802 | _T_4806; // @[el2_lsu_bus_buffer.scala 601:141] + wire _T_4807 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 601:62] + wire _T_4809 = _T_4807 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 601:73] + wire _T_4810 = _T_4809 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 601:93] + wire _T_4816 = _T_4815 | _T_4810; // @[el2_lsu_bus_buffer.scala 601:141] + wire _T_4811 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 601:62] + wire _T_4813 = _T_4811 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 601:73] + wire _T_4814 = _T_4813 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 601:93] + wire bus_sideeffect_pend = _T_4816 | _T_4814; // @[el2_lsu_bus_buffer.scala 601:141] + wire _T_1113 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 332:74] + wire _T_1114 = ~_T_1113; // @[el2_lsu_bus_buffer.scala 332:52] + wire _T_1115 = _T_1112 & _T_1114; // @[el2_lsu_bus_buffer.scala 332:50] wire [2:0] _T_1120 = _T_1044 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1121 = _T_1045 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1124 = _T_1120 | _T_1121; // @[Mux.scala 27:72] @@ -1176,9 +1166,9 @@ module el2_lsu_bus_buffer( wire [2:0] _T_1125 = _T_1124 | _T_1122; // @[Mux.scala 27:72] wire [2:0] _T_1123 = _T_1047 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1126 = _T_1125 | _T_1123; // @[Mux.scala 27:72] - wire _T_1128 = _T_1126 == 3'h2; // @[el2_lsu_bus_buffer.scala 344:36] - wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 444:31] - wire _T_1129 = _T_1128 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 344:47] + wire _T_1128 = _T_1126 == 3'h2; // @[el2_lsu_bus_buffer.scala 333:36] + wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 432:31] + wire _T_1129 = _T_1128 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 333:47] wire [3:0] _T_1132 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] wire _T_1141 = _T_1044 & _T_1132[0]; // @[Mux.scala 27:72] wire _T_1142 = _T_1045 & _T_1132[1]; // @[Mux.scala 27:72] @@ -1187,11 +1177,11 @@ module el2_lsu_bus_buffer( wire _T_1146 = _T_1145 | _T_1143; // @[Mux.scala 27:72] wire _T_1144 = _T_1047 & _T_1132[3]; // @[Mux.scala 27:72] wire _T_1147 = _T_1146 | _T_1144; // @[Mux.scala 27:72] - wire _T_1149 = ~_T_1147; // @[el2_lsu_bus_buffer.scala 345:23] - wire _T_1150 = _T_1129 & _T_1149; // @[el2_lsu_bus_buffer.scala 345:21] - wire _T_1167 = _T_1072 & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 345:141] - wire _T_1168 = ~_T_1167; // @[el2_lsu_bus_buffer.scala 345:105] - wire _T_1169 = _T_1150 & _T_1168; // @[el2_lsu_bus_buffer.scala 345:103] + wire _T_1149 = ~_T_1147; // @[el2_lsu_bus_buffer.scala 334:23] + wire _T_1150 = _T_1129 & _T_1149; // @[el2_lsu_bus_buffer.scala 334:21] + wire _T_1167 = _T_1072 & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 334:141] + wire _T_1168 = ~_T_1167; // @[el2_lsu_bus_buffer.scala 334:105] + wire _T_1169 = _T_1150 & _T_1168; // @[el2_lsu_bus_buffer.scala 334:103] reg buf_dual_3; // @[Reg.scala 27:20] reg buf_dual_2; // @[Reg.scala 27:20] reg buf_dual_1; // @[Reg.scala 27:20] @@ -1216,7 +1206,7 @@ module el2_lsu_bus_buffer( wire _T_1205 = _T_1204 | _T_1202; // @[Mux.scala 27:72] wire _T_1203 = _T_1047 & _T_1191[3]; // @[Mux.scala 27:72] wire _T_1206 = _T_1205 | _T_1203; // @[Mux.scala 27:72] - wire _T_1208 = _T_1187 & _T_1206; // @[el2_lsu_bus_buffer.scala 346:77] + wire _T_1208 = _T_1187 & _T_1206; // @[el2_lsu_bus_buffer.scala 335:77] wire _T_1217 = _T_1044 & buf_write[0]; // @[Mux.scala 27:72] wire _T_1218 = _T_1045 & buf_write[1]; // @[Mux.scala 27:72] wire _T_1221 = _T_1217 | _T_1218; // @[Mux.scala 27:72] @@ -1224,41 +1214,41 @@ module el2_lsu_bus_buffer( wire _T_1222 = _T_1221 | _T_1219; // @[Mux.scala 27:72] wire _T_1220 = _T_1047 & buf_write[3]; // @[Mux.scala 27:72] wire _T_1223 = _T_1222 | _T_1220; // @[Mux.scala 27:72] - wire _T_1225 = ~_T_1223; // @[el2_lsu_bus_buffer.scala 346:150] - wire _T_1226 = _T_1208 & _T_1225; // @[el2_lsu_bus_buffer.scala 346:148] - wire _T_1227 = ~_T_1226; // @[el2_lsu_bus_buffer.scala 346:8] - wire [3:0] _T_2044 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 440:62] - wire [3:0] _T_2045 = buf_age_3 & _T_2044; // @[el2_lsu_bus_buffer.scala 440:59] - wire _T_2046 = |_T_2045; // @[el2_lsu_bus_buffer.scala 440:76] - wire _T_2047 = ~_T_2046; // @[el2_lsu_bus_buffer.scala 440:45] - wire _T_2049 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 440:83] - wire _T_2050 = _T_2047 & _T_2049; // @[el2_lsu_bus_buffer.scala 440:81] - wire _T_2052 = _T_2050 & _T_2645; // @[el2_lsu_bus_buffer.scala 440:98] - wire _T_2054 = _T_2052 & _T_4474; // @[el2_lsu_bus_buffer.scala 440:123] - wire [3:0] _T_2034 = buf_age_2 & _T_2044; // @[el2_lsu_bus_buffer.scala 440:59] - wire _T_2035 = |_T_2034; // @[el2_lsu_bus_buffer.scala 440:76] - wire _T_2036 = ~_T_2035; // @[el2_lsu_bus_buffer.scala 440:45] - wire _T_2038 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 440:83] - wire _T_2039 = _T_2036 & _T_2038; // @[el2_lsu_bus_buffer.scala 440:81] - wire _T_2041 = _T_2039 & _T_2640; // @[el2_lsu_bus_buffer.scala 440:98] - wire _T_2043 = _T_2041 & _T_4469; // @[el2_lsu_bus_buffer.scala 440:123] - wire [3:0] _T_2023 = buf_age_1 & _T_2044; // @[el2_lsu_bus_buffer.scala 440:59] - wire _T_2024 = |_T_2023; // @[el2_lsu_bus_buffer.scala 440:76] - wire _T_2025 = ~_T_2024; // @[el2_lsu_bus_buffer.scala 440:45] - wire _T_2027 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 440:83] - wire _T_2028 = _T_2025 & _T_2027; // @[el2_lsu_bus_buffer.scala 440:81] - wire _T_2030 = _T_2028 & _T_2635; // @[el2_lsu_bus_buffer.scala 440:98] - wire _T_2032 = _T_2030 & _T_4464; // @[el2_lsu_bus_buffer.scala 440:123] - wire [3:0] _T_2012 = buf_age_0 & _T_2044; // @[el2_lsu_bus_buffer.scala 440:59] - wire _T_2013 = |_T_2012; // @[el2_lsu_bus_buffer.scala 440:76] - wire _T_2014 = ~_T_2013; // @[el2_lsu_bus_buffer.scala 440:45] - wire _T_2016 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 440:83] - wire _T_2017 = _T_2014 & _T_2016; // @[el2_lsu_bus_buffer.scala 440:81] - wire _T_2019 = _T_2017 & _T_2630; // @[el2_lsu_bus_buffer.scala 440:98] - wire _T_2021 = _T_2019 & _T_4459; // @[el2_lsu_bus_buffer.scala 440:123] - wire [3:0] CmdPtr1Dec = {_T_2054,_T_2043,_T_2032,_T_2021}; // @[Cat.scala 29:58] - wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 445:31] - wire _T_1228 = _T_1227 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 346:181] + wire _T_1225 = ~_T_1223; // @[el2_lsu_bus_buffer.scala 335:150] + wire _T_1226 = _T_1208 & _T_1225; // @[el2_lsu_bus_buffer.scala 335:148] + wire _T_1227 = ~_T_1226; // @[el2_lsu_bus_buffer.scala 335:8] + wire [3:0] _T_2041 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 428:62] + wire [3:0] _T_2042 = buf_age_3 & _T_2041; // @[el2_lsu_bus_buffer.scala 428:59] + wire _T_2043 = |_T_2042; // @[el2_lsu_bus_buffer.scala 428:76] + wire _T_2044 = ~_T_2043; // @[el2_lsu_bus_buffer.scala 428:45] + wire _T_2046 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 428:83] + wire _T_2047 = _T_2044 & _T_2046; // @[el2_lsu_bus_buffer.scala 428:81] + wire _T_2049 = _T_2047 & _T_2642; // @[el2_lsu_bus_buffer.scala 428:98] + wire _T_2051 = _T_2049 & _T_4468; // @[el2_lsu_bus_buffer.scala 428:123] + wire [3:0] _T_2031 = buf_age_2 & _T_2041; // @[el2_lsu_bus_buffer.scala 428:59] + wire _T_2032 = |_T_2031; // @[el2_lsu_bus_buffer.scala 428:76] + wire _T_2033 = ~_T_2032; // @[el2_lsu_bus_buffer.scala 428:45] + wire _T_2035 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 428:83] + wire _T_2036 = _T_2033 & _T_2035; // @[el2_lsu_bus_buffer.scala 428:81] + wire _T_2038 = _T_2036 & _T_2637; // @[el2_lsu_bus_buffer.scala 428:98] + wire _T_2040 = _T_2038 & _T_4463; // @[el2_lsu_bus_buffer.scala 428:123] + wire [3:0] _T_2020 = buf_age_1 & _T_2041; // @[el2_lsu_bus_buffer.scala 428:59] + wire _T_2021 = |_T_2020; // @[el2_lsu_bus_buffer.scala 428:76] + wire _T_2022 = ~_T_2021; // @[el2_lsu_bus_buffer.scala 428:45] + wire _T_2024 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 428:83] + wire _T_2025 = _T_2022 & _T_2024; // @[el2_lsu_bus_buffer.scala 428:81] + wire _T_2027 = _T_2025 & _T_2632; // @[el2_lsu_bus_buffer.scala 428:98] + wire _T_2029 = _T_2027 & _T_4458; // @[el2_lsu_bus_buffer.scala 428:123] + wire [3:0] _T_2009 = buf_age_0 & _T_2041; // @[el2_lsu_bus_buffer.scala 428:59] + wire _T_2010 = |_T_2009; // @[el2_lsu_bus_buffer.scala 428:76] + wire _T_2011 = ~_T_2010; // @[el2_lsu_bus_buffer.scala 428:45] + wire _T_2013 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 428:83] + wire _T_2014 = _T_2011 & _T_2013; // @[el2_lsu_bus_buffer.scala 428:81] + wire _T_2016 = _T_2014 & _T_2627; // @[el2_lsu_bus_buffer.scala 428:98] + wire _T_2018 = _T_2016 & _T_4453; // @[el2_lsu_bus_buffer.scala 428:123] + wire [3:0] CmdPtr1Dec = {_T_2051,_T_2040,_T_2029,_T_2018}; // @[Cat.scala 29:58] + wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 433:31] + wire _T_1228 = _T_1227 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 335:181] wire [3:0] _T_1231 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] wire _T_1240 = _T_1044 & _T_1231[0]; // @[Mux.scala 27:72] wire _T_1241 = _T_1045 & _T_1231[1]; // @[Mux.scala 27:72] @@ -1267,77 +1257,77 @@ module el2_lsu_bus_buffer( wire _T_1245 = _T_1244 | _T_1242; // @[Mux.scala 27:72] wire _T_1243 = _T_1047 & _T_1231[3]; // @[Mux.scala 27:72] wire _T_1246 = _T_1245 | _T_1243; // @[Mux.scala 27:72] - wire _T_1248 = _T_1228 | _T_1246; // @[el2_lsu_bus_buffer.scala 346:197] - wire _T_1249 = _T_1248 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 346:269] - wire _T_1250 = _T_1169 & _T_1249; // @[el2_lsu_bus_buffer.scala 345:164] - wire _T_1251 = _T_1115 | _T_1250; // @[el2_lsu_bus_buffer.scala 343:98] + wire _T_1248 = _T_1228 | _T_1246; // @[el2_lsu_bus_buffer.scala 335:197] + wire _T_1249 = _T_1248 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 335:269] + wire _T_1250 = _T_1169 & _T_1249; // @[el2_lsu_bus_buffer.scala 334:164] + wire _T_1251 = _T_1115 | _T_1250; // @[el2_lsu_bus_buffer.scala 332:98] reg obuf_write; // @[Reg.scala 27:20] - reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 408:54] - reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 409:55] - wire _T_4880 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 619:54] - wire _T_4881 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 619:75] - wire _T_4883 = _T_4880 ? _T_4881 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 619:39] - wire bus_cmd_ready = obuf_write ? _T_4883 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 619:23] - wire _T_1252 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 347:48] - wire _T_1253 = bus_cmd_ready | _T_1252; // @[el2_lsu_bus_buffer.scala 347:46] + reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 397:54] + reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 398:55] + wire _T_4874 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 605:54] + wire _T_4875 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 605:75] + wire _T_4877 = _T_4874 ? _T_4875 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 605:39] + wire bus_cmd_ready = obuf_write ? _T_4877 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 605:23] + wire _T_1252 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 336:48] + wire _T_1253 = bus_cmd_ready | _T_1252; // @[el2_lsu_bus_buffer.scala 336:46] reg obuf_nosend; // @[Reg.scala 27:20] - wire _T_1254 = _T_1253 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 347:60] - wire _T_1255 = _T_1251 & _T_1254; // @[el2_lsu_bus_buffer.scala 347:29] - wire _T_1256 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 347:77] - wire _T_1257 = _T_1255 & _T_1256; // @[el2_lsu_bus_buffer.scala 347:75] + wire _T_1254 = _T_1253 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 336:60] + wire _T_1255 = _T_1251 & _T_1254; // @[el2_lsu_bus_buffer.scala 336:29] + wire _T_1256 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 336:77] + wire _T_1257 = _T_1255 & _T_1256; // @[el2_lsu_bus_buffer.scala 336:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4828 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 617:56] - wire _T_4829 = obuf_valid & _T_4828; // @[el2_lsu_bus_buffer.scala 617:38] - wire _T_4831 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 617:126] - wire _T_4832 = obuf_merge & _T_4831; // @[el2_lsu_bus_buffer.scala 617:114] - wire _T_4833 = _T_3586 | _T_4832; // @[el2_lsu_bus_buffer.scala 617:100] - wire _T_4834 = ~_T_4833; // @[el2_lsu_bus_buffer.scala 617:80] - wire _T_4835 = _T_4829 & _T_4834; // @[el2_lsu_bus_buffer.scala 617:78] - wire _T_4872 = _T_4805 & _T_4835; // @[Mux.scala 27:72] - wire _T_4840 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 617:56] - wire _T_4841 = obuf_valid & _T_4840; // @[el2_lsu_bus_buffer.scala 617:38] - wire _T_4843 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 617:126] - wire _T_4844 = obuf_merge & _T_4843; // @[el2_lsu_bus_buffer.scala 617:114] - wire _T_4845 = _T_3779 | _T_4844; // @[el2_lsu_bus_buffer.scala 617:100] - wire _T_4846 = ~_T_4845; // @[el2_lsu_bus_buffer.scala 617:80] - wire _T_4847 = _T_4841 & _T_4846; // @[el2_lsu_bus_buffer.scala 617:78] - wire _T_4873 = _T_4809 & _T_4847; // @[Mux.scala 27:72] - wire _T_4876 = _T_4872 | _T_4873; // @[Mux.scala 27:72] - wire _T_4852 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 617:56] - wire _T_4853 = obuf_valid & _T_4852; // @[el2_lsu_bus_buffer.scala 617:38] - wire _T_4855 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 617:126] - wire _T_4856 = obuf_merge & _T_4855; // @[el2_lsu_bus_buffer.scala 617:114] - wire _T_4857 = _T_3972 | _T_4856; // @[el2_lsu_bus_buffer.scala 617:100] - wire _T_4858 = ~_T_4857; // @[el2_lsu_bus_buffer.scala 617:80] - wire _T_4859 = _T_4853 & _T_4858; // @[el2_lsu_bus_buffer.scala 617:78] - wire _T_4874 = _T_4813 & _T_4859; // @[Mux.scala 27:72] - wire _T_4877 = _T_4876 | _T_4874; // @[Mux.scala 27:72] - wire _T_4864 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 617:56] - wire _T_4865 = obuf_valid & _T_4864; // @[el2_lsu_bus_buffer.scala 617:38] - wire _T_4867 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 617:126] - wire _T_4868 = obuf_merge & _T_4867; // @[el2_lsu_bus_buffer.scala 617:114] - wire _T_4869 = _T_4165 | _T_4868; // @[el2_lsu_bus_buffer.scala 617:100] - wire _T_4870 = ~_T_4869; // @[el2_lsu_bus_buffer.scala 617:80] - wire _T_4871 = _T_4865 & _T_4870; // @[el2_lsu_bus_buffer.scala 617:78] - wire _T_4875 = _T_4817 & _T_4871; // @[Mux.scala 27:72] - wire bus_addr_match_pending = _T_4877 | _T_4875; // @[Mux.scala 27:72] - wire _T_1260 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 347:118] - wire _T_1261 = _T_1257 & _T_1260; // @[el2_lsu_bus_buffer.scala 347:116] - wire obuf_wr_en = _T_1261 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 347:142] - wire _T_1263 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 349:47] - wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 620:39] - wire _T_4887 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 622:35] - wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 621:39] - wire _T_4888 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 622:70] - wire _T_4889 = _T_4887 & _T_4888; // @[el2_lsu_bus_buffer.scala 622:52] - wire _T_4890 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 622:111] - wire bus_cmd_sent = _T_4889 | _T_4890; // @[el2_lsu_bus_buffer.scala 622:89] - wire _T_1264 = bus_cmd_sent | _T_1263; // @[el2_lsu_bus_buffer.scala 349:33] - wire _T_1265 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 349:65] - wire _T_1266 = _T_1264 & _T_1265; // @[el2_lsu_bus_buffer.scala 349:63] - wire _T_1267 = _T_1266 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 349:77] - wire obuf_rst = _T_1267 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 349:98] - wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : _T_1223; // @[el2_lsu_bus_buffer.scala 350:26] + wire _T_4822 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 603:56] + wire _T_4823 = obuf_valid & _T_4822; // @[el2_lsu_bus_buffer.scala 603:38] + wire _T_4825 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 603:126] + wire _T_4826 = obuf_merge & _T_4825; // @[el2_lsu_bus_buffer.scala 603:114] + wire _T_4827 = _T_3583 | _T_4826; // @[el2_lsu_bus_buffer.scala 603:100] + wire _T_4828 = ~_T_4827; // @[el2_lsu_bus_buffer.scala 603:80] + wire _T_4829 = _T_4823 & _T_4828; // @[el2_lsu_bus_buffer.scala 603:78] + wire _T_4866 = _T_4799 & _T_4829; // @[Mux.scala 27:72] + wire _T_4834 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 603:56] + wire _T_4835 = obuf_valid & _T_4834; // @[el2_lsu_bus_buffer.scala 603:38] + wire _T_4837 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 603:126] + wire _T_4838 = obuf_merge & _T_4837; // @[el2_lsu_bus_buffer.scala 603:114] + wire _T_4839 = _T_3776 | _T_4838; // @[el2_lsu_bus_buffer.scala 603:100] + wire _T_4840 = ~_T_4839; // @[el2_lsu_bus_buffer.scala 603:80] + wire _T_4841 = _T_4835 & _T_4840; // @[el2_lsu_bus_buffer.scala 603:78] + wire _T_4867 = _T_4803 & _T_4841; // @[Mux.scala 27:72] + wire _T_4870 = _T_4866 | _T_4867; // @[Mux.scala 27:72] + wire _T_4846 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 603:56] + wire _T_4847 = obuf_valid & _T_4846; // @[el2_lsu_bus_buffer.scala 603:38] + wire _T_4849 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 603:126] + wire _T_4850 = obuf_merge & _T_4849; // @[el2_lsu_bus_buffer.scala 603:114] + wire _T_4851 = _T_3969 | _T_4850; // @[el2_lsu_bus_buffer.scala 603:100] + wire _T_4852 = ~_T_4851; // @[el2_lsu_bus_buffer.scala 603:80] + wire _T_4853 = _T_4847 & _T_4852; // @[el2_lsu_bus_buffer.scala 603:78] + wire _T_4868 = _T_4807 & _T_4853; // @[Mux.scala 27:72] + wire _T_4871 = _T_4870 | _T_4868; // @[Mux.scala 27:72] + wire _T_4858 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 603:56] + wire _T_4859 = obuf_valid & _T_4858; // @[el2_lsu_bus_buffer.scala 603:38] + wire _T_4861 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 603:126] + wire _T_4862 = obuf_merge & _T_4861; // @[el2_lsu_bus_buffer.scala 603:114] + wire _T_4863 = _T_4162 | _T_4862; // @[el2_lsu_bus_buffer.scala 603:100] + wire _T_4864 = ~_T_4863; // @[el2_lsu_bus_buffer.scala 603:80] + wire _T_4865 = _T_4859 & _T_4864; // @[el2_lsu_bus_buffer.scala 603:78] + wire _T_4869 = _T_4811 & _T_4865; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4871 | _T_4869; // @[Mux.scala 27:72] + wire _T_1260 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 336:118] + wire _T_1261 = _T_1257 & _T_1260; // @[el2_lsu_bus_buffer.scala 336:116] + wire obuf_wr_en = _T_1261 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 336:142] + wire _T_1263 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 338:47] + wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 606:39] + wire _T_4881 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 608:35] + wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 607:39] + wire _T_4882 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 608:70] + wire _T_4883 = _T_4881 & _T_4882; // @[el2_lsu_bus_buffer.scala 608:52] + wire _T_4884 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 608:111] + wire bus_cmd_sent = _T_4883 | _T_4884; // @[el2_lsu_bus_buffer.scala 608:89] + wire _T_1264 = bus_cmd_sent | _T_1263; // @[el2_lsu_bus_buffer.scala 338:33] + wire _T_1265 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 338:65] + wire _T_1266 = _T_1264 & _T_1265; // @[el2_lsu_bus_buffer.scala 338:63] + wire _T_1267 = _T_1266 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 338:77] + wire obuf_rst = _T_1267 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 338:98] + wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : _T_1223; // @[el2_lsu_bus_buffer.scala 339:26] wire [31:0] _T_1304 = _T_1044 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1305 = _T_1045 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1306 = _T_1046 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] @@ -1345,7 +1335,7 @@ module el2_lsu_bus_buffer( wire [31:0] _T_1308 = _T_1304 | _T_1305; // @[Mux.scala 27:72] wire [31:0] _T_1309 = _T_1308 | _T_1306; // @[Mux.scala 27:72] wire [31:0] _T_1310 = _T_1309 | _T_1307; // @[Mux.scala 27:72] - wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1310; // @[el2_lsu_bus_buffer.scala 352:25] + wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1310; // @[el2_lsu_bus_buffer.scala 341:25] reg [1:0] buf_sz_0; // @[Reg.scala 27:20] wire [1:0] _T_1317 = _T_1044 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] reg [1:0] buf_sz_1; // @[Reg.scala 27:20] @@ -1357,52 +1347,52 @@ module el2_lsu_bus_buffer( wire [1:0] _T_1321 = _T_1317 | _T_1318; // @[Mux.scala 27:72] wire [1:0] _T_1322 = _T_1321 | _T_1319; // @[Mux.scala 27:72] wire [1:0] _T_1323 = _T_1322 | _T_1320; // @[Mux.scala 27:72] - wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1323; // @[el2_lsu_bus_buffer.scala 355:23] - wire _T_1325 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 364:39] - wire _T_1326 = ~_T_1325; // @[el2_lsu_bus_buffer.scala 364:26] - wire _T_1332 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 368:72] - wire _T_1335 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 368:98] - wire _T_1336 = obuf_sz_in[0] & _T_1335; // @[el2_lsu_bus_buffer.scala 368:96] - wire _T_1337 = _T_1332 | _T_1336; // @[el2_lsu_bus_buffer.scala 368:79] - wire _T_1340 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 368:153] - wire _T_1341 = ~_T_1340; // @[el2_lsu_bus_buffer.scala 368:134] - wire _T_1342 = obuf_sz_in[1] & _T_1341; // @[el2_lsu_bus_buffer.scala 368:132] - wire _T_1343 = _T_1337 | _T_1342; // @[el2_lsu_bus_buffer.scala 368:116] - wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1343; // @[el2_lsu_bus_buffer.scala 368:28] - wire _T_1360 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 382:40] - wire _T_1361 = _T_1360 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 382:60] + wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1323; // @[el2_lsu_bus_buffer.scala 344:23] + wire _T_1325 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 353:39] + wire _T_1326 = ~_T_1325; // @[el2_lsu_bus_buffer.scala 353:26] + wire _T_1332 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 357:72] + wire _T_1335 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 357:98] + wire _T_1336 = obuf_sz_in[0] & _T_1335; // @[el2_lsu_bus_buffer.scala 357:96] + wire _T_1337 = _T_1332 | _T_1336; // @[el2_lsu_bus_buffer.scala 357:79] + wire _T_1340 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 357:153] + wire _T_1341 = ~_T_1340; // @[el2_lsu_bus_buffer.scala 357:134] + wire _T_1342 = obuf_sz_in[1] & _T_1341; // @[el2_lsu_bus_buffer.scala 357:132] + wire _T_1343 = _T_1337 | _T_1342; // @[el2_lsu_bus_buffer.scala 357:116] + wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1343; // @[el2_lsu_bus_buffer.scala 357:28] + wire _T_1360 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 371:40] + wire _T_1361 = _T_1360 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 371:60] reg obuf_sideeffect; // @[Reg.scala 27:20] - wire _T_1362 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 382:80] - wire _T_1363 = _T_1361 & _T_1362; // @[el2_lsu_bus_buffer.scala 382:78] - wire _T_1364 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 382:99] - wire _T_1365 = _T_1363 & _T_1364; // @[el2_lsu_bus_buffer.scala 382:97] - wire _T_1366 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 382:113] - wire _T_1367 = _T_1365 & _T_1366; // @[el2_lsu_bus_buffer.scala 382:111] - wire _T_1368 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 382:130] - wire _T_1369 = _T_1367 & _T_1368; // @[el2_lsu_bus_buffer.scala 382:128] - wire _T_1370 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 383:20] - wire _T_1371 = obuf_valid & _T_1370; // @[el2_lsu_bus_buffer.scala 383:18] - reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 410:56] - wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 623:37] - reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 411:55] - wire _T_1372 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 383:90] - wire _T_1373 = bus_rsp_read & _T_1372; // @[el2_lsu_bus_buffer.scala 383:70] - wire _T_1374 = ~_T_1373; // @[el2_lsu_bus_buffer.scala 383:55] - wire _T_1375 = obuf_rdrsp_pend & _T_1374; // @[el2_lsu_bus_buffer.scala 383:53] - wire _T_1376 = _T_1371 | _T_1375; // @[el2_lsu_bus_buffer.scala 383:34] - wire obuf_nosend_in = _T_1369 & _T_1376; // @[el2_lsu_bus_buffer.scala 382:165] - wire _T_1344 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 376:44] - wire _T_1345 = obuf_wr_en & _T_1344; // @[el2_lsu_bus_buffer.scala 376:42] - wire _T_1346 = ~_T_1345; // @[el2_lsu_bus_buffer.scala 376:29] - wire _T_1347 = _T_1346 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 376:61] - wire _T_1351 = _T_1347 & _T_1374; // @[el2_lsu_bus_buffer.scala 376:79] - wire _T_1353 = bus_cmd_sent & _T_1364; // @[el2_lsu_bus_buffer.scala 377:20] - wire _T_1354 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 377:37] - wire _T_1355 = _T_1353 & _T_1354; // @[el2_lsu_bus_buffer.scala 377:35] - wire _T_1357 = bus_cmd_sent | _T_1364; // @[el2_lsu_bus_buffer.scala 379:44] + wire _T_1362 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 371:80] + wire _T_1363 = _T_1361 & _T_1362; // @[el2_lsu_bus_buffer.scala 371:78] + wire _T_1364 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 371:99] + wire _T_1365 = _T_1363 & _T_1364; // @[el2_lsu_bus_buffer.scala 371:97] + wire _T_1366 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 371:113] + wire _T_1367 = _T_1365 & _T_1366; // @[el2_lsu_bus_buffer.scala 371:111] + wire _T_1368 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 371:130] + wire _T_1369 = _T_1367 & _T_1368; // @[el2_lsu_bus_buffer.scala 371:128] + wire _T_1370 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 372:20] + wire _T_1371 = obuf_valid & _T_1370; // @[el2_lsu_bus_buffer.scala 372:18] + reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 399:56] + wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 609:37] + reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 400:55] + wire _T_1372 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 372:90] + wire _T_1373 = bus_rsp_read & _T_1372; // @[el2_lsu_bus_buffer.scala 372:70] + wire _T_1374 = ~_T_1373; // @[el2_lsu_bus_buffer.scala 372:55] + wire _T_1375 = obuf_rdrsp_pend & _T_1374; // @[el2_lsu_bus_buffer.scala 372:53] + wire _T_1376 = _T_1371 | _T_1375; // @[el2_lsu_bus_buffer.scala 372:34] + wire obuf_nosend_in = _T_1369 & _T_1376; // @[el2_lsu_bus_buffer.scala 371:165] + wire _T_1344 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 365:44] + wire _T_1345 = obuf_wr_en & _T_1344; // @[el2_lsu_bus_buffer.scala 365:42] + wire _T_1346 = ~_T_1345; // @[el2_lsu_bus_buffer.scala 365:29] + wire _T_1347 = _T_1346 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 365:61] + wire _T_1351 = _T_1347 & _T_1374; // @[el2_lsu_bus_buffer.scala 365:79] + wire _T_1353 = bus_cmd_sent & _T_1364; // @[el2_lsu_bus_buffer.scala 366:20] + wire _T_1354 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 366:37] + wire _T_1355 = _T_1353 & _T_1354; // @[el2_lsu_bus_buffer.scala 366:35] + wire _T_1357 = bus_cmd_sent | _T_1364; // @[el2_lsu_bus_buffer.scala 368:44] wire [7:0] _T_1379 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1380 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1381 = io_lsu_addr_r[2] ? _T_1379 : _T_1380; // @[el2_lsu_bus_buffer.scala 384:46] + wire [7:0] _T_1381 = io_lsu_addr_r[2] ? _T_1379 : _T_1380; // @[el2_lsu_bus_buffer.scala 373:46] wire [3:0] _T_1400 = _T_1044 ? buf_byteen_0 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_1401 = _T_1045 ? buf_byteen_1 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_1402 = _T_1046 ? buf_byteen_2 : 4'h0; // @[Mux.scala 27:72] @@ -1412,18 +1402,18 @@ module el2_lsu_bus_buffer( wire [3:0] _T_1406 = _T_1405 | _T_1403; // @[Mux.scala 27:72] wire [7:0] _T_1408 = {_T_1406,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1421 = {4'h0,_T_1406}; // @[Cat.scala 29:58] - wire [7:0] _T_1422 = _T_1310[2] ? _T_1408 : _T_1421; // @[el2_lsu_bus_buffer.scala 385:8] - wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1381 : _T_1422; // @[el2_lsu_bus_buffer.scala 384:28] + wire [7:0] _T_1422 = _T_1310[2] ? _T_1408 : _T_1421; // @[el2_lsu_bus_buffer.scala 374:8] + wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1381 : _T_1422; // @[el2_lsu_bus_buffer.scala 373:28] wire [7:0] _T_1424 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1425 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1426 = io_end_addr_r[2] ? _T_1424 : _T_1425; // @[el2_lsu_bus_buffer.scala 386:46] + wire [7:0] _T_1426 = io_end_addr_r[2] ? _T_1424 : _T_1425; // @[el2_lsu_bus_buffer.scala 375:46] wire [7:0] _T_1453 = {buf_byteen_0,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1466 = {4'h0,buf_byteen_0}; // @[Cat.scala 29:58] - wire [7:0] _T_1467 = buf_addr_0[2] ? _T_1453 : _T_1466; // @[el2_lsu_bus_buffer.scala 387:8] - wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1426 : _T_1467; // @[el2_lsu_bus_buffer.scala 386:28] + wire [7:0] _T_1467 = buf_addr_0[2] ? _T_1453 : _T_1466; // @[el2_lsu_bus_buffer.scala 376:8] + wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1426 : _T_1467; // @[el2_lsu_bus_buffer.scala 375:28] wire [63:0] _T_1469 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1470 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1471 = io_lsu_addr_r[2] ? _T_1469 : _T_1470; // @[el2_lsu_bus_buffer.scala 389:44] + wire [63:0] _T_1471 = io_lsu_addr_r[2] ? _T_1469 : _T_1470; // @[el2_lsu_bus_buffer.scala 378:44] wire [31:0] _T_1490 = _T_1044 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1491 = _T_1045 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1492 = _T_1046 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -1433,23 +1423,23 @@ module el2_lsu_bus_buffer( wire [31:0] _T_1496 = _T_1495 | _T_1493; // @[Mux.scala 27:72] wire [63:0] _T_1498 = {_T_1496,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1511 = {32'h0,_T_1496}; // @[Cat.scala 29:58] - wire [63:0] _T_1512 = _T_1310[2] ? _T_1498 : _T_1511; // @[el2_lsu_bus_buffer.scala 390:8] - wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1471 : _T_1512; // @[el2_lsu_bus_buffer.scala 389:26] + wire [63:0] _T_1512 = _T_1310[2] ? _T_1498 : _T_1511; // @[el2_lsu_bus_buffer.scala 379:8] + wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1471 : _T_1512; // @[el2_lsu_bus_buffer.scala 378:26] wire [63:0] _T_1514 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1515 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1516 = io_lsu_addr_r[2] ? _T_1514 : _T_1515; // @[el2_lsu_bus_buffer.scala 391:44] + wire [63:0] _T_1516 = io_lsu_addr_r[2] ? _T_1514 : _T_1515; // @[el2_lsu_bus_buffer.scala 380:44] wire [63:0] _T_1543 = {buf_data_0,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1556 = {32'h0,buf_data_0}; // @[Cat.scala 29:58] - wire [63:0] _T_1557 = buf_addr_0[2] ? _T_1543 : _T_1556; // @[el2_lsu_bus_buffer.scala 392:8] - wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1516 : _T_1557; // @[el2_lsu_bus_buffer.scala 391:26] - wire _T_1642 = CmdPtr0 != 2'h0; // @[el2_lsu_bus_buffer.scala 398:30] - wire _T_1643 = _T_1642 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 398:43] - wire _T_1644 = _T_1643 & found_cmdptr1; // @[el2_lsu_bus_buffer.scala 398:59] - wire _T_1658 = _T_1644 & _T_1128; // @[el2_lsu_bus_buffer.scala 398:75] - wire _T_1672 = _T_1658 & _T_2630; // @[el2_lsu_bus_buffer.scala 398:118] - wire _T_1693 = _T_1672 & _T_1149; // @[el2_lsu_bus_buffer.scala 398:161] - wire _T_1711 = _T_1693 & _T_1074; // @[el2_lsu_bus_buffer.scala 399:83] - wire _T_1813 = _T_1225 & _T_1187; // @[el2_lsu_bus_buffer.scala 402:36] + wire [63:0] _T_1557 = buf_addr_0[2] ? _T_1543 : _T_1556; // @[el2_lsu_bus_buffer.scala 381:8] + wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1516 : _T_1557; // @[el2_lsu_bus_buffer.scala 380:26] + wire _T_1642 = CmdPtr0 != 2'h0; // @[el2_lsu_bus_buffer.scala 387:30] + wire _T_1643 = _T_1642 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 387:43] + wire _T_1644 = _T_1643 & found_cmdptr1; // @[el2_lsu_bus_buffer.scala 387:59] + wire _T_1658 = _T_1644 & _T_1128; // @[el2_lsu_bus_buffer.scala 387:75] + wire _T_1672 = _T_1658 & _T_2627; // @[el2_lsu_bus_buffer.scala 387:118] + wire _T_1693 = _T_1672 & _T_1149; // @[el2_lsu_bus_buffer.scala 387:161] + wire _T_1711 = _T_1693 & _T_1074; // @[el2_lsu_bus_buffer.scala 388:83] + wire _T_1813 = _T_1225 & _T_1187; // @[el2_lsu_bus_buffer.scala 391:36] reg buf_dualhi_3; // @[Reg.scala 27:20] reg buf_dualhi_2; // @[Reg.scala 27:20] reg buf_dualhi_1; // @[Reg.scala 27:20] @@ -1462,1182 +1452,1174 @@ module el2_lsu_bus_buffer( wire _T_1830 = _T_1829 | _T_1827; // @[Mux.scala 27:72] wire _T_1828 = _T_1047 & _T_1816[3]; // @[Mux.scala 27:72] wire _T_1831 = _T_1830 | _T_1828; // @[Mux.scala 27:72] - wire _T_1833 = ~_T_1831; // @[el2_lsu_bus_buffer.scala 402:107] - wire _T_1834 = _T_1813 & _T_1833; // @[el2_lsu_bus_buffer.scala 402:105] - wire _T_1854 = _T_1834 & _T_1206; // @[el2_lsu_bus_buffer.scala 402:177] - wire _T_1856 = _T_1711 & _T_1854; // @[el2_lsu_bus_buffer.scala 399:120] - wire _T_1857 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 403:19] - wire _T_1858 = _T_1857 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 403:35] - wire obuf_merge_en = _T_1856 | _T_1858; // @[el2_lsu_bus_buffer.scala 402:251] - wire _T_1560 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 393:80] - wire _T_1561 = obuf_byteen0_in[0] | _T_1560; // @[el2_lsu_bus_buffer.scala 393:63] - wire _T_1564 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 393:80] - wire _T_1565 = obuf_byteen0_in[1] | _T_1564; // @[el2_lsu_bus_buffer.scala 393:63] - wire _T_1568 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 393:80] - wire _T_1569 = obuf_byteen0_in[2] | _T_1568; // @[el2_lsu_bus_buffer.scala 393:63] - wire _T_1572 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 393:80] - wire _T_1573 = obuf_byteen0_in[3] | _T_1572; // @[el2_lsu_bus_buffer.scala 393:63] - wire _T_1576 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 393:80] - wire _T_1577 = obuf_byteen0_in[4] | _T_1576; // @[el2_lsu_bus_buffer.scala 393:63] - wire _T_1580 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 393:80] - wire _T_1581 = obuf_byteen0_in[5] | _T_1580; // @[el2_lsu_bus_buffer.scala 393:63] - wire _T_1584 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 393:80] - wire _T_1585 = obuf_byteen0_in[6] | _T_1584; // @[el2_lsu_bus_buffer.scala 393:63] - wire _T_1588 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 393:80] - wire _T_1589 = obuf_byteen0_in[7] | _T_1588; // @[el2_lsu_bus_buffer.scala 393:63] + wire _T_1833 = ~_T_1831; // @[el2_lsu_bus_buffer.scala 391:107] + wire _T_1834 = _T_1813 & _T_1833; // @[el2_lsu_bus_buffer.scala 391:105] + wire _T_1854 = _T_1834 & _T_1206; // @[el2_lsu_bus_buffer.scala 391:177] + wire _T_1856 = _T_1711 & _T_1854; // @[el2_lsu_bus_buffer.scala 388:120] + wire _T_1857 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 392:19] + wire _T_1858 = _T_1857 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 392:35] + wire obuf_merge_en = _T_1856 | _T_1858; // @[el2_lsu_bus_buffer.scala 391:251] + wire _T_1560 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 382:80] + wire _T_1561 = obuf_byteen0_in[0] | _T_1560; // @[el2_lsu_bus_buffer.scala 382:63] + wire _T_1564 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 382:80] + wire _T_1565 = obuf_byteen0_in[1] | _T_1564; // @[el2_lsu_bus_buffer.scala 382:63] + wire _T_1568 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 382:80] + wire _T_1569 = obuf_byteen0_in[2] | _T_1568; // @[el2_lsu_bus_buffer.scala 382:63] + wire _T_1572 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 382:80] + wire _T_1573 = obuf_byteen0_in[3] | _T_1572; // @[el2_lsu_bus_buffer.scala 382:63] + wire _T_1576 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 382:80] + wire _T_1577 = obuf_byteen0_in[4] | _T_1576; // @[el2_lsu_bus_buffer.scala 382:63] + wire _T_1580 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 382:80] + wire _T_1581 = obuf_byteen0_in[5] | _T_1580; // @[el2_lsu_bus_buffer.scala 382:63] + wire _T_1584 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 382:80] + wire _T_1585 = obuf_byteen0_in[6] | _T_1584; // @[el2_lsu_bus_buffer.scala 382:63] + wire _T_1588 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 382:80] + wire _T_1589 = obuf_byteen0_in[7] | _T_1588; // @[el2_lsu_bus_buffer.scala 382:63] wire [7:0] obuf_byteen_in = {_T_1589,_T_1585,_T_1581,_T_1577,_T_1573,_T_1569,_T_1565,_T_1561}; // @[Cat.scala 29:58] - wire [7:0] _T_1600 = _T_1560 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[el2_lsu_bus_buffer.scala 394:44] - wire [7:0] _T_1605 = _T_1564 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[el2_lsu_bus_buffer.scala 394:44] - wire [7:0] _T_1610 = _T_1568 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[el2_lsu_bus_buffer.scala 394:44] - wire [7:0] _T_1615 = _T_1572 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[el2_lsu_bus_buffer.scala 394:44] - wire [7:0] _T_1620 = _T_1576 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[el2_lsu_bus_buffer.scala 394:44] - wire [7:0] _T_1625 = _T_1580 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[el2_lsu_bus_buffer.scala 394:44] - wire [7:0] _T_1630 = _T_1584 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[el2_lsu_bus_buffer.scala 394:44] - wire [7:0] _T_1635 = _T_1588 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[el2_lsu_bus_buffer.scala 394:44] + wire [7:0] _T_1600 = _T_1560 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[el2_lsu_bus_buffer.scala 383:44] + wire [7:0] _T_1605 = _T_1564 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[el2_lsu_bus_buffer.scala 383:44] + wire [7:0] _T_1610 = _T_1568 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[el2_lsu_bus_buffer.scala 383:44] + wire [7:0] _T_1615 = _T_1572 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[el2_lsu_bus_buffer.scala 383:44] + wire [7:0] _T_1620 = _T_1576 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[el2_lsu_bus_buffer.scala 383:44] + wire [7:0] _T_1625 = _T_1580 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[el2_lsu_bus_buffer.scala 383:44] + wire [7:0] _T_1630 = _T_1584 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[el2_lsu_bus_buffer.scala 383:44] + wire [7:0] _T_1635 = _T_1588 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[el2_lsu_bus_buffer.scala 383:44] wire [55:0] _T_1641 = {_T_1635,_T_1630,_T_1625,_T_1620,_T_1615,_T_1610,_T_1605}; // @[Cat.scala 29:58] - wire _T_1860 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 406:58] - wire _T_1861 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 406:93] + wire _T_1860 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 395:58] + wire _T_1861 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 395:93] reg [1:0] obuf_sz; // @[Reg.scala 27:20] reg [7:0] obuf_byteen; // @[Reg.scala 27:20] reg [63:0] obuf_data; // @[el2_lib.scala 491:16] - wire _T_1874 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 424:65] - wire _T_1875 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 425:30] - wire _T_1876 = ibuf_valid & _T_1875; // @[el2_lsu_bus_buffer.scala 425:19] - wire _T_1877 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 426:18] - wire _T_1878 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 426:57] - wire _T_1879 = io_ldst_dual_r & _T_1878; // @[el2_lsu_bus_buffer.scala 426:45] - wire _T_1880 = _T_1877 | _T_1879; // @[el2_lsu_bus_buffer.scala 426:27] - wire _T_1881 = io_lsu_busreq_r & _T_1880; // @[el2_lsu_bus_buffer.scala 425:58] - wire _T_1882 = _T_1876 | _T_1881; // @[el2_lsu_bus_buffer.scala 425:39] - wire _T_1883 = ~_T_1882; // @[el2_lsu_bus_buffer.scala 425:5] - wire _T_1884 = _T_1874 & _T_1883; // @[el2_lsu_bus_buffer.scala 424:76] - wire _T_1885 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 424:65] - wire _T_1886 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 425:30] - wire _T_1887 = ibuf_valid & _T_1886; // @[el2_lsu_bus_buffer.scala 425:19] - wire _T_1888 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 426:18] - wire _T_1889 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 426:57] - wire _T_1890 = io_ldst_dual_r & _T_1889; // @[el2_lsu_bus_buffer.scala 426:45] - wire _T_1891 = _T_1888 | _T_1890; // @[el2_lsu_bus_buffer.scala 426:27] - wire _T_1892 = io_lsu_busreq_r & _T_1891; // @[el2_lsu_bus_buffer.scala 425:58] - wire _T_1893 = _T_1887 | _T_1892; // @[el2_lsu_bus_buffer.scala 425:39] - wire _T_1894 = ~_T_1893; // @[el2_lsu_bus_buffer.scala 425:5] - wire _T_1895 = _T_1885 & _T_1894; // @[el2_lsu_bus_buffer.scala 424:76] - wire _T_1896 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 424:65] - wire _T_1897 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 425:30] - wire _T_1898 = ibuf_valid & _T_1897; // @[el2_lsu_bus_buffer.scala 425:19] - wire _T_1899 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 426:18] - wire _T_1900 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 426:57] - wire _T_1901 = io_ldst_dual_r & _T_1900; // @[el2_lsu_bus_buffer.scala 426:45] - wire _T_1902 = _T_1899 | _T_1901; // @[el2_lsu_bus_buffer.scala 426:27] - wire _T_1903 = io_lsu_busreq_r & _T_1902; // @[el2_lsu_bus_buffer.scala 425:58] - wire _T_1904 = _T_1898 | _T_1903; // @[el2_lsu_bus_buffer.scala 425:39] - wire _T_1905 = ~_T_1904; // @[el2_lsu_bus_buffer.scala 425:5] - wire _T_1906 = _T_1896 & _T_1905; // @[el2_lsu_bus_buffer.scala 424:76] - wire _T_1907 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 424:65] - wire _T_1908 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 425:30] - wire _T_1910 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 426:18] - wire _T_1911 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 426:57] + wire _T_1874 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 413:65] + wire _T_1875 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 414:30] + wire _T_1876 = ibuf_valid & _T_1875; // @[el2_lsu_bus_buffer.scala 414:19] + wire _T_1877 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 415:18] + wire _T_1878 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 415:57] + wire _T_1879 = io_ldst_dual_r & _T_1878; // @[el2_lsu_bus_buffer.scala 415:45] + wire _T_1880 = _T_1877 | _T_1879; // @[el2_lsu_bus_buffer.scala 415:27] + wire _T_1881 = io_lsu_busreq_r & _T_1880; // @[el2_lsu_bus_buffer.scala 414:58] + wire _T_1882 = _T_1876 | _T_1881; // @[el2_lsu_bus_buffer.scala 414:39] + wire _T_1883 = ~_T_1882; // @[el2_lsu_bus_buffer.scala 414:5] + wire _T_1884 = _T_1874 & _T_1883; // @[el2_lsu_bus_buffer.scala 413:76] + wire _T_1885 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 413:65] + wire _T_1886 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 414:30] + wire _T_1887 = ibuf_valid & _T_1886; // @[el2_lsu_bus_buffer.scala 414:19] + wire _T_1888 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 415:18] + wire _T_1889 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 415:57] + wire _T_1890 = io_ldst_dual_r & _T_1889; // @[el2_lsu_bus_buffer.scala 415:45] + wire _T_1891 = _T_1888 | _T_1890; // @[el2_lsu_bus_buffer.scala 415:27] + wire _T_1892 = io_lsu_busreq_r & _T_1891; // @[el2_lsu_bus_buffer.scala 414:58] + wire _T_1893 = _T_1887 | _T_1892; // @[el2_lsu_bus_buffer.scala 414:39] + wire _T_1894 = ~_T_1893; // @[el2_lsu_bus_buffer.scala 414:5] + wire _T_1895 = _T_1885 & _T_1894; // @[el2_lsu_bus_buffer.scala 413:76] + wire _T_1896 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 413:65] + wire _T_1897 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 414:30] + wire _T_1898 = ibuf_valid & _T_1897; // @[el2_lsu_bus_buffer.scala 414:19] + wire _T_1899 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 415:18] + wire _T_1900 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 415:57] + wire _T_1901 = io_ldst_dual_r & _T_1900; // @[el2_lsu_bus_buffer.scala 415:45] + wire _T_1902 = _T_1899 | _T_1901; // @[el2_lsu_bus_buffer.scala 415:27] + wire _T_1903 = io_lsu_busreq_r & _T_1902; // @[el2_lsu_bus_buffer.scala 414:58] + wire _T_1904 = _T_1898 | _T_1903; // @[el2_lsu_bus_buffer.scala 414:39] + wire _T_1905 = ~_T_1904; // @[el2_lsu_bus_buffer.scala 414:5] + wire _T_1906 = _T_1896 & _T_1905; // @[el2_lsu_bus_buffer.scala 413:76] + wire _T_1907 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 413:65] + wire _T_1908 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 414:30] + wire _T_1910 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 415:18] + wire _T_1911 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 415:57] wire [1:0] _T_1919 = _T_1906 ? 2'h2 : 2'h3; // @[Mux.scala 98:16] wire [1:0] _T_1920 = _T_1895 ? 2'h1 : _T_1919; // @[Mux.scala 98:16] wire [1:0] WrPtr0_m = _T_1884 ? 2'h0 : _T_1920; // @[Mux.scala 98:16] - wire [8:0] _T_1923 = {buf_state_3,buf_state_2,buf_state_1}; // @[Cat.scala 29:58] - wire _T_1928 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 431:33] - wire _T_1929 = io_lsu_busreq_m & _T_1928; // @[el2_lsu_bus_buffer.scala 431:22] - wire _T_1930 = _T_1876 | _T_1929; // @[el2_lsu_bus_buffer.scala 430:112] - wire _T_1936 = _T_1930 | _T_1881; // @[el2_lsu_bus_buffer.scala 431:42] - wire _T_1937 = ~_T_1936; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_1938 = _T_1874 & _T_1937; // @[el2_lsu_bus_buffer.scala 430:76] - wire _T_1942 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 431:33] - wire _T_1943 = io_lsu_busreq_m & _T_1942; // @[el2_lsu_bus_buffer.scala 431:22] - wire _T_1944 = _T_1887 | _T_1943; // @[el2_lsu_bus_buffer.scala 430:112] - wire _T_1950 = _T_1944 | _T_1892; // @[el2_lsu_bus_buffer.scala 431:42] - wire _T_1951 = ~_T_1950; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_1952 = _T_1885 & _T_1951; // @[el2_lsu_bus_buffer.scala 430:76] - wire _T_1956 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 431:33] - wire _T_1957 = io_lsu_busreq_m & _T_1956; // @[el2_lsu_bus_buffer.scala 431:22] - wire _T_1958 = _T_1898 | _T_1957; // @[el2_lsu_bus_buffer.scala 430:112] - wire _T_1964 = _T_1958 | _T_1903; // @[el2_lsu_bus_buffer.scala 431:42] - wire _T_1965 = ~_T_1964; // @[el2_lsu_bus_buffer.scala 430:78] - wire _T_1966 = _T_1896 & _T_1965; // @[el2_lsu_bus_buffer.scala 430:76] - wire [1:0] _T_1982 = _T_1966 ? 2'h2 : 2'h3; // @[Mux.scala 98:16] - wire [1:0] _T_1983 = _T_1952 ? 2'h1 : _T_1982; // @[Mux.scala 98:16] - reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 563:63] - wire _T_2770 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 476:104] - wire _T_2771 = buf_rspageQ_0[3] & _T_2770; // @[el2_lsu_bus_buffer.scala 476:89] - wire _T_2767 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 476:104] - wire _T_2768 = buf_rspageQ_0[2] & _T_2767; // @[el2_lsu_bus_buffer.scala 476:89] - wire _T_2764 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 476:104] - wire _T_2765 = buf_rspageQ_0[1] & _T_2764; // @[el2_lsu_bus_buffer.scala 476:89] - wire _T_2761 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 476:104] - wire _T_2762 = buf_rspageQ_0[0] & _T_2761; // @[el2_lsu_bus_buffer.scala 476:89] - wire [3:0] buf_rsp_pickage_0 = {_T_2771,_T_2768,_T_2765,_T_2762}; // @[Cat.scala 29:58] - wire _T_2057 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 443:65] - wire _T_2058 = ~_T_2057; // @[el2_lsu_bus_buffer.scala 443:44] - wire _T_2060 = _T_2058 & _T_2761; // @[el2_lsu_bus_buffer.scala 443:70] - reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 563:63] - wire _T_2786 = buf_rspageQ_1[3] & _T_2770; // @[el2_lsu_bus_buffer.scala 476:89] - wire _T_2783 = buf_rspageQ_1[2] & _T_2767; // @[el2_lsu_bus_buffer.scala 476:89] - wire _T_2780 = buf_rspageQ_1[1] & _T_2764; // @[el2_lsu_bus_buffer.scala 476:89] - wire _T_2777 = buf_rspageQ_1[0] & _T_2761; // @[el2_lsu_bus_buffer.scala 476:89] - wire [3:0] buf_rsp_pickage_1 = {_T_2786,_T_2783,_T_2780,_T_2777}; // @[Cat.scala 29:58] - wire _T_2061 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 443:65] - wire _T_2062 = ~_T_2061; // @[el2_lsu_bus_buffer.scala 443:44] - wire _T_2064 = _T_2062 & _T_2764; // @[el2_lsu_bus_buffer.scala 443:70] - reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 563:63] - wire _T_2801 = buf_rspageQ_2[3] & _T_2770; // @[el2_lsu_bus_buffer.scala 476:89] - wire _T_2798 = buf_rspageQ_2[2] & _T_2767; // @[el2_lsu_bus_buffer.scala 476:89] - wire _T_2795 = buf_rspageQ_2[1] & _T_2764; // @[el2_lsu_bus_buffer.scala 476:89] - wire _T_2792 = buf_rspageQ_2[0] & _T_2761; // @[el2_lsu_bus_buffer.scala 476:89] - wire [3:0] buf_rsp_pickage_2 = {_T_2801,_T_2798,_T_2795,_T_2792}; // @[Cat.scala 29:58] - wire _T_2065 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 443:65] - wire _T_2066 = ~_T_2065; // @[el2_lsu_bus_buffer.scala 443:44] - wire _T_2068 = _T_2066 & _T_2767; // @[el2_lsu_bus_buffer.scala 443:70] - reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 563:63] - wire _T_2816 = buf_rspageQ_3[3] & _T_2770; // @[el2_lsu_bus_buffer.scala 476:89] - wire _T_2813 = buf_rspageQ_3[2] & _T_2767; // @[el2_lsu_bus_buffer.scala 476:89] - wire _T_2810 = buf_rspageQ_3[1] & _T_2764; // @[el2_lsu_bus_buffer.scala 476:89] - wire _T_2807 = buf_rspageQ_3[0] & _T_2761; // @[el2_lsu_bus_buffer.scala 476:89] - wire [3:0] buf_rsp_pickage_3 = {_T_2816,_T_2813,_T_2810,_T_2807}; // @[Cat.scala 29:58] - wire _T_2069 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 443:65] - wire _T_2070 = ~_T_2069; // @[el2_lsu_bus_buffer.scala 443:44] - wire _T_2072 = _T_2070 & _T_2770; // @[el2_lsu_bus_buffer.scala 443:70] - wire [7:0] _T_2128 = {4'h0,_T_2072,_T_2068,_T_2064,_T_2060}; // @[Cat.scala 29:58] - wire _T_2131 = _T_2128[4] | _T_2128[5]; // @[el2_lsu_bus_buffer.scala 447:42] - wire _T_2133 = _T_2131 | _T_2128[6]; // @[el2_lsu_bus_buffer.scala 447:48] - wire _T_2135 = _T_2133 | _T_2128[7]; // @[el2_lsu_bus_buffer.scala 447:54] - wire _T_2138 = _T_2128[2] | _T_2128[3]; // @[el2_lsu_bus_buffer.scala 447:67] - wire _T_2140 = _T_2138 | _T_2128[6]; // @[el2_lsu_bus_buffer.scala 447:73] - wire _T_2142 = _T_2140 | _T_2128[7]; // @[el2_lsu_bus_buffer.scala 447:79] - wire _T_2145 = _T_2128[1] | _T_2128[3]; // @[el2_lsu_bus_buffer.scala 447:92] - wire _T_2147 = _T_2145 | _T_2128[5]; // @[el2_lsu_bus_buffer.scala 447:98] - wire _T_2149 = _T_2147 | _T_2128[7]; // @[el2_lsu_bus_buffer.scala 447:104] - wire [2:0] _T_2151 = {_T_2135,_T_2142,_T_2149}; // @[Cat.scala 29:58] - wire _T_3556 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 506:77] - wire _T_3557 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 506:97] - wire _T_3558 = _T_3556 & _T_3557; // @[el2_lsu_bus_buffer.scala 506:95] - wire _T_3559 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 506:117] - wire _T_3560 = _T_3558 & _T_3559; // @[el2_lsu_bus_buffer.scala 506:112] - wire _T_3561 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 506:144] - wire _T_3562 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 506:166] - wire _T_3563 = _T_3561 & _T_3562; // @[el2_lsu_bus_buffer.scala 506:161] - wire _T_3564 = _T_3560 | _T_3563; // @[el2_lsu_bus_buffer.scala 506:132] - wire _T_3565 = _T_853 & _T_3564; // @[el2_lsu_bus_buffer.scala 506:63] - wire _T_3566 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 506:206] - wire _T_3567 = ibuf_drain_vld & _T_3566; // @[el2_lsu_bus_buffer.scala 506:201] - wire _T_3568 = _T_3565 | _T_3567; // @[el2_lsu_bus_buffer.scala 506:183] - wire _T_3578 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 513:46] - wire _T_3613 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 624:38] - wire _T_3658 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 531:73] - wire _T_3659 = bus_rsp_write & _T_3658; // @[el2_lsu_bus_buffer.scala 531:52] - wire _T_3660 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 532:46] - reg _T_4331; // @[Reg.scala 27:20] - reg _T_4329; // @[Reg.scala 27:20] - reg _T_4327; // @[Reg.scala 27:20] - reg _T_4325; // @[Reg.scala 27:20] - wire [3:0] buf_ldfwd = {_T_4331,_T_4329,_T_4327,_T_4325}; // @[Cat.scala 29:58] + wire _T_1925 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 420:33] + wire _T_1926 = io_lsu_busreq_m & _T_1925; // @[el2_lsu_bus_buffer.scala 420:22] + wire _T_1927 = _T_1876 | _T_1926; // @[el2_lsu_bus_buffer.scala 419:112] + wire _T_1933 = _T_1927 | _T_1881; // @[el2_lsu_bus_buffer.scala 420:42] + wire _T_1934 = ~_T_1933; // @[el2_lsu_bus_buffer.scala 419:78] + wire _T_1935 = _T_1874 & _T_1934; // @[el2_lsu_bus_buffer.scala 419:76] + wire _T_1939 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 420:33] + wire _T_1940 = io_lsu_busreq_m & _T_1939; // @[el2_lsu_bus_buffer.scala 420:22] + wire _T_1941 = _T_1887 | _T_1940; // @[el2_lsu_bus_buffer.scala 419:112] + wire _T_1947 = _T_1941 | _T_1892; // @[el2_lsu_bus_buffer.scala 420:42] + wire _T_1948 = ~_T_1947; // @[el2_lsu_bus_buffer.scala 419:78] + wire _T_1949 = _T_1885 & _T_1948; // @[el2_lsu_bus_buffer.scala 419:76] + wire _T_1953 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 420:33] + wire _T_1954 = io_lsu_busreq_m & _T_1953; // @[el2_lsu_bus_buffer.scala 420:22] + wire _T_1955 = _T_1898 | _T_1954; // @[el2_lsu_bus_buffer.scala 419:112] + wire _T_1961 = _T_1955 | _T_1903; // @[el2_lsu_bus_buffer.scala 420:42] + wire _T_1962 = ~_T_1961; // @[el2_lsu_bus_buffer.scala 419:78] + wire _T_1963 = _T_1896 & _T_1962; // @[el2_lsu_bus_buffer.scala 419:76] + reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 550:63] + wire _T_2767 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 463:104] + wire _T_2768 = buf_rspageQ_0[3] & _T_2767; // @[el2_lsu_bus_buffer.scala 463:89] + wire _T_2764 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 463:104] + wire _T_2765 = buf_rspageQ_0[2] & _T_2764; // @[el2_lsu_bus_buffer.scala 463:89] + wire _T_2761 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 463:104] + wire _T_2762 = buf_rspageQ_0[1] & _T_2761; // @[el2_lsu_bus_buffer.scala 463:89] + wire _T_2758 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 463:104] + wire _T_2759 = buf_rspageQ_0[0] & _T_2758; // @[el2_lsu_bus_buffer.scala 463:89] + wire [3:0] buf_rsp_pickage_0 = {_T_2768,_T_2765,_T_2762,_T_2759}; // @[Cat.scala 29:58] + wire _T_2054 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 431:65] + wire _T_2055 = ~_T_2054; // @[el2_lsu_bus_buffer.scala 431:44] + wire _T_2057 = _T_2055 & _T_2758; // @[el2_lsu_bus_buffer.scala 431:70] + reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 550:63] + wire _T_2783 = buf_rspageQ_1[3] & _T_2767; // @[el2_lsu_bus_buffer.scala 463:89] + wire _T_2780 = buf_rspageQ_1[2] & _T_2764; // @[el2_lsu_bus_buffer.scala 463:89] + wire _T_2777 = buf_rspageQ_1[1] & _T_2761; // @[el2_lsu_bus_buffer.scala 463:89] + wire _T_2774 = buf_rspageQ_1[0] & _T_2758; // @[el2_lsu_bus_buffer.scala 463:89] + wire [3:0] buf_rsp_pickage_1 = {_T_2783,_T_2780,_T_2777,_T_2774}; // @[Cat.scala 29:58] + wire _T_2058 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 431:65] + wire _T_2059 = ~_T_2058; // @[el2_lsu_bus_buffer.scala 431:44] + wire _T_2061 = _T_2059 & _T_2761; // @[el2_lsu_bus_buffer.scala 431:70] + reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 550:63] + wire _T_2798 = buf_rspageQ_2[3] & _T_2767; // @[el2_lsu_bus_buffer.scala 463:89] + wire _T_2795 = buf_rspageQ_2[2] & _T_2764; // @[el2_lsu_bus_buffer.scala 463:89] + wire _T_2792 = buf_rspageQ_2[1] & _T_2761; // @[el2_lsu_bus_buffer.scala 463:89] + wire _T_2789 = buf_rspageQ_2[0] & _T_2758; // @[el2_lsu_bus_buffer.scala 463:89] + wire [3:0] buf_rsp_pickage_2 = {_T_2798,_T_2795,_T_2792,_T_2789}; // @[Cat.scala 29:58] + wire _T_2062 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 431:65] + wire _T_2063 = ~_T_2062; // @[el2_lsu_bus_buffer.scala 431:44] + wire _T_2065 = _T_2063 & _T_2764; // @[el2_lsu_bus_buffer.scala 431:70] + reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 550:63] + wire _T_2813 = buf_rspageQ_3[3] & _T_2767; // @[el2_lsu_bus_buffer.scala 463:89] + wire _T_2810 = buf_rspageQ_3[2] & _T_2764; // @[el2_lsu_bus_buffer.scala 463:89] + wire _T_2807 = buf_rspageQ_3[1] & _T_2761; // @[el2_lsu_bus_buffer.scala 463:89] + wire _T_2804 = buf_rspageQ_3[0] & _T_2758; // @[el2_lsu_bus_buffer.scala 463:89] + wire [3:0] buf_rsp_pickage_3 = {_T_2813,_T_2810,_T_2807,_T_2804}; // @[Cat.scala 29:58] + wire _T_2066 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 431:65] + wire _T_2067 = ~_T_2066; // @[el2_lsu_bus_buffer.scala 431:44] + wire _T_2069 = _T_2067 & _T_2767; // @[el2_lsu_bus_buffer.scala 431:70] + wire [7:0] _T_2125 = {4'h0,_T_2069,_T_2065,_T_2061,_T_2057}; // @[Cat.scala 29:58] + wire _T_2128 = _T_2125[4] | _T_2125[5]; // @[el2_lsu_bus_buffer.scala 435:42] + wire _T_2130 = _T_2128 | _T_2125[6]; // @[el2_lsu_bus_buffer.scala 435:48] + wire _T_2132 = _T_2130 | _T_2125[7]; // @[el2_lsu_bus_buffer.scala 435:54] + wire _T_2135 = _T_2125[2] | _T_2125[3]; // @[el2_lsu_bus_buffer.scala 435:67] + wire _T_2137 = _T_2135 | _T_2125[6]; // @[el2_lsu_bus_buffer.scala 435:73] + wire _T_2139 = _T_2137 | _T_2125[7]; // @[el2_lsu_bus_buffer.scala 435:79] + wire _T_2142 = _T_2125[1] | _T_2125[3]; // @[el2_lsu_bus_buffer.scala 435:92] + wire _T_2144 = _T_2142 | _T_2125[5]; // @[el2_lsu_bus_buffer.scala 435:98] + wire _T_2146 = _T_2144 | _T_2125[7]; // @[el2_lsu_bus_buffer.scala 435:104] + wire [2:0] _T_2148 = {_T_2132,_T_2139,_T_2146}; // @[Cat.scala 29:58] + wire _T_3553 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 493:77] + wire _T_3554 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 493:97] + wire _T_3555 = _T_3553 & _T_3554; // @[el2_lsu_bus_buffer.scala 493:95] + wire _T_3556 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 493:117] + wire _T_3557 = _T_3555 & _T_3556; // @[el2_lsu_bus_buffer.scala 493:112] + wire _T_3558 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 493:144] + wire _T_3559 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 493:166] + wire _T_3560 = _T_3558 & _T_3559; // @[el2_lsu_bus_buffer.scala 493:161] + wire _T_3561 = _T_3557 | _T_3560; // @[el2_lsu_bus_buffer.scala 493:132] + wire _T_3562 = _T_853 & _T_3561; // @[el2_lsu_bus_buffer.scala 493:63] + wire _T_3563 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 493:206] + wire _T_3564 = ibuf_drain_vld & _T_3563; // @[el2_lsu_bus_buffer.scala 493:201] + wire _T_3565 = _T_3562 | _T_3564; // @[el2_lsu_bus_buffer.scala 493:183] + wire _T_3575 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 500:46] + wire _T_3610 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] + wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 610:38] + wire _T_3655 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 518:73] + wire _T_3656 = bus_rsp_write & _T_3655; // @[el2_lsu_bus_buffer.scala 518:52] + wire _T_3657 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 519:46] + reg _T_4328; // @[Reg.scala 27:20] + reg _T_4326; // @[Reg.scala 27:20] + reg _T_4324; // @[Reg.scala 27:20] + reg _T_4322; // @[Reg.scala 27:20] + wire [3:0] buf_ldfwd = {_T_4328,_T_4326,_T_4324,_T_4322}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_370 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 533:47] - wire _T_3662 = io_lsu_axi_rid == _GEN_370; // @[el2_lsu_bus_buffer.scala 533:47] - wire _T_3663 = buf_ldfwd[0] & _T_3662; // @[el2_lsu_bus_buffer.scala 533:27] - wire _T_3664 = _T_3660 | _T_3663; // @[el2_lsu_bus_buffer.scala 532:77] - wire _T_3665 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 534:26] - wire _T_3667 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 534:44] - wire _T_3668 = _T_3665 & _T_3667; // @[el2_lsu_bus_buffer.scala 534:42] - wire _T_3669 = _T_3668 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 534:58] + wire [2:0] _GEN_370 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 520:47] + wire _T_3659 = io_lsu_axi_rid == _GEN_370; // @[el2_lsu_bus_buffer.scala 520:47] + wire _T_3660 = buf_ldfwd[0] & _T_3659; // @[el2_lsu_bus_buffer.scala 520:27] + wire _T_3661 = _T_3657 | _T_3660; // @[el2_lsu_bus_buffer.scala 519:77] + wire _T_3662 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 521:26] + wire _T_3664 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 521:44] + wire _T_3665 = _T_3662 & _T_3664; // @[el2_lsu_bus_buffer.scala 521:42] + wire _T_3666 = _T_3665 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 521:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_371 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 534:94] - wire _T_3670 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 534:94] - wire _T_3671 = _T_3669 & _T_3670; // @[el2_lsu_bus_buffer.scala 534:74] - wire _T_3672 = _T_3664 | _T_3671; // @[el2_lsu_bus_buffer.scala 533:71] - wire _T_3673 = bus_rsp_read & _T_3672; // @[el2_lsu_bus_buffer.scala 532:25] - wire _T_3674 = _T_3659 | _T_3673; // @[el2_lsu_bus_buffer.scala 531:105] - wire _GEN_42 = _T_3613 & _T_3674; // @[Conditional.scala 39:67] - wire _GEN_61 = _T_3579 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] - wire _GEN_73 = _T_3575 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_0 = _T_3552 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] - wire _T_3700 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3710 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 546:21] + wire [2:0] _GEN_371 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 521:94] + wire _T_3667 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 521:94] + wire _T_3668 = _T_3666 & _T_3667; // @[el2_lsu_bus_buffer.scala 521:74] + wire _T_3669 = _T_3661 | _T_3668; // @[el2_lsu_bus_buffer.scala 520:71] + wire _T_3670 = bus_rsp_read & _T_3669; // @[el2_lsu_bus_buffer.scala 519:25] + wire _T_3671 = _T_3656 | _T_3670; // @[el2_lsu_bus_buffer.scala 518:105] + wire _GEN_42 = _T_3610 & _T_3671; // @[Conditional.scala 39:67] + wire _GEN_61 = _T_3576 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_3572 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_0 = _T_3549 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] + wire _T_3697 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] + wire [3:0] _T_3707 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 533:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 546:58] - wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 546:58] - wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 546:58] - wire [2:0] _GEN_373 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 546:58] - wire _T_3712 = io_lsu_axi_rid == _GEN_373; // @[el2_lsu_bus_buffer.scala 546:58] - wire _T_3713 = _T_3710[0] & _T_3712; // @[el2_lsu_bus_buffer.scala 546:38] - wire _T_3714 = _T_3670 | _T_3713; // @[el2_lsu_bus_buffer.scala 545:95] - wire _T_3715 = bus_rsp_read & _T_3714; // @[el2_lsu_bus_buffer.scala 545:45] - wire _GEN_36 = _T_3700 & _T_3715; // @[Conditional.scala 39:67] - wire _GEN_43 = _T_3613 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] - wire _GEN_53 = _T_3579 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] - wire _GEN_66 = _T_3575 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] - wire buf_state_bus_en_0 = _T_3552 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3592 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 519:49] - wire _T_3593 = _T_3592 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 519:70] - wire _T_3718 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire [1:0] RspPtr = _T_2151[1:0]; // @[el2_lsu_bus_buffer.scala 456:10] - wire _T_3721 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 551:37] - wire _T_3722 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 551:98] - wire _T_3723 = buf_dual_0 & _T_3722; // @[el2_lsu_bus_buffer.scala 551:80] - wire _T_3724 = _T_3721 | _T_3723; // @[el2_lsu_bus_buffer.scala 551:65] - wire _T_3725 = _T_3724 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 551:112] - wire _T_3726 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] - wire _GEN_31 = _T_3718 ? _T_3725 : _T_3726; // @[Conditional.scala 39:67] - wire _GEN_37 = _T_3700 ? _T_3593 : _GEN_31; // @[Conditional.scala 39:67] - wire _GEN_44 = _T_3613 ? _T_3593 : _GEN_37; // @[Conditional.scala 39:67] - wire _GEN_54 = _T_3579 ? _T_3593 : _GEN_44; // @[Conditional.scala 39:67] - wire _GEN_64 = _T_3575 ? _T_3578 : _GEN_54; // @[Conditional.scala 39:67] - wire buf_state_en_0 = _T_3552 ? _T_3568 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_2153 = _T_1874 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 468:94] - wire _T_2159 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 470:23] - wire _T_2161 = _T_2159 & _T_3556; // @[el2_lsu_bus_buffer.scala 470:41] - wire _T_2163 = _T_2161 & _T_1877; // @[el2_lsu_bus_buffer.scala 470:71] - wire _T_2165 = _T_2163 & _T_1875; // @[el2_lsu_bus_buffer.scala 470:92] - wire _T_2166 = _T_4498 | _T_2165; // @[el2_lsu_bus_buffer.scala 469:86] - wire _T_2167 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 471:17] - wire _T_2168 = _T_2167 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 471:35] - wire _T_2170 = _T_2168 & _T_1878; // @[el2_lsu_bus_buffer.scala 471:52] - wire _T_2172 = _T_2170 & _T_1877; // @[el2_lsu_bus_buffer.scala 471:73] - wire _T_2173 = _T_2166 | _T_2172; // @[el2_lsu_bus_buffer.scala 470:114] - wire _T_2174 = _T_2153 & _T_2173; // @[el2_lsu_bus_buffer.scala 468:113] - wire _T_2176 = _T_2174 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 471:97] - wire _T_2190 = _T_2163 & _T_1886; // @[el2_lsu_bus_buffer.scala 470:92] - wire _T_2191 = _T_4503 | _T_2190; // @[el2_lsu_bus_buffer.scala 469:86] - wire _T_2197 = _T_2170 & _T_1888; // @[el2_lsu_bus_buffer.scala 471:73] - wire _T_2198 = _T_2191 | _T_2197; // @[el2_lsu_bus_buffer.scala 470:114] - wire _T_2199 = _T_2153 & _T_2198; // @[el2_lsu_bus_buffer.scala 468:113] - wire _T_2201 = _T_2199 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 471:97] - wire _T_2215 = _T_2163 & _T_1897; // @[el2_lsu_bus_buffer.scala 470:92] - wire _T_2216 = _T_4508 | _T_2215; // @[el2_lsu_bus_buffer.scala 469:86] - wire _T_2222 = _T_2170 & _T_1899; // @[el2_lsu_bus_buffer.scala 471:73] - wire _T_2223 = _T_2216 | _T_2222; // @[el2_lsu_bus_buffer.scala 470:114] - wire _T_2224 = _T_2153 & _T_2223; // @[el2_lsu_bus_buffer.scala 468:113] - wire _T_2226 = _T_2224 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 471:97] - wire _T_2240 = _T_2163 & _T_1908; // @[el2_lsu_bus_buffer.scala 470:92] - wire _T_2241 = _T_4513 | _T_2240; // @[el2_lsu_bus_buffer.scala 469:86] - wire _T_2247 = _T_2170 & _T_1910; // @[el2_lsu_bus_buffer.scala 471:73] - wire _T_2248 = _T_2241 | _T_2247; // @[el2_lsu_bus_buffer.scala 470:114] - wire _T_2249 = _T_2153 & _T_2248; // @[el2_lsu_bus_buffer.scala 468:113] - wire _T_2251 = _T_2249 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 471:97] - wire [2:0] _T_2253 = {_T_2251,_T_2226,_T_2201}; // @[Cat.scala 29:58] - wire _T_3752 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 506:117] - wire _T_3753 = _T_3558 & _T_3752; // @[el2_lsu_bus_buffer.scala 506:112] - wire _T_3755 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 506:166] - wire _T_3756 = _T_3561 & _T_3755; // @[el2_lsu_bus_buffer.scala 506:161] - wire _T_3757 = _T_3753 | _T_3756; // @[el2_lsu_bus_buffer.scala 506:132] - wire _T_3758 = _T_853 & _T_3757; // @[el2_lsu_bus_buffer.scala 506:63] - wire _T_3759 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 506:206] - wire _T_3760 = ibuf_drain_vld & _T_3759; // @[el2_lsu_bus_buffer.scala 506:201] - wire _T_3761 = _T_3758 | _T_3760; // @[el2_lsu_bus_buffer.scala 506:183] - wire _T_3806 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3851 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 531:73] - wire _T_3852 = bus_rsp_write & _T_3851; // @[el2_lsu_bus_buffer.scala 531:52] - wire _T_3853 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 532:46] - wire [2:0] _GEN_374 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 533:47] - wire _T_3855 = io_lsu_axi_rid == _GEN_374; // @[el2_lsu_bus_buffer.scala 533:47] - wire _T_3856 = buf_ldfwd[1] & _T_3855; // @[el2_lsu_bus_buffer.scala 533:27] - wire _T_3857 = _T_3853 | _T_3856; // @[el2_lsu_bus_buffer.scala 532:77] - wire _T_3858 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 534:26] - wire _T_3860 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 534:44] - wire _T_3861 = _T_3858 & _T_3860; // @[el2_lsu_bus_buffer.scala 534:42] - wire _T_3862 = _T_3861 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 534:58] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 533:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 533:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 533:58] + wire [2:0] _GEN_373 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 533:58] + wire _T_3709 = io_lsu_axi_rid == _GEN_373; // @[el2_lsu_bus_buffer.scala 533:58] + wire _T_3710 = _T_3707[0] & _T_3709; // @[el2_lsu_bus_buffer.scala 533:38] + wire _T_3711 = _T_3667 | _T_3710; // @[el2_lsu_bus_buffer.scala 532:95] + wire _T_3712 = bus_rsp_read & _T_3711; // @[el2_lsu_bus_buffer.scala 532:45] + wire _GEN_36 = _T_3697 & _T_3712; // @[Conditional.scala 39:67] + wire _GEN_43 = _T_3610 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_3576 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_3572 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] + wire buf_state_bus_en_0 = _T_3549 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] + wire _T_3589 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 506:49] + wire _T_3590 = _T_3589 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 506:70] + wire _T_3715 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] + wire [1:0] RspPtr = _T_2148[1:0]; // @[el2_lsu_bus_buffer.scala 443:10] + wire _T_3718 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 538:37] + wire _T_3719 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 538:98] + wire _T_3720 = buf_dual_0 & _T_3719; // @[el2_lsu_bus_buffer.scala 538:80] + wire _T_3721 = _T_3718 | _T_3720; // @[el2_lsu_bus_buffer.scala 538:65] + wire _T_3722 = _T_3721 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 538:112] + wire _T_3723 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] + wire _GEN_31 = _T_3715 ? _T_3722 : _T_3723; // @[Conditional.scala 39:67] + wire _GEN_37 = _T_3697 ? _T_3590 : _GEN_31; // @[Conditional.scala 39:67] + wire _GEN_44 = _T_3610 ? _T_3590 : _GEN_37; // @[Conditional.scala 39:67] + wire _GEN_54 = _T_3576 ? _T_3590 : _GEN_44; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_3572 ? _T_3575 : _GEN_54; // @[Conditional.scala 39:67] + wire buf_state_en_0 = _T_3549 ? _T_3565 : _GEN_64; // @[Conditional.scala 40:58] + wire _T_2150 = _T_1874 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 455:94] + wire _T_2156 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 457:23] + wire _T_2158 = _T_2156 & _T_3553; // @[el2_lsu_bus_buffer.scala 457:41] + wire _T_2160 = _T_2158 & _T_1877; // @[el2_lsu_bus_buffer.scala 457:71] + wire _T_2162 = _T_2160 & _T_1875; // @[el2_lsu_bus_buffer.scala 457:92] + wire _T_2163 = _T_4492 | _T_2162; // @[el2_lsu_bus_buffer.scala 456:86] + wire _T_2164 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 458:17] + wire _T_2165 = _T_2164 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 458:35] + wire _T_2167 = _T_2165 & _T_1878; // @[el2_lsu_bus_buffer.scala 458:52] + wire _T_2169 = _T_2167 & _T_1877; // @[el2_lsu_bus_buffer.scala 458:73] + wire _T_2170 = _T_2163 | _T_2169; // @[el2_lsu_bus_buffer.scala 457:114] + wire _T_2171 = _T_2150 & _T_2170; // @[el2_lsu_bus_buffer.scala 455:113] + wire _T_2173 = _T_2171 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 458:97] + wire _T_2187 = _T_2160 & _T_1886; // @[el2_lsu_bus_buffer.scala 457:92] + wire _T_2188 = _T_4497 | _T_2187; // @[el2_lsu_bus_buffer.scala 456:86] + wire _T_2194 = _T_2167 & _T_1888; // @[el2_lsu_bus_buffer.scala 458:73] + wire _T_2195 = _T_2188 | _T_2194; // @[el2_lsu_bus_buffer.scala 457:114] + wire _T_2196 = _T_2150 & _T_2195; // @[el2_lsu_bus_buffer.scala 455:113] + wire _T_2198 = _T_2196 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 458:97] + wire _T_2212 = _T_2160 & _T_1897; // @[el2_lsu_bus_buffer.scala 457:92] + wire _T_2213 = _T_4502 | _T_2212; // @[el2_lsu_bus_buffer.scala 456:86] + wire _T_2219 = _T_2167 & _T_1899; // @[el2_lsu_bus_buffer.scala 458:73] + wire _T_2220 = _T_2213 | _T_2219; // @[el2_lsu_bus_buffer.scala 457:114] + wire _T_2221 = _T_2150 & _T_2220; // @[el2_lsu_bus_buffer.scala 455:113] + wire _T_2223 = _T_2221 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 458:97] + wire _T_2237 = _T_2160 & _T_1908; // @[el2_lsu_bus_buffer.scala 457:92] + wire _T_2238 = _T_4507 | _T_2237; // @[el2_lsu_bus_buffer.scala 456:86] + wire _T_2244 = _T_2167 & _T_1910; // @[el2_lsu_bus_buffer.scala 458:73] + wire _T_2245 = _T_2238 | _T_2244; // @[el2_lsu_bus_buffer.scala 457:114] + wire _T_2246 = _T_2150 & _T_2245; // @[el2_lsu_bus_buffer.scala 455:113] + wire _T_2248 = _T_2246 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 458:97] + wire [2:0] _T_2250 = {_T_2248,_T_2223,_T_2198}; // @[Cat.scala 29:58] + wire _T_3749 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 493:117] + wire _T_3750 = _T_3555 & _T_3749; // @[el2_lsu_bus_buffer.scala 493:112] + wire _T_3752 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 493:166] + wire _T_3753 = _T_3558 & _T_3752; // @[el2_lsu_bus_buffer.scala 493:161] + wire _T_3754 = _T_3750 | _T_3753; // @[el2_lsu_bus_buffer.scala 493:132] + wire _T_3755 = _T_853 & _T_3754; // @[el2_lsu_bus_buffer.scala 493:63] + wire _T_3756 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 493:206] + wire _T_3757 = ibuf_drain_vld & _T_3756; // @[el2_lsu_bus_buffer.scala 493:201] + wire _T_3758 = _T_3755 | _T_3757; // @[el2_lsu_bus_buffer.scala 493:183] + wire _T_3803 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3848 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 518:73] + wire _T_3849 = bus_rsp_write & _T_3848; // @[el2_lsu_bus_buffer.scala 518:52] + wire _T_3850 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 519:46] + wire [2:0] _GEN_374 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 520:47] + wire _T_3852 = io_lsu_axi_rid == _GEN_374; // @[el2_lsu_bus_buffer.scala 520:47] + wire _T_3853 = buf_ldfwd[1] & _T_3852; // @[el2_lsu_bus_buffer.scala 520:27] + wire _T_3854 = _T_3850 | _T_3853; // @[el2_lsu_bus_buffer.scala 519:77] + wire _T_3855 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 521:26] + wire _T_3857 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 521:44] + wire _T_3858 = _T_3855 & _T_3857; // @[el2_lsu_bus_buffer.scala 521:42] + wire _T_3859 = _T_3858 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 521:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_375 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 534:94] - wire _T_3863 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 534:94] - wire _T_3864 = _T_3862 & _T_3863; // @[el2_lsu_bus_buffer.scala 534:74] - wire _T_3865 = _T_3857 | _T_3864; // @[el2_lsu_bus_buffer.scala 533:71] - wire _T_3866 = bus_rsp_read & _T_3865; // @[el2_lsu_bus_buffer.scala 532:25] - wire _T_3867 = _T_3852 | _T_3866; // @[el2_lsu_bus_buffer.scala 531:105] - wire _GEN_118 = _T_3806 & _T_3867; // @[Conditional.scala 39:67] - wire _GEN_137 = _T_3772 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] - wire _GEN_149 = _T_3768 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_1 = _T_3745 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] - wire _T_3893 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3903 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 546:21] - wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 546:58] - wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 546:58] - wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 546:58] - wire [2:0] _GEN_377 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 546:58] - wire _T_3905 = io_lsu_axi_rid == _GEN_377; // @[el2_lsu_bus_buffer.scala 546:58] - wire _T_3906 = _T_3903[0] & _T_3905; // @[el2_lsu_bus_buffer.scala 546:38] - wire _T_3907 = _T_3863 | _T_3906; // @[el2_lsu_bus_buffer.scala 545:95] - wire _T_3908 = bus_rsp_read & _T_3907; // @[el2_lsu_bus_buffer.scala 545:45] - wire _GEN_112 = _T_3893 & _T_3908; // @[Conditional.scala 39:67] - wire _GEN_119 = _T_3806 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] - wire _GEN_129 = _T_3772 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] - wire _GEN_142 = _T_3768 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] - wire buf_state_bus_en_1 = _T_3745 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3785 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 519:49] - wire _T_3786 = _T_3785 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 519:70] - wire _T_3911 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3914 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 551:37] - wire _T_3915 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 551:98] - wire _T_3916 = buf_dual_1 & _T_3915; // @[el2_lsu_bus_buffer.scala 551:80] - wire _T_3917 = _T_3914 | _T_3916; // @[el2_lsu_bus_buffer.scala 551:65] - wire _T_3918 = _T_3917 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 551:112] - wire _T_3919 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] - wire _GEN_107 = _T_3911 ? _T_3918 : _T_3919; // @[Conditional.scala 39:67] - wire _GEN_113 = _T_3893 ? _T_3786 : _GEN_107; // @[Conditional.scala 39:67] - wire _GEN_120 = _T_3806 ? _T_3786 : _GEN_113; // @[Conditional.scala 39:67] - wire _GEN_130 = _T_3772 ? _T_3786 : _GEN_120; // @[Conditional.scala 39:67] - wire _GEN_140 = _T_3768 ? _T_3578 : _GEN_130; // @[Conditional.scala 39:67] - wire buf_state_en_1 = _T_3745 ? _T_3761 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2255 = _T_1885 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 468:94] - wire _T_2265 = _T_2161 & _T_1888; // @[el2_lsu_bus_buffer.scala 470:71] - wire _T_2267 = _T_2265 & _T_1875; // @[el2_lsu_bus_buffer.scala 470:92] - wire _T_2268 = _T_4498 | _T_2267; // @[el2_lsu_bus_buffer.scala 469:86] - wire _T_2272 = _T_2168 & _T_1889; // @[el2_lsu_bus_buffer.scala 471:52] - wire _T_2274 = _T_2272 & _T_1877; // @[el2_lsu_bus_buffer.scala 471:73] - wire _T_2275 = _T_2268 | _T_2274; // @[el2_lsu_bus_buffer.scala 470:114] - wire _T_2276 = _T_2255 & _T_2275; // @[el2_lsu_bus_buffer.scala 468:113] - wire _T_2278 = _T_2276 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 471:97] - wire _T_2292 = _T_2265 & _T_1886; // @[el2_lsu_bus_buffer.scala 470:92] - wire _T_2293 = _T_4503 | _T_2292; // @[el2_lsu_bus_buffer.scala 469:86] - wire _T_2299 = _T_2272 & _T_1888; // @[el2_lsu_bus_buffer.scala 471:73] - wire _T_2300 = _T_2293 | _T_2299; // @[el2_lsu_bus_buffer.scala 470:114] - wire _T_2301 = _T_2255 & _T_2300; // @[el2_lsu_bus_buffer.scala 468:113] - wire _T_2303 = _T_2301 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 471:97] - wire _T_2317 = _T_2265 & _T_1897; // @[el2_lsu_bus_buffer.scala 470:92] - wire _T_2318 = _T_4508 | _T_2317; // @[el2_lsu_bus_buffer.scala 469:86] - wire _T_2324 = _T_2272 & _T_1899; // @[el2_lsu_bus_buffer.scala 471:73] - wire _T_2325 = _T_2318 | _T_2324; // @[el2_lsu_bus_buffer.scala 470:114] - wire _T_2326 = _T_2255 & _T_2325; // @[el2_lsu_bus_buffer.scala 468:113] - wire _T_2328 = _T_2326 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 471:97] - wire _T_2342 = _T_2265 & _T_1908; // @[el2_lsu_bus_buffer.scala 470:92] - wire _T_2343 = _T_4513 | _T_2342; // @[el2_lsu_bus_buffer.scala 469:86] - wire _T_2349 = _T_2272 & _T_1910; // @[el2_lsu_bus_buffer.scala 471:73] - wire _T_2350 = _T_2343 | _T_2349; // @[el2_lsu_bus_buffer.scala 470:114] - wire _T_2351 = _T_2255 & _T_2350; // @[el2_lsu_bus_buffer.scala 468:113] - wire _T_2353 = _T_2351 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 471:97] - wire [2:0] _T_2355 = {_T_2353,_T_2328,_T_2303}; // @[Cat.scala 29:58] - wire _T_3945 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 506:117] - wire _T_3946 = _T_3558 & _T_3945; // @[el2_lsu_bus_buffer.scala 506:112] - wire _T_3948 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 506:166] - wire _T_3949 = _T_3561 & _T_3948; // @[el2_lsu_bus_buffer.scala 506:161] - wire _T_3950 = _T_3946 | _T_3949; // @[el2_lsu_bus_buffer.scala 506:132] - wire _T_3951 = _T_853 & _T_3950; // @[el2_lsu_bus_buffer.scala 506:63] - wire _T_3952 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 506:206] - wire _T_3953 = ibuf_drain_vld & _T_3952; // @[el2_lsu_bus_buffer.scala 506:201] - wire _T_3954 = _T_3951 | _T_3953; // @[el2_lsu_bus_buffer.scala 506:183] - wire _T_3999 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4044 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 531:73] - wire _T_4045 = bus_rsp_write & _T_4044; // @[el2_lsu_bus_buffer.scala 531:52] - wire _T_4046 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 532:46] - wire [2:0] _GEN_378 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 533:47] - wire _T_4048 = io_lsu_axi_rid == _GEN_378; // @[el2_lsu_bus_buffer.scala 533:47] - wire _T_4049 = buf_ldfwd[2] & _T_4048; // @[el2_lsu_bus_buffer.scala 533:27] - wire _T_4050 = _T_4046 | _T_4049; // @[el2_lsu_bus_buffer.scala 532:77] - wire _T_4051 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 534:26] - wire _T_4053 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 534:44] - wire _T_4054 = _T_4051 & _T_4053; // @[el2_lsu_bus_buffer.scala 534:42] - wire _T_4055 = _T_4054 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 534:58] + wire [2:0] _GEN_375 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 521:94] + wire _T_3860 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 521:94] + wire _T_3861 = _T_3859 & _T_3860; // @[el2_lsu_bus_buffer.scala 521:74] + wire _T_3862 = _T_3854 | _T_3861; // @[el2_lsu_bus_buffer.scala 520:71] + wire _T_3863 = bus_rsp_read & _T_3862; // @[el2_lsu_bus_buffer.scala 519:25] + wire _T_3864 = _T_3849 | _T_3863; // @[el2_lsu_bus_buffer.scala 518:105] + wire _GEN_118 = _T_3803 & _T_3864; // @[Conditional.scala 39:67] + wire _GEN_137 = _T_3769 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] + wire _GEN_149 = _T_3765 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_1 = _T_3742 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] + wire _T_3890 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] + wire [3:0] _T_3900 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 533:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 533:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 533:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 533:58] + wire [2:0] _GEN_377 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 533:58] + wire _T_3902 = io_lsu_axi_rid == _GEN_377; // @[el2_lsu_bus_buffer.scala 533:58] + wire _T_3903 = _T_3900[0] & _T_3902; // @[el2_lsu_bus_buffer.scala 533:38] + wire _T_3904 = _T_3860 | _T_3903; // @[el2_lsu_bus_buffer.scala 532:95] + wire _T_3905 = bus_rsp_read & _T_3904; // @[el2_lsu_bus_buffer.scala 532:45] + wire _GEN_112 = _T_3890 & _T_3905; // @[Conditional.scala 39:67] + wire _GEN_119 = _T_3803 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] + wire _GEN_129 = _T_3769 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] + wire _GEN_142 = _T_3765 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] + wire buf_state_bus_en_1 = _T_3742 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] + wire _T_3782 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 506:49] + wire _T_3783 = _T_3782 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 506:70] + wire _T_3908 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3911 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 538:37] + wire _T_3912 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 538:98] + wire _T_3913 = buf_dual_1 & _T_3912; // @[el2_lsu_bus_buffer.scala 538:80] + wire _T_3914 = _T_3911 | _T_3913; // @[el2_lsu_bus_buffer.scala 538:65] + wire _T_3915 = _T_3914 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 538:112] + wire _T_3916 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] + wire _GEN_107 = _T_3908 ? _T_3915 : _T_3916; // @[Conditional.scala 39:67] + wire _GEN_113 = _T_3890 ? _T_3783 : _GEN_107; // @[Conditional.scala 39:67] + wire _GEN_120 = _T_3803 ? _T_3783 : _GEN_113; // @[Conditional.scala 39:67] + wire _GEN_130 = _T_3769 ? _T_3783 : _GEN_120; // @[Conditional.scala 39:67] + wire _GEN_140 = _T_3765 ? _T_3575 : _GEN_130; // @[Conditional.scala 39:67] + wire buf_state_en_1 = _T_3742 ? _T_3758 : _GEN_140; // @[Conditional.scala 40:58] + wire _T_2252 = _T_1885 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 455:94] + wire _T_2262 = _T_2158 & _T_1888; // @[el2_lsu_bus_buffer.scala 457:71] + wire _T_2264 = _T_2262 & _T_1875; // @[el2_lsu_bus_buffer.scala 457:92] + wire _T_2265 = _T_4492 | _T_2264; // @[el2_lsu_bus_buffer.scala 456:86] + wire _T_2269 = _T_2165 & _T_1889; // @[el2_lsu_bus_buffer.scala 458:52] + wire _T_2271 = _T_2269 & _T_1877; // @[el2_lsu_bus_buffer.scala 458:73] + wire _T_2272 = _T_2265 | _T_2271; // @[el2_lsu_bus_buffer.scala 457:114] + wire _T_2273 = _T_2252 & _T_2272; // @[el2_lsu_bus_buffer.scala 455:113] + wire _T_2275 = _T_2273 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 458:97] + wire _T_2289 = _T_2262 & _T_1886; // @[el2_lsu_bus_buffer.scala 457:92] + wire _T_2290 = _T_4497 | _T_2289; // @[el2_lsu_bus_buffer.scala 456:86] + wire _T_2296 = _T_2269 & _T_1888; // @[el2_lsu_bus_buffer.scala 458:73] + wire _T_2297 = _T_2290 | _T_2296; // @[el2_lsu_bus_buffer.scala 457:114] + wire _T_2298 = _T_2252 & _T_2297; // @[el2_lsu_bus_buffer.scala 455:113] + wire _T_2300 = _T_2298 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 458:97] + wire _T_2314 = _T_2262 & _T_1897; // @[el2_lsu_bus_buffer.scala 457:92] + wire _T_2315 = _T_4502 | _T_2314; // @[el2_lsu_bus_buffer.scala 456:86] + wire _T_2321 = _T_2269 & _T_1899; // @[el2_lsu_bus_buffer.scala 458:73] + wire _T_2322 = _T_2315 | _T_2321; // @[el2_lsu_bus_buffer.scala 457:114] + wire _T_2323 = _T_2252 & _T_2322; // @[el2_lsu_bus_buffer.scala 455:113] + wire _T_2325 = _T_2323 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 458:97] + wire _T_2339 = _T_2262 & _T_1908; // @[el2_lsu_bus_buffer.scala 457:92] + wire _T_2340 = _T_4507 | _T_2339; // @[el2_lsu_bus_buffer.scala 456:86] + wire _T_2346 = _T_2269 & _T_1910; // @[el2_lsu_bus_buffer.scala 458:73] + wire _T_2347 = _T_2340 | _T_2346; // @[el2_lsu_bus_buffer.scala 457:114] + wire _T_2348 = _T_2252 & _T_2347; // @[el2_lsu_bus_buffer.scala 455:113] + wire _T_2350 = _T_2348 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 458:97] + wire [2:0] _T_2352 = {_T_2350,_T_2325,_T_2300}; // @[Cat.scala 29:58] + wire _T_3942 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 493:117] + wire _T_3943 = _T_3555 & _T_3942; // @[el2_lsu_bus_buffer.scala 493:112] + wire _T_3945 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 493:166] + wire _T_3946 = _T_3558 & _T_3945; // @[el2_lsu_bus_buffer.scala 493:161] + wire _T_3947 = _T_3943 | _T_3946; // @[el2_lsu_bus_buffer.scala 493:132] + wire _T_3948 = _T_853 & _T_3947; // @[el2_lsu_bus_buffer.scala 493:63] + wire _T_3949 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 493:206] + wire _T_3950 = ibuf_drain_vld & _T_3949; // @[el2_lsu_bus_buffer.scala 493:201] + wire _T_3951 = _T_3948 | _T_3950; // @[el2_lsu_bus_buffer.scala 493:183] + wire _T_3996 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4041 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 518:73] + wire _T_4042 = bus_rsp_write & _T_4041; // @[el2_lsu_bus_buffer.scala 518:52] + wire _T_4043 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 519:46] + wire [2:0] _GEN_378 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 520:47] + wire _T_4045 = io_lsu_axi_rid == _GEN_378; // @[el2_lsu_bus_buffer.scala 520:47] + wire _T_4046 = buf_ldfwd[2] & _T_4045; // @[el2_lsu_bus_buffer.scala 520:27] + wire _T_4047 = _T_4043 | _T_4046; // @[el2_lsu_bus_buffer.scala 519:77] + wire _T_4048 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 521:26] + wire _T_4050 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 521:44] + wire _T_4051 = _T_4048 & _T_4050; // @[el2_lsu_bus_buffer.scala 521:42] + wire _T_4052 = _T_4051 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 521:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_379 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 534:94] - wire _T_4056 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 534:94] - wire _T_4057 = _T_4055 & _T_4056; // @[el2_lsu_bus_buffer.scala 534:74] - wire _T_4058 = _T_4050 | _T_4057; // @[el2_lsu_bus_buffer.scala 533:71] - wire _T_4059 = bus_rsp_read & _T_4058; // @[el2_lsu_bus_buffer.scala 532:25] - wire _T_4060 = _T_4045 | _T_4059; // @[el2_lsu_bus_buffer.scala 531:105] - wire _GEN_194 = _T_3999 & _T_4060; // @[Conditional.scala 39:67] - wire _GEN_213 = _T_3965 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] - wire _GEN_225 = _T_3961 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_2 = _T_3938 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] - wire _T_4086 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_4096 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 546:21] - wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 546:58] - wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 546:58] - wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 546:58] - wire [2:0] _GEN_381 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 546:58] - wire _T_4098 = io_lsu_axi_rid == _GEN_381; // @[el2_lsu_bus_buffer.scala 546:58] - wire _T_4099 = _T_4096[0] & _T_4098; // @[el2_lsu_bus_buffer.scala 546:38] - wire _T_4100 = _T_4056 | _T_4099; // @[el2_lsu_bus_buffer.scala 545:95] - wire _T_4101 = bus_rsp_read & _T_4100; // @[el2_lsu_bus_buffer.scala 545:45] - wire _GEN_188 = _T_4086 & _T_4101; // @[Conditional.scala 39:67] - wire _GEN_195 = _T_3999 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] - wire _GEN_205 = _T_3965 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] - wire _GEN_218 = _T_3961 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] - wire buf_state_bus_en_2 = _T_3938 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3978 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 519:49] - wire _T_3979 = _T_3978 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 519:70] - wire _T_4104 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4107 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 551:37] - wire _T_4108 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 551:98] - wire _T_4109 = buf_dual_2 & _T_4108; // @[el2_lsu_bus_buffer.scala 551:80] - wire _T_4110 = _T_4107 | _T_4109; // @[el2_lsu_bus_buffer.scala 551:65] - wire _T_4111 = _T_4110 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 551:112] - wire _T_4112 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] - wire _GEN_183 = _T_4104 ? _T_4111 : _T_4112; // @[Conditional.scala 39:67] - wire _GEN_189 = _T_4086 ? _T_3979 : _GEN_183; // @[Conditional.scala 39:67] - wire _GEN_196 = _T_3999 ? _T_3979 : _GEN_189; // @[Conditional.scala 39:67] - wire _GEN_206 = _T_3965 ? _T_3979 : _GEN_196; // @[Conditional.scala 39:67] - wire _GEN_216 = _T_3961 ? _T_3578 : _GEN_206; // @[Conditional.scala 39:67] - wire buf_state_en_2 = _T_3938 ? _T_3954 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2357 = _T_1896 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 468:94] - wire _T_2367 = _T_2161 & _T_1899; // @[el2_lsu_bus_buffer.scala 470:71] - wire _T_2369 = _T_2367 & _T_1875; // @[el2_lsu_bus_buffer.scala 470:92] - wire _T_2370 = _T_4498 | _T_2369; // @[el2_lsu_bus_buffer.scala 469:86] - wire _T_2374 = _T_2168 & _T_1900; // @[el2_lsu_bus_buffer.scala 471:52] - wire _T_2376 = _T_2374 & _T_1877; // @[el2_lsu_bus_buffer.scala 471:73] - wire _T_2377 = _T_2370 | _T_2376; // @[el2_lsu_bus_buffer.scala 470:114] - wire _T_2378 = _T_2357 & _T_2377; // @[el2_lsu_bus_buffer.scala 468:113] - wire _T_2380 = _T_2378 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 471:97] - wire _T_2394 = _T_2367 & _T_1886; // @[el2_lsu_bus_buffer.scala 470:92] - wire _T_2395 = _T_4503 | _T_2394; // @[el2_lsu_bus_buffer.scala 469:86] - wire _T_2401 = _T_2374 & _T_1888; // @[el2_lsu_bus_buffer.scala 471:73] - wire _T_2402 = _T_2395 | _T_2401; // @[el2_lsu_bus_buffer.scala 470:114] - wire _T_2403 = _T_2357 & _T_2402; // @[el2_lsu_bus_buffer.scala 468:113] - wire _T_2405 = _T_2403 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 471:97] - wire _T_2419 = _T_2367 & _T_1897; // @[el2_lsu_bus_buffer.scala 470:92] - wire _T_2420 = _T_4508 | _T_2419; // @[el2_lsu_bus_buffer.scala 469:86] - wire _T_2426 = _T_2374 & _T_1899; // @[el2_lsu_bus_buffer.scala 471:73] - wire _T_2427 = _T_2420 | _T_2426; // @[el2_lsu_bus_buffer.scala 470:114] - wire _T_2428 = _T_2357 & _T_2427; // @[el2_lsu_bus_buffer.scala 468:113] - wire _T_2430 = _T_2428 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 471:97] - wire _T_2444 = _T_2367 & _T_1908; // @[el2_lsu_bus_buffer.scala 470:92] - wire _T_2445 = _T_4513 | _T_2444; // @[el2_lsu_bus_buffer.scala 469:86] - wire _T_2451 = _T_2374 & _T_1910; // @[el2_lsu_bus_buffer.scala 471:73] - wire _T_2452 = _T_2445 | _T_2451; // @[el2_lsu_bus_buffer.scala 470:114] - wire _T_2453 = _T_2357 & _T_2452; // @[el2_lsu_bus_buffer.scala 468:113] - wire _T_2455 = _T_2453 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 471:97] - wire [2:0] _T_2457 = {_T_2455,_T_2430,_T_2405}; // @[Cat.scala 29:58] - wire _T_4138 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 506:117] - wire _T_4139 = _T_3558 & _T_4138; // @[el2_lsu_bus_buffer.scala 506:112] - wire _T_4141 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 506:166] - wire _T_4142 = _T_3561 & _T_4141; // @[el2_lsu_bus_buffer.scala 506:161] - wire _T_4143 = _T_4139 | _T_4142; // @[el2_lsu_bus_buffer.scala 506:132] - wire _T_4144 = _T_853 & _T_4143; // @[el2_lsu_bus_buffer.scala 506:63] - wire _T_4145 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 506:206] - wire _T_4146 = ibuf_drain_vld & _T_4145; // @[el2_lsu_bus_buffer.scala 506:201] - wire _T_4147 = _T_4144 | _T_4146; // @[el2_lsu_bus_buffer.scala 506:183] - wire _T_4192 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4237 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 531:73] - wire _T_4238 = bus_rsp_write & _T_4237; // @[el2_lsu_bus_buffer.scala 531:52] - wire _T_4239 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 532:46] - wire [2:0] _GEN_382 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 533:47] - wire _T_4241 = io_lsu_axi_rid == _GEN_382; // @[el2_lsu_bus_buffer.scala 533:47] - wire _T_4242 = buf_ldfwd[3] & _T_4241; // @[el2_lsu_bus_buffer.scala 533:27] - wire _T_4243 = _T_4239 | _T_4242; // @[el2_lsu_bus_buffer.scala 532:77] - wire _T_4244 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 534:26] - wire _T_4246 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 534:44] - wire _T_4247 = _T_4244 & _T_4246; // @[el2_lsu_bus_buffer.scala 534:42] - wire _T_4248 = _T_4247 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 534:58] + wire [2:0] _GEN_379 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 521:94] + wire _T_4053 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 521:94] + wire _T_4054 = _T_4052 & _T_4053; // @[el2_lsu_bus_buffer.scala 521:74] + wire _T_4055 = _T_4047 | _T_4054; // @[el2_lsu_bus_buffer.scala 520:71] + wire _T_4056 = bus_rsp_read & _T_4055; // @[el2_lsu_bus_buffer.scala 519:25] + wire _T_4057 = _T_4042 | _T_4056; // @[el2_lsu_bus_buffer.scala 518:105] + wire _GEN_194 = _T_3996 & _T_4057; // @[Conditional.scala 39:67] + wire _GEN_213 = _T_3962 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] + wire _GEN_225 = _T_3958 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_2 = _T_3935 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] + wire _T_4083 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] + wire [3:0] _T_4093 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 533:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 533:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 533:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 533:58] + wire [2:0] _GEN_381 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 533:58] + wire _T_4095 = io_lsu_axi_rid == _GEN_381; // @[el2_lsu_bus_buffer.scala 533:58] + wire _T_4096 = _T_4093[0] & _T_4095; // @[el2_lsu_bus_buffer.scala 533:38] + wire _T_4097 = _T_4053 | _T_4096; // @[el2_lsu_bus_buffer.scala 532:95] + wire _T_4098 = bus_rsp_read & _T_4097; // @[el2_lsu_bus_buffer.scala 532:45] + wire _GEN_188 = _T_4083 & _T_4098; // @[Conditional.scala 39:67] + wire _GEN_195 = _T_3996 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] + wire _GEN_205 = _T_3962 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] + wire _GEN_218 = _T_3958 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] + wire buf_state_bus_en_2 = _T_3935 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] + wire _T_3975 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 506:49] + wire _T_3976 = _T_3975 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 506:70] + wire _T_4101 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4104 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 538:37] + wire _T_4105 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 538:98] + wire _T_4106 = buf_dual_2 & _T_4105; // @[el2_lsu_bus_buffer.scala 538:80] + wire _T_4107 = _T_4104 | _T_4106; // @[el2_lsu_bus_buffer.scala 538:65] + wire _T_4108 = _T_4107 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 538:112] + wire _T_4109 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] + wire _GEN_183 = _T_4101 ? _T_4108 : _T_4109; // @[Conditional.scala 39:67] + wire _GEN_189 = _T_4083 ? _T_3976 : _GEN_183; // @[Conditional.scala 39:67] + wire _GEN_196 = _T_3996 ? _T_3976 : _GEN_189; // @[Conditional.scala 39:67] + wire _GEN_206 = _T_3962 ? _T_3976 : _GEN_196; // @[Conditional.scala 39:67] + wire _GEN_216 = _T_3958 ? _T_3575 : _GEN_206; // @[Conditional.scala 39:67] + wire buf_state_en_2 = _T_3935 ? _T_3951 : _GEN_216; // @[Conditional.scala 40:58] + wire _T_2354 = _T_1896 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 455:94] + wire _T_2364 = _T_2158 & _T_1899; // @[el2_lsu_bus_buffer.scala 457:71] + wire _T_2366 = _T_2364 & _T_1875; // @[el2_lsu_bus_buffer.scala 457:92] + wire _T_2367 = _T_4492 | _T_2366; // @[el2_lsu_bus_buffer.scala 456:86] + wire _T_2371 = _T_2165 & _T_1900; // @[el2_lsu_bus_buffer.scala 458:52] + wire _T_2373 = _T_2371 & _T_1877; // @[el2_lsu_bus_buffer.scala 458:73] + wire _T_2374 = _T_2367 | _T_2373; // @[el2_lsu_bus_buffer.scala 457:114] + wire _T_2375 = _T_2354 & _T_2374; // @[el2_lsu_bus_buffer.scala 455:113] + wire _T_2377 = _T_2375 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 458:97] + wire _T_2391 = _T_2364 & _T_1886; // @[el2_lsu_bus_buffer.scala 457:92] + wire _T_2392 = _T_4497 | _T_2391; // @[el2_lsu_bus_buffer.scala 456:86] + wire _T_2398 = _T_2371 & _T_1888; // @[el2_lsu_bus_buffer.scala 458:73] + wire _T_2399 = _T_2392 | _T_2398; // @[el2_lsu_bus_buffer.scala 457:114] + wire _T_2400 = _T_2354 & _T_2399; // @[el2_lsu_bus_buffer.scala 455:113] + wire _T_2402 = _T_2400 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 458:97] + wire _T_2416 = _T_2364 & _T_1897; // @[el2_lsu_bus_buffer.scala 457:92] + wire _T_2417 = _T_4502 | _T_2416; // @[el2_lsu_bus_buffer.scala 456:86] + wire _T_2423 = _T_2371 & _T_1899; // @[el2_lsu_bus_buffer.scala 458:73] + wire _T_2424 = _T_2417 | _T_2423; // @[el2_lsu_bus_buffer.scala 457:114] + wire _T_2425 = _T_2354 & _T_2424; // @[el2_lsu_bus_buffer.scala 455:113] + wire _T_2427 = _T_2425 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 458:97] + wire _T_2441 = _T_2364 & _T_1908; // @[el2_lsu_bus_buffer.scala 457:92] + wire _T_2442 = _T_4507 | _T_2441; // @[el2_lsu_bus_buffer.scala 456:86] + wire _T_2448 = _T_2371 & _T_1910; // @[el2_lsu_bus_buffer.scala 458:73] + wire _T_2449 = _T_2442 | _T_2448; // @[el2_lsu_bus_buffer.scala 457:114] + wire _T_2450 = _T_2354 & _T_2449; // @[el2_lsu_bus_buffer.scala 455:113] + wire _T_2452 = _T_2450 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 458:97] + wire [2:0] _T_2454 = {_T_2452,_T_2427,_T_2402}; // @[Cat.scala 29:58] + wire _T_4135 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 493:117] + wire _T_4136 = _T_3555 & _T_4135; // @[el2_lsu_bus_buffer.scala 493:112] + wire _T_4138 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 493:166] + wire _T_4139 = _T_3558 & _T_4138; // @[el2_lsu_bus_buffer.scala 493:161] + wire _T_4140 = _T_4136 | _T_4139; // @[el2_lsu_bus_buffer.scala 493:132] + wire _T_4141 = _T_853 & _T_4140; // @[el2_lsu_bus_buffer.scala 493:63] + wire _T_4142 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 493:206] + wire _T_4143 = ibuf_drain_vld & _T_4142; // @[el2_lsu_bus_buffer.scala 493:201] + wire _T_4144 = _T_4141 | _T_4143; // @[el2_lsu_bus_buffer.scala 493:183] + wire _T_4189 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4234 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 518:73] + wire _T_4235 = bus_rsp_write & _T_4234; // @[el2_lsu_bus_buffer.scala 518:52] + wire _T_4236 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 519:46] + wire [2:0] _GEN_382 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 520:47] + wire _T_4238 = io_lsu_axi_rid == _GEN_382; // @[el2_lsu_bus_buffer.scala 520:47] + wire _T_4239 = buf_ldfwd[3] & _T_4238; // @[el2_lsu_bus_buffer.scala 520:27] + wire _T_4240 = _T_4236 | _T_4239; // @[el2_lsu_bus_buffer.scala 519:77] + wire _T_4241 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 521:26] + wire _T_4243 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 521:44] + wire _T_4244 = _T_4241 & _T_4243; // @[el2_lsu_bus_buffer.scala 521:42] + wire _T_4245 = _T_4244 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 521:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_383 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 534:94] - wire _T_4249 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 534:94] - wire _T_4250 = _T_4248 & _T_4249; // @[el2_lsu_bus_buffer.scala 534:74] - wire _T_4251 = _T_4243 | _T_4250; // @[el2_lsu_bus_buffer.scala 533:71] - wire _T_4252 = bus_rsp_read & _T_4251; // @[el2_lsu_bus_buffer.scala 532:25] - wire _T_4253 = _T_4238 | _T_4252; // @[el2_lsu_bus_buffer.scala 531:105] - wire _GEN_270 = _T_4192 & _T_4253; // @[Conditional.scala 39:67] - wire _GEN_289 = _T_4158 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] - wire _GEN_301 = _T_4154 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_3 = _T_4131 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] - wire _T_4279 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4289 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 546:21] - wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 546:58] - wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 546:58] - wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 546:58] - wire [2:0] _GEN_385 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 546:58] - wire _T_4291 = io_lsu_axi_rid == _GEN_385; // @[el2_lsu_bus_buffer.scala 546:58] - wire _T_4292 = _T_4289[0] & _T_4291; // @[el2_lsu_bus_buffer.scala 546:38] - wire _T_4293 = _T_4249 | _T_4292; // @[el2_lsu_bus_buffer.scala 545:95] - wire _T_4294 = bus_rsp_read & _T_4293; // @[el2_lsu_bus_buffer.scala 545:45] - wire _GEN_264 = _T_4279 & _T_4294; // @[Conditional.scala 39:67] - wire _GEN_271 = _T_4192 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] - wire _GEN_281 = _T_4158 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] - wire _GEN_294 = _T_4154 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] - wire buf_state_bus_en_3 = _T_4131 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_4171 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 519:49] - wire _T_4172 = _T_4171 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 519:70] - wire _T_4297 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4300 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 551:37] - wire _T_4301 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 551:98] - wire _T_4302 = buf_dual_3 & _T_4301; // @[el2_lsu_bus_buffer.scala 551:80] - wire _T_4303 = _T_4300 | _T_4302; // @[el2_lsu_bus_buffer.scala 551:65] - wire _T_4304 = _T_4303 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 551:112] - wire _T_4305 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] - wire _GEN_259 = _T_4297 ? _T_4304 : _T_4305; // @[Conditional.scala 39:67] - wire _GEN_265 = _T_4279 ? _T_4172 : _GEN_259; // @[Conditional.scala 39:67] - wire _GEN_272 = _T_4192 ? _T_4172 : _GEN_265; // @[Conditional.scala 39:67] - wire _GEN_282 = _T_4158 ? _T_4172 : _GEN_272; // @[Conditional.scala 39:67] - wire _GEN_292 = _T_4154 ? _T_3578 : _GEN_282; // @[Conditional.scala 39:67] - wire buf_state_en_3 = _T_4131 ? _T_4147 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2459 = _T_1907 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 468:94] - wire _T_2469 = _T_2161 & _T_1910; // @[el2_lsu_bus_buffer.scala 470:71] - wire _T_2471 = _T_2469 & _T_1875; // @[el2_lsu_bus_buffer.scala 470:92] - wire _T_2472 = _T_4498 | _T_2471; // @[el2_lsu_bus_buffer.scala 469:86] - wire _T_2476 = _T_2168 & _T_1911; // @[el2_lsu_bus_buffer.scala 471:52] - wire _T_2478 = _T_2476 & _T_1877; // @[el2_lsu_bus_buffer.scala 471:73] - wire _T_2479 = _T_2472 | _T_2478; // @[el2_lsu_bus_buffer.scala 470:114] - wire _T_2480 = _T_2459 & _T_2479; // @[el2_lsu_bus_buffer.scala 468:113] - wire _T_2482 = _T_2480 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 471:97] - wire _T_2496 = _T_2469 & _T_1886; // @[el2_lsu_bus_buffer.scala 470:92] - wire _T_2497 = _T_4503 | _T_2496; // @[el2_lsu_bus_buffer.scala 469:86] - wire _T_2503 = _T_2476 & _T_1888; // @[el2_lsu_bus_buffer.scala 471:73] - wire _T_2504 = _T_2497 | _T_2503; // @[el2_lsu_bus_buffer.scala 470:114] - wire _T_2505 = _T_2459 & _T_2504; // @[el2_lsu_bus_buffer.scala 468:113] - wire _T_2507 = _T_2505 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 471:97] - wire _T_2521 = _T_2469 & _T_1897; // @[el2_lsu_bus_buffer.scala 470:92] - wire _T_2522 = _T_4508 | _T_2521; // @[el2_lsu_bus_buffer.scala 469:86] - wire _T_2528 = _T_2476 & _T_1899; // @[el2_lsu_bus_buffer.scala 471:73] - wire _T_2529 = _T_2522 | _T_2528; // @[el2_lsu_bus_buffer.scala 470:114] - wire _T_2530 = _T_2459 & _T_2529; // @[el2_lsu_bus_buffer.scala 468:113] - wire _T_2532 = _T_2530 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 471:97] - wire _T_2546 = _T_2469 & _T_1908; // @[el2_lsu_bus_buffer.scala 470:92] - wire _T_2547 = _T_4513 | _T_2546; // @[el2_lsu_bus_buffer.scala 469:86] - wire _T_2553 = _T_2476 & _T_1910; // @[el2_lsu_bus_buffer.scala 471:73] - wire _T_2554 = _T_2547 | _T_2553; // @[el2_lsu_bus_buffer.scala 470:114] - wire _T_2555 = _T_2459 & _T_2554; // @[el2_lsu_bus_buffer.scala 468:113] - wire _T_2557 = _T_2555 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 471:97] - wire [2:0] _T_2559 = {_T_2557,_T_2532,_T_2507}; // @[Cat.scala 29:58] - wire _T_2823 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 479:49] - wire _T_2824 = _T_1874 | _T_2823; // @[el2_lsu_bus_buffer.scala 479:34] - wire _T_2825 = ~_T_2824; // @[el2_lsu_bus_buffer.scala 479:8] - wire _T_2833 = _T_2825 | _T_2165; // @[el2_lsu_bus_buffer.scala 479:61] - wire _T_2840 = _T_2833 | _T_2172; // @[el2_lsu_bus_buffer.scala 480:112] - wire _T_2841 = _T_2153 & _T_2840; // @[el2_lsu_bus_buffer.scala 478:114] - wire _T_2845 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 479:49] - wire _T_2846 = _T_1885 | _T_2845; // @[el2_lsu_bus_buffer.scala 479:34] - wire _T_2847 = ~_T_2846; // @[el2_lsu_bus_buffer.scala 479:8] - wire _T_2855 = _T_2847 | _T_2190; // @[el2_lsu_bus_buffer.scala 479:61] - wire _T_2862 = _T_2855 | _T_2197; // @[el2_lsu_bus_buffer.scala 480:112] - wire _T_2863 = _T_2153 & _T_2862; // @[el2_lsu_bus_buffer.scala 478:114] - wire _T_2867 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 479:49] - wire _T_2868 = _T_1896 | _T_2867; // @[el2_lsu_bus_buffer.scala 479:34] - wire _T_2869 = ~_T_2868; // @[el2_lsu_bus_buffer.scala 479:8] - wire _T_2877 = _T_2869 | _T_2215; // @[el2_lsu_bus_buffer.scala 479:61] - wire _T_2884 = _T_2877 | _T_2222; // @[el2_lsu_bus_buffer.scala 480:112] - wire _T_2885 = _T_2153 & _T_2884; // @[el2_lsu_bus_buffer.scala 478:114] - wire _T_2889 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 479:49] - wire _T_2890 = _T_1907 | _T_2889; // @[el2_lsu_bus_buffer.scala 479:34] - wire _T_2891 = ~_T_2890; // @[el2_lsu_bus_buffer.scala 479:8] - wire _T_2899 = _T_2891 | _T_2240; // @[el2_lsu_bus_buffer.scala 479:61] - wire _T_2906 = _T_2899 | _T_2247; // @[el2_lsu_bus_buffer.scala 480:112] - wire _T_2907 = _T_2153 & _T_2906; // @[el2_lsu_bus_buffer.scala 478:114] - wire [3:0] buf_rspage_set_0 = {_T_2907,_T_2885,_T_2863,_T_2841}; // @[Cat.scala 29:58] - wire _T_2924 = _T_2825 | _T_2267; // @[el2_lsu_bus_buffer.scala 479:61] - wire _T_2931 = _T_2924 | _T_2274; // @[el2_lsu_bus_buffer.scala 480:112] - wire _T_2932 = _T_2255 & _T_2931; // @[el2_lsu_bus_buffer.scala 478:114] - wire _T_2946 = _T_2847 | _T_2292; // @[el2_lsu_bus_buffer.scala 479:61] - wire _T_2953 = _T_2946 | _T_2299; // @[el2_lsu_bus_buffer.scala 480:112] - wire _T_2954 = _T_2255 & _T_2953; // @[el2_lsu_bus_buffer.scala 478:114] - wire _T_2968 = _T_2869 | _T_2317; // @[el2_lsu_bus_buffer.scala 479:61] - wire _T_2975 = _T_2968 | _T_2324; // @[el2_lsu_bus_buffer.scala 480:112] - wire _T_2976 = _T_2255 & _T_2975; // @[el2_lsu_bus_buffer.scala 478:114] - wire _T_2990 = _T_2891 | _T_2342; // @[el2_lsu_bus_buffer.scala 479:61] - wire _T_2997 = _T_2990 | _T_2349; // @[el2_lsu_bus_buffer.scala 480:112] - wire _T_2998 = _T_2255 & _T_2997; // @[el2_lsu_bus_buffer.scala 478:114] - wire [3:0] buf_rspage_set_1 = {_T_2998,_T_2976,_T_2954,_T_2932}; // @[Cat.scala 29:58] - wire _T_3015 = _T_2825 | _T_2369; // @[el2_lsu_bus_buffer.scala 479:61] - wire _T_3022 = _T_3015 | _T_2376; // @[el2_lsu_bus_buffer.scala 480:112] - wire _T_3023 = _T_2357 & _T_3022; // @[el2_lsu_bus_buffer.scala 478:114] - wire _T_3037 = _T_2847 | _T_2394; // @[el2_lsu_bus_buffer.scala 479:61] - wire _T_3044 = _T_3037 | _T_2401; // @[el2_lsu_bus_buffer.scala 480:112] - wire _T_3045 = _T_2357 & _T_3044; // @[el2_lsu_bus_buffer.scala 478:114] - wire _T_3059 = _T_2869 | _T_2419; // @[el2_lsu_bus_buffer.scala 479:61] - wire _T_3066 = _T_3059 | _T_2426; // @[el2_lsu_bus_buffer.scala 480:112] - wire _T_3067 = _T_2357 & _T_3066; // @[el2_lsu_bus_buffer.scala 478:114] - wire _T_3081 = _T_2891 | _T_2444; // @[el2_lsu_bus_buffer.scala 479:61] - wire _T_3088 = _T_3081 | _T_2451; // @[el2_lsu_bus_buffer.scala 480:112] - wire _T_3089 = _T_2357 & _T_3088; // @[el2_lsu_bus_buffer.scala 478:114] - wire [3:0] buf_rspage_set_2 = {_T_3089,_T_3067,_T_3045,_T_3023}; // @[Cat.scala 29:58] - wire _T_3106 = _T_2825 | _T_2471; // @[el2_lsu_bus_buffer.scala 479:61] - wire _T_3113 = _T_3106 | _T_2478; // @[el2_lsu_bus_buffer.scala 480:112] - wire _T_3114 = _T_2459 & _T_3113; // @[el2_lsu_bus_buffer.scala 478:114] - wire _T_3128 = _T_2847 | _T_2496; // @[el2_lsu_bus_buffer.scala 479:61] - wire _T_3135 = _T_3128 | _T_2503; // @[el2_lsu_bus_buffer.scala 480:112] - wire _T_3136 = _T_2459 & _T_3135; // @[el2_lsu_bus_buffer.scala 478:114] - wire _T_3150 = _T_2869 | _T_2521; // @[el2_lsu_bus_buffer.scala 479:61] - wire _T_3157 = _T_3150 | _T_2528; // @[el2_lsu_bus_buffer.scala 480:112] - wire _T_3158 = _T_2459 & _T_3157; // @[el2_lsu_bus_buffer.scala 478:114] - wire _T_3172 = _T_2891 | _T_2546; // @[el2_lsu_bus_buffer.scala 479:61] - wire _T_3179 = _T_3172 | _T_2553; // @[el2_lsu_bus_buffer.scala 480:112] - wire _T_3180 = _T_2459 & _T_3179; // @[el2_lsu_bus_buffer.scala 478:114] - wire [3:0] buf_rspage_set_3 = {_T_3180,_T_3158,_T_3136,_T_3114}; // @[Cat.scala 29:58] - wire _T_3265 = _T_2889 | _T_1907; // @[el2_lsu_bus_buffer.scala 483:112] - wire _T_3266 = ~_T_3265; // @[el2_lsu_bus_buffer.scala 483:86] - wire _T_3267 = buf_rspageQ_0[3] & _T_3266; // @[el2_lsu_bus_buffer.scala 483:84] - wire _T_3259 = _T_2867 | _T_1896; // @[el2_lsu_bus_buffer.scala 483:112] - wire _T_3260 = ~_T_3259; // @[el2_lsu_bus_buffer.scala 483:86] - wire _T_3261 = buf_rspageQ_0[2] & _T_3260; // @[el2_lsu_bus_buffer.scala 483:84] - wire _T_3253 = _T_2845 | _T_1885; // @[el2_lsu_bus_buffer.scala 483:112] - wire _T_3254 = ~_T_3253; // @[el2_lsu_bus_buffer.scala 483:86] - wire _T_3255 = buf_rspageQ_0[1] & _T_3254; // @[el2_lsu_bus_buffer.scala 483:84] - wire _T_3247 = _T_2823 | _T_1874; // @[el2_lsu_bus_buffer.scala 483:112] - wire _T_3248 = ~_T_3247; // @[el2_lsu_bus_buffer.scala 483:86] - wire _T_3249 = buf_rspageQ_0[0] & _T_3248; // @[el2_lsu_bus_buffer.scala 483:84] - wire [3:0] buf_rspage_0 = {_T_3267,_T_3261,_T_3255,_T_3249}; // @[Cat.scala 29:58] - wire _T_3186 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 482:90] - wire _T_3189 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 482:90] - wire _T_3192 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 482:90] - wire _T_3195 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 482:90] - wire [2:0] _T_3197 = {_T_3195,_T_3192,_T_3189}; // @[Cat.scala 29:58] - wire _T_3294 = buf_rspageQ_1[3] & _T_3266; // @[el2_lsu_bus_buffer.scala 483:84] - wire _T_3288 = buf_rspageQ_1[2] & _T_3260; // @[el2_lsu_bus_buffer.scala 483:84] - wire _T_3282 = buf_rspageQ_1[1] & _T_3254; // @[el2_lsu_bus_buffer.scala 483:84] - wire _T_3276 = buf_rspageQ_1[0] & _T_3248; // @[el2_lsu_bus_buffer.scala 483:84] - wire [3:0] buf_rspage_1 = {_T_3294,_T_3288,_T_3282,_T_3276}; // @[Cat.scala 29:58] - wire _T_3201 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 482:90] - wire _T_3204 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 482:90] - wire _T_3207 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 482:90] - wire _T_3210 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 482:90] - wire [2:0] _T_3212 = {_T_3210,_T_3207,_T_3204}; // @[Cat.scala 29:58] - wire _T_3321 = buf_rspageQ_2[3] & _T_3266; // @[el2_lsu_bus_buffer.scala 483:84] - wire _T_3315 = buf_rspageQ_2[2] & _T_3260; // @[el2_lsu_bus_buffer.scala 483:84] - wire _T_3309 = buf_rspageQ_2[1] & _T_3254; // @[el2_lsu_bus_buffer.scala 483:84] - wire _T_3303 = buf_rspageQ_2[0] & _T_3248; // @[el2_lsu_bus_buffer.scala 483:84] - wire [3:0] buf_rspage_2 = {_T_3321,_T_3315,_T_3309,_T_3303}; // @[Cat.scala 29:58] - wire _T_3216 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 482:90] - wire _T_3219 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 482:90] - wire _T_3222 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 482:90] - wire _T_3225 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 482:90] - wire [2:0] _T_3227 = {_T_3225,_T_3222,_T_3219}; // @[Cat.scala 29:58] - wire _T_3348 = buf_rspageQ_3[3] & _T_3266; // @[el2_lsu_bus_buffer.scala 483:84] - wire _T_3342 = buf_rspageQ_3[2] & _T_3260; // @[el2_lsu_bus_buffer.scala 483:84] - wire _T_3336 = buf_rspageQ_3[1] & _T_3254; // @[el2_lsu_bus_buffer.scala 483:84] - wire _T_3330 = buf_rspageQ_3[0] & _T_3248; // @[el2_lsu_bus_buffer.scala 483:84] - wire [3:0] buf_rspage_3 = {_T_3348,_T_3342,_T_3336,_T_3330}; // @[Cat.scala 29:58] - wire _T_3231 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 482:90] - wire _T_3234 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 482:90] - wire _T_3237 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 482:90] - wire _T_3240 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 482:90] - wire [2:0] _T_3242 = {_T_3240,_T_3237,_T_3234}; // @[Cat.scala 29:58] - wire _T_3353 = ibuf_drain_vld & _T_1875; // @[el2_lsu_bus_buffer.scala 488:65] - wire _T_3355 = ibuf_drain_vld & _T_1886; // @[el2_lsu_bus_buffer.scala 488:65] - wire _T_3357 = ibuf_drain_vld & _T_1897; // @[el2_lsu_bus_buffer.scala 488:65] - wire _T_3359 = ibuf_drain_vld & _T_1908; // @[el2_lsu_bus_buffer.scala 488:65] - wire [3:0] ibuf_drainvec_vld = {_T_3359,_T_3357,_T_3355,_T_3353}; // @[Cat.scala 29:58] - wire _T_3367 = _T_3561 & _T_1878; // @[el2_lsu_bus_buffer.scala 490:37] - wire _T_3376 = _T_3561 & _T_1889; // @[el2_lsu_bus_buffer.scala 490:37] - wire _T_3385 = _T_3561 & _T_1900; // @[el2_lsu_bus_buffer.scala 490:37] - wire _T_3394 = _T_3561 & _T_1911; // @[el2_lsu_bus_buffer.scala 490:37] - wire _T_3424 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 492:47] - wire _T_3426 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 492:47] - wire _T_3428 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 492:47] - wire _T_3430 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 492:47] - wire [3:0] buf_dual_in = {_T_3430,_T_3428,_T_3426,_T_3424}; // @[Cat.scala 29:58] - wire _T_3435 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 493:49] - wire _T_3437 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 493:49] - wire _T_3439 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 493:49] - wire _T_3441 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 493:49] - wire [3:0] buf_samedw_in = {_T_3441,_T_3439,_T_3437,_T_3435}; // @[Cat.scala 29:58] - wire _T_3446 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 494:86] - wire _T_3447 = ibuf_drainvec_vld[0] ? _T_3446 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 494:50] - wire _T_3450 = ibuf_drainvec_vld[1] ? _T_3446 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 494:50] - wire _T_3453 = ibuf_drainvec_vld[2] ? _T_3446 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 494:50] - wire _T_3456 = ibuf_drainvec_vld[3] ? _T_3446 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 494:50] - wire [3:0] buf_nomerge_in = {_T_3456,_T_3453,_T_3450,_T_3447}; // @[Cat.scala 29:58] - wire _T_3464 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3367; // @[el2_lsu_bus_buffer.scala 495:49] - wire _T_3469 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3376; // @[el2_lsu_bus_buffer.scala 495:49] - wire _T_3474 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3385; // @[el2_lsu_bus_buffer.scala 495:49] - wire _T_3479 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3394; // @[el2_lsu_bus_buffer.scala 495:49] - wire [3:0] buf_dualhi_in = {_T_3479,_T_3474,_T_3469,_T_3464}; // @[Cat.scala 29:58] - wire _T_3508 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 497:53] - wire _T_3510 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 497:53] - wire _T_3512 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 497:53] - wire _T_3514 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 497:53] - wire [3:0] buf_sideeffect_in = {_T_3514,_T_3512,_T_3510,_T_3508}; // @[Cat.scala 29:58] - wire _T_3519 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 498:49] - wire _T_3521 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 498:49] - wire _T_3523 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 498:49] - wire _T_3525 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 498:49] - wire [3:0] buf_unsign_in = {_T_3525,_T_3523,_T_3521,_T_3519}; // @[Cat.scala 29:58] - wire _T_3542 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 500:48] - wire _T_3544 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 500:48] - wire _T_3546 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 500:48] - wire _T_3548 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 500:48] - wire [3:0] buf_write_in = {_T_3548,_T_3546,_T_3544,_T_3542}; // @[Cat.scala 29:58] - wire _T_3581 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 516:89] - wire _T_3583 = _T_3581 & _T_1372; // @[el2_lsu_bus_buffer.scala 516:104] - wire _T_3596 = buf_state_en_0 & _T_3667; // @[el2_lsu_bus_buffer.scala 521:44] - wire _T_3597 = _T_3596 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 521:60] - wire _T_3599 = _T_3597 & _T_1354; // @[el2_lsu_bus_buffer.scala 521:74] - wire _T_3602 = _T_3592 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 523:67] - wire _T_3603 = _T_3602 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 523:81] - wire _T_4896 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 628:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4896; // @[el2_lsu_bus_buffer.scala 628:38] - wire _T_3606 = _T_3602 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 524:82] - wire _T_3681 = bus_rsp_read_error & _T_3660; // @[el2_lsu_bus_buffer.scala 538:91] - wire _T_3683 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 539:31] - wire _T_3685 = _T_3683 & _T_3662; // @[el2_lsu_bus_buffer.scala 539:46] - wire _T_3686 = _T_3681 | _T_3685; // @[el2_lsu_bus_buffer.scala 538:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4896; // @[el2_lsu_bus_buffer.scala 627:40] - wire _T_3689 = bus_rsp_write_error & _T_3658; // @[el2_lsu_bus_buffer.scala 540:53] - wire _T_3690 = _T_3686 | _T_3689; // @[el2_lsu_bus_buffer.scala 539:88] - wire _T_3691 = _T_3592 & _T_3690; // @[el2_lsu_bus_buffer.scala 538:68] - wire _GEN_46 = _T_3613 & _T_3691; // @[Conditional.scala 39:67] - wire _GEN_59 = _T_3579 ? _T_3606 : _GEN_46; // @[Conditional.scala 39:67] - wire _GEN_71 = _T_3575 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] - wire buf_error_en_0 = _T_3552 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire _T_3616 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 528:73] - wire _T_3617 = buf_write[0] & _T_3616; // @[el2_lsu_bus_buffer.scala 528:71] - wire _T_3618 = io_dec_tlu_force_halt | _T_3617; // @[el2_lsu_bus_buffer.scala 528:55] - wire _T_3620 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 529:30] - wire _T_3621 = buf_dual_0 & _T_3620; // @[el2_lsu_bus_buffer.scala 529:28] - wire _T_3624 = _T_3621 & _T_3667; // @[el2_lsu_bus_buffer.scala 529:45] - wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 529:90] - wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 529:90] - wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 529:90] - wire _T_3625 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 529:90] - wire _T_3626 = _T_3624 & _T_3625; // @[el2_lsu_bus_buffer.scala 529:61] - wire _T_4521 = _T_2770 | _T_2767; // @[el2_lsu_bus_buffer.scala 587:93] - wire _T_4522 = _T_4521 | _T_2764; // @[el2_lsu_bus_buffer.scala 587:93] - wire any_done_wait_state = _T_4522 | _T_2761; // @[el2_lsu_bus_buffer.scala 587:93] - wire _T_3628 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 530:31] - wire _T_3634 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_3636 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_3638 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_3640 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_3642 = _T_3634 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3643 = _T_3636 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3644 = _T_3638 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3645 = _T_3640 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3646 = _T_3642 | _T_3643; // @[Mux.scala 27:72] - wire _T_3647 = _T_3646 | _T_3644; // @[Mux.scala 27:72] - wire _T_3648 = _T_3647 | _T_3645; // @[Mux.scala 27:72] - wire _T_3650 = _T_3624 & _T_3648; // @[el2_lsu_bus_buffer.scala 530:101] - wire _T_3651 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 530:167] - wire _T_3652 = _T_3650 & _T_3651; // @[el2_lsu_bus_buffer.scala 530:138] - wire _T_3653 = _T_3652 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 530:187] - wire _T_3654 = _T_3628 | _T_3653; // @[el2_lsu_bus_buffer.scala 530:53] - wire _T_3677 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 537:47] - wire _T_3678 = _T_3677 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 537:62] - wire _T_3692 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 541:50] - wire _T_3693 = buf_state_en_0 & _T_3692; // @[el2_lsu_bus_buffer.scala 541:48] - wire _T_3705 = buf_ldfwd[0] | _T_3710[0]; // @[el2_lsu_bus_buffer.scala 544:90] - wire _T_3706 = _T_3705 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 544:118] - wire _GEN_29 = _T_3726 & buf_state_en_0; // @[Conditional.scala 39:67] - wire _GEN_32 = _T_3718 ? 1'h0 : _T_3726; // @[Conditional.scala 39:67] - wire _GEN_34 = _T_3718 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] - wire _GEN_38 = _T_3700 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] - wire _GEN_40 = _T_3700 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] - wire _GEN_45 = _T_3613 & _T_3678; // @[Conditional.scala 39:67] - wire _GEN_48 = _T_3613 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] - wire _GEN_50 = _T_3613 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] - wire _GEN_56 = _T_3579 ? _T_3599 : _GEN_50; // @[Conditional.scala 39:67] - wire _GEN_58 = _T_3579 ? _T_3603 : _GEN_45; // @[Conditional.scala 39:67] - wire _GEN_62 = _T_3579 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] - wire _GEN_68 = _T_3575 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] - wire _GEN_70 = _T_3575 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] - wire _GEN_74 = _T_3575 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] - wire buf_wr_en_0 = _T_3552 & buf_state_en_0; // @[Conditional.scala 40:58] - wire buf_data_en_0 = _T_3552 ? buf_state_en_0 : _GEN_70; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_0 = _T_3552 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] - wire buf_rst_0 = _T_3552 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire _T_3789 = buf_state_en_1 & _T_3860; // @[el2_lsu_bus_buffer.scala 521:44] - wire _T_3790 = _T_3789 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 521:60] - wire _T_3792 = _T_3790 & _T_1354; // @[el2_lsu_bus_buffer.scala 521:74] - wire _T_3795 = _T_3785 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 523:67] - wire _T_3796 = _T_3795 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 523:81] - wire _T_3799 = _T_3795 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 524:82] - wire _T_3874 = bus_rsp_read_error & _T_3853; // @[el2_lsu_bus_buffer.scala 538:91] - wire _T_3876 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 539:31] - wire _T_3878 = _T_3876 & _T_3855; // @[el2_lsu_bus_buffer.scala 539:46] - wire _T_3879 = _T_3874 | _T_3878; // @[el2_lsu_bus_buffer.scala 538:143] - wire _T_3882 = bus_rsp_write_error & _T_3851; // @[el2_lsu_bus_buffer.scala 540:53] - wire _T_3883 = _T_3879 | _T_3882; // @[el2_lsu_bus_buffer.scala 539:88] - wire _T_3884 = _T_3785 & _T_3883; // @[el2_lsu_bus_buffer.scala 538:68] - wire _GEN_122 = _T_3806 & _T_3884; // @[Conditional.scala 39:67] - wire _GEN_135 = _T_3772 ? _T_3799 : _GEN_122; // @[Conditional.scala 39:67] - wire _GEN_147 = _T_3768 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] - wire buf_error_en_1 = _T_3745 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire _T_3810 = buf_write[1] & _T_3616; // @[el2_lsu_bus_buffer.scala 528:71] - wire _T_3811 = io_dec_tlu_force_halt | _T_3810; // @[el2_lsu_bus_buffer.scala 528:55] - wire _T_3813 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 529:30] - wire _T_3814 = buf_dual_1 & _T_3813; // @[el2_lsu_bus_buffer.scala 529:28] - wire _T_3817 = _T_3814 & _T_3860; // @[el2_lsu_bus_buffer.scala 529:45] - wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 529:90] - wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 529:90] - wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 529:90] - wire _T_3818 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 529:90] - wire _T_3819 = _T_3817 & _T_3818; // @[el2_lsu_bus_buffer.scala 529:61] - wire _T_3821 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 530:31] - wire _T_3827 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_3829 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_3831 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_3833 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_3835 = _T_3827 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3836 = _T_3829 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3837 = _T_3831 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3838 = _T_3833 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3839 = _T_3835 | _T_3836; // @[Mux.scala 27:72] - wire _T_3840 = _T_3839 | _T_3837; // @[Mux.scala 27:72] - wire _T_3841 = _T_3840 | _T_3838; // @[Mux.scala 27:72] - wire _T_3843 = _T_3817 & _T_3841; // @[el2_lsu_bus_buffer.scala 530:101] - wire _T_3844 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 530:167] - wire _T_3845 = _T_3843 & _T_3844; // @[el2_lsu_bus_buffer.scala 530:138] - wire _T_3846 = _T_3845 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 530:187] - wire _T_3847 = _T_3821 | _T_3846; // @[el2_lsu_bus_buffer.scala 530:53] - wire _T_3870 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 537:47] - wire _T_3871 = _T_3870 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 537:62] - wire _T_3885 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 541:50] - wire _T_3886 = buf_state_en_1 & _T_3885; // @[el2_lsu_bus_buffer.scala 541:48] - wire _T_3898 = buf_ldfwd[1] | _T_3903[0]; // @[el2_lsu_bus_buffer.scala 544:90] - wire _T_3899 = _T_3898 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 544:118] - wire _GEN_105 = _T_3919 & buf_state_en_1; // @[Conditional.scala 39:67] - wire _GEN_108 = _T_3911 ? 1'h0 : _T_3919; // @[Conditional.scala 39:67] - wire _GEN_110 = _T_3911 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] - wire _GEN_114 = _T_3893 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] - wire _GEN_116 = _T_3893 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] - wire _GEN_121 = _T_3806 & _T_3871; // @[Conditional.scala 39:67] - wire _GEN_124 = _T_3806 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] - wire _GEN_126 = _T_3806 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] - wire _GEN_132 = _T_3772 ? _T_3792 : _GEN_126; // @[Conditional.scala 39:67] - wire _GEN_134 = _T_3772 ? _T_3796 : _GEN_121; // @[Conditional.scala 39:67] - wire _GEN_138 = _T_3772 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] - wire _GEN_144 = _T_3768 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] - wire _GEN_146 = _T_3768 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] - wire _GEN_150 = _T_3768 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] - wire buf_wr_en_1 = _T_3745 & buf_state_en_1; // @[Conditional.scala 40:58] - wire buf_data_en_1 = _T_3745 ? buf_state_en_1 : _GEN_146; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_1 = _T_3745 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] - wire buf_rst_1 = _T_3745 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire _T_3982 = buf_state_en_2 & _T_4053; // @[el2_lsu_bus_buffer.scala 521:44] - wire _T_3983 = _T_3982 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 521:60] - wire _T_3985 = _T_3983 & _T_1354; // @[el2_lsu_bus_buffer.scala 521:74] - wire _T_3988 = _T_3978 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 523:67] - wire _T_3989 = _T_3988 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 523:81] - wire _T_3992 = _T_3988 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 524:82] - wire _T_4067 = bus_rsp_read_error & _T_4046; // @[el2_lsu_bus_buffer.scala 538:91] - wire _T_4069 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 539:31] - wire _T_4071 = _T_4069 & _T_4048; // @[el2_lsu_bus_buffer.scala 539:46] - wire _T_4072 = _T_4067 | _T_4071; // @[el2_lsu_bus_buffer.scala 538:143] - wire _T_4075 = bus_rsp_write_error & _T_4044; // @[el2_lsu_bus_buffer.scala 540:53] - wire _T_4076 = _T_4072 | _T_4075; // @[el2_lsu_bus_buffer.scala 539:88] - wire _T_4077 = _T_3978 & _T_4076; // @[el2_lsu_bus_buffer.scala 538:68] - wire _GEN_198 = _T_3999 & _T_4077; // @[Conditional.scala 39:67] - wire _GEN_211 = _T_3965 ? _T_3992 : _GEN_198; // @[Conditional.scala 39:67] - wire _GEN_223 = _T_3961 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] - wire buf_error_en_2 = _T_3938 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire _T_4003 = buf_write[2] & _T_3616; // @[el2_lsu_bus_buffer.scala 528:71] - wire _T_4004 = io_dec_tlu_force_halt | _T_4003; // @[el2_lsu_bus_buffer.scala 528:55] - wire _T_4006 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 529:30] - wire _T_4007 = buf_dual_2 & _T_4006; // @[el2_lsu_bus_buffer.scala 529:28] - wire _T_4010 = _T_4007 & _T_4053; // @[el2_lsu_bus_buffer.scala 529:45] - wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 529:90] - wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 529:90] - wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 529:90] - wire _T_4011 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 529:90] - wire _T_4012 = _T_4010 & _T_4011; // @[el2_lsu_bus_buffer.scala 529:61] - wire _T_4014 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 530:31] - wire _T_4020 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_4022 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_4024 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_4026 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_4028 = _T_4020 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_4029 = _T_4022 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_4030 = _T_4024 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_4031 = _T_4026 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_4032 = _T_4028 | _T_4029; // @[Mux.scala 27:72] - wire _T_4033 = _T_4032 | _T_4030; // @[Mux.scala 27:72] - wire _T_4034 = _T_4033 | _T_4031; // @[Mux.scala 27:72] - wire _T_4036 = _T_4010 & _T_4034; // @[el2_lsu_bus_buffer.scala 530:101] - wire _T_4037 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 530:167] - wire _T_4038 = _T_4036 & _T_4037; // @[el2_lsu_bus_buffer.scala 530:138] - wire _T_4039 = _T_4038 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 530:187] - wire _T_4040 = _T_4014 | _T_4039; // @[el2_lsu_bus_buffer.scala 530:53] - wire _T_4063 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 537:47] - wire _T_4064 = _T_4063 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 537:62] - wire _T_4078 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 541:50] - wire _T_4079 = buf_state_en_2 & _T_4078; // @[el2_lsu_bus_buffer.scala 541:48] - wire _T_4091 = buf_ldfwd[2] | _T_4096[0]; // @[el2_lsu_bus_buffer.scala 544:90] - wire _T_4092 = _T_4091 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 544:118] - wire _GEN_181 = _T_4112 & buf_state_en_2; // @[Conditional.scala 39:67] - wire _GEN_184 = _T_4104 ? 1'h0 : _T_4112; // @[Conditional.scala 39:67] - wire _GEN_186 = _T_4104 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] - wire _GEN_190 = _T_4086 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] - wire _GEN_192 = _T_4086 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] - wire _GEN_197 = _T_3999 & _T_4064; // @[Conditional.scala 39:67] - wire _GEN_200 = _T_3999 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] - wire _GEN_202 = _T_3999 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] - wire _GEN_208 = _T_3965 ? _T_3985 : _GEN_202; // @[Conditional.scala 39:67] - wire _GEN_210 = _T_3965 ? _T_3989 : _GEN_197; // @[Conditional.scala 39:67] - wire _GEN_214 = _T_3965 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] - wire _GEN_220 = _T_3961 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] - wire _GEN_222 = _T_3961 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] - wire _GEN_226 = _T_3961 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] - wire buf_wr_en_2 = _T_3938 & buf_state_en_2; // @[Conditional.scala 40:58] - wire buf_data_en_2 = _T_3938 ? buf_state_en_2 : _GEN_222; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_2 = _T_3938 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] - wire buf_rst_2 = _T_3938 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire _T_4175 = buf_state_en_3 & _T_4246; // @[el2_lsu_bus_buffer.scala 521:44] - wire _T_4176 = _T_4175 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 521:60] - wire _T_4178 = _T_4176 & _T_1354; // @[el2_lsu_bus_buffer.scala 521:74] - wire _T_4181 = _T_4171 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 523:67] - wire _T_4182 = _T_4181 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 523:81] - wire _T_4185 = _T_4181 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 524:82] - wire _T_4260 = bus_rsp_read_error & _T_4239; // @[el2_lsu_bus_buffer.scala 538:91] - wire _T_4262 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 539:31] - wire _T_4264 = _T_4262 & _T_4241; // @[el2_lsu_bus_buffer.scala 539:46] - wire _T_4265 = _T_4260 | _T_4264; // @[el2_lsu_bus_buffer.scala 538:143] - wire _T_4268 = bus_rsp_write_error & _T_4237; // @[el2_lsu_bus_buffer.scala 540:53] - wire _T_4269 = _T_4265 | _T_4268; // @[el2_lsu_bus_buffer.scala 539:88] - wire _T_4270 = _T_4171 & _T_4269; // @[el2_lsu_bus_buffer.scala 538:68] - wire _GEN_274 = _T_4192 & _T_4270; // @[Conditional.scala 39:67] - wire _GEN_287 = _T_4158 ? _T_4185 : _GEN_274; // @[Conditional.scala 39:67] - wire _GEN_299 = _T_4154 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] - wire buf_error_en_3 = _T_4131 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire _T_4196 = buf_write[3] & _T_3616; // @[el2_lsu_bus_buffer.scala 528:71] - wire _T_4197 = io_dec_tlu_force_halt | _T_4196; // @[el2_lsu_bus_buffer.scala 528:55] - wire _T_4199 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 529:30] - wire _T_4200 = buf_dual_3 & _T_4199; // @[el2_lsu_bus_buffer.scala 529:28] - wire _T_4203 = _T_4200 & _T_4246; // @[el2_lsu_bus_buffer.scala 529:45] - wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 529:90] - wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 529:90] - wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 529:90] - wire _T_4204 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 529:90] - wire _T_4205 = _T_4203 & _T_4204; // @[el2_lsu_bus_buffer.scala 529:61] - wire _T_4207 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 530:31] - wire _T_4213 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_4215 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_4217 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_4219 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 119:118] - wire _T_4221 = _T_4213 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_4222 = _T_4215 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_4223 = _T_4217 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_4224 = _T_4219 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_4225 = _T_4221 | _T_4222; // @[Mux.scala 27:72] - wire _T_4226 = _T_4225 | _T_4223; // @[Mux.scala 27:72] - wire _T_4227 = _T_4226 | _T_4224; // @[Mux.scala 27:72] - wire _T_4229 = _T_4203 & _T_4227; // @[el2_lsu_bus_buffer.scala 530:101] - wire _T_4230 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 530:167] - wire _T_4231 = _T_4229 & _T_4230; // @[el2_lsu_bus_buffer.scala 530:138] - wire _T_4232 = _T_4231 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 530:187] - wire _T_4233 = _T_4207 | _T_4232; // @[el2_lsu_bus_buffer.scala 530:53] - wire _T_4256 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 537:47] - wire _T_4257 = _T_4256 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 537:62] - wire _T_4271 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 541:50] - wire _T_4272 = buf_state_en_3 & _T_4271; // @[el2_lsu_bus_buffer.scala 541:48] - wire _T_4284 = buf_ldfwd[3] | _T_4289[0]; // @[el2_lsu_bus_buffer.scala 544:90] - wire _T_4285 = _T_4284 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 544:118] - wire _GEN_257 = _T_4305 & buf_state_en_3; // @[Conditional.scala 39:67] - wire _GEN_260 = _T_4297 ? 1'h0 : _T_4305; // @[Conditional.scala 39:67] - wire _GEN_262 = _T_4297 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] - wire _GEN_266 = _T_4279 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] - wire _GEN_268 = _T_4279 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] - wire _GEN_273 = _T_4192 & _T_4257; // @[Conditional.scala 39:67] - wire _GEN_276 = _T_4192 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] - wire _GEN_278 = _T_4192 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] - wire _GEN_284 = _T_4158 ? _T_4178 : _GEN_278; // @[Conditional.scala 39:67] - wire _GEN_286 = _T_4158 ? _T_4182 : _GEN_273; // @[Conditional.scala 39:67] - wire _GEN_290 = _T_4158 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] - wire _GEN_296 = _T_4154 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] - wire _GEN_298 = _T_4154 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] - wire _GEN_302 = _T_4154 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] - wire buf_wr_en_3 = _T_4131 & buf_state_en_3; // @[Conditional.scala 40:58] - wire buf_data_en_3 = _T_4131 ? buf_state_en_3 : _GEN_298; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_3 = _T_4131 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] - wire buf_rst_3 = _T_4131 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] + wire [2:0] _GEN_383 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 521:94] + wire _T_4246 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 521:94] + wire _T_4247 = _T_4245 & _T_4246; // @[el2_lsu_bus_buffer.scala 521:74] + wire _T_4248 = _T_4240 | _T_4247; // @[el2_lsu_bus_buffer.scala 520:71] + wire _T_4249 = bus_rsp_read & _T_4248; // @[el2_lsu_bus_buffer.scala 519:25] + wire _T_4250 = _T_4235 | _T_4249; // @[el2_lsu_bus_buffer.scala 518:105] + wire _GEN_270 = _T_4189 & _T_4250; // @[Conditional.scala 39:67] + wire _GEN_289 = _T_4155 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] + wire _GEN_301 = _T_4151 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_3 = _T_4128 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] + wire _T_4276 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] + wire [3:0] _T_4286 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 533:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 533:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 533:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 533:58] + wire [2:0] _GEN_385 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 533:58] + wire _T_4288 = io_lsu_axi_rid == _GEN_385; // @[el2_lsu_bus_buffer.scala 533:58] + wire _T_4289 = _T_4286[0] & _T_4288; // @[el2_lsu_bus_buffer.scala 533:38] + wire _T_4290 = _T_4246 | _T_4289; // @[el2_lsu_bus_buffer.scala 532:95] + wire _T_4291 = bus_rsp_read & _T_4290; // @[el2_lsu_bus_buffer.scala 532:45] + wire _GEN_264 = _T_4276 & _T_4291; // @[Conditional.scala 39:67] + wire _GEN_271 = _T_4189 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] + wire _GEN_281 = _T_4155 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] + wire _GEN_294 = _T_4151 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] + wire buf_state_bus_en_3 = _T_4128 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] + wire _T_4168 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 506:49] + wire _T_4169 = _T_4168 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 506:70] + wire _T_4294 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4297 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 538:37] + wire _T_4298 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 538:98] + wire _T_4299 = buf_dual_3 & _T_4298; // @[el2_lsu_bus_buffer.scala 538:80] + wire _T_4300 = _T_4297 | _T_4299; // @[el2_lsu_bus_buffer.scala 538:65] + wire _T_4301 = _T_4300 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 538:112] + wire _T_4302 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] + wire _GEN_259 = _T_4294 ? _T_4301 : _T_4302; // @[Conditional.scala 39:67] + wire _GEN_265 = _T_4276 ? _T_4169 : _GEN_259; // @[Conditional.scala 39:67] + wire _GEN_272 = _T_4189 ? _T_4169 : _GEN_265; // @[Conditional.scala 39:67] + wire _GEN_282 = _T_4155 ? _T_4169 : _GEN_272; // @[Conditional.scala 39:67] + wire _GEN_292 = _T_4151 ? _T_3575 : _GEN_282; // @[Conditional.scala 39:67] + wire buf_state_en_3 = _T_4128 ? _T_4144 : _GEN_292; // @[Conditional.scala 40:58] + wire _T_2456 = _T_1907 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 455:94] + wire _T_2466 = _T_2158 & _T_1910; // @[el2_lsu_bus_buffer.scala 457:71] + wire _T_2468 = _T_2466 & _T_1875; // @[el2_lsu_bus_buffer.scala 457:92] + wire _T_2469 = _T_4492 | _T_2468; // @[el2_lsu_bus_buffer.scala 456:86] + wire _T_2473 = _T_2165 & _T_1911; // @[el2_lsu_bus_buffer.scala 458:52] + wire _T_2475 = _T_2473 & _T_1877; // @[el2_lsu_bus_buffer.scala 458:73] + wire _T_2476 = _T_2469 | _T_2475; // @[el2_lsu_bus_buffer.scala 457:114] + wire _T_2477 = _T_2456 & _T_2476; // @[el2_lsu_bus_buffer.scala 455:113] + wire _T_2479 = _T_2477 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 458:97] + wire _T_2493 = _T_2466 & _T_1886; // @[el2_lsu_bus_buffer.scala 457:92] + wire _T_2494 = _T_4497 | _T_2493; // @[el2_lsu_bus_buffer.scala 456:86] + wire _T_2500 = _T_2473 & _T_1888; // @[el2_lsu_bus_buffer.scala 458:73] + wire _T_2501 = _T_2494 | _T_2500; // @[el2_lsu_bus_buffer.scala 457:114] + wire _T_2502 = _T_2456 & _T_2501; // @[el2_lsu_bus_buffer.scala 455:113] + wire _T_2504 = _T_2502 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 458:97] + wire _T_2518 = _T_2466 & _T_1897; // @[el2_lsu_bus_buffer.scala 457:92] + wire _T_2519 = _T_4502 | _T_2518; // @[el2_lsu_bus_buffer.scala 456:86] + wire _T_2525 = _T_2473 & _T_1899; // @[el2_lsu_bus_buffer.scala 458:73] + wire _T_2526 = _T_2519 | _T_2525; // @[el2_lsu_bus_buffer.scala 457:114] + wire _T_2527 = _T_2456 & _T_2526; // @[el2_lsu_bus_buffer.scala 455:113] + wire _T_2529 = _T_2527 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 458:97] + wire _T_2543 = _T_2466 & _T_1908; // @[el2_lsu_bus_buffer.scala 457:92] + wire _T_2544 = _T_4507 | _T_2543; // @[el2_lsu_bus_buffer.scala 456:86] + wire _T_2550 = _T_2473 & _T_1910; // @[el2_lsu_bus_buffer.scala 458:73] + wire _T_2551 = _T_2544 | _T_2550; // @[el2_lsu_bus_buffer.scala 457:114] + wire _T_2552 = _T_2456 & _T_2551; // @[el2_lsu_bus_buffer.scala 455:113] + wire _T_2554 = _T_2552 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 458:97] + wire [2:0] _T_2556 = {_T_2554,_T_2529,_T_2504}; // @[Cat.scala 29:58] + wire _T_2820 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 466:49] + wire _T_2821 = _T_1874 | _T_2820; // @[el2_lsu_bus_buffer.scala 466:34] + wire _T_2822 = ~_T_2821; // @[el2_lsu_bus_buffer.scala 466:8] + wire _T_2830 = _T_2822 | _T_2162; // @[el2_lsu_bus_buffer.scala 466:61] + wire _T_2837 = _T_2830 | _T_2169; // @[el2_lsu_bus_buffer.scala 467:112] + wire _T_2838 = _T_2150 & _T_2837; // @[el2_lsu_bus_buffer.scala 465:114] + wire _T_2842 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 466:49] + wire _T_2843 = _T_1885 | _T_2842; // @[el2_lsu_bus_buffer.scala 466:34] + wire _T_2844 = ~_T_2843; // @[el2_lsu_bus_buffer.scala 466:8] + wire _T_2852 = _T_2844 | _T_2187; // @[el2_lsu_bus_buffer.scala 466:61] + wire _T_2859 = _T_2852 | _T_2194; // @[el2_lsu_bus_buffer.scala 467:112] + wire _T_2860 = _T_2150 & _T_2859; // @[el2_lsu_bus_buffer.scala 465:114] + wire _T_2864 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 466:49] + wire _T_2865 = _T_1896 | _T_2864; // @[el2_lsu_bus_buffer.scala 466:34] + wire _T_2866 = ~_T_2865; // @[el2_lsu_bus_buffer.scala 466:8] + wire _T_2874 = _T_2866 | _T_2212; // @[el2_lsu_bus_buffer.scala 466:61] + wire _T_2881 = _T_2874 | _T_2219; // @[el2_lsu_bus_buffer.scala 467:112] + wire _T_2882 = _T_2150 & _T_2881; // @[el2_lsu_bus_buffer.scala 465:114] + wire _T_2886 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 466:49] + wire _T_2887 = _T_1907 | _T_2886; // @[el2_lsu_bus_buffer.scala 466:34] + wire _T_2888 = ~_T_2887; // @[el2_lsu_bus_buffer.scala 466:8] + wire _T_2896 = _T_2888 | _T_2237; // @[el2_lsu_bus_buffer.scala 466:61] + wire _T_2903 = _T_2896 | _T_2244; // @[el2_lsu_bus_buffer.scala 467:112] + wire _T_2904 = _T_2150 & _T_2903; // @[el2_lsu_bus_buffer.scala 465:114] + wire [3:0] buf_rspage_set_0 = {_T_2904,_T_2882,_T_2860,_T_2838}; // @[Cat.scala 29:58] + wire _T_2921 = _T_2822 | _T_2264; // @[el2_lsu_bus_buffer.scala 466:61] + wire _T_2928 = _T_2921 | _T_2271; // @[el2_lsu_bus_buffer.scala 467:112] + wire _T_2929 = _T_2252 & _T_2928; // @[el2_lsu_bus_buffer.scala 465:114] + wire _T_2943 = _T_2844 | _T_2289; // @[el2_lsu_bus_buffer.scala 466:61] + wire _T_2950 = _T_2943 | _T_2296; // @[el2_lsu_bus_buffer.scala 467:112] + wire _T_2951 = _T_2252 & _T_2950; // @[el2_lsu_bus_buffer.scala 465:114] + wire _T_2965 = _T_2866 | _T_2314; // @[el2_lsu_bus_buffer.scala 466:61] + wire _T_2972 = _T_2965 | _T_2321; // @[el2_lsu_bus_buffer.scala 467:112] + wire _T_2973 = _T_2252 & _T_2972; // @[el2_lsu_bus_buffer.scala 465:114] + wire _T_2987 = _T_2888 | _T_2339; // @[el2_lsu_bus_buffer.scala 466:61] + wire _T_2994 = _T_2987 | _T_2346; // @[el2_lsu_bus_buffer.scala 467:112] + wire _T_2995 = _T_2252 & _T_2994; // @[el2_lsu_bus_buffer.scala 465:114] + wire [3:0] buf_rspage_set_1 = {_T_2995,_T_2973,_T_2951,_T_2929}; // @[Cat.scala 29:58] + wire _T_3012 = _T_2822 | _T_2366; // @[el2_lsu_bus_buffer.scala 466:61] + wire _T_3019 = _T_3012 | _T_2373; // @[el2_lsu_bus_buffer.scala 467:112] + wire _T_3020 = _T_2354 & _T_3019; // @[el2_lsu_bus_buffer.scala 465:114] + wire _T_3034 = _T_2844 | _T_2391; // @[el2_lsu_bus_buffer.scala 466:61] + wire _T_3041 = _T_3034 | _T_2398; // @[el2_lsu_bus_buffer.scala 467:112] + wire _T_3042 = _T_2354 & _T_3041; // @[el2_lsu_bus_buffer.scala 465:114] + wire _T_3056 = _T_2866 | _T_2416; // @[el2_lsu_bus_buffer.scala 466:61] + wire _T_3063 = _T_3056 | _T_2423; // @[el2_lsu_bus_buffer.scala 467:112] + wire _T_3064 = _T_2354 & _T_3063; // @[el2_lsu_bus_buffer.scala 465:114] + wire _T_3078 = _T_2888 | _T_2441; // @[el2_lsu_bus_buffer.scala 466:61] + wire _T_3085 = _T_3078 | _T_2448; // @[el2_lsu_bus_buffer.scala 467:112] + wire _T_3086 = _T_2354 & _T_3085; // @[el2_lsu_bus_buffer.scala 465:114] + wire [3:0] buf_rspage_set_2 = {_T_3086,_T_3064,_T_3042,_T_3020}; // @[Cat.scala 29:58] + wire _T_3103 = _T_2822 | _T_2468; // @[el2_lsu_bus_buffer.scala 466:61] + wire _T_3110 = _T_3103 | _T_2475; // @[el2_lsu_bus_buffer.scala 467:112] + wire _T_3111 = _T_2456 & _T_3110; // @[el2_lsu_bus_buffer.scala 465:114] + wire _T_3125 = _T_2844 | _T_2493; // @[el2_lsu_bus_buffer.scala 466:61] + wire _T_3132 = _T_3125 | _T_2500; // @[el2_lsu_bus_buffer.scala 467:112] + wire _T_3133 = _T_2456 & _T_3132; // @[el2_lsu_bus_buffer.scala 465:114] + wire _T_3147 = _T_2866 | _T_2518; // @[el2_lsu_bus_buffer.scala 466:61] + wire _T_3154 = _T_3147 | _T_2525; // @[el2_lsu_bus_buffer.scala 467:112] + wire _T_3155 = _T_2456 & _T_3154; // @[el2_lsu_bus_buffer.scala 465:114] + wire _T_3169 = _T_2888 | _T_2543; // @[el2_lsu_bus_buffer.scala 466:61] + wire _T_3176 = _T_3169 | _T_2550; // @[el2_lsu_bus_buffer.scala 467:112] + wire _T_3177 = _T_2456 & _T_3176; // @[el2_lsu_bus_buffer.scala 465:114] + wire [3:0] buf_rspage_set_3 = {_T_3177,_T_3155,_T_3133,_T_3111}; // @[Cat.scala 29:58] + wire _T_3262 = _T_2886 | _T_1907; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3263 = ~_T_3262; // @[el2_lsu_bus_buffer.scala 470:86] + wire _T_3264 = buf_rspageQ_0[3] & _T_3263; // @[el2_lsu_bus_buffer.scala 470:84] + wire _T_3256 = _T_2864 | _T_1896; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3257 = ~_T_3256; // @[el2_lsu_bus_buffer.scala 470:86] + wire _T_3258 = buf_rspageQ_0[2] & _T_3257; // @[el2_lsu_bus_buffer.scala 470:84] + wire _T_3250 = _T_2842 | _T_1885; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3251 = ~_T_3250; // @[el2_lsu_bus_buffer.scala 470:86] + wire _T_3252 = buf_rspageQ_0[1] & _T_3251; // @[el2_lsu_bus_buffer.scala 470:84] + wire _T_3244 = _T_2820 | _T_1874; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3245 = ~_T_3244; // @[el2_lsu_bus_buffer.scala 470:86] + wire _T_3246 = buf_rspageQ_0[0] & _T_3245; // @[el2_lsu_bus_buffer.scala 470:84] + wire [3:0] buf_rspage_0 = {_T_3264,_T_3258,_T_3252,_T_3246}; // @[Cat.scala 29:58] + wire _T_3183 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 469:90] + wire _T_3186 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 469:90] + wire _T_3189 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 469:90] + wire _T_3192 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 469:90] + wire [2:0] _T_3194 = {_T_3192,_T_3189,_T_3186}; // @[Cat.scala 29:58] + wire _T_3291 = buf_rspageQ_1[3] & _T_3263; // @[el2_lsu_bus_buffer.scala 470:84] + wire _T_3285 = buf_rspageQ_1[2] & _T_3257; // @[el2_lsu_bus_buffer.scala 470:84] + wire _T_3279 = buf_rspageQ_1[1] & _T_3251; // @[el2_lsu_bus_buffer.scala 470:84] + wire _T_3273 = buf_rspageQ_1[0] & _T_3245; // @[el2_lsu_bus_buffer.scala 470:84] + wire [3:0] buf_rspage_1 = {_T_3291,_T_3285,_T_3279,_T_3273}; // @[Cat.scala 29:58] + wire _T_3198 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 469:90] + wire _T_3201 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 469:90] + wire _T_3204 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 469:90] + wire _T_3207 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 469:90] + wire [2:0] _T_3209 = {_T_3207,_T_3204,_T_3201}; // @[Cat.scala 29:58] + wire _T_3318 = buf_rspageQ_2[3] & _T_3263; // @[el2_lsu_bus_buffer.scala 470:84] + wire _T_3312 = buf_rspageQ_2[2] & _T_3257; // @[el2_lsu_bus_buffer.scala 470:84] + wire _T_3306 = buf_rspageQ_2[1] & _T_3251; // @[el2_lsu_bus_buffer.scala 470:84] + wire _T_3300 = buf_rspageQ_2[0] & _T_3245; // @[el2_lsu_bus_buffer.scala 470:84] + wire [3:0] buf_rspage_2 = {_T_3318,_T_3312,_T_3306,_T_3300}; // @[Cat.scala 29:58] + wire _T_3213 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 469:90] + wire _T_3216 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 469:90] + wire _T_3219 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 469:90] + wire _T_3222 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 469:90] + wire [2:0] _T_3224 = {_T_3222,_T_3219,_T_3216}; // @[Cat.scala 29:58] + wire _T_3345 = buf_rspageQ_3[3] & _T_3263; // @[el2_lsu_bus_buffer.scala 470:84] + wire _T_3339 = buf_rspageQ_3[2] & _T_3257; // @[el2_lsu_bus_buffer.scala 470:84] + wire _T_3333 = buf_rspageQ_3[1] & _T_3251; // @[el2_lsu_bus_buffer.scala 470:84] + wire _T_3327 = buf_rspageQ_3[0] & _T_3245; // @[el2_lsu_bus_buffer.scala 470:84] + wire [3:0] buf_rspage_3 = {_T_3345,_T_3339,_T_3333,_T_3327}; // @[Cat.scala 29:58] + wire _T_3228 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 469:90] + wire _T_3231 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 469:90] + wire _T_3234 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 469:90] + wire _T_3237 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 469:90] + wire [2:0] _T_3239 = {_T_3237,_T_3234,_T_3231}; // @[Cat.scala 29:58] + wire _T_3350 = ibuf_drain_vld & _T_1875; // @[el2_lsu_bus_buffer.scala 475:65] + wire _T_3352 = ibuf_drain_vld & _T_1886; // @[el2_lsu_bus_buffer.scala 475:65] + wire _T_3354 = ibuf_drain_vld & _T_1897; // @[el2_lsu_bus_buffer.scala 475:65] + wire _T_3356 = ibuf_drain_vld & _T_1908; // @[el2_lsu_bus_buffer.scala 475:65] + wire [3:0] ibuf_drainvec_vld = {_T_3356,_T_3354,_T_3352,_T_3350}; // @[Cat.scala 29:58] + wire _T_3364 = _T_3558 & _T_1878; // @[el2_lsu_bus_buffer.scala 477:37] + wire _T_3373 = _T_3558 & _T_1889; // @[el2_lsu_bus_buffer.scala 477:37] + wire _T_3382 = _T_3558 & _T_1900; // @[el2_lsu_bus_buffer.scala 477:37] + wire _T_3391 = _T_3558 & _T_1911; // @[el2_lsu_bus_buffer.scala 477:37] + wire _T_3421 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 479:47] + wire _T_3423 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 479:47] + wire _T_3425 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 479:47] + wire _T_3427 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 479:47] + wire [3:0] buf_dual_in = {_T_3427,_T_3425,_T_3423,_T_3421}; // @[Cat.scala 29:58] + wire _T_3432 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 480:49] + wire _T_3434 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 480:49] + wire _T_3436 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 480:49] + wire _T_3438 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 480:49] + wire [3:0] buf_samedw_in = {_T_3438,_T_3436,_T_3434,_T_3432}; // @[Cat.scala 29:58] + wire _T_3443 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 481:86] + wire _T_3444 = ibuf_drainvec_vld[0] ? _T_3443 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 481:50] + wire _T_3447 = ibuf_drainvec_vld[1] ? _T_3443 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 481:50] + wire _T_3450 = ibuf_drainvec_vld[2] ? _T_3443 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 481:50] + wire _T_3453 = ibuf_drainvec_vld[3] ? _T_3443 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 481:50] + wire [3:0] buf_nomerge_in = {_T_3453,_T_3450,_T_3447,_T_3444}; // @[Cat.scala 29:58] + wire _T_3461 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3364; // @[el2_lsu_bus_buffer.scala 482:49] + wire _T_3466 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3373; // @[el2_lsu_bus_buffer.scala 482:49] + wire _T_3471 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3382; // @[el2_lsu_bus_buffer.scala 482:49] + wire _T_3476 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3391; // @[el2_lsu_bus_buffer.scala 482:49] + wire [3:0] buf_dualhi_in = {_T_3476,_T_3471,_T_3466,_T_3461}; // @[Cat.scala 29:58] + wire _T_3505 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 484:53] + wire _T_3507 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 484:53] + wire _T_3509 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 484:53] + wire _T_3511 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 484:53] + wire [3:0] buf_sideeffect_in = {_T_3511,_T_3509,_T_3507,_T_3505}; // @[Cat.scala 29:58] + wire _T_3516 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 485:49] + wire _T_3518 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 485:49] + wire _T_3520 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 485:49] + wire _T_3522 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 485:49] + wire [3:0] buf_unsign_in = {_T_3522,_T_3520,_T_3518,_T_3516}; // @[Cat.scala 29:58] + wire _T_3539 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 487:48] + wire _T_3541 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 487:48] + wire _T_3543 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 487:48] + wire _T_3545 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 487:48] + wire [3:0] buf_write_in = {_T_3545,_T_3543,_T_3541,_T_3539}; // @[Cat.scala 29:58] + wire _T_3578 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 503:89] + wire _T_3580 = _T_3578 & _T_1372; // @[el2_lsu_bus_buffer.scala 503:104] + wire _T_3593 = buf_state_en_0 & _T_3664; // @[el2_lsu_bus_buffer.scala 508:44] + wire _T_3594 = _T_3593 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 508:60] + wire _T_3596 = _T_3594 & _T_1354; // @[el2_lsu_bus_buffer.scala 508:74] + wire _T_3599 = _T_3589 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 510:67] + wire _T_3600 = _T_3599 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 510:81] + wire _T_4890 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 614:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4890; // @[el2_lsu_bus_buffer.scala 614:38] + wire _T_3603 = _T_3599 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 511:82] + wire _T_3678 = bus_rsp_read_error & _T_3657; // @[el2_lsu_bus_buffer.scala 525:91] + wire _T_3680 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 526:31] + wire _T_3682 = _T_3680 & _T_3659; // @[el2_lsu_bus_buffer.scala 526:46] + wire _T_3683 = _T_3678 | _T_3682; // @[el2_lsu_bus_buffer.scala 525:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4890; // @[el2_lsu_bus_buffer.scala 613:40] + wire _T_3686 = bus_rsp_write_error & _T_3655; // @[el2_lsu_bus_buffer.scala 527:53] + wire _T_3687 = _T_3683 | _T_3686; // @[el2_lsu_bus_buffer.scala 526:88] + wire _T_3688 = _T_3589 & _T_3687; // @[el2_lsu_bus_buffer.scala 525:68] + wire _GEN_46 = _T_3610 & _T_3688; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_3576 ? _T_3603 : _GEN_46; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_3572 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] + wire buf_error_en_0 = _T_3549 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] + wire _T_3613 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 515:73] + wire _T_3614 = buf_write[0] & _T_3613; // @[el2_lsu_bus_buffer.scala 515:71] + wire _T_3615 = io_dec_tlu_force_halt | _T_3614; // @[el2_lsu_bus_buffer.scala 515:55] + wire _T_3617 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 516:30] + wire _T_3618 = buf_dual_0 & _T_3617; // @[el2_lsu_bus_buffer.scala 516:28] + wire _T_3621 = _T_3618 & _T_3664; // @[el2_lsu_bus_buffer.scala 516:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 516:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 516:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 516:90] + wire _T_3622 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 516:90] + wire _T_3623 = _T_3621 & _T_3622; // @[el2_lsu_bus_buffer.scala 516:61] + wire _T_4515 = _T_2767 | _T_2764; // @[el2_lsu_bus_buffer.scala 574:93] + wire _T_4516 = _T_4515 | _T_2761; // @[el2_lsu_bus_buffer.scala 574:93] + wire any_done_wait_state = _T_4516 | _T_2758; // @[el2_lsu_bus_buffer.scala 574:93] + wire _T_3625 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 517:31] + wire _T_3631 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 109:118] + wire _T_3633 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 109:118] + wire _T_3635 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 109:118] + wire _T_3637 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 109:118] + wire _T_3639 = _T_3631 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3640 = _T_3633 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3641 = _T_3635 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3642 = _T_3637 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3643 = _T_3639 | _T_3640; // @[Mux.scala 27:72] + wire _T_3644 = _T_3643 | _T_3641; // @[Mux.scala 27:72] + wire _T_3645 = _T_3644 | _T_3642; // @[Mux.scala 27:72] + wire _T_3647 = _T_3621 & _T_3645; // @[el2_lsu_bus_buffer.scala 517:101] + wire _T_3648 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 517:167] + wire _T_3649 = _T_3647 & _T_3648; // @[el2_lsu_bus_buffer.scala 517:138] + wire _T_3650 = _T_3649 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 517:187] + wire _T_3651 = _T_3625 | _T_3650; // @[el2_lsu_bus_buffer.scala 517:53] + wire _T_3674 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 524:47] + wire _T_3675 = _T_3674 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 524:62] + wire _T_3689 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 528:50] + wire _T_3690 = buf_state_en_0 & _T_3689; // @[el2_lsu_bus_buffer.scala 528:48] + wire _T_3702 = buf_ldfwd[0] | _T_3707[0]; // @[el2_lsu_bus_buffer.scala 531:90] + wire _T_3703 = _T_3702 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 531:118] + wire _GEN_29 = _T_3723 & buf_state_en_0; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_3715 ? 1'h0 : _T_3723; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_3715 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_3697 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_40 = _T_3697 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] + wire _GEN_45 = _T_3610 & _T_3675; // @[Conditional.scala 39:67] + wire _GEN_48 = _T_3610 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] + wire _GEN_50 = _T_3610 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] + wire _GEN_56 = _T_3576 ? _T_3596 : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_58 = _T_3576 ? _T_3600 : _GEN_45; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_3576 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] + wire _GEN_68 = _T_3572 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] + wire _GEN_70 = _T_3572 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_3572 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire buf_wr_en_0 = _T_3549 & buf_state_en_0; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_0 = _T_3549 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] + wire buf_rst_0 = _T_3549 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] + wire _T_3786 = buf_state_en_1 & _T_3857; // @[el2_lsu_bus_buffer.scala 508:44] + wire _T_3787 = _T_3786 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 508:60] + wire _T_3789 = _T_3787 & _T_1354; // @[el2_lsu_bus_buffer.scala 508:74] + wire _T_3792 = _T_3782 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 510:67] + wire _T_3793 = _T_3792 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 510:81] + wire _T_3796 = _T_3792 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 511:82] + wire _T_3871 = bus_rsp_read_error & _T_3850; // @[el2_lsu_bus_buffer.scala 525:91] + wire _T_3873 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 526:31] + wire _T_3875 = _T_3873 & _T_3852; // @[el2_lsu_bus_buffer.scala 526:46] + wire _T_3876 = _T_3871 | _T_3875; // @[el2_lsu_bus_buffer.scala 525:143] + wire _T_3879 = bus_rsp_write_error & _T_3848; // @[el2_lsu_bus_buffer.scala 527:53] + wire _T_3880 = _T_3876 | _T_3879; // @[el2_lsu_bus_buffer.scala 526:88] + wire _T_3881 = _T_3782 & _T_3880; // @[el2_lsu_bus_buffer.scala 525:68] + wire _GEN_122 = _T_3803 & _T_3881; // @[Conditional.scala 39:67] + wire _GEN_135 = _T_3769 ? _T_3796 : _GEN_122; // @[Conditional.scala 39:67] + wire _GEN_147 = _T_3765 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] + wire buf_error_en_1 = _T_3742 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] + wire _T_3807 = buf_write[1] & _T_3613; // @[el2_lsu_bus_buffer.scala 515:71] + wire _T_3808 = io_dec_tlu_force_halt | _T_3807; // @[el2_lsu_bus_buffer.scala 515:55] + wire _T_3810 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 516:30] + wire _T_3811 = buf_dual_1 & _T_3810; // @[el2_lsu_bus_buffer.scala 516:28] + wire _T_3814 = _T_3811 & _T_3857; // @[el2_lsu_bus_buffer.scala 516:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 516:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 516:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 516:90] + wire _T_3815 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 516:90] + wire _T_3816 = _T_3814 & _T_3815; // @[el2_lsu_bus_buffer.scala 516:61] + wire _T_3818 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 517:31] + wire _T_3824 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 109:118] + wire _T_3826 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 109:118] + wire _T_3828 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 109:118] + wire _T_3830 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 109:118] + wire _T_3832 = _T_3824 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3833 = _T_3826 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3834 = _T_3828 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3835 = _T_3830 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3836 = _T_3832 | _T_3833; // @[Mux.scala 27:72] + wire _T_3837 = _T_3836 | _T_3834; // @[Mux.scala 27:72] + wire _T_3838 = _T_3837 | _T_3835; // @[Mux.scala 27:72] + wire _T_3840 = _T_3814 & _T_3838; // @[el2_lsu_bus_buffer.scala 517:101] + wire _T_3841 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 517:167] + wire _T_3842 = _T_3840 & _T_3841; // @[el2_lsu_bus_buffer.scala 517:138] + wire _T_3843 = _T_3842 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 517:187] + wire _T_3844 = _T_3818 | _T_3843; // @[el2_lsu_bus_buffer.scala 517:53] + wire _T_3867 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 524:47] + wire _T_3868 = _T_3867 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 524:62] + wire _T_3882 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 528:50] + wire _T_3883 = buf_state_en_1 & _T_3882; // @[el2_lsu_bus_buffer.scala 528:48] + wire _T_3895 = buf_ldfwd[1] | _T_3900[0]; // @[el2_lsu_bus_buffer.scala 531:90] + wire _T_3896 = _T_3895 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 531:118] + wire _GEN_105 = _T_3916 & buf_state_en_1; // @[Conditional.scala 39:67] + wire _GEN_108 = _T_3908 ? 1'h0 : _T_3916; // @[Conditional.scala 39:67] + wire _GEN_110 = _T_3908 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] + wire _GEN_114 = _T_3890 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] + wire _GEN_116 = _T_3890 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] + wire _GEN_121 = _T_3803 & _T_3868; // @[Conditional.scala 39:67] + wire _GEN_124 = _T_3803 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] + wire _GEN_126 = _T_3803 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] + wire _GEN_132 = _T_3769 ? _T_3789 : _GEN_126; // @[Conditional.scala 39:67] + wire _GEN_134 = _T_3769 ? _T_3793 : _GEN_121; // @[Conditional.scala 39:67] + wire _GEN_138 = _T_3769 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] + wire _GEN_144 = _T_3765 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] + wire _GEN_146 = _T_3765 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] + wire _GEN_150 = _T_3765 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] + wire buf_wr_en_1 = _T_3742 & buf_state_en_1; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_1 = _T_3742 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] + wire buf_rst_1 = _T_3742 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] + wire _T_3979 = buf_state_en_2 & _T_4050; // @[el2_lsu_bus_buffer.scala 508:44] + wire _T_3980 = _T_3979 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 508:60] + wire _T_3982 = _T_3980 & _T_1354; // @[el2_lsu_bus_buffer.scala 508:74] + wire _T_3985 = _T_3975 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 510:67] + wire _T_3986 = _T_3985 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 510:81] + wire _T_3989 = _T_3985 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 511:82] + wire _T_4064 = bus_rsp_read_error & _T_4043; // @[el2_lsu_bus_buffer.scala 525:91] + wire _T_4066 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 526:31] + wire _T_4068 = _T_4066 & _T_4045; // @[el2_lsu_bus_buffer.scala 526:46] + wire _T_4069 = _T_4064 | _T_4068; // @[el2_lsu_bus_buffer.scala 525:143] + wire _T_4072 = bus_rsp_write_error & _T_4041; // @[el2_lsu_bus_buffer.scala 527:53] + wire _T_4073 = _T_4069 | _T_4072; // @[el2_lsu_bus_buffer.scala 526:88] + wire _T_4074 = _T_3975 & _T_4073; // @[el2_lsu_bus_buffer.scala 525:68] + wire _GEN_198 = _T_3996 & _T_4074; // @[Conditional.scala 39:67] + wire _GEN_211 = _T_3962 ? _T_3989 : _GEN_198; // @[Conditional.scala 39:67] + wire _GEN_223 = _T_3958 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] + wire buf_error_en_2 = _T_3935 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] + wire _T_4000 = buf_write[2] & _T_3613; // @[el2_lsu_bus_buffer.scala 515:71] + wire _T_4001 = io_dec_tlu_force_halt | _T_4000; // @[el2_lsu_bus_buffer.scala 515:55] + wire _T_4003 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 516:30] + wire _T_4004 = buf_dual_2 & _T_4003; // @[el2_lsu_bus_buffer.scala 516:28] + wire _T_4007 = _T_4004 & _T_4050; // @[el2_lsu_bus_buffer.scala 516:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 516:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 516:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 516:90] + wire _T_4008 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 516:90] + wire _T_4009 = _T_4007 & _T_4008; // @[el2_lsu_bus_buffer.scala 516:61] + wire _T_4011 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 517:31] + wire _T_4017 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 109:118] + wire _T_4019 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 109:118] + wire _T_4021 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 109:118] + wire _T_4023 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 109:118] + wire _T_4025 = _T_4017 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4026 = _T_4019 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4027 = _T_4021 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4028 = _T_4023 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4029 = _T_4025 | _T_4026; // @[Mux.scala 27:72] + wire _T_4030 = _T_4029 | _T_4027; // @[Mux.scala 27:72] + wire _T_4031 = _T_4030 | _T_4028; // @[Mux.scala 27:72] + wire _T_4033 = _T_4007 & _T_4031; // @[el2_lsu_bus_buffer.scala 517:101] + wire _T_4034 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 517:167] + wire _T_4035 = _T_4033 & _T_4034; // @[el2_lsu_bus_buffer.scala 517:138] + wire _T_4036 = _T_4035 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 517:187] + wire _T_4037 = _T_4011 | _T_4036; // @[el2_lsu_bus_buffer.scala 517:53] + wire _T_4060 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 524:47] + wire _T_4061 = _T_4060 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 524:62] + wire _T_4075 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 528:50] + wire _T_4076 = buf_state_en_2 & _T_4075; // @[el2_lsu_bus_buffer.scala 528:48] + wire _T_4088 = buf_ldfwd[2] | _T_4093[0]; // @[el2_lsu_bus_buffer.scala 531:90] + wire _T_4089 = _T_4088 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 531:118] + wire _GEN_181 = _T_4109 & buf_state_en_2; // @[Conditional.scala 39:67] + wire _GEN_184 = _T_4101 ? 1'h0 : _T_4109; // @[Conditional.scala 39:67] + wire _GEN_186 = _T_4101 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] + wire _GEN_190 = _T_4083 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] + wire _GEN_192 = _T_4083 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] + wire _GEN_197 = _T_3996 & _T_4061; // @[Conditional.scala 39:67] + wire _GEN_200 = _T_3996 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] + wire _GEN_202 = _T_3996 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] + wire _GEN_208 = _T_3962 ? _T_3982 : _GEN_202; // @[Conditional.scala 39:67] + wire _GEN_210 = _T_3962 ? _T_3986 : _GEN_197; // @[Conditional.scala 39:67] + wire _GEN_214 = _T_3962 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] + wire _GEN_220 = _T_3958 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] + wire _GEN_222 = _T_3958 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] + wire _GEN_226 = _T_3958 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] + wire buf_wr_en_2 = _T_3935 & buf_state_en_2; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_2 = _T_3935 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] + wire buf_rst_2 = _T_3935 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] + wire _T_4172 = buf_state_en_3 & _T_4243; // @[el2_lsu_bus_buffer.scala 508:44] + wire _T_4173 = _T_4172 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 508:60] + wire _T_4175 = _T_4173 & _T_1354; // @[el2_lsu_bus_buffer.scala 508:74] + wire _T_4178 = _T_4168 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 510:67] + wire _T_4179 = _T_4178 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 510:81] + wire _T_4182 = _T_4178 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 511:82] + wire _T_4257 = bus_rsp_read_error & _T_4236; // @[el2_lsu_bus_buffer.scala 525:91] + wire _T_4259 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 526:31] + wire _T_4261 = _T_4259 & _T_4238; // @[el2_lsu_bus_buffer.scala 526:46] + wire _T_4262 = _T_4257 | _T_4261; // @[el2_lsu_bus_buffer.scala 525:143] + wire _T_4265 = bus_rsp_write_error & _T_4234; // @[el2_lsu_bus_buffer.scala 527:53] + wire _T_4266 = _T_4262 | _T_4265; // @[el2_lsu_bus_buffer.scala 526:88] + wire _T_4267 = _T_4168 & _T_4266; // @[el2_lsu_bus_buffer.scala 525:68] + wire _GEN_274 = _T_4189 & _T_4267; // @[Conditional.scala 39:67] + wire _GEN_287 = _T_4155 ? _T_4182 : _GEN_274; // @[Conditional.scala 39:67] + wire _GEN_299 = _T_4151 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] + wire buf_error_en_3 = _T_4128 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] + wire _T_4193 = buf_write[3] & _T_3613; // @[el2_lsu_bus_buffer.scala 515:71] + wire _T_4194 = io_dec_tlu_force_halt | _T_4193; // @[el2_lsu_bus_buffer.scala 515:55] + wire _T_4196 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 516:30] + wire _T_4197 = buf_dual_3 & _T_4196; // @[el2_lsu_bus_buffer.scala 516:28] + wire _T_4200 = _T_4197 & _T_4243; // @[el2_lsu_bus_buffer.scala 516:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 516:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 516:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 516:90] + wire _T_4201 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 516:90] + wire _T_4202 = _T_4200 & _T_4201; // @[el2_lsu_bus_buffer.scala 516:61] + wire _T_4204 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 517:31] + wire _T_4210 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 109:118] + wire _T_4212 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 109:118] + wire _T_4214 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 109:118] + wire _T_4216 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 109:118] + wire _T_4218 = _T_4210 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4219 = _T_4212 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4220 = _T_4214 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4221 = _T_4216 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4222 = _T_4218 | _T_4219; // @[Mux.scala 27:72] + wire _T_4223 = _T_4222 | _T_4220; // @[Mux.scala 27:72] + wire _T_4224 = _T_4223 | _T_4221; // @[Mux.scala 27:72] + wire _T_4226 = _T_4200 & _T_4224; // @[el2_lsu_bus_buffer.scala 517:101] + wire _T_4227 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 517:167] + wire _T_4228 = _T_4226 & _T_4227; // @[el2_lsu_bus_buffer.scala 517:138] + wire _T_4229 = _T_4228 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 517:187] + wire _T_4230 = _T_4204 | _T_4229; // @[el2_lsu_bus_buffer.scala 517:53] + wire _T_4253 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 524:47] + wire _T_4254 = _T_4253 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 524:62] + wire _T_4268 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 528:50] + wire _T_4269 = buf_state_en_3 & _T_4268; // @[el2_lsu_bus_buffer.scala 528:48] + wire _T_4281 = buf_ldfwd[3] | _T_4286[0]; // @[el2_lsu_bus_buffer.scala 531:90] + wire _T_4282 = _T_4281 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 531:118] + wire _GEN_257 = _T_4302 & buf_state_en_3; // @[Conditional.scala 39:67] + wire _GEN_260 = _T_4294 ? 1'h0 : _T_4302; // @[Conditional.scala 39:67] + wire _GEN_262 = _T_4294 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] + wire _GEN_266 = _T_4276 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] + wire _GEN_268 = _T_4276 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] + wire _GEN_273 = _T_4189 & _T_4254; // @[Conditional.scala 39:67] + wire _GEN_276 = _T_4189 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] + wire _GEN_278 = _T_4189 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] + wire _GEN_284 = _T_4155 ? _T_4175 : _GEN_278; // @[Conditional.scala 39:67] + wire _GEN_286 = _T_4155 ? _T_4179 : _GEN_273; // @[Conditional.scala 39:67] + wire _GEN_290 = _T_4155 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] + wire _GEN_296 = _T_4151 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] + wire _GEN_298 = _T_4151 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] + wire _GEN_302 = _T_4151 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] + wire buf_wr_en_3 = _T_4128 & buf_state_en_3; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_3 = _T_4128 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] + wire buf_rst_3 = _T_4128 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] + reg _T_4357; // @[Reg.scala 27:20] reg _T_4360; // @[Reg.scala 27:20] reg _T_4363; // @[Reg.scala 27:20] reg _T_4366; // @[Reg.scala 27:20] - reg _T_4369; // @[Reg.scala 27:20] - wire [3:0] buf_unsign = {_T_4369,_T_4366,_T_4363,_T_4360}; // @[Cat.scala 29:58] - reg _T_4435; // @[el2_lsu_bus_buffer.scala 580:82] - reg _T_4430; // @[el2_lsu_bus_buffer.scala 580:82] - reg _T_4425; // @[el2_lsu_bus_buffer.scala 580:82] - reg _T_4420; // @[el2_lsu_bus_buffer.scala 580:82] - wire [3:0] buf_error = {_T_4435,_T_4430,_T_4425,_T_4420}; // @[Cat.scala 29:58] - wire _T_4417 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 580:86] - wire _T_4418 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 580:128] - wire _T_4422 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 580:86] - wire _T_4423 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 580:128] - wire _T_4427 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 580:86] - wire _T_4428 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 580:128] - wire _T_4432 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 580:86] - wire _T_4433 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 580:128] - wire [2:0] _T_4440 = {buf_data_en_3,buf_data_en_2,buf_data_en_1}; // @[Cat.scala 29:58] - wire [1:0] _T_4442 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4443 = io_ldst_dual_m ? _T_4442 : {{1'd0}, io_lsu_busreq_m}; // @[el2_lsu_bus_buffer.scala 583:28] - wire [1:0] _T_4444 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4445 = io_ldst_dual_r ? _T_4444 : {{1'd0}, io_lsu_busreq_r}; // @[el2_lsu_bus_buffer.scala 583:94] - wire [2:0] _T_4446 = _T_4443 + _T_4445; // @[el2_lsu_bus_buffer.scala 583:88] - wire [2:0] _GEN_390 = {{2'd0}, ibuf_valid}; // @[el2_lsu_bus_buffer.scala 583:154] - wire [3:0] _T_4447 = _T_4446 + _GEN_390; // @[el2_lsu_bus_buffer.scala 583:154] - wire [1:0] _T_4452 = _T_5 + _T_12; // @[el2_lsu_bus_buffer.scala 583:217] - wire [1:0] _GEN_391 = {{1'd0}, _T_19}; // @[el2_lsu_bus_buffer.scala 583:217] - wire [2:0] _T_4453 = _T_4452 + _GEN_391; // @[el2_lsu_bus_buffer.scala 583:217] - wire [2:0] _GEN_392 = {{2'd0}, _T_26}; // @[el2_lsu_bus_buffer.scala 583:217] - wire [3:0] _T_4454 = _T_4453 + _GEN_392; // @[el2_lsu_bus_buffer.scala 583:217] - wire [3:0] buf_numvld_any = _T_4447 + _T_4454; // @[el2_lsu_bus_buffer.scala 583:169] - wire _T_4525 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 589:52] - wire _T_4526 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 589:92] - wire _T_4527 = buf_numvld_any == 4'h4; // @[el2_lsu_bus_buffer.scala 589:121] - wire _T_4529 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 590:52] - wire _T_4530 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 590:52] - wire _T_4531 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 590:52] - wire _T_4532 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 590:52] - wire _T_4533 = _T_4529 | _T_4530; // @[el2_lsu_bus_buffer.scala 590:65] - wire _T_4534 = _T_4533 | _T_4531; // @[el2_lsu_bus_buffer.scala 590:65] - wire _T_4535 = _T_4534 | _T_4532; // @[el2_lsu_bus_buffer.scala 590:65] - wire _T_4536 = ~_T_4535; // @[el2_lsu_bus_buffer.scala 590:34] - wire _T_4538 = _T_4536 & _T_852; // @[el2_lsu_bus_buffer.scala 590:70] - wire _T_4541 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 592:51] - wire _T_4542 = _T_4541 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 592:72] - wire _T_4543 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 592:94] - wire _T_4544 = _T_4542 & _T_4543; // @[el2_lsu_bus_buffer.scala 592:92] - wire _T_4545 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 592:111] - wire _T_4547 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 595:61] - reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 681:66] - wire _T_4565 = _T_2823 & _T_3667; // @[Mux.scala 27:72] - wire _T_4566 = _T_2845 & _T_3860; // @[Mux.scala 27:72] - wire _T_4567 = _T_2867 & _T_4053; // @[Mux.scala 27:72] - wire _T_4568 = _T_2889 & _T_4246; // @[Mux.scala 27:72] - wire _T_4569 = _T_4565 | _T_4566; // @[Mux.scala 27:72] - wire _T_4570 = _T_4569 | _T_4567; // @[Mux.scala 27:72] - wire lsu_nonblock_load_data_ready = _T_4570 | _T_4568; // @[Mux.scala 27:72] - wire _T_4576 = buf_error[0] & _T_3667; // @[el2_lsu_bus_buffer.scala 598:108] - wire _T_4581 = buf_error[1] & _T_3860; // @[el2_lsu_bus_buffer.scala 598:108] - wire _T_4586 = buf_error[2] & _T_4053; // @[el2_lsu_bus_buffer.scala 598:108] - wire _T_4591 = buf_error[3] & _T_4246; // @[el2_lsu_bus_buffer.scala 598:108] - wire _T_4592 = _T_2823 & _T_4576; // @[Mux.scala 27:72] - wire _T_4593 = _T_2845 & _T_4581; // @[Mux.scala 27:72] - wire _T_4594 = _T_2867 & _T_4586; // @[Mux.scala 27:72] - wire _T_4595 = _T_2889 & _T_4591; // @[Mux.scala 27:72] - wire _T_4596 = _T_4592 | _T_4593; // @[Mux.scala 27:72] - wire _T_4597 = _T_4596 | _T_4594; // @[Mux.scala 27:72] - wire _T_4604 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 599:109] - wire _T_4605 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 599:124] - wire _T_4606 = _T_4604 | _T_4605; // @[el2_lsu_bus_buffer.scala 599:122] - wire _T_4607 = _T_4565 & _T_4606; // @[el2_lsu_bus_buffer.scala 599:106] - wire _T_4612 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 599:109] - wire _T_4613 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 599:124] - wire _T_4614 = _T_4612 | _T_4613; // @[el2_lsu_bus_buffer.scala 599:122] - wire _T_4615 = _T_4566 & _T_4614; // @[el2_lsu_bus_buffer.scala 599:106] - wire _T_4620 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 599:109] - wire _T_4621 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 599:124] - wire _T_4622 = _T_4620 | _T_4621; // @[el2_lsu_bus_buffer.scala 599:122] - wire _T_4623 = _T_4567 & _T_4622; // @[el2_lsu_bus_buffer.scala 599:106] - wire _T_4628 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 599:109] - wire _T_4629 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 599:124] - wire _T_4630 = _T_4628 | _T_4629; // @[el2_lsu_bus_buffer.scala 599:122] - wire _T_4631 = _T_4568 & _T_4630; // @[el2_lsu_bus_buffer.scala 599:106] - wire [1:0] _T_4634 = _T_4623 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4635 = _T_4631 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_393 = {{1'd0}, _T_4615}; // @[Mux.scala 27:72] - wire [1:0] _T_4637 = _GEN_393 | _T_4634; // @[Mux.scala 27:72] - wire [31:0] _T_4672 = _T_4607 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4673 = _T_4615 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4674 = _T_4623 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4675 = _T_4631 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4676 = _T_4672 | _T_4673; // @[Mux.scala 27:72] - wire [31:0] _T_4677 = _T_4676 | _T_4674; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_lo = _T_4677 | _T_4675; // @[Mux.scala 27:72] - wire _T_4683 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 601:120] - wire _T_4684 = _T_4565 & _T_4683; // @[el2_lsu_bus_buffer.scala 601:105] - wire _T_4689 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 601:120] - wire _T_4690 = _T_4566 & _T_4689; // @[el2_lsu_bus_buffer.scala 601:105] - wire _T_4695 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 601:120] - wire _T_4696 = _T_4567 & _T_4695; // @[el2_lsu_bus_buffer.scala 601:105] - wire _T_4701 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 601:120] - wire _T_4702 = _T_4568 & _T_4701; // @[el2_lsu_bus_buffer.scala 601:105] - wire [31:0] _T_4703 = _T_4684 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4704 = _T_4690 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4705 = _T_4696 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4706 = _T_4702 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4707 = _T_4703 | _T_4704; // @[Mux.scala 27:72] - wire [31:0] _T_4708 = _T_4707 | _T_4705; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_hi = _T_4708 | _T_4706; // @[Mux.scala 27:72] - wire _T_4710 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 120:123] - wire _T_4711 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 120:123] - wire _T_4712 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 120:123] - wire _T_4713 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 120:123] - wire [31:0] _T_4714 = _T_4710 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4715 = _T_4711 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4716 = _T_4712 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4717 = _T_4713 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4718 = _T_4714 | _T_4715; // @[Mux.scala 27:72] - wire [31:0] _T_4719 = _T_4718 | _T_4716; // @[Mux.scala 27:72] - wire [31:0] _T_4720 = _T_4719 | _T_4717; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4720[1:0]; // @[el2_lsu_bus_buffer.scala 602:83] - wire [1:0] _T_4726 = _T_4710 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4727 = _T_4711 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4728 = _T_4712 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4729 = _T_4713 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4730 = _T_4726 | _T_4727; // @[Mux.scala 27:72] - wire [1:0] _T_4731 = _T_4730 | _T_4728; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_sz = _T_4731 | _T_4729; // @[Mux.scala 27:72] - wire _T_4741 = _T_4710 & buf_unsign[0]; // @[Mux.scala 27:72] - wire _T_4742 = _T_4711 & buf_unsign[1]; // @[Mux.scala 27:72] - wire _T_4743 = _T_4712 & buf_unsign[2]; // @[Mux.scala 27:72] - wire _T_4744 = _T_4713 & buf_unsign[3]; // @[Mux.scala 27:72] - wire _T_4745 = _T_4741 | _T_4742; // @[Mux.scala 27:72] - wire _T_4746 = _T_4745 | _T_4743; // @[Mux.scala 27:72] - wire lsu_nonblock_unsign = _T_4746 | _T_4744; // @[Mux.scala 27:72] - wire [63:0] _T_4766 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [3:0] _GEN_394 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 606:121] - wire [5:0] _T_4767 = _GEN_394 * 4'h8; // @[el2_lsu_bus_buffer.scala 606:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4766 >> _T_4767; // @[el2_lsu_bus_buffer.scala 606:92] - wire _T_4768 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 609:69] - wire _T_4770 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 610:81] - wire _T_4771 = lsu_nonblock_unsign & _T_4770; // @[el2_lsu_bus_buffer.scala 610:63] - wire [31:0] _T_4773 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4774 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 611:45] - wire _T_4775 = lsu_nonblock_unsign & _T_4774; // @[el2_lsu_bus_buffer.scala 611:26] - wire [31:0] _T_4777 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4778 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 612:6] - wire _T_4780 = _T_4778 & _T_4770; // @[el2_lsu_bus_buffer.scala 612:27] - wire [23:0] _T_4783 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4785 = {_T_4783,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4788 = _T_4778 & _T_4774; // @[el2_lsu_bus_buffer.scala 613:27] - wire [15:0] _T_4791 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4793 = {_T_4791,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4794 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 614:21] - wire [31:0] _T_4795 = _T_4771 ? _T_4773 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4796 = _T_4775 ? _T_4777 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4797 = _T_4780 ? _T_4785 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4798 = _T_4788 ? _T_4793 : 32'h0; // @[Mux.scala 27:72] - wire [63:0] _T_4799 = _T_4794 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4800 = _T_4795 | _T_4796; // @[Mux.scala 27:72] - wire [31:0] _T_4801 = _T_4800 | _T_4797; // @[Mux.scala 27:72] - wire [31:0] _T_4802 = _T_4801 | _T_4798; // @[Mux.scala 27:72] - wire [63:0] _GEN_395 = {{32'd0}, _T_4802}; // @[Mux.scala 27:72] - wire [63:0] _T_4803 = _GEN_395 | _T_4799; // @[Mux.scala 27:72] - wire _T_4898 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 632:36] - wire _T_4899 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 632:51] - wire _T_4900 = _T_4898 & _T_4899; // @[el2_lsu_bus_buffer.scala 632:49] - wire [31:0] _T_4904 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] - wire [2:0] _T_4906 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4911 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 644:50] - wire _T_4912 = _T_4898 & _T_4911; // @[el2_lsu_bus_buffer.scala 644:48] - wire [7:0] _T_4916 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4919 = obuf_valid & _T_1364; // @[el2_lsu_bus_buffer.scala 649:36] - wire _T_4921 = _T_4919 & _T_1370; // @[el2_lsu_bus_buffer.scala 649:50] - wire _T_4933 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 662:114] - wire _T_4935 = _T_4933 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 662:129] - wire _T_4938 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 662:114] - wire _T_4940 = _T_4938 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 662:129] - wire _T_4943 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 662:114] - wire _T_4945 = _T_4943 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 662:129] - wire _T_4948 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 662:114] - wire _T_4950 = _T_4948 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 662:129] - wire _T_4951 = _T_2823 & _T_4935; // @[Mux.scala 27:72] - wire _T_4952 = _T_2845 & _T_4940; // @[Mux.scala 27:72] - wire _T_4953 = _T_2867 & _T_4945; // @[Mux.scala 27:72] - wire _T_4954 = _T_2889 & _T_4950; // @[Mux.scala 27:72] - wire _T_4955 = _T_4951 | _T_4952; // @[Mux.scala 27:72] - wire _T_4956 = _T_4955 | _T_4953; // @[Mux.scala 27:72] - wire _T_4966 = _T_2845 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 663:98] - wire lsu_imprecise_error_store_tag = _T_4966 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 663:113] - wire _T_4972 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 665:72] - wire _T_4974 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 120:123] - wire [31:0] _T_4976 = _T_4974 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4977 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4978 = _T_4976 | _T_4977; // @[Mux.scala 27:72] - wire _T_4995 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 672:68] - wire _T_4998 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 673:48] - wire _T_5001 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 676:48] - wire _T_5002 = io_lsu_axi_awvalid & _T_5001; // @[el2_lsu_bus_buffer.scala 676:46] - wire _T_5003 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 676:92] - wire _T_5004 = io_lsu_axi_wvalid & _T_5003; // @[el2_lsu_bus_buffer.scala 676:90] - wire _T_5005 = _T_5002 | _T_5004; // @[el2_lsu_bus_buffer.scala 676:69] - wire _T_5006 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 676:136] - wire _T_5007 = io_lsu_axi_arvalid & _T_5006; // @[el2_lsu_bus_buffer.scala 676:134] - wire _T_5011 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 680:75] - wire _T_5012 = io_lsu_busreq_m & _T_5011; // @[el2_lsu_bus_buffer.scala 680:73] - reg _T_5015; // @[el2_lsu_bus_buffer.scala 680:56] + wire [3:0] buf_unsign = {_T_4366,_T_4363,_T_4360,_T_4357}; // @[Cat.scala 29:58] + reg _T_4432; // @[el2_lsu_bus_buffer.scala 567:82] + reg _T_4427; // @[el2_lsu_bus_buffer.scala 567:82] + reg _T_4422; // @[el2_lsu_bus_buffer.scala 567:82] + reg _T_4417; // @[el2_lsu_bus_buffer.scala 567:82] + wire [3:0] buf_error = {_T_4432,_T_4427,_T_4422,_T_4417}; // @[Cat.scala 29:58] + wire _T_4414 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 567:86] + wire _T_4415 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 567:128] + wire _T_4419 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 567:86] + wire _T_4420 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 567:128] + wire _T_4424 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 567:86] + wire _T_4425 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 567:128] + wire _T_4429 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 567:86] + wire _T_4430 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 567:128] + wire [1:0] _T_4436 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4437 = io_ldst_dual_m ? _T_4436 : {{1'd0}, io_lsu_busreq_m}; // @[el2_lsu_bus_buffer.scala 570:28] + wire [1:0] _T_4438 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4439 = io_ldst_dual_r ? _T_4438 : {{1'd0}, io_lsu_busreq_r}; // @[el2_lsu_bus_buffer.scala 570:94] + wire [2:0] _T_4440 = _T_4437 + _T_4439; // @[el2_lsu_bus_buffer.scala 570:88] + wire [2:0] _GEN_390 = {{2'd0}, ibuf_valid}; // @[el2_lsu_bus_buffer.scala 570:154] + wire [3:0] _T_4441 = _T_4440 + _GEN_390; // @[el2_lsu_bus_buffer.scala 570:154] + wire [1:0] _T_4446 = _T_5 + _T_12; // @[el2_lsu_bus_buffer.scala 570:217] + wire [1:0] _GEN_391 = {{1'd0}, _T_19}; // @[el2_lsu_bus_buffer.scala 570:217] + wire [2:0] _T_4447 = _T_4446 + _GEN_391; // @[el2_lsu_bus_buffer.scala 570:217] + wire [2:0] _GEN_392 = {{2'd0}, _T_26}; // @[el2_lsu_bus_buffer.scala 570:217] + wire [3:0] _T_4448 = _T_4447 + _GEN_392; // @[el2_lsu_bus_buffer.scala 570:217] + wire [3:0] buf_numvld_any = _T_4441 + _T_4448; // @[el2_lsu_bus_buffer.scala 570:169] + wire _T_4519 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 576:52] + wire _T_4520 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 576:92] + wire _T_4521 = buf_numvld_any == 4'h4; // @[el2_lsu_bus_buffer.scala 576:121] + wire _T_4523 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 577:52] + wire _T_4524 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 577:52] + wire _T_4525 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 577:52] + wire _T_4526 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 577:52] + wire _T_4527 = _T_4523 | _T_4524; // @[el2_lsu_bus_buffer.scala 577:65] + wire _T_4528 = _T_4527 | _T_4525; // @[el2_lsu_bus_buffer.scala 577:65] + wire _T_4529 = _T_4528 | _T_4526; // @[el2_lsu_bus_buffer.scala 577:65] + wire _T_4530 = ~_T_4529; // @[el2_lsu_bus_buffer.scala 577:34] + wire _T_4532 = _T_4530 & _T_852; // @[el2_lsu_bus_buffer.scala 577:70] + wire _T_4535 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 579:51] + wire _T_4536 = _T_4535 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 579:72] + wire _T_4537 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 579:94] + wire _T_4538 = _T_4536 & _T_4537; // @[el2_lsu_bus_buffer.scala 579:92] + wire _T_4539 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 579:111] + wire _T_4541 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 582:61] + reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 667:66] + wire _T_4559 = _T_2820 & _T_3664; // @[Mux.scala 27:72] + wire _T_4560 = _T_2842 & _T_3857; // @[Mux.scala 27:72] + wire _T_4561 = _T_2864 & _T_4050; // @[Mux.scala 27:72] + wire _T_4562 = _T_2886 & _T_4243; // @[Mux.scala 27:72] + wire _T_4563 = _T_4559 | _T_4560; // @[Mux.scala 27:72] + wire _T_4564 = _T_4563 | _T_4561; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4564 | _T_4562; // @[Mux.scala 27:72] + wire _T_4570 = buf_error[0] & _T_3664; // @[el2_lsu_bus_buffer.scala 585:108] + wire _T_4575 = buf_error[1] & _T_3857; // @[el2_lsu_bus_buffer.scala 585:108] + wire _T_4580 = buf_error[2] & _T_4050; // @[el2_lsu_bus_buffer.scala 585:108] + wire _T_4585 = buf_error[3] & _T_4243; // @[el2_lsu_bus_buffer.scala 585:108] + wire _T_4586 = _T_2820 & _T_4570; // @[Mux.scala 27:72] + wire _T_4587 = _T_2842 & _T_4575; // @[Mux.scala 27:72] + wire _T_4588 = _T_2864 & _T_4580; // @[Mux.scala 27:72] + wire _T_4589 = _T_2886 & _T_4585; // @[Mux.scala 27:72] + wire _T_4590 = _T_4586 | _T_4587; // @[Mux.scala 27:72] + wire _T_4591 = _T_4590 | _T_4588; // @[Mux.scala 27:72] + wire _T_4598 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 586:109] + wire _T_4599 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 586:124] + wire _T_4600 = _T_4598 | _T_4599; // @[el2_lsu_bus_buffer.scala 586:122] + wire _T_4601 = _T_4559 & _T_4600; // @[el2_lsu_bus_buffer.scala 586:106] + wire _T_4606 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 586:109] + wire _T_4607 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 586:124] + wire _T_4608 = _T_4606 | _T_4607; // @[el2_lsu_bus_buffer.scala 586:122] + wire _T_4609 = _T_4560 & _T_4608; // @[el2_lsu_bus_buffer.scala 586:106] + wire _T_4614 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 586:109] + wire _T_4615 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 586:124] + wire _T_4616 = _T_4614 | _T_4615; // @[el2_lsu_bus_buffer.scala 586:122] + wire _T_4617 = _T_4561 & _T_4616; // @[el2_lsu_bus_buffer.scala 586:106] + wire _T_4622 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 586:109] + wire _T_4623 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 586:124] + wire _T_4624 = _T_4622 | _T_4623; // @[el2_lsu_bus_buffer.scala 586:122] + wire _T_4625 = _T_4562 & _T_4624; // @[el2_lsu_bus_buffer.scala 586:106] + wire [1:0] _T_4628 = _T_4617 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4629 = _T_4625 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_393 = {{1'd0}, _T_4609}; // @[Mux.scala 27:72] + wire [1:0] _T_4631 = _GEN_393 | _T_4628; // @[Mux.scala 27:72] + wire [31:0] _T_4666 = _T_4601 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4667 = _T_4609 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4668 = _T_4617 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4669 = _T_4625 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4670 = _T_4666 | _T_4667; // @[Mux.scala 27:72] + wire [31:0] _T_4671 = _T_4670 | _T_4668; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4671 | _T_4669; // @[Mux.scala 27:72] + wire _T_4677 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 588:120] + wire _T_4678 = _T_4559 & _T_4677; // @[el2_lsu_bus_buffer.scala 588:105] + wire _T_4683 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 588:120] + wire _T_4684 = _T_4560 & _T_4683; // @[el2_lsu_bus_buffer.scala 588:105] + wire _T_4689 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 588:120] + wire _T_4690 = _T_4561 & _T_4689; // @[el2_lsu_bus_buffer.scala 588:105] + wire _T_4695 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 588:120] + wire _T_4696 = _T_4562 & _T_4695; // @[el2_lsu_bus_buffer.scala 588:105] + wire [31:0] _T_4697 = _T_4678 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4698 = _T_4684 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4699 = _T_4690 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4700 = _T_4696 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4701 = _T_4697 | _T_4698; // @[Mux.scala 27:72] + wire [31:0] _T_4702 = _T_4701 | _T_4699; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4702 | _T_4700; // @[Mux.scala 27:72] + wire _T_4704 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 110:123] + wire _T_4705 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 110:123] + wire _T_4706 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 110:123] + wire _T_4707 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 110:123] + wire [31:0] _T_4708 = _T_4704 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4709 = _T_4705 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4710 = _T_4706 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4711 = _T_4707 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4712 = _T_4708 | _T_4709; // @[Mux.scala 27:72] + wire [31:0] _T_4713 = _T_4712 | _T_4710; // @[Mux.scala 27:72] + wire [31:0] _T_4714 = _T_4713 | _T_4711; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_addr_offset = _T_4714[1:0]; // @[el2_lsu_bus_buffer.scala 589:83] + wire [1:0] _T_4720 = _T_4704 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4721 = _T_4705 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4722 = _T_4706 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4723 = _T_4707 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4724 = _T_4720 | _T_4721; // @[Mux.scala 27:72] + wire [1:0] _T_4725 = _T_4724 | _T_4722; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4725 | _T_4723; // @[Mux.scala 27:72] + wire _T_4735 = _T_4704 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4736 = _T_4705 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4737 = _T_4706 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4738 = _T_4707 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4739 = _T_4735 | _T_4736; // @[Mux.scala 27:72] + wire _T_4740 = _T_4739 | _T_4737; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4740 | _T_4738; // @[Mux.scala 27:72] + wire [63:0] _T_4760 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire [3:0] _GEN_394 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 593:121] + wire [5:0] _T_4761 = _GEN_394 * 4'h8; // @[el2_lsu_bus_buffer.scala 593:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4760 >> _T_4761; // @[el2_lsu_bus_buffer.scala 593:92] + wire _T_4762 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 595:69] + wire _T_4764 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 596:81] + wire _T_4765 = lsu_nonblock_unsign & _T_4764; // @[el2_lsu_bus_buffer.scala 596:63] + wire [31:0] _T_4767 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4768 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 597:45] + wire _T_4769 = lsu_nonblock_unsign & _T_4768; // @[el2_lsu_bus_buffer.scala 597:26] + wire [31:0] _T_4771 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4772 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 598:6] + wire _T_4774 = _T_4772 & _T_4764; // @[el2_lsu_bus_buffer.scala 598:27] + wire [23:0] _T_4777 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4779 = {_T_4777,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4782 = _T_4772 & _T_4768; // @[el2_lsu_bus_buffer.scala 599:27] + wire [15:0] _T_4785 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4787 = {_T_4785,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4788 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 600:21] + wire [31:0] _T_4789 = _T_4765 ? _T_4767 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4790 = _T_4769 ? _T_4771 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4791 = _T_4774 ? _T_4779 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4792 = _T_4782 ? _T_4787 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4793 = _T_4788 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4794 = _T_4789 | _T_4790; // @[Mux.scala 27:72] + wire [31:0] _T_4795 = _T_4794 | _T_4791; // @[Mux.scala 27:72] + wire [31:0] _T_4796 = _T_4795 | _T_4792; // @[Mux.scala 27:72] + wire [63:0] _GEN_395 = {{32'd0}, _T_4796}; // @[Mux.scala 27:72] + wire [63:0] _T_4797 = _GEN_395 | _T_4793; // @[Mux.scala 27:72] + wire _T_4892 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 618:36] + wire _T_4893 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 618:51] + wire _T_4894 = _T_4892 & _T_4893; // @[el2_lsu_bus_buffer.scala 618:49] + wire [31:0] _T_4898 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4900 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4905 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 630:50] + wire _T_4906 = _T_4892 & _T_4905; // @[el2_lsu_bus_buffer.scala 630:48] + wire [7:0] _T_4910 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4913 = obuf_valid & _T_1364; // @[el2_lsu_bus_buffer.scala 635:36] + wire _T_4915 = _T_4913 & _T_1370; // @[el2_lsu_bus_buffer.scala 635:50] + wire _T_4927 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 648:114] + wire _T_4929 = _T_4927 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 648:129] + wire _T_4932 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 648:114] + wire _T_4934 = _T_4932 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 648:129] + wire _T_4937 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 648:114] + wire _T_4939 = _T_4937 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 648:129] + wire _T_4942 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 648:114] + wire _T_4944 = _T_4942 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 648:129] + wire _T_4945 = _T_2820 & _T_4929; // @[Mux.scala 27:72] + wire _T_4946 = _T_2842 & _T_4934; // @[Mux.scala 27:72] + wire _T_4947 = _T_2864 & _T_4939; // @[Mux.scala 27:72] + wire _T_4948 = _T_2886 & _T_4944; // @[Mux.scala 27:72] + wire _T_4949 = _T_4945 | _T_4946; // @[Mux.scala 27:72] + wire _T_4950 = _T_4949 | _T_4947; // @[Mux.scala 27:72] + wire _T_4960 = _T_2842 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 649:98] + wire lsu_imprecise_error_store_tag = _T_4960 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 649:113] + wire _T_4966 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 651:72] + wire _T_4968 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 110:123] + wire [31:0] _T_4970 = _T_4968 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4971 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4972 = _T_4970 | _T_4971; // @[Mux.scala 27:72] + wire _T_4989 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 658:68] + wire _T_4992 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 659:48] + wire _T_4995 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 662:48] + wire _T_4996 = io_lsu_axi_awvalid & _T_4995; // @[el2_lsu_bus_buffer.scala 662:46] + wire _T_4997 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 662:92] + wire _T_4998 = io_lsu_axi_wvalid & _T_4997; // @[el2_lsu_bus_buffer.scala 662:90] + wire _T_4999 = _T_4996 | _T_4998; // @[el2_lsu_bus_buffer.scala 662:69] + wire _T_5000 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 662:136] + wire _T_5001 = io_lsu_axi_arvalid & _T_5000; // @[el2_lsu_bus_buffer.scala 662:134] + wire _T_5005 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 666:75] + wire _T_5006 = io_lsu_busreq_m & _T_5005; // @[el2_lsu_bus_buffer.scala 666:73] + reg _T_5009; // @[el2_lsu_bus_buffer.scala 666:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2710,68 +2692,58 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_5015; // @[el2_lsu_bus_buffer.scala 680:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 588:30] - assign io_lsu_bus_buffer_full_any = _T_4525 ? _T_4526 : _T_4527; // @[el2_lsu_bus_buffer.scala 589:30] - assign io_lsu_bus_buffer_empty_any = _T_4538 & _T_1252; // @[el2_lsu_bus_buffer.scala 590:31] - assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 669:23] - assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 199:25] - assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 200:25] - assign io_ld_fwddata_buf_lo = _T_652[31:0]; // @[el2_lsu_bus_buffer.scala 226:24] - assign io_ld_fwddata_buf_hi = _T_749[31:0]; // @[el2_lsu_bus_buffer.scala 231:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4972; // @[el2_lsu_bus_buffer.scala 665:35] - assign io_lsu_imprecise_error_store_any = _T_4956 | _T_4954; // @[el2_lsu_bus_buffer.scala 662:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4978 : _T_4720; // @[el2_lsu_bus_buffer.scala 666:35] - assign io_lsu_nonblock_load_valid_m = _T_4544 & _T_4545; // @[el2_lsu_bus_buffer.scala 592:32] - assign io_lsu_nonblock_load_tag_m = _T_1884 ? 2'h0 : _T_1920; // @[el2_lsu_bus_buffer.scala 593:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4547; // @[el2_lsu_bus_buffer.scala 595:30] - assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 596:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4768; // @[el2_lsu_bus_buffer.scala 609:35] - assign io_lsu_nonblock_load_data_error = _T_4597 | _T_4595; // @[el2_lsu_bus_buffer.scala 598:35] - assign io_lsu_nonblock_load_data_tag = _T_4637 | _T_4635; // @[el2_lsu_bus_buffer.scala 599:33] - assign io_lsu_nonblock_load_data = _T_4803[31:0]; // @[el2_lsu_bus_buffer.scala 610:29] - assign io_lsu_pmu_bus_trxn = _T_4995 | _T_4890; // @[el2_lsu_bus_buffer.scala 672:23] - assign io_lsu_pmu_bus_misaligned = _T_4998 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 673:29] - assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 674:24] - assign io_lsu_pmu_bus_busy = _T_5005 | _T_5007; // @[el2_lsu_bus_buffer.scala 676:23] - assign io_lsu_axi_awvalid = _T_4900 & _T_1260; // @[el2_lsu_bus_buffer.scala 632:22] - assign io_lsu_axi_awid = {{1'd0}, _T_1869}; // @[el2_lsu_bus_buffer.scala 633:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4904; // @[el2_lsu_bus_buffer.scala 634:21] - assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 638:23] - assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 639:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4906 : 3'h3; // @[el2_lsu_bus_buffer.scala 635:21] - assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 640:22] - assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 642:21] - assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 637:22] - assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 636:21] - assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 641:20] - assign io_lsu_axi_wvalid = _T_4912 & _T_1260; // @[el2_lsu_bus_buffer.scala 644:21] - assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 646:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4916; // @[el2_lsu_bus_buffer.scala 645:20] - assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 647:20] - assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 660:21] - assign io_lsu_axi_arvalid = _T_4921 & _T_1260; // @[el2_lsu_bus_buffer.scala 649:22] - assign io_lsu_axi_arid = {{1'd0}, _T_1869}; // @[el2_lsu_bus_buffer.scala 650:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4904; // @[el2_lsu_bus_buffer.scala 651:21] - assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 655:23] - assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 656:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4906 : 3'h3; // @[el2_lsu_bus_buffer.scala 652:21] - assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 657:22] - assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 659:21] - assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 654:22] - assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 653:21] - assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 658:20] - assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 661:21] - assign io_test = _T_753 | _T_752; // @[el2_lsu_bus_buffer.scala 260:11] - assign io_data_hi = _T_4708 | _T_4706; // @[el2_lsu_bus_buffer.scala 607:14] - assign io_data_lo = _T_4677 | _T_4675; // @[el2_lsu_bus_buffer.scala 608:14] - assign io_data_en = {_T_4440,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 581:14] - assign io_Cmdptr0 = _T_2101[1:0]; // @[el2_lsu_bus_buffer.scala 450:14] - assign io_Cmdptr1 = 2'h0; // @[el2_lsu_bus_buffer.scala 360:14] - assign io_WrPtr1_r = WrPtr1_r; // @[el2_lsu_bus_buffer.scala 280:15] - assign io_WrPtr1_m = _T_1938 ? 2'h0 : _T_1983; // @[el2_lsu_bus_buffer.scala 435:15] - assign io_wdata_in = {_T_1641,_T_1600}; // @[el2_lsu_bus_buffer.scala 395:15] - assign io_buf_state = {_T_1923,buf_state_0}; // @[el2_lsu_bus_buffer.scala 427:16] + assign io_lsu_busreq_r = _T_5009; // @[el2_lsu_bus_buffer.scala 666:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 575:30] + assign io_lsu_bus_buffer_full_any = _T_4519 ? _T_4520 : _T_4521; // @[el2_lsu_bus_buffer.scala 576:30] + assign io_lsu_bus_buffer_empty_any = _T_4532 & _T_1252; // @[el2_lsu_bus_buffer.scala 577:31] + assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 655:23] + assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 189:25] + assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 190:25] + assign io_ld_fwddata_buf_lo = _T_652[31:0]; // @[el2_lsu_bus_buffer.scala 216:24] + assign io_ld_fwddata_buf_hi = _T_749[31:0]; // @[el2_lsu_bus_buffer.scala 221:24] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4966; // @[el2_lsu_bus_buffer.scala 651:35] + assign io_lsu_imprecise_error_store_any = _T_4950 | _T_4948; // @[el2_lsu_bus_buffer.scala 648:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4972 : _T_4714; // @[el2_lsu_bus_buffer.scala 652:35] + assign io_lsu_nonblock_load_valid_m = _T_4538 & _T_4539; // @[el2_lsu_bus_buffer.scala 579:32] + assign io_lsu_nonblock_load_tag_m = _T_1884 ? 2'h0 : _T_1920; // @[el2_lsu_bus_buffer.scala 580:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4541; // @[el2_lsu_bus_buffer.scala 582:30] + assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 583:34] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4762; // @[el2_lsu_bus_buffer.scala 595:35] + assign io_lsu_nonblock_load_data_error = _T_4591 | _T_4589; // @[el2_lsu_bus_buffer.scala 585:35] + assign io_lsu_nonblock_load_data_tag = _T_4631 | _T_4629; // @[el2_lsu_bus_buffer.scala 586:33] + assign io_lsu_nonblock_load_data = _T_4797[31:0]; // @[el2_lsu_bus_buffer.scala 596:29] + assign io_lsu_pmu_bus_trxn = _T_4989 | _T_4884; // @[el2_lsu_bus_buffer.scala 658:23] + assign io_lsu_pmu_bus_misaligned = _T_4992 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 659:29] + assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 660:24] + assign io_lsu_pmu_bus_busy = _T_4999 | _T_5001; // @[el2_lsu_bus_buffer.scala 662:23] + assign io_lsu_axi_awvalid = _T_4894 & _T_1260; // @[el2_lsu_bus_buffer.scala 618:22] + assign io_lsu_axi_awid = {{1'd0}, _T_1869}; // @[el2_lsu_bus_buffer.scala 619:19] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4898; // @[el2_lsu_bus_buffer.scala 620:21] + assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 624:23] + assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 625:20] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4900 : 3'h3; // @[el2_lsu_bus_buffer.scala 621:21] + assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 626:22] + assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 628:21] + assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 623:22] + assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 622:21] + assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 627:20] + assign io_lsu_axi_wvalid = _T_4906 & _T_1260; // @[el2_lsu_bus_buffer.scala 630:21] + assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 632:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4910; // @[el2_lsu_bus_buffer.scala 631:20] + assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 633:20] + assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 646:21] + assign io_lsu_axi_arvalid = _T_4915 & _T_1260; // @[el2_lsu_bus_buffer.scala 635:22] + assign io_lsu_axi_arid = {{1'd0}, _T_1869}; // @[el2_lsu_bus_buffer.scala 636:19] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4898; // @[el2_lsu_bus_buffer.scala 637:21] + assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 641:23] + assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 642:20] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4900 : 3'h3; // @[el2_lsu_bus_buffer.scala 638:21] + assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 643:22] + assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 645:21] + assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 640:22] + assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 639:21] + assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 644:20] + assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 647:21] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] assign rvclkhdr_io_en = _T_853 & _T_854; // @[el2_lib.scala 488:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] @@ -2785,28 +2757,28 @@ module el2_lsu_bus_buffer( assign rvclkhdr_3_io_en = _T_1261 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_4_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_4_io_en = _T_3552 & buf_state_en_0; // @[el2_lib.scala 488:17] + assign rvclkhdr_4_io_en = _T_3549 & buf_state_en_0; // @[el2_lib.scala 488:17] assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_5_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_5_io_en = _T_3745 & buf_state_en_1; // @[el2_lib.scala 488:17] + assign rvclkhdr_5_io_en = _T_3742 & buf_state_en_1; // @[el2_lib.scala 488:17] assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_6_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_6_io_en = _T_3938 & buf_state_en_2; // @[el2_lib.scala 488:17] + assign rvclkhdr_6_io_en = _T_3935 & buf_state_en_2; // @[el2_lib.scala 488:17] assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_7_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_7_io_en = _T_4131 & buf_state_en_3; // @[el2_lib.scala 488:17] + assign rvclkhdr_7_io_en = _T_4128 & buf_state_en_3; // @[el2_lib.scala 488:17] assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_8_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_8_io_en = _T_3552 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 488:17] + assign rvclkhdr_8_io_en = _T_3549 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 488:17] assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_9_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_9_io_en = _T_3745 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 488:17] + assign rvclkhdr_9_io_en = _T_3742 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 488:17] assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_10_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_10_io_en = _T_3938 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 488:17] + assign rvclkhdr_10_io_en = _T_3935 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 488:17] assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_11_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_11_io_en = _T_4131 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 488:17] + assign rvclkhdr_11_io_en = _T_4128 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 488:17] assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE @@ -2846,13 +2818,13 @@ initial begin _RAND_0 = {1{`RANDOM}}; buf_addr_0 = _RAND_0[31:0]; _RAND_1 = {1{`RANDOM}}; - _T_4384 = _RAND_1[0:0]; + _T_4381 = _RAND_1[0:0]; _RAND_2 = {1{`RANDOM}}; - _T_4381 = _RAND_2[0:0]; + _T_4378 = _RAND_2[0:0]; _RAND_3 = {1{`RANDOM}}; - _T_4378 = _RAND_3[0:0]; + _T_4375 = _RAND_3[0:0]; _RAND_4 = {1{`RANDOM}}; - _T_4375 = _RAND_4[0:0]; + _T_4372 = _RAND_4[0:0]; _RAND_5 = {1{`RANDOM}}; buf_state_0 = _RAND_5[2:0]; _RAND_6 = {1{`RANDOM}}; @@ -2944,13 +2916,13 @@ initial begin _RAND_49 = {1{`RANDOM}}; buf_nomerge_3 = _RAND_49[0:0]; _RAND_50 = {1{`RANDOM}}; - _T_4354 = _RAND_50[0:0]; + _T_4351 = _RAND_50[0:0]; _RAND_51 = {1{`RANDOM}}; - _T_4351 = _RAND_51[0:0]; + _T_4348 = _RAND_51[0:0]; _RAND_52 = {1{`RANDOM}}; - _T_4348 = _RAND_52[0:0]; + _T_4345 = _RAND_52[0:0]; _RAND_53 = {1{`RANDOM}}; - _T_4345 = _RAND_53[0:0]; + _T_4342 = _RAND_53[0:0]; _RAND_54 = {1{`RANDOM}}; buf_dual_3 = _RAND_54[0:0]; _RAND_55 = {1{`RANDOM}}; @@ -3014,13 +2986,13 @@ initial begin _RAND_84 = {1{`RANDOM}}; buf_rspageQ_3 = _RAND_84[3:0]; _RAND_85 = {1{`RANDOM}}; - _T_4331 = _RAND_85[0:0]; + _T_4328 = _RAND_85[0:0]; _RAND_86 = {1{`RANDOM}}; - _T_4329 = _RAND_86[0:0]; + _T_4326 = _RAND_86[0:0]; _RAND_87 = {1{`RANDOM}}; - _T_4327 = _RAND_87[0:0]; + _T_4324 = _RAND_87[0:0]; _RAND_88 = {1{`RANDOM}}; - _T_4325 = _RAND_88[0:0]; + _T_4322 = _RAND_88[0:0]; _RAND_89 = {1{`RANDOM}}; buf_ldfwdtag_0 = _RAND_89[1:0]; _RAND_90 = {1{`RANDOM}}; @@ -3038,32 +3010,29 @@ initial begin _RAND_96 = {1{`RANDOM}}; buf_dualtag_3 = _RAND_96[1:0]; _RAND_97 = {1{`RANDOM}}; - _T_4360 = _RAND_97[0:0]; + _T_4357 = _RAND_97[0:0]; _RAND_98 = {1{`RANDOM}}; - _T_4363 = _RAND_98[0:0]; + _T_4360 = _RAND_98[0:0]; _RAND_99 = {1{`RANDOM}}; - _T_4366 = _RAND_99[0:0]; + _T_4363 = _RAND_99[0:0]; _RAND_100 = {1{`RANDOM}}; - _T_4369 = _RAND_100[0:0]; + _T_4366 = _RAND_100[0:0]; _RAND_101 = {1{`RANDOM}}; - _T_4435 = _RAND_101[0:0]; + _T_4432 = _RAND_101[0:0]; _RAND_102 = {1{`RANDOM}}; - _T_4430 = _RAND_102[0:0]; + _T_4427 = _RAND_102[0:0]; _RAND_103 = {1{`RANDOM}}; - _T_4425 = _RAND_103[0:0]; + _T_4422 = _RAND_103[0:0]; _RAND_104 = {1{`RANDOM}}; - _T_4420 = _RAND_104[0:0]; + _T_4417 = _RAND_104[0:0]; _RAND_105 = {1{`RANDOM}}; lsu_nonblock_load_valid_r = _RAND_105[0:0]; _RAND_106 = {1{`RANDOM}}; - _T_5015 = _RAND_106[0:0]; + _T_5009 = _RAND_106[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin buf_addr_0 = 32'h0; end - if (reset) begin - _T_4384 = 1'h0; - end if (reset) begin _T_4381 = 1'h0; end @@ -3073,6 +3042,9 @@ initial begin if (reset) begin _T_4375 = 1'h0; end + if (reset) begin + _T_4372 = 1'h0; + end if (reset) begin buf_state_0 = 3'h0; end @@ -3208,9 +3180,6 @@ initial begin if (reset) begin buf_nomerge_3 = 1'h0; end - if (reset) begin - _T_4354 = 1'h0; - end if (reset) begin _T_4351 = 1'h0; end @@ -3220,6 +3189,9 @@ initial begin if (reset) begin _T_4345 = 1'h0; end + if (reset) begin + _T_4342 = 1'h0; + end if (reset) begin buf_dual_3 = 1'h0; end @@ -3314,16 +3286,16 @@ initial begin buf_rspageQ_3 = 4'h0; end if (reset) begin - _T_4331 = 1'h0; + _T_4328 = 1'h0; end if (reset) begin - _T_4329 = 1'h0; + _T_4326 = 1'h0; end if (reset) begin - _T_4327 = 1'h0; + _T_4324 = 1'h0; end if (reset) begin - _T_4325 = 1'h0; + _T_4322 = 1'h0; end if (reset) begin buf_ldfwdtag_0 = 2'h0; @@ -3349,6 +3321,9 @@ initial begin if (reset) begin buf_dualtag_3 = 2'h0; end + if (reset) begin + _T_4357 = 1'h0; + end if (reset) begin _T_4360 = 1'h0; end @@ -3359,25 +3334,22 @@ initial begin _T_4366 = 1'h0; end if (reset) begin - _T_4369 = 1'h0; + _T_4432 = 1'h0; end if (reset) begin - _T_4435 = 1'h0; + _T_4427 = 1'h0; end if (reset) begin - _T_4430 = 1'h0; + _T_4422 = 1'h0; end if (reset) begin - _T_4425 = 1'h0; - end - if (reset) begin - _T_4420 = 1'h0; + _T_4417 = 1'h0; end if (reset) begin lsu_nonblock_load_valid_r = 1'h0; end if (reset) begin - _T_5015 = 1'h0; + _T_5009 = 1'h0; end `endif // RANDOMIZE end // initial @@ -3390,83 +3362,83 @@ end // initial buf_addr_0 <= 32'h0; end else if (ibuf_drainvec_vld[0]) begin buf_addr_0 <= ibuf_addr; - end else if (_T_3367) begin + end else if (_T_3364) begin buf_addr_0 <= io_end_addr_r; end else begin buf_addr_0 <= io_lsu_addr_r; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4384 <= 1'h0; - end else if (buf_wr_en_3) begin - _T_4384 <= buf_write_in[3]; - end - end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4381 <= 1'h0; - end else if (buf_wr_en_2) begin - _T_4381 <= buf_write_in[2]; + end else if (buf_wr_en_3) begin + _T_4381 <= buf_write_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4378 <= 1'h0; - end else if (buf_wr_en_1) begin - _T_4378 <= buf_write_in[1]; + end else if (buf_wr_en_2) begin + _T_4378 <= buf_write_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4375 <= 1'h0; + end else if (buf_wr_en_1) begin + _T_4375 <= buf_write_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4372 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4375 <= buf_write_in[0]; + _T_4372 <= buf_write_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_state_0 <= 3'h0; end else if (buf_state_en_0) begin - if (_T_3552) begin + if (_T_3549) begin if (io_lsu_bus_clk_en) begin buf_state_0 <= 3'h2; end else begin buf_state_0 <= 3'h1; end - end else if (_T_3575) begin + end else if (_T_3572) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin buf_state_0 <= 3'h2; end - end else if (_T_3579) begin + end else if (_T_3576) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3583) begin + end else if (_T_3580) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h3; end - end else if (_T_3613) begin - if (_T_3618) begin + end else if (_T_3610) begin + if (_T_3615) begin buf_state_0 <= 3'h0; - end else if (_T_3626) begin + end else if (_T_3623) begin buf_state_0 <= 3'h4; - end else if (_T_3654) begin + end else if (_T_3651) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3700) begin + end else if (_T_3697) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3706) begin + end else if (_T_3703) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3718) begin + end else if (_T_3715) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin @@ -3482,7 +3454,7 @@ end // initial buf_addr_1 <= 32'h0; end else if (ibuf_drainvec_vld[1]) begin buf_addr_1 <= ibuf_addr; - end else if (_T_3376) begin + end else if (_T_3373) begin buf_addr_1 <= io_end_addr_r; end else begin buf_addr_1 <= io_lsu_addr_r; @@ -3492,45 +3464,45 @@ end // initial if (reset) begin buf_state_1 <= 3'h0; end else if (buf_state_en_1) begin - if (_T_3745) begin + if (_T_3742) begin if (io_lsu_bus_clk_en) begin buf_state_1 <= 3'h2; end else begin buf_state_1 <= 3'h1; end - end else if (_T_3768) begin + end else if (_T_3765) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin buf_state_1 <= 3'h2; end - end else if (_T_3772) begin + end else if (_T_3769) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3583) begin + end else if (_T_3580) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h3; end - end else if (_T_3806) begin - if (_T_3811) begin + end else if (_T_3803) begin + if (_T_3808) begin buf_state_1 <= 3'h0; - end else if (_T_3819) begin + end else if (_T_3816) begin buf_state_1 <= 3'h4; - end else if (_T_3847) begin + end else if (_T_3844) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3893) begin + end else if (_T_3890) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3899) begin + end else if (_T_3896) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3911) begin + end else if (_T_3908) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin @@ -3546,7 +3518,7 @@ end // initial buf_addr_2 <= 32'h0; end else if (ibuf_drainvec_vld[2]) begin buf_addr_2 <= ibuf_addr; - end else if (_T_3385) begin + end else if (_T_3382) begin buf_addr_2 <= io_end_addr_r; end else begin buf_addr_2 <= io_lsu_addr_r; @@ -3556,45 +3528,45 @@ end // initial if (reset) begin buf_state_2 <= 3'h0; end else if (buf_state_en_2) begin - if (_T_3938) begin + if (_T_3935) begin if (io_lsu_bus_clk_en) begin buf_state_2 <= 3'h2; end else begin buf_state_2 <= 3'h1; end - end else if (_T_3961) begin + end else if (_T_3958) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin buf_state_2 <= 3'h2; end - end else if (_T_3965) begin + end else if (_T_3962) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_3583) begin + end else if (_T_3580) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h3; end - end else if (_T_3999) begin - if (_T_4004) begin + end else if (_T_3996) begin + if (_T_4001) begin buf_state_2 <= 3'h0; - end else if (_T_4012) begin + end else if (_T_4009) begin buf_state_2 <= 3'h4; - end else if (_T_4040) begin + end else if (_T_4037) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_4086) begin + end else if (_T_4083) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_4092) begin + end else if (_T_4089) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_4104) begin + end else if (_T_4101) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin @@ -3610,7 +3582,7 @@ end // initial buf_addr_3 <= 32'h0; end else if (ibuf_drainvec_vld[3]) begin buf_addr_3 <= ibuf_addr; - end else if (_T_3394) begin + end else if (_T_3391) begin buf_addr_3 <= io_end_addr_r; end else begin buf_addr_3 <= io_lsu_addr_r; @@ -3620,45 +3592,45 @@ end // initial if (reset) begin buf_state_3 <= 3'h0; end else if (buf_state_en_3) begin - if (_T_4131) begin + if (_T_4128) begin if (io_lsu_bus_clk_en) begin buf_state_3 <= 3'h2; end else begin buf_state_3 <= 3'h1; end - end else if (_T_4154) begin + end else if (_T_4151) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin buf_state_3 <= 3'h2; end - end else if (_T_4158) begin + end else if (_T_4155) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_3583) begin + end else if (_T_3580) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h3; end - end else if (_T_4192) begin - if (_T_4197) begin + end else if (_T_4189) begin + if (_T_4194) begin buf_state_3 <= 3'h0; - end else if (_T_4205) begin + end else if (_T_4202) begin buf_state_3 <= 3'h4; - end else if (_T_4233) begin + end else if (_T_4230) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4279) begin + end else if (_T_4276) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_4285) begin + end else if (_T_4282) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4297) begin + end else if (_T_4294) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin @@ -3675,7 +3647,7 @@ end // initial end else if (buf_wr_en_3) begin if (ibuf_drainvec_vld[3]) begin buf_byteen_3 <= ibuf_byteen_out; - end else if (_T_3394) begin + end else if (_T_3391) begin buf_byteen_3 <= ldst_byteen_hi_r; end else begin buf_byteen_3 <= ldst_byteen_lo_r; @@ -3688,7 +3660,7 @@ end // initial end else if (buf_wr_en_2) begin if (ibuf_drainvec_vld[2]) begin buf_byteen_2 <= ibuf_byteen_out; - end else if (_T_3385) begin + end else if (_T_3382) begin buf_byteen_2 <= ldst_byteen_hi_r; end else begin buf_byteen_2 <= ldst_byteen_lo_r; @@ -3701,7 +3673,7 @@ end // initial end else if (buf_wr_en_1) begin if (ibuf_drainvec_vld[1]) begin buf_byteen_1 <= ibuf_byteen_out; - end else if (_T_3376) begin + end else if (_T_3373) begin buf_byteen_1 <= ldst_byteen_hi_r; end else begin buf_byteen_1 <= ldst_byteen_lo_r; @@ -3714,7 +3686,7 @@ end // initial end else if (buf_wr_en_0) begin if (ibuf_drainvec_vld[0]) begin buf_byteen_0 <= ibuf_byteen_out; - end else if (_T_3367) begin + end else if (_T_3364) begin buf_byteen_0 <= ldst_byteen_hi_r; end else begin buf_byteen_0 <= ldst_byteen_lo_r; @@ -3725,7 +3697,7 @@ end // initial if (reset) begin buf_ageQ_3 <= 4'h0; end else begin - buf_ageQ_3 <= {_T_2559,_T_2482}; + buf_ageQ_3 <= {_T_2556,_T_2479}; end end always @(posedge io_lsu_busm_clk or posedge reset) begin @@ -3811,35 +3783,35 @@ end // initial if (reset) begin buf_ageQ_2 <= 4'h0; end else begin - buf_ageQ_2 <= {_T_2457,_T_2380}; + buf_ageQ_2 <= {_T_2454,_T_2377}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_1 <= 4'h0; end else begin - buf_ageQ_1 <= {_T_2355,_T_2278}; + buf_ageQ_1 <= {_T_2352,_T_2275}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_0 <= 4'h0; end else begin - buf_ageQ_0 <= {_T_2253,_T_2176}; + buf_ageQ_0 <= {_T_2250,_T_2173}; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin buf_data_0 <= 32'h0; - end else if (_T_3552) begin - if (_T_3567) begin + end else if (_T_3549) begin + if (_T_3564) begin buf_data_0 <= ibuf_data_out; end else begin buf_data_0 <= store_data_lo_r; end - end else if (_T_3575) begin + end else if (_T_3572) begin buf_data_0 <= 32'h0; - end else if (_T_3579) begin + end else if (_T_3576) begin if (buf_error_en_0) begin buf_data_0 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_0[2]) begin @@ -3847,8 +3819,8 @@ end // initial end else begin buf_data_0 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_3613) begin - if (_T_3693) begin + end else if (_T_3610) begin + if (_T_3690) begin if (buf_addr_0[2]) begin buf_data_0 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3864,15 +3836,15 @@ end // initial always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin if (reset) begin buf_data_1 <= 32'h0; - end else if (_T_3745) begin - if (_T_3760) begin + end else if (_T_3742) begin + if (_T_3757) begin buf_data_1 <= ibuf_data_out; end else begin buf_data_1 <= store_data_lo_r; end - end else if (_T_3768) begin + end else if (_T_3765) begin buf_data_1 <= 32'h0; - end else if (_T_3772) begin + end else if (_T_3769) begin if (buf_error_en_1) begin buf_data_1 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_1[2]) begin @@ -3880,8 +3852,8 @@ end // initial end else begin buf_data_1 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_3806) begin - if (_T_3886) begin + end else if (_T_3803) begin + if (_T_3883) begin if (buf_addr_1[2]) begin buf_data_1 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3897,15 +3869,15 @@ end // initial always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin if (reset) begin buf_data_2 <= 32'h0; - end else if (_T_3938) begin - if (_T_3953) begin + end else if (_T_3935) begin + if (_T_3950) begin buf_data_2 <= ibuf_data_out; end else begin buf_data_2 <= store_data_lo_r; end - end else if (_T_3961) begin + end else if (_T_3958) begin buf_data_2 <= 32'h0; - end else if (_T_3965) begin + end else if (_T_3962) begin if (buf_error_en_2) begin buf_data_2 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_2[2]) begin @@ -3913,8 +3885,8 @@ end // initial end else begin buf_data_2 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_3999) begin - if (_T_4079) begin + end else if (_T_3996) begin + if (_T_4076) begin if (buf_addr_2[2]) begin buf_data_2 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3930,15 +3902,15 @@ end // initial always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin if (reset) begin buf_data_3 <= 32'h0; - end else if (_T_4131) begin - if (_T_4146) begin + end else if (_T_4128) begin + if (_T_4143) begin buf_data_3 <= ibuf_data_out; end else begin buf_data_3 <= store_data_lo_r; end - end else if (_T_4154) begin + end else if (_T_4151) begin buf_data_3 <= 32'h0; - end else if (_T_4158) begin + end else if (_T_4155) begin if (buf_error_en_3) begin buf_data_3 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_3[2]) begin @@ -3946,8 +3918,8 @@ end // initial end else begin buf_data_3 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_4192) begin - if (_T_4272) begin + end else if (_T_4189) begin + if (_T_4269) begin if (buf_addr_3[2]) begin buf_data_3 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3986,11 +3958,11 @@ end // initial always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin WrPtr1_r <= 2'h0; - end else if (_T_1938) begin + end else if (_T_1935) begin WrPtr1_r <= 2'h0; - end else if (_T_1952) begin + end else if (_T_1949) begin WrPtr1_r <= 2'h1; - end else if (_T_1966) begin + end else if (_T_1963) begin WrPtr1_r <= 2'h2; end else begin WrPtr1_r <= 2'h3; @@ -4101,32 +4073,32 @@ end // initial buf_nomerge_3 <= buf_nomerge_in[3]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4354 <= 1'h0; - end else if (buf_wr_en_3) begin - _T_4354 <= buf_sideeffect_in[3]; - end - end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4351 <= 1'h0; - end else if (buf_wr_en_2) begin - _T_4351 <= buf_sideeffect_in[2]; + end else if (buf_wr_en_3) begin + _T_4351 <= buf_sideeffect_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4348 <= 1'h0; - end else if (buf_wr_en_1) begin - _T_4348 <= buf_sideeffect_in[1]; + end else if (buf_wr_en_2) begin + _T_4348 <= buf_sideeffect_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4345 <= 1'h0; + end else if (buf_wr_en_1) begin + _T_4345 <= buf_sideeffect_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4342 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4345 <= buf_sideeffect_in[0]; + _T_4342 <= buf_sideeffect_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin @@ -4200,14 +4172,14 @@ end // initial if (reset) begin obuf_cmd_done <= 1'h0; end else begin - obuf_cmd_done <= _T_1326 & _T_4887; + obuf_cmd_done <= _T_1326 & _T_4881; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_data_done <= 1'h0; end else begin - obuf_data_done <= _T_1326 & _T_4888; + obuf_data_done <= _T_1326 & _T_4882; end end always @(posedge io_lsu_free_c2_clk or posedge reset) begin @@ -4352,79 +4324,79 @@ end // initial if (reset) begin buf_rspageQ_0 <= 4'h0; end else begin - buf_rspageQ_0 <= {_T_3197,_T_3186}; + buf_rspageQ_0 <= {_T_3194,_T_3183}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_1 <= 4'h0; end else begin - buf_rspageQ_1 <= {_T_3212,_T_3201}; + buf_rspageQ_1 <= {_T_3209,_T_3198}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_2 <= 4'h0; end else begin - buf_rspageQ_2 <= {_T_3227,_T_3216}; + buf_rspageQ_2 <= {_T_3224,_T_3213}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_3 <= 4'h0; end else begin - buf_rspageQ_3 <= {_T_3242,_T_3231}; + buf_rspageQ_3 <= {_T_3239,_T_3228}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4331 <= 1'h0; + _T_4328 <= 1'h0; end else if (buf_ldfwd_en_3) begin - if (_T_4131) begin - _T_4331 <= 1'h0; - end else if (_T_4154) begin - _T_4331 <= 1'h0; + if (_T_4128) begin + _T_4328 <= 1'h0; + end else if (_T_4151) begin + _T_4328 <= 1'h0; end else begin - _T_4331 <= _T_4158; + _T_4328 <= _T_4155; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4329 <= 1'h0; + _T_4326 <= 1'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3938) begin - _T_4329 <= 1'h0; - end else if (_T_3961) begin - _T_4329 <= 1'h0; + if (_T_3935) begin + _T_4326 <= 1'h0; + end else if (_T_3958) begin + _T_4326 <= 1'h0; end else begin - _T_4329 <= _T_3965; + _T_4326 <= _T_3962; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4327 <= 1'h0; + _T_4324 <= 1'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3745) begin - _T_4327 <= 1'h0; - end else if (_T_3768) begin - _T_4327 <= 1'h0; + if (_T_3742) begin + _T_4324 <= 1'h0; + end else if (_T_3765) begin + _T_4324 <= 1'h0; end else begin - _T_4327 <= _T_3772; + _T_4324 <= _T_3769; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4325 <= 1'h0; + _T_4322 <= 1'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3552) begin - _T_4325 <= 1'h0; - end else if (_T_3575) begin - _T_4325 <= 1'h0; + if (_T_3549) begin + _T_4322 <= 1'h0; + end else if (_T_3572) begin + _T_4322 <= 1'h0; end else begin - _T_4325 <= _T_3579; + _T_4322 <= _T_3576; end end end @@ -4432,11 +4404,11 @@ end // initial if (reset) begin buf_ldfwdtag_0 <= 2'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3552) begin + if (_T_3549) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3575) begin + end else if (_T_3572) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3579) begin + end else if (_T_3576) begin buf_ldfwdtag_0 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_0 <= 2'h0; @@ -4449,7 +4421,7 @@ end // initial end else if (buf_wr_en_0) begin if (ibuf_drainvec_vld[0]) begin buf_dualtag_0 <= ibuf_dualtag; - end else if (_T_3367) begin + end else if (_T_3364) begin buf_dualtag_0 <= WrPtr0_r; end else begin buf_dualtag_0 <= WrPtr1_r; @@ -4460,11 +4432,11 @@ end // initial if (reset) begin buf_ldfwdtag_3 <= 2'h0; end else if (buf_ldfwd_en_3) begin - if (_T_4131) begin + if (_T_4128) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_4154) begin + end else if (_T_4151) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_4158) begin + end else if (_T_4155) begin buf_ldfwdtag_3 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_3 <= 2'h0; @@ -4475,11 +4447,11 @@ end // initial if (reset) begin buf_ldfwdtag_2 <= 2'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3938) begin + if (_T_3935) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3961) begin + end else if (_T_3958) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3965) begin + end else if (_T_3962) begin buf_ldfwdtag_2 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_2 <= 2'h0; @@ -4490,11 +4462,11 @@ end // initial if (reset) begin buf_ldfwdtag_1 <= 2'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3745) begin + if (_T_3742) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3768) begin + end else if (_T_3765) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3772) begin + end else if (_T_3769) begin buf_ldfwdtag_1 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_1 <= 2'h0; @@ -4507,7 +4479,7 @@ end // initial end else if (buf_wr_en_1) begin if (ibuf_drainvec_vld[1]) begin buf_dualtag_1 <= ibuf_dualtag; - end else if (_T_3376) begin + end else if (_T_3373) begin buf_dualtag_1 <= WrPtr0_r; end else begin buf_dualtag_1 <= WrPtr1_r; @@ -4520,7 +4492,7 @@ end // initial end else if (buf_wr_en_2) begin if (ibuf_drainvec_vld[2]) begin buf_dualtag_2 <= ibuf_dualtag; - end else if (_T_3385) begin + end else if (_T_3382) begin buf_dualtag_2 <= WrPtr0_r; end else begin buf_dualtag_2 <= WrPtr1_r; @@ -4533,7 +4505,7 @@ end // initial end else if (buf_wr_en_3) begin if (ibuf_drainvec_vld[3]) begin buf_dualtag_3 <= ibuf_dualtag; - end else if (_T_3394) begin + end else if (_T_3391) begin buf_dualtag_3 <= WrPtr0_r; end else begin buf_dualtag_3 <= WrPtr1_r; @@ -4542,58 +4514,58 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4360 <= 1'h0; + _T_4357 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4360 <= buf_unsign_in[0]; + _T_4357 <= buf_unsign_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4360 <= 1'h0; + end else if (buf_wr_en_1) begin + _T_4360 <= buf_unsign_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4363 <= 1'h0; - end else if (buf_wr_en_1) begin - _T_4363 <= buf_unsign_in[1]; + end else if (buf_wr_en_2) begin + _T_4363 <= buf_unsign_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin _T_4366 <= 1'h0; - end else if (buf_wr_en_2) begin - _T_4366 <= buf_unsign_in[2]; - end - end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin - _T_4369 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4369 <= buf_unsign_in[3]; + _T_4366 <= buf_unsign_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4435 <= 1'h0; + _T_4432 <= 1'h0; end else begin - _T_4435 <= _T_4432 & _T_4433; + _T_4432 <= _T_4429 & _T_4430; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4430 <= 1'h0; + _T_4427 <= 1'h0; end else begin - _T_4430 <= _T_4427 & _T_4428; + _T_4427 <= _T_4424 & _T_4425; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4425 <= 1'h0; + _T_4422 <= 1'h0; end else begin - _T_4425 <= _T_4422 & _T_4423; + _T_4422 <= _T_4419 & _T_4420; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4420 <= 1'h0; + _T_4417 <= 1'h0; end else begin - _T_4420 <= _T_4417 & _T_4418; + _T_4417 <= _T_4414 & _T_4415; end end always @(posedge io_lsu_c2_r_clk or posedge reset) begin @@ -4605,9 +4577,9 @@ end // initial end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin - _T_5015 <= 1'h0; + _T_5009 <= 1'h0; end else begin - _T_5015 <= _T_5012 & _T_4545; + _T_5009 <= _T_5006 & _T_4539; end end endmodule diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index d52066dc..f91a68b8 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -105,16 +105,6 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val lsu_axi_arprot = Output(UInt(3.W)) val lsu_axi_arqos = Output(UInt(4.W)) val lsu_axi_rready = Output(Bool()) - val test = Output(UInt()) - val data_hi = Output(UInt()) - val data_lo = Output(UInt()) - val data_en = Output(UInt()) - val Cmdptr0 = Output(UInt()) - val Cmdptr1 = Output(UInt()) - val WrPtr1_r = Output(UInt()) - val WrPtr1_m = Output(UInt()) - val wdata_in = Output(UInt()) - val buf_state = Output(UInt()) }) def indexing(in : UInt, index : UInt) = Mux1H((0 until math.pow(2, index.getWidth).asInstanceOf[Int]).map(i=>(index===i.U)->in(i))) def indexing(in : Vec[UInt], index : UInt) = Mux1H((0 until math.pow(2, index.getWidth).asInstanceOf[Int]).map(i=>(index===i.U)->in(i))) @@ -257,7 +247,6 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { (io.lsu_addr_r(1,0)===2.U)->Cat(io.store_data_r(15,0), 0.U(16.W)), (io.lsu_addr_r(1,0)===3.U)->Cat(io.store_data_r(7 ,0) , 0.U(24.W)))) - io.test := ldst_byteen_r val ldst_samedw_r = io.lsu_addr_r(3) === io.end_addr_r(3) val is_aligned_r = Mux1H(Seq(io.lsu_pkt_r.word -> (io.lsu_addr_r(1, 0) === 0.U), @@ -277,7 +266,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val ibuf_tag = WireInit(UInt(DEPTH_LOG2.W), 0.U) val WrPtr1_r = WireInit(UInt(DEPTH_LOG2.W), 0.U) val WrPtr0_r = WireInit(UInt(DEPTH_LOG2.W), 0.U) - io.WrPtr1_r := WrPtr1_r + val ibuf_tag_in = Mux(ibuf_merge_en & ibuf_merge_in, ibuf_tag, Mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r)) val ibuf_dualtag_in = WrPtr0_r val ibuf_sz_in = Cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @@ -357,7 +346,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val obuf_merge_in = obuf_merge_en val obuf_tag0_in = Mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) val Cmdptr1 = WireInit(UInt(DEPTH_LOG2.W), 0.U) - io.Cmdptr1 := Cmdptr1 + val obuf_tag1_in = Mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) val obuf_cmd_done = WireInit(Bool(), false.B) val bus_wcmd_sent = WireInit(Bool(), false.B) @@ -392,7 +381,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { Mux(indexing(buf_addr, Cmdptr1)(2).asBool(), Cat(indexing(buf_data, Cmdptr1), 0.U(32.W)), Cat(0.U(32.W),indexing(buf_data, Cmdptr1)))) val obuf_byteen_in = (0 until 8).map(i=>(obuf_byteen0_in(i) | (obuf_merge_en & obuf_byteen1_in(i))).asUInt).reverse.reduce(Cat(_,_)) val obuf_data_in = (0 until 8).map(i=>Mux(obuf_merge_en & obuf_byteen1_in(i), obuf_data1_in((8*i)+7, 8*i), obuf_data0_in((8*i)+7, 8*i))).reverse.reduce(Cat(_,_)) - io.wdata_in := obuf_data_in + val buf_dualhi = Wire(Vec(DEPTH, Bool())) buf_dualhi := buf_dualhi.map(i=> false.B) obuf_merge_en := ((CmdPtr0 =/= Cmdptr1) & found_cmdptr0 & found_cmdptr1 & (indexing(buf_state, CmdPtr0) === cmd_C) & (indexing(buf_state, Cmdptr1) === cmd_C) & @@ -424,7 +413,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { WrPtr0_m := MuxCase(3.U, (0 until DEPTH).map(i=>((buf_state(i)===idle_C) & !((ibuf_valid & (ibuf_tag===i.U)) | (io.lsu_busreq_r & ((WrPtr0_r === i.U) | (io.ldst_dual_r & (WrPtr1_r === i.U)))))) -> i.U)) - io.buf_state := buf_state.reverse.reduce(Cat(_,_)) + val WrPtr1_m = WireInit(UInt(DEPTH_LOG2.W), 0.U) WrPtr1_m := MuxCase(3.U, (0 until DEPTH).map(i=>((buf_state(i)===idle_C) & !((ibuf_valid & (ibuf_tag===i.U)) | @@ -432,7 +421,6 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { (io.lsu_busreq_r & (((WrPtr0_r === i.U)) | (io.ldst_dual_r & (WrPtr1_r===i.U)))))) -> i.U)) - io.WrPtr1_m := WrPtr1_m val buf_age = Wire(Vec(DEPTH, UInt(DEPTH.W))) buf_age := buf_age.map(i=> 0.U) @@ -447,7 +435,6 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { def Enc8x3(in: UInt) : UInt = Cat(in(4)|in(5)|in(6)|in(7), in(2)|in(3)|in(6)|in(7), in(1)|in(3)|in(5)|in(7)) - io.Cmdptr0 := CmdPtr0 val CmdPtr1 = WireInit(UInt(DEPTH_LOG2.W), 0.U) val RspPtr = WireInit(UInt(DEPTH_LOG2.W), 0.U) CmdPtr0 := Enc8x3(Cat(Fill(8-DEPTH, 0.U),CmdPtr0Dec)) @@ -578,7 +565,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { buf_byteen := (0 until DEPTH).map(i=>withClock(io.lsu_bus_buf_c1_clk){RegEnable(buf_byteen_in(i), 0.U, buf_wr_en(i).asBool())}) buf_data := (0 until DEPTH).map(i=>rvdffe(buf_data_in(i), buf_data_en(i), clock, io.scan_mode)) buf_error := (0 until DEPTH).map(i=>(withClock(io.lsu_bus_buf_c1_clk){RegNext(Mux(buf_error_en(i), true.B, buf_error(i)) & !buf_rst(i), false.B)}).asUInt()).reverse.reduce(Cat(_,_)) - io.data_en := (0 until DEPTH).map(i=>buf_data_en(i).asUInt()).reverse.reduce(Cat(_,_)) + val buf_numvld_any = (Mux(io.ldst_dual_m, Cat(io.lsu_busreq_m, 0.U),io.lsu_busreq_m) +& Mux(io.ldst_dual_r, Cat(io.lsu_busreq_r, 0.U),io.lsu_busreq_r) +& ibuf_valid) + buf_state.map(i=>(i=/=idle_C).asUInt).reduce(_+&_) buf_numvld_wrcmd_any := (0 until DEPTH).map(i=>(buf_write(i) & (buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(_ +& _) @@ -604,8 +591,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val lsu_nonblock_unsign = indexing(buf_unsign, io.lsu_nonblock_load_data_tag) val lsu_nonblock_dual = indexing(buf_dual.map(_.asUInt).reverse.reduce(Cat(_,_)), io.lsu_nonblock_load_data_tag) val lsu_nonblock_data_unalgn = Cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) >> (lsu_nonblock_addr_offset * 8.U) - io.data_hi := lsu_nonblock_load_data_hi - io.data_lo := lsu_nonblock_load_data_lo + io.lsu_nonblock_load_data_valid := lsu_nonblock_load_data_ready & !io.lsu_nonblock_load_data_error io.lsu_nonblock_load_data := Mux1H(Seq((lsu_nonblock_unsign & (lsu_nonblock_sz===0.U)) -> Cat(0.U(24.W),lsu_nonblock_data_unalgn(7,0)), (lsu_nonblock_unsign & (lsu_nonblock_sz===1.U)) -> Cat(0.U(16.W),lsu_nonblock_data_unalgn(15,0)), diff --git a/target/scala-2.12/classes/lsu/BusBufmain$.class b/target/scala-2.12/classes/lsu/BusBufmain$.class index 90240b264209db7a0bb303b3b8072aeec9d1390f..7fd045597b974bdd7c3e057aaba57206ac6aa067 100644 GIT binary patch delta 110 zcmcaFcVBM9Jr+iz&G%U>*%;?dmgKetk_Eitlk>Pep{(=VRzMaPj}4G?=YhyePM*hO u0pwrjaRsZAoNUHx31-DGE|`3lH*%;SMmgKetk_Eitlk>Pep{(=VRzMaPj}4G?=YhyePM*hO u0pwrjaRsZAoNUHx31-DGuA6+8Hqe5=cVg z5JCtcB%}eFP@puW5KOFu03ke^2103|DWz!&G);k|G*AjOr3wGJSG%*iIz#R6^PDsH zoZr27?!7Z-?(EUCZ$9}H5iR8pGEGV6dK%pHVh7S`>&fANd%Nq^)x|T}Ox>agG1IU_ zXDa8Wmo#kZ$t2Tmgvy!54Q3YP67h7LX^dQDgsPYp7pmHNp*x*Qr1G1yUEQg)ySF=^ z%4TwP15Y=nMi!wt&8F)jG?Zz$Ws1;nrb=xW zxYnjj9$YP4v|7@sLe=eFwhLYdvxig3d}oA4W9$Z&F-0*-8K*0obYW~R^uTYl5j7^= zgp*JAIPQhK>t*6;C!K8XO*+X`F5Z>~F}dK-+vX&)@wA&uq%s|WeOkeuOC?v@qZ`p}V$F5+*X8%icRC+Wr;?5r?{$(GFTbSwY~JZ&8rz)8 zB+@-ex42?`Lms^=dU;GSpNJ=uo{t1W>`xjzV{Rr{v<9v|SG3?nn2b&~OX+`;Ma zOxEemdP%3t^*UU?qy{C)fklPu@!WHM(?ioqr@begb~;nK_p$bLPp;GHa(cR%BId+z z$?t9=+tmdxzur{N2_`S+7Y#`#b9pD(gXMq$DLF$UC1>y)p*`C!=3O`AuOYu1dfej| zQck?rbK}X27!y4}C>d1Hrib3|x<7>QX!G10dOM_eo>gn?rayrX2sIW7oN8Q0)s@F=v5i>EM%=eq*x1Z|j_S^)X zr;h7+Sr4_wu^#>IO_t1N8EU(T>vgWp<9!?t?Kc00HPxCM32Q))$v|5^-l1=)!Bi@9 z-XGzKvc>0M)twnwqrU$B`42PeYi61-xNc3OauYwS3o*+^Pf~Z6Ss8in0v3uNYn(z+ z7oL*oR7b|ek{eNK=x=oZkEMh$50-Lof6w*pXl@sO=@##mc&|Uy{Y$fOJ9Qvvl`Q9Y zZ`xnIB}^`L9iG}Ht+e%cIXrGlT>R-8;F5?ZI{o0_Ux8_;+slF}-bL}=bJ<*pL!s+M zhh8&Y!Few4wUt!Ri?~|a3EbuS!4UMba32Swp>O1%Po6y*cof*9;aQ{M*`whN8V%1L z4R6qBc=l*`14pCqOsc7$T?}G6yeb+;EjHR;DOzH*&nQ}Iw9hJ9X0*>KT5hx} zidGoyaYZYQ_IX9CjP?aZt4+IKQq*Y5zN~1C(Y~T+t^ikgh}Pl|RL?VlCx zGTOf=YBu%#SkZ2y{i~uqM*BBKdyV$*iuM`eXNvY4?NvnwjP*YhwV1O1RCLgk{Zdh@ zDf=%)hfLXjD>`h-eyiw+(f&u#QDgmIMaPWxe~OM9>syLWXpLFXNu!l3I%Tv^_(XyX;7j5blx8RIuu z(OFY=jiR*CrYY(&))|U2Mw_W9YqV<>bsN9giq08rt|HH9^A+Wc)}SbFv_*<~jJ8D4 zc~i?WMZKnMg`x{aTczlt(Ha$9XZ+SORjo;7Qu%cVfAt~HhQ`^4;8vII!5cc$HHA0$ zkPS_QH{oS(_ueh7&0Fi3rWGGaA^weuxA4{|Z{}@ir6upB5_nQCFS(^dKw7N@yzaA(V*Q>1s{u{;p2ECEVS#l z7vYm7eb*0Q^xY}#yD8NDibwf0xB30KJqFExMJ-gOy&~L>9iaHojc_MZdA%95Gcisv zqW9o2&|5^^R#%ipvj0w7XrVAn5yqQ!ZSndF#TxRWe2x*IX4E$YQLNxGa7&5hJC=K5 z@ITLl;h||IizSsY`^bj&l;`Es4SHjW7B29`7>(iUm>PnKke!3}O)x^*n(zr*mWCM z>>FFMJ=jQZ!)FYbTl|k8O_?;BYs$1^%TTFH5IoDM0$*Jms`cMPpw;^C7Fy!XLQA|? zXoz^o<0 zvX%(SS|TKCiGZvn!m*YJ##$m2Yl%RtCBm?l2*O$-1Z#-^tR=#)mI%IDBJ^sBz^f&~ zu9gV8S|a3XiGZsm!mXAFwpt?8YKcIrCBm$h2(nrt#A=BEt0lrK&<;Z?fT`B0N!qZ?WNFB0NciZ?)m! zB0O1yFWGR72v4Egg#o+6hDV6-R1v<@hHFK5nh4)z!y`p_x(MHG!=prah6vwd!=pvG zPK58Z;V~jSQ=F>%Y zggb_`5c|M1;49@bfmjRD`#R z*Zh4OUM9lZMEC_8UM|AhMfe9cyi$aBi0}_>c$Ek@iSUayyjp~JittM|+$h4kMEFNG zyhenZ#d-dT4X+j9-6H(54X+d7JtF*y4X+pBy(0Wm8{Qzo`@{kJxead=;r$}~nhkFf z;R9l~e__L$MYu(5`E?uKBEkp7mVaf#TSd54Z28wVyiJ4;i7o%ehPR6_z6S~~uD`S4 z9U^>0gx|2?CJ{a=cKi1>yihLN|mNZVEHp5@xtH%y7vV5`FBJG~RKA?g%s78D_XE%y4&@;hr$Vy~Z$j zNbd6uU*Ocy$2ncn-_#X)Ak^}psVsY$^EFfZxVMk5@8cUw=yzP9k6eY%Ls#MR(W~(J z*j4y^!uSjxfKP@Q9tksiD$MZdFvFu^hRb1w$HEMKVTLEd3{Qp`zGw`38mmL5@u@KQ z=`h1r!wg>!nyUyHUVJ~y z@B$3)8u+}rLqD&65N7ycnBm1R!%Ja?9~pzc3L=9p8r{IX{FDFR<>kOdcqEu=UbzaN zpBkUi+tduj&%+F_T}7?G2!me_U@W-zgk1lx!th^T75rDpKc%rsRK_*BVr&9#Bc z;2Yf3GRn9NTBAHBV8ePjE^rC!!Gypitd>b(J(v=(!XwOde`<+O*g#DWYoIR7Fe}VZ zA2jzz7QvjrD|8Xe3w*)`W7INzcO+Y4WFS2d>2jR%QT5!pvn9OO({dVQ`ridTDFg-m8EEU+08Vg>_Mt4 zdyHn5Jx8<3UZHEt-lF>QaWuPp5zQ%YrMcx9npb{_=9fQ23(CJn4dp+hg%xGAsA3{5 zuGmORDqLDxaXT%mc$k(~JV`4nzDKJnUZd5OmDE@{h1OKAptY69XkFzEw7&9T+EDoo z+F1D#ZL0h&ZLXR~TdJ1Q)~aK)ttv;`s~(^oRhOx$>RYt4>X)=DGMbtr3ut#_JMD>_ zroEAiv@dcG?TJ%NWzL}0xf1Hk1KSRf=U!~*G3OW(3 zr<2iUIu&)viQY)3qxVxh`Xy?M{+JT63QET6$&Kxz_E?5GVwb2h_6Vh7&(WFK>vVQV zl!oXX%owvv>CcnFeuXz;Y?<%D3{^63tf_%`KThUgLjwp?hP+Hn)CkCtkfR{SK#qmP zh6n#f@Vz)zPy~55AAnYd8t#MsGBcg_w@8cx_3L#?4*qb(*N`HEBvb!@gaSrRIS%;A znUNQc1AfJ2|4%h=T zl6Y~z=L1ICFAmt+GSYdWVYkRg1ji}1_>5Fw9Po{Tu}Goedl(}@83%kyWTZ6XfX`fv zRAp%Ra>PhG$0BFvR$^rN-_FP%hlZb!7}@1G;0H8D?l}&~&|&11Lqoa^BmEx-qzEwb z(Q!cX4vb_ziu!x$#K9}Xht?TG68Hm#lJzwJ literal 16128 zcma)@34C0|eaHW!YqhJfEz9?b58244d|$GSEy;&$`Ida!&#T=hY3)7aw=2u$#z0I$ zfItX$z=S{uA%r-#a7aRFu2K@xgrqc0DJ5x26QC(c(Hsm;y9JP^q#r zTx(M@1Fi}#N-d~VHtTjTm4H`EYELYh=?u^av|ax+K|!=q(n+MEE{x6D8u*3QA;+j2 zaWe64$32;Gy<|A<#G~y!Q70Nphuh*HCS)CY+MGx#9Cy=^Sh6E;pPaR)V^P;dPew9M zS1O%x3-YMS`n0FKi0gEQ;~7EH@BhdG$8#d_V`!RO%^KpV$T3uHSayKKFhZQjeC?M@ zO%s6tek7;}lfy!?9kPA9_gSk&>tJx&zu<)?HV%Qy)^qncyM zNW44h=0>b<$e?yPFHca&C&JOF=Og(h_B#!pAvYP#S@W(wm$TqRrZXweb)w-+*um+L zWXkDDc~K|fdL6ExQi+sk|D^2oc;B-2J$~JJc;TkcuTw z_$@q+xA+{asxtj^RM+3v|2DI_Wqxp7azhIZeWmu}F_h5e`Jvp?S95hc>f6O1y19EL+~YTO-_Xq7P92!E3WjsI zC+?5l0wx{15>M@dQrfz`G#NU zhaTNt`SWzfYb(g02lHxSC2*Ik2SdJ|+50%(8tO*Q*U2+m1CIi;H9Vs=JhL^t0j=Sg zt>F!54bN;1uYYS~pGhSd*T2Ys8d(1Ar#1gB)|%f{frdo1E91?}Y4Zh@@A0;0y!rkp z&#JhE%2lH}F+f58CQoO=8T3+R&5YbssU~qrqiIZ#is&PPN-_wX1Wjs2SLUWH|FNbY zTG=40PSRM-d`!|ft$ke5c&*(oX@b^1fni-xoSvB_O{B~G@;)hPlGZ*YX|mStl{7_b z_e+|pwFf0tYwgpLrs2$eY!e=DaXzjE8FI-Knl4j6M-|cgfW@+v7 zl4fh|3zFt&?TeD;YVAvsYIX5nmNZXmUy(FlYZoLf(Asm77HaLQk``(0Ymydg?HiJo zXzec~E!EmzN?NA1Z%JCNweLt;q09X%Np(8yuO+S2+V>=_(%LJMR%^e%k#vRDek5s) zw*FYsTCM%9q%`+G@^TKfk{>$KlLN?NbAf0DF8Yd@E?QEUG!sYz@9B59M> z{#DXut^Hb3v(E1wNn5n`Z<4lZ?cXJB)7oz(ZP&zqNZO&b|CF>-TmL9&mrnaHNi90< zza_QmwEvN`Tc>>}X^%=1LegHX6-(NutfExXeys&09njXGq=Q~(4xKhxQm59YN{VT1nxv!J z?{Z1UblMC_ajnggl+e~Wl9F1hm6X!jd`Vr}Z=s~)T3am1)7nx=X{{}nl+jw9q;9RP zl5|4na)qQGowioeNv$h*A&)WC#rf~7Zlfu>;3xN6@qpevwSu)EV8^TU)m{TkD&*t!;GnG&Qztl;ZO`*K5(A2dbJ=_y)5(?8W@^zQoEcZl*I8-5&PB2^WosQ7oI1N!L@K zS6rM9S%=r^R2p9rv@70)ccr@VabP_@&A`0N|FF}PjH9@wWIF<=Qk8!|eq8KF+!zhVpMM=aeN+MEG5^;)>h*Fe9jG`nW6eSUZD2WI}Ndy~8 zBGgb4frgR@Gn7P-p(H{KB@tjKiSR;61Q$vov``X(g^~y>ltfUWBti-$5l|?Ja6(B0 z6G|eKP!fTJk_aP|L=d4QLI@=hKq!guK}iG;N+NVn5`lw~2pg0{(4Ztj1|<;BB@rMfiSR&41P4kYG*A+OfszOdltfUVBtilu5fCVe za6m}}14<$kP!fTFk_ZEoL=d1PLI5QZ04Ry+Ur9{0C)n=1O8JR}vGsl9scjD@GL@B=11oQ0>d@Ixj% zf`zMD_+b+s$->jvt~zVNqgZ%4J<4>|V;e{;R$ilCi@FEso z$6oUrCcK!1*R$}OCcK1&H?Z(8On4a!Z)D+Ln(%TKZeroLOn3zgZ(`wJnQ$EoZ)V}Q zO?V{>H?#fxt_iPV;Vmru8xvm5!dqGRJrllyg}1Tr?@V|N3vXu|?Dr;eBki%S?C^3-4#)aueRn!Ux!DhfKJcg%7f@G~q2Qe29eyoA6c^KFq?E zCcKS>9Tu)K;q5GZgl+BNCcJ}%!z?_~gmD!@?;RUSPsUSh$P5=0zqPX5r&3yu^gtSlDCX zWhNY9;WP`cFySZ*XIOZp3A-$e-%SR7-Vv)!xSfShuwAvrggaQchb_6@ggaUIBnvm1 zaEygdvG95mKFY#Zve&%PgpaZCRcy(dOgPTMSF>=l2`5ngqGm!BKDk!Lpy#>WO_x9PU;m`z9_D~Kxb@*TWyBhY=%2*hC8+4 zhF)=FLE$%Fpu25`du)dLY=#GHhKFp1hqYl~lbrPpUlV8cJtsa^P~S5b=rOD1ah=(1 z=fqu=lX}Icdd2;{;-Lci;S2QSCHOpb2|iC>g3mLT;Pb5Z8Q1{lY=-kTL$A$n(Pntw zX85|z@Pf_oqRsG4o8j9w!*{hobz`}u8(*@)FWU^?w;6trFRs*5+7I(CvwFp6EMBkL zO8AM*@Y*Hi`_sHveHW%f#B0@HUv>68543#!Rl{WNU#=zTI z4GS?m?`6G=k$E4>AQhwYE|mkHX1b`cd7m=Auf_Ph%fK64=Q2^d3>c$gQl7Qda!TIC zR)gxii!GPwwi?vrS>_R@t3R{A$JS7@Z3WD=8Rppx3-ZOW&vad>MR_mlAXt+3u{F%H zyo+@ZtjPP=x?pAA#Wo05YnOq8U`?L2)v`YCVyi)8-o=*7dRq-P_OZR~1#8Db6Zl`hL9E}&R(FE~6O)SFF z#G<7%sc1V*E{f5VqSG|B=s~J3I#1JzUZLqlZ_(vNA5u;6Xqr(xpJo=f(yZbn%`QGe zbBfQ>+~RLhZSk*ZUP%$nFBwM*O4icC5|9;GEE&(pG!AJg)ZcWFgwDbP!Df4Q1n~v1}2oE89=&%hI%=?0(uuP+XHvej=)9Q8F-y`1wN#f@~PBXzMgiM$7oOa zY1&)KC9rV-8oulZ zY&pRxKF0}cI=}(n69qO;K*P6dfvpfY;IqHL<_R3|Nm^i21T=i*71-c{Q+%2i*ieB3 zep?XO%mNLcGzB)eK*LG|fsHHB>iq-02MO$6frg*!1@10r_;@OCXW@WvuxLTob zohs1qbFaWY798+nx4BVD}0%ER7S`XoLfn^9byG!2t{P1orJf!}2wO{VB*4 zzeEb`S-}Cn7zykB>egDtaHzt zIdf*_n=>J6*i6Ph5TAWW+9Qn-_-P49{}}9t`DX*A_A%qr^07ZIRK;%35|clgvL@ni@;i7PKS(;Y19-KbuvGbUPzn9-a$z;tY+pGGBbsSF=CHp=as!DN zEBtts%NGiNMB#5y`0=f-{5FL@qVQ`JeterNzgyvtDEt>NKe!)uHf<;QqY-MaLkfRI z;XkG5X{vVhpH%oO3O}Lnn|8SJZ3=%y;de4Wc$(U6r?e+4V9zrOKfcT5k6V1Qe}wtc z{%eZ<_-?n{NmX9*xxTkl`8}@utST@0EPq?!$M;HmWVs6#e+l-)_euVPO4wge_#+Dc zzQT{cz?FZf@JAH>dtTxuq~oTOAyjGs6Ah6bVLllY3M>40z%eu=EItfPk1(GM4Q*k5 zX+8My5|Xby8Uufu#Rs6%Bg`j2hiX*$QdfSrrC-`3`Lf|cFHrQv%cQ*2Q*X&jdnEs; zwC9MbAMV4p=eWWjQTUCj{*bG`#nLbBk$kqN!{Sr9@i57Axu+F9Ba$x%aOj1Ko_Ivc z%XaQn_#+DcyrL%_b?q5c_#+Dc8HFE@x$@%*e?;M5V?GVwbX>UhOsevd&-UL^`0;XA z|E!{CMDn?PZmaUrak3uEp^}43vqNRR624Lw^2J<_2zU55h= zz2_xduuOo;9s_){yO43DA~jm4LQAEAcJQ%0TAao2-}>Mi}U;Uu3M=!n9PyB;)jT;Y!>{6@vjID1fOPm3z= zde%^f!jIRu9dKIVk0|^XD*Sk@E8nZ|M-={fi!a+pdTw?cgQ`69<$$+5Iy)Naxmn)w z=-mDa-}dNIKYMgpU(2Jjyu!CVx|C;+F6Awc&hiT1^5_g)>Cr8qEA<*-zSOg<@Y&O| z{g$U^pi58BdMr=R`lUx_zUApzUg6uGo)T8r)5{ED%hO9fdwS+uo}T5UM`ym}=~-Uk z+n!$PcRhMo@$@T-rw`knUdpqlr;ZF;o}Tq9e8tnRD4srSd3u&FbAT1LJ-yV=9$o6U zJw5R)PcQk3r(cP?o<3}Ode*P-El)4`?CH6E6i>gRc>1vA=~-TSbe6X~J?mHamZuM5 zCRt%mFK3dl;^|iuPan2DJpj65d3pj?*!J|qw>-V%Tb`bPyTYDc0xqn0`jrva(}xvL zzoK~hu;uBc9`^LC$M*D6KYMyvuI=fiJqq9Q^ju%*(Yd~sr*E6NNIR%U<|Wd;bp(6V0+NY~Sc?HNGoXTEF)Wd>M@yE8!8 zo&lu1!dGU16<*VG`zSNO3a{yzugm}|)|y_HYtI1ELAY!E@GZ6dT~8lYW`LCug>TOQ zWGAobx!eV%9jqBZIv{%nknQ7o`mj9%$oev0+G)=KQa^io$ya886<+JJqfuu7vr4Y% zWkN)m0nAZ_Z_fZIz^r0VPX$Dj8NeKMXMl(@1DI9r3=mOf0CQB~D>Hyu<$C&vG6R^S z3SXH4%&IcS(?_fsfINXYD*4iLMC=(r+V8IEBgzb5j=D2L#GV19JbQXsUu6a`N8K49 zqRaqhmAj^oC^LXLs_>N=z^rmTeMFf7%u$7J&j1*}W|dsi%K;owW&m^4UF%2e82|t> ztK2nx#F_!f5tyTr&wA_`K*~FwzBDpv)C#Uz3{bkbr?Xui%SAIM5xn8}*=XxEq_~m5hImxekitJbU=UslEs^@~M=d#Kl zbom*TKkV|?RQ@wAzbwe@FYUq5gq_l!?J9rV8vcImldRkTfHH%+zaQp1x`I`2^ z-A7xm=B65F?(S^4IhXqM1p0Ztvj#Ro25@@&OGeD^h{YvXjgP4UE6wRzIwL(a7jt&X!+3Abl}u< z|4FfaVkh+Px{yq#t4k*$O(S=9H{ZKnJq~@_OUILSO(TQdjk_YXwTF;zx_szBUD;D} zGgk%=H%!zO@}0X6ADif$%XULoT4NtbNJvS0>YO0KshVBMNx|7|zOD?bMI5F9pyHxR_`HGv(53*Hvb}ZI*E)VoQ zwLhKS7mt*$wXBSUN=n-#AoQUmWSkWvhG7H*D=#yLh2x$Kv(oz=>1h>d9Rlw?k(N{S7;xUK?l??L$)? z2WQM^UEPh2>ay~_gC*VF7edX=>2%$ppowR*bYK4B&cXSU6YX^B%N*y z)HdzDmb;c{K6~L|Tlr)g+OsT#_G}1+2GL)M((%aArpRQ+>{aB8Pq_IGQ@)zSz4=EM z51-iEa;yK`vy>lpx3*h$KRBL@F3w+hc<98<&RePI@!N~n5{a`Fv+WhIbJVu;#jq3O z-)d?WNnIp#cP~Zd~cwF#|!j!Pxj|(x={b2 z4!hqPl4Vr?U~X5}tqkh3lCtVkcQ&wHwtG`%;KIWzCxd~~hcqq+yI%}^K3E1mBbP5` zD)yGeBB5H#zD!NBr#Uz9DAr%Tv-8%C{Ugbhq*mXd%93E2D7SEs7j^r0c4W>5>-&eM z8uRg^bq~^3yTosq<@2Kt;U5|^J)>fMa1Y8mY?oJFoi6QIK6~@w;mV1|rHdC%UtO%} zjBJljHQDt$W=3+UM+eq(yE`*y+m0X3O`u(2S5vRuZ$}wlgp&)$cjT_MBmZ-5{$yxz z;8F9{%H8d^Mh?~AKfW8~_q*jMOIjB14)?AfpKQFBruz1|dMj&z>piVp-Z__V;d%K+ z+aUUb?0q_+*n4OG(XN_`iRQVXoyXhDflFy`zngzYQ+<2o&Bn~#;Osi#Ba(CNNtd)| z&|c?G+-zDr+tynbn69+(H(GKc-M_e}>(;;((Z3|iea#d#(+pe9c zZGILwx<2QQugdGg@PD;W-#L4srKWtcHA6VF(}6SLrVsYRPnFHO_#6q)xD58zpxp?E zWOs|!?mf2MyKK8hOHSG2Uiz*k+w@*jeqo4Jggp?e423I;QTcUl`L6xdG+&(!a=BsKe`0)>>~6l*H&=gcv8MG-7VD8q z6OD^08i%76uHkPEHr207{5|T~0sn^e%EREvUBLG~dtS=a)Rnbo&SKswpJ-a^QT2?u zdJd4DiPM>ZV13P^^w-dbcBrxKL3@@`e;!&0p*?5EhK~d9o%z&-hv$|io8X7o{@t$p z$OiIll{Y)`7azsuPws=AXI(qZF!||=S1W*r{zJR3EnaKRXU=Q`{+crxTfX0x$9x+i z`TY9u?!;oMViNN}$29zo#3_%%r|kBAu7vwLm+IWszciUxIGcF5qkN)qt!MAUD^$

4{8vza&n@lln7Mvtcl%OJ`*Kg!!|LJPr*CD0 zr`hk$yY_PZ{PrH$QGT=O)?#pWM}_oDPbPoy!nXUvwrfySg$nSqW-6G-0QZ7De>REfb|Bg3l1Eq+}8oz@3GedwZ}{$=fei9 zWBRV_zJGiY>z2ge`p{%E`HSm|u0N?Fe<7yYd0oK$OaAb-ZC8EJly;@tj>E5Z6=?m? zKsY(<%;%WDsNZ9u#v6^(IiB~)ui5qtG@2pTuia$7G-=l-FVc=*y4G~Rr>g!i`Hfp{ zI@g!{7Sc1-r+1)#p}*Xo|IzQN{@JTTG{0T4?L$AP_6-jnZlr#_>ZW__j~+jg+k^E* z{ApW1+Eek%H)q$Eu4DZbch(;$UrpbQb$Tn-yLG3Q-TB}3+riV}OH&mc?R~_IwI|tdvCS4ZaJbp15q2f=5yPnAq}_taOKp^-RNy`iqBYUk2t zqmk{Go10>R(i7FYLr6b_^rog;W-wA+axOL&D~WXly6dKEf^)6$k$8EqB-S4tNlzuF zuLlQ{b<;b8(~;Wvh233&^7yf`SoOiWlB0E(BioM+oK3uFZD7b0tfz5k-`=gI2a_e2 zx3(QSc+4!7e`5n?^ys0xmj^C44wp>F>H=2|4#zIM=*m;^6Qrm5sqq72wjN8)(i3}c&Kh9KGM@vR$F(aa!>nQuB<68C-#ciO5LvJ z#fy)2m0<^azXuEAg%LBH3t}OAZGTPLp(YbOK`I1x3g|y5U z&ZIiA(VJ?@XAfZ^dToDTU;H69!i_6E7wT)U(YzH3M4JK=9l48-x@t~fV-gCclZ(4M z=FZ*}v@qy}UVesNQ_7J&Wwci$V_49vFYM`> z&Q_Ohtt+7oUDuI@U0rh}N8_|Gxt}>yf31AL42Rn4L$SS`O(k`;mn(K2UCVR_wwhRg zV1a$;#J;Y%i=9}Y+-O`X>EnepEpSfk?7&6=6Y+ovE^p-+!Q7%Y~<@?{%dlhg#}O7I&GwvCIOrml}y)7HwG_MY`@Z->`8COj`76) zL}2T|g{vp`c1`ysjvo$m_sqt6qIJ{PI~K23@9uB7(UIF4KYIPd{-%=c2lM?Wc0N5f zFnnxBgBis}hBs!|$lPu~K;Zfr)Snhqyz$D8jka7{yxy1{3&y+AkJAHH+wO}St!s<4 zF}=}RS2Bcp%KFg4jO=WY^(qC-PgP3Ie&95S(cVKnVPQe zsJz*j=6)BC6cBiLWoXi@a&gH4iHn`3Tg_5xx0(JSENIUjD(tB9KBGP%s?WKKoh|nV z9vz!Mne;vbheEcCTrgjpKWWLIsO_4DzRu3da|>@XGp`H~SmKUsEN_7`n%D`0m?ldK1Al+h0@btMPg zdY$0@;&ux}M|ubc7ml}APBj*?LE5O$0uk+L3W*o#$A+<>yorqrEev;dz`n@*$yB5C zXA3u*u(8>7zM&R=WJJ$TmH|(+p&u^2j}7vjY_&)OCxx>Yc87DgApnf)9uUECKSMKbZzGUImc@9KZlCoaA&o{7tL_c)F-weor*uVv` z0}Ffv`kK#IOqg58C;(Q|obSN~f&9Z_q8|20JlX!PdU%rhsf9Oc6e!q*b~qv1Rr(7& z2Wle}NR$2y8;E#d2R+v`-|N9f6Zy*-k6qK(A6=XWZYm`1r<#^7R-L|2`S-~DozpiE zILrZ$$+8t5hi4Y94o$Vp(KtxUagbj>IoX`QR81R|cwm=PPVbH-!tp7m|3mQ8cQ7ug zz30zX9j__hjrKzMCoTL_z=SqBvOaw>Utz53_|>7EEpz>&(r(}z^Tt$b=3+HA#1n}% z9zU{uu3VbzymP5j%E|UQzPF<=w%2MO#$92J%46KMT}nxNl9oTIL7@89Sf?2AK9_Dy z%K7Qo?WL*K+fzZt_ubCjHA{p)*309J_40UxpV}||t=upLwjbO^^O_7?0AH8~^Lds6|cULL-5P8e`4z= zCK{hjT`AyTpfga%xVU}s(Z%~G_jcUAcmeZIPb-ZtId7L7Wn9XQ%zzn_c;s=hBh7N` z2LjPLt{-oZOHOb;-gw3XhYOI;&-0p%SHj1B3e2_Mk@K2{4?hzh zCOJ9pH0Mg1xO{8=uPdoz+#yi3gVz_bAHZ)~ujGCJpZvAO&ro~gndb}XkC!Hak3(`j z6lg69XwbT$H8(aoxT75X(p;3@Bk?cOC(T{gs~*lR?rr7(D%X#2euvgy7=Js+pDkSP zn8x~{;{+DQXR8L=8)_4a7lWtvlfUXegMjoz=j>SLX*ZCMaqC^*bO!ctV3Owht3#8G zdCYT|=R4Qzc0#+Z4^Ej?-h8bH$YGxIuB)*AY0UfARoBlvJXASgZczimnC}rNHxnF) z;Q#^FU&V5h9Yu1MKCZ_#%iq*E^(geT9q(VfN%cs_m!=vKusl6~awi4mv)<=G)MPwj z988%}_M?es(+*C%j^r@!+c?c3pgx3t+hdK(Ob`bvHK!K`f)5y1Rok%c?O^|l4U6=* zQ=Mxi2N`D>Y%~v=5#~>Hq_rXOejE9i?hvKK@F_=q-KC_Q&+s`ggK;g`DnJ(AyK`8Vi+ z-e8*B&04=C%j|Yk{Jhm(VgmDyBR9hNhR5Z+v%_j9^3xa>khS(od4Jk*$+Jb`ShMSj``f`e=A_WFxj<+^@W8W zx$a!PJ1Wx3Ja8*@g>Yk+&+Tx+v4@|r@3HVp^ED1;cpkahP>X$v|?O*GOOjdnCx1@I)x4#W^W*1i9mK;$$<2~xw~yGSl2Y#{J>$kKIpi2V`3KT zP)pA~tQ($|^W*)@qXRXxo+vr>K(3E4PR}*mXrBWPc|G6x@T$bWwVt1{)+6@%WZpga ztl|03JHG0;ocYv+-B%Yeubr*NdcLni)^Bll=PdTS(oZDIMpk6~d7ly3;n;Uf;*t+4 zkzekI%?i&m`oSv4sSmA}ta8wPjS8-66fYDb|-`RcN0c?(e0^&bg^7k(}O5`@8)5(i9F(u@7+$Xs#u4 z*$WTv4c~0e4<#P1H*jED9#r>t)&nOU>v#bH2LPw*O0LWO5U;-{o7VdG^8pa%>m6y? z?$&{9u)d@G2KUGCB=$qtA7Otb+Z6{!YbCAT=VV!uafrYk4vM)Rn1|qJY<$}5)->0H z<0$O+qEg!7l6ck6}{ZZlUO}YQRH4lH#K>MTNhxaOFzFpUbcGLcm+l>#{nwO_&|21iD zmF-*8Je>_H1CtAT?aJ-n~Qx+2PWr}c}d z<93sNpw6~mov+N|ap}le`x=RVOU{Z1lorrWez^pV6R(_LIcvPhgSjDEw^`$j_C2*U zPMmRZj^a}@&(VIFaUpSg?pfJBd$_*px{KS}yY7AU1@jFS{KOniU;CTTf-EernoY%pV1${kHt^8e6&BU zuk8JIFe&3HJ$n&vGK1v5&S9SIyI3KbrbpT{b)(zDq0#19tV5cP?rx@adGFDQL|_N` zx9yl0s=ss|yVaSYFargwCC*0k0itJfQ zvL0H`%6Wm;1=yExyvkZ9$n}zpe^Oi$_1$rd%5Ht=D=w2N6e=FKYM??&q-Xxq~>*1_$ZZiv_gr zmM<#k5Ax-DCS~rW16KIuTwmfL`WY{7kiV4k!B+XA4aUQU2k?tH_bAEA7gYQ&EbzQD z3ICDVB>hG!;ve)PU&r!PR30GX+yZfAo@a0l5f3!^(kXs~_0jy$@NvvvzV_jG&b{HC zErpAt6sPjOc(JhOOrXxEZ~hLgYrS$-e2>l#x`I6`YV|kNbEF?Qldnp-6One@_lZZL~4A*2^V z#vZ8BrI^MGbP8aHlKBOuv4t|LT&2D2T00_Fv$KWUA>)8)RCsx&aqv$1UbZVU@mueZ8>Bdg8t1#L&g!)2(mnyQu%U2{p!7$g}b+B zSJ6<%Oe5kvqW`>Nv-oxdgie}9X!V|aDAGh9h{)$5)Fd@okFZYvA&UC?^ipoAzA0q1 z;Oh~2`X)rfGpm`|%v^nPbv3u1%;$5|fo-NC)YOpCfzMAUA~0kry_j8^@5$k77WWJF zi|LuwTs^F49JG7P`OXDPHVms7&{>()x%3QuiXxEBp$naS-D}j?^ofezzGueIn}#`H z8c|871~2s|kT5pI&$&?D~8yMqj%L|!yC=6zyIMqWbwvhy6 zN?A{53zSkevp7qCgR7bRvJ{=oEoD;6>D59uy+DkZWZ3dS`2=+1@)aTRAgDm$2rP<8=%CL3&JsD8lT#0JYfJMP zI1etL9Z6K$V)YL{+)FQH(bhDOxM`^w)PdyXQW-I+#U3-#Pcgp21a2m4p$|`TK#)c_ zlwDGF^5aJ+d* z+49d~F(-%f+9LcyYJHWaRJb71fE&apXSTyE&k=WhHDxuebQ?|ya@?!kutkb_={kHu;Gc>x^esr4yZq>13IkRNh#!Wy;(+_|h>3iLBQzVFXo5L`jO~LkMIWNn4 zQcb)Lm&cfFKIv!d*7Ib?0!6xD%1+Y)+J`G*4e^+?d?}ZgC2zOCC^oZHSWV^bVezz( zTgMm2XaGuNET#)Hx22sJh;shI$RyJ;OK69%)F#nxH#pW95*}4DNvW%Zlw>-P3sS>S z&$5XYE?JW@3S=hNi3|A*kT=SLXgz~=L&cqhCYiu`$XJ@Ctae#5T)^2AY)j*=<1hHVXT_Z@jw7^6N zg+K|ddV4c7&O?)Ys3Z`|e#y#>EcA}%mmw=tt<^G23NlxY0ee~JEZUGC#h?<>v+xtf z2suSdJx#|>1F(SA8g>e=^<6ENOOrmXmIf*|SUF>=^&;__-{ z2H!N~l_`86&D|3J<-N)AWNN5yC^a}R+(%1Y-c8D#SPQlc*F22VgYIVf()1lH=equP z9NLY9$FT;#np=gtu3Wum?T8oUM;1+E$DntMZ6zZcWUX!aMzU5iWXrAXxGlNer&aFN zAs6dDKb}hV_Kv2`52#Ormr$Ne8y!tvPg&dC3gYyihj4Q2d>C+ zGZo}MCw%D%?A6uuW?y=!1D;D>9vp`!mAFgx^z@C5$+p4X*}S0Pqjig96B=H#h6ihk^0sPt2%;3p zwPOIPHueoCy9fJVrG^U|HC$M!;lfT07nW+cuvNo_wHhw$_0(Y~Id&1&7V-S;Npk-7 zB%Z%LiRW)m;`!T?c>eYz9_*{=?dcgxbti`}rn(2l$1o`{L(-K@Umx#FT^;D9U=nj= zA6Oh#(AU#ri^OCm?i#>MKAlr@unrz4Tqf%nzRZTe2-Y#$*FSJ+*fwb_**ogcayYXh zX@_NedGAm%)qVM#?G&Q?JeC^3pcVE5p`OZ+vSTR>Yq+*^$+2+^-toTC(aX<_r$+mF z2S)pPFe=IidXhco`%(#NB-pmadRE%3LS>P&@-S#5$5jcdi^+Paj>6&$4yVT8YHcHB zFTzl?RHY?BEL4yB)#6+l9z<>JEMq;xy{SR8zbn8_&9-E0JUI?;#Z|X+kvFz#ZZ{jT z+=|;BM_g(MyHjAwGs&KdlHtWZs$?^$hA7^CbhSp9DaQw@0|C3;_=#&MD~~kJXQ5n5 zD=24G+!mbKLA(^T5YMzke01R4W#HSwv;AP<*?yFGjt>k2&t6|%#3R4smm|O9=QV41 z#+4C0}j9=emTmX>%}o5^RXO(ww=r>iG}a_{0%q ztu4T;F2;D$eS7k-xgOM8TGZvBK)w48As=Ug$|LER10< z95R0m^WStXhlu@Zdf7Byqn5qNiJQ6|fLa7NRE{U(_=Dxl=83BLR#w}W`+4a^X;VVw@qW0FFT#R zjjk*%5F^Zw zo1ci9e`NkK=F7}d9-p62&dg-;`K$~Sx2gJlSvS@CN%K=tw8E!NqXaEr8vUvwuBcM; z|B2?$nxCWA`BSVA(3ZDzvpwmV+Zha%{r-+ALO8}pi1R>v=f>reyYsa?vevjPue~Aj zFLk3Ao>>qA5RqEVtfUsh=3jelhJa-bsN&HNFCLWvP&%YR?tk#+MzWO~RB>+jd$oP= zXi0-Amc~pFlV<`HTu7~k&98d1Bi+glsyI7MYgScLGR!>Fl){EQZ2qTL4dg5}po-OC zo>6Vaqa_WhSQ>}ksx%%gX;8(|r@Yd5w4_08rT*k0WqV#szvU{t@Eo?F15%R#h}yE0 zOU>uNYq;Zp-CTMbB!8X&<_E}rcSAT=4#`CD+t;>I5l4GjmXeQF?Os{yG| z15|j*#j~9Oq$UG!5TPz#zFVLI_xkc9+0GAAlOF)^6o_X#14vBl%SNKFQGu%`sK?-Sa-Px$(tp6xnl`#$08dwRArX!|~a?(~@C z_B17F>fr0?gxk|Z7H4So^)x+OCK0L0;OpsTx2K8JWbpNLv)j`|YBHcZ-3bG5zvgX( zX1C{w)F?prx@#6FnDQxbk+L5qZD9}?)<&Y(p?vkwXM zY-i9Sq1lH7dbTrYkUx^lWF)BB2G{tHPh2?F`zU zZ$Wof*b_J=ZXDc(1JC)CxV=x}ntJ(qzs2o+A~hM%#nMC1?$aGWc-P>f(e*O$HxMT3wtFsmb8ONvn$!A~hM%@hY%dT}%+E$>768tBVODH5t&& zo)TOHv}zI1>O%lM+s&#)K&uY{^lWF)BA^xB=`qReX-X=tLz}Os>DelQNKFP`Pq(=} zO{6A+uczDGo+eV0!PnDmZch`b$>8hhHn*pV)MW7Wber4LL~1hldb-W+X(BZld_CRf z_B4^24Cu}(+pE#>v9&7+yx-fQZ7u?c)F|>Hpv^@9k;NI>eF&gu3jsuGGWZbC?jnFl zO$HwV+Fb+?smb6&K)Z_oA~hL&2xxZ^K%^#v4*~5i0*KUP@FAexMF5eS3_b+3y9gjs zlL6hS4&8RQr-{^L@bz@N+tWlAXXx0#}lc^;OqDfx8sS_WI*rM*>kG9b4@Mh@&&vn zXuYP0TMd0xb@9=m#YYD~u*Dwmyo^b$giE46H7;r>gT_1`YC2rh5UI%kXoTh#*7CQp zhAFA?73V^zLkpo(1R;8hb8TTkzAU;JF4^JLK_V8ah%C0?6riJd35DNnfxg{7eJq$7>U?@!@SW0v@01UG^lVo|3%*l8SQQ$B-c6E?L4JE77cTMb z-AT??qy^M~Cqi4Hr zTD+YCjyxr}5Tm4Rns63SLvJ{H-y#l|oW;7|6Xt?k#Ex@;)~Nzu{Hmy3ahBs%1vy6* zXq_rxW{>R$)~7YEDu+vOPU=;QJdRq>I<-KSHxC$29?-fx0FgHj7)~D0x;(&(HxC$2 z9?-fxfQ&Z}7)~D0x;#LQHxC$29?-fx0FE~g7)~D0x;(&+HxC$29zC#{01{6gV%T|z z)|Ar(GoTcqe(#q(Yic-WHc$rAX=BlkBlY-8ANOHP{7mEW5lpqg=k$K zKQbsD>g3TQgCd~bauj4xqOKf2GAI=4l%q!m1wXyzD9E5hT{(VaP(aivM~@7OhI-3U za6pN=a{M@;2&q$!9tRW%^_HXHfD(1(_;EnNQKuX|4k#SzDTf$#9-=knP(;+5N5KIl z>hkz;Kv7bs96b&w4(csO!2u=e%JJiXVxdksdK^$7)LV{%14`7D4I$*=kqDd3;YBLE%xS96c;3AnGZH7E{`7v6fkvc)8l|bq~3BA z98jXJ96t^yg6fo`#{tDmz2zu4phR6cejHHn)G0@g0}7XV${~iGhiFYX6fyPYQE)(s zx;%ayP!!cEM~?%Fn|jMpa6pN=a{M@;SgKQw9tRXX^_HXHfD(1(_;ElHRi_+14k(7| zEl0rtCF;uYXf6$0R>aN z;D8c!<)Ewg+5sJe z3^S|vNg989!HMdYj`{T}SfTi;POl#;6iIdL*JFhOr5^iDKcpxa>Qq|~DGGmj%TXXjiMn!t2yY$*E)*%%+<+PNCBi9b zT?PHXP$HZOqIG%vz@QkWV;g8)9zQUYcxFN$&rDFr(%X;-+}0aR}}ii@H|T{!?=l#hWIQ)|oN z(og$!D9F@DSQ8Xb^_HuEj}kTIQV>;w&--Bm{MH{KsM0U`6e{7@gg*S5pa`o*A%2d7 z^X<~V@+(w;sE^GiC=~0dl@gnkiMm<=G`k46-dd3nR5KXzPkyBek9FTJrty^$t z@GDY)sgE@$D75UYxdKc|)D$jb%>)P8eOB49)1ya;KPU9@=Y&80EE#qS>f_G|3OBnI zU$qMNy_6xP97TEz`h(I5VmNs~>naW;Ik>gU#-|Fr6<6S<4^$^8F74{EP%!A%qj)^Z zptFY{Xm`Z4)fOXuJqj%K0qq3EuU$QsJy-mC6jV|Mojt%?%(iDWI~y*$;a8#{QXlnB zP>|bEGEGWu`IRUTC25T%6zMLvEt#foNQTQYJ~c{YJfV+_C;X9d$*@79kBlcM5bhMc zN;6ux3?GE@U_=R)OL<)l$VVzK8YL(|?i8)ZC&k8Pz=QoEwcVOui-JxP*OjcIGrdkf z#|@X^OG{pB6m;rC>IsUVJE%&p=d;gd!euY^>rv228Fcoj=uEFKujUHjvX}YwDCpFO z-V+pQcU{N&4E+c;T=ojTA_bw8LuU{$CtsShr=59xhBjRGD!(QLrury-f&%dlR?_PW z_z_9jclp#PfqN;hF^J;yO3kL%@Ljxc+3Wp^lvO9?&?!=}n_geZ<-=t^;8z0~8;AM; ze}dxqO3%}m!@^}h;@6|#nKJ0i0YE*dqd>n?wjR9{<9C{L9ly(;4wwCuUyTA@lGhat zEV^oV6#txGje=eM(LjPu0$ert_&NIJZGJThbV*)k4S?uv2nD73(}DyY4!F)hdgFKc zwI~QBaZSnc{J?gk_%Xh82EXCcqns%u^k)hQd8Xj%VQ26@za9ml`g4W^9V@udT4iT| zUnh84M>%_t8Fcjm;@ly#YB_^H@GDXyp|eNDZhBQZgD?2iDA?7Xa3tt}Lg_Jf24C{) zQShuk(MZq%hPRg$^irax3jPy~gmR)GX(~5R6;Dgqb;bgqo<^ag5XTbGx;%iNH;;l%{UJz#jx@B^%a3)C^}$lV zRt2j16OjZRfOsuYP)Uh8>w!3L9tDE>6OjZRdN{vwkl)Og7FYT;DInCJlO*U^L~2@I zl%J_c6;|&rg@fDtniOd2-!E_WpPopD)pL?Au6wip^h7e8JlZL}*?)Q>8BQK8s+#?$ zCz9dh(W0u^e|jPrP97jkS06w`?aO95PI2;p*5v^#WGuq&fkk|xn?IKw4j%LCbMe$n zrz|>sK0GxmCo7WH>6As2hYnKYmrU)ta=9y8v5TWZ&uDoB(2K>Ab87B zkfA>XY4*QYE*VaJ0Gra)%rXw>_Z=DVUQTk<`-pF;PUF8cK8r;e>P_SL5%tTrU7KeY z!CY`TW`u()D6w!mo5yL-AeOidnT3QrKXQ+K=rc<6_28Z)qC8uiZ*MuD51#O`zP=w2 zwn|3*_IT@Oe*?_2Lj>;!A5ev`+22Bym@Z7qlY`so{B69Za>UzwMXecF#uu*R{){O0 zn77zX)rjDWgD)W?UWyAq{JQWt0lJx^TFb8&!IyDXd=tSjLoQIb0#$Z4hlecR!dVao zaH>3ido{&5fCtaTI^L(E1_)9v`qXv_R46vs3egm&HfxGa)r;V(gRh~6`40R{Z}nd0 z9UF5KCw-p2D<1c=kl_v7Oy39P ztM{g9A4u0m1nuu~M;#_)e~6X85q@a(-YPDi%2*b@;K+rdA;q7DlL$;;n4Ecxi0`@{ zr^TF$aKiKfQ2tIQlkzj2rPSrvimUdZH}I`M<@?!PkFib!-xPc^^#H{aR_`sQ^LKHN zmb|CHG&(nhir3B};FOXscoFJBv?@JON8lUYY9TNfAB9s5cGSvCSvGi*P2($41b-p; zR;tP`;=G%N#Y}E#E<3-rO1GV)=GRT*u3MiT+Ou^z+LQE=@zD&v$L{iE8IHtbpM2I} zz~HyX_*dTNP=(jvaMxCTbq4oHtfZ(kAbv5EonN0RtS;a;&kGXva^kR=1$-Y+{sxp7 z3+U=?np&X&?{Cn6|KIBgTW6`2O>y>f$4TZisIvFAY#KEsv> zENF5OGMZUg%i^n;uAk6m7s1a2KN~ZG!Osb^%G??;0>RG%<42AT2y?sfLVErphO~X> z0e-FzGZgQKiwEuN4un~4?w|~aD{Xh!3(r2lcaoOZ3K_aR4~nmd;9m#-CJMQ~m5SkX zaBrbbF{jky>03O}<9~uYwd=%2y}|bEmnB)P0qH2G4gO zdX@bfEBklTIE1R!lM|!AbfX8}4Nld|Z-(0!QKafKSbN5gcrKslI(o%4Zg_cL$Mqy> zuhW`l-&4U>l^z*Ddz-suM}`8z+{09pv~b zVW#kz;7d%+3G*4IZVPjSDO_Vc%C;;BbBuXQ!W?I6S(ulZS{3FMrV7Hm%G5n!USsOM zFt0Q9tS~2-dML~rtoe~JCzKlc* z$kdMrbBU=R6K0O7pAhCUQ*RRH3g`VPVXiXoXM~w&>Mg=7F!l4oTx063!o0`S+l0B! z)GrJ3K5KcqFds1Q9m0H;sdozVMNGY0m=BqHk1(I(9M22$5mWCK=8HM?eZqVRQ@;7XX?Yk{3fP8D$H+Y>W_r^Eu7;M!h8i&eg-^0{D3G=l~eOZ{VW9nap`Fhs;RbhTFQ~xH+H!$@d!u&p_{!5tOFR8F0 z%pYJXAj}_Rs#KUi#8gn2Z3^Q}xB7v?WAbyApbW2#Y@zr<8Rn7_;vR!943!jRwcc4snqUl89V#52aG zLW7rBNY08nO{31uLo9f3gCgAviR^i5f~K?sn~2l5?OAx=22!qswi0xC(PL|B3vF6c z67tuyUS|{po!gPv_D#jy3LM)&oBuAQ&6UbqHt33_Cla%(ypf4g8(qls1ls7HrY8`i z>zkfP%+{vudbG0Ja$3ZB?ttO~5&UGD4rxo(~~PtJh^D|lZ#p{_9V8pKDpMmCl_sJ(Wf5Myw;T}{}W-WZJ$iD z)w)k6Y_;!`30p1vWWrV(Kbf%A%1<&i9R8IN%RTvOQKJR zUlM&n{F3Ms;+I6NcGbBrzHQ9Dii{ie1v;El(3LKpVG-RV?Yp?_F@o;ZSS+}qan3bo zET@}(mD|r)NatMrm!dt!KKB->$JpmwF7+7u_%a<9+OUNwto=9q z>wHVhxj&92b(m8n?FGc++-}l>%3Xku zEt+orQ?RoMdP&j?olW|hgl&XvG90aY2H8rz9L;0i`A*->www(Hef!u_-iqk_yS$mr zok8_o40S51Hx#nKAV-KE&d|g*xkYZ+9=<7TL+f4aZD`ozW;y4QMed>vi*j+PbrQ~% zjI2i6gO<$ZvyyUwBdgN-MgP5yPcFL2;#0lJkb(!BEI$3Bn=C&4qMIx}{i2&JKK-Jb zEI$3Bn{1v`(Z_C{iiJ1XJQWLXvUw^Nw%S+gyc8_3&6{kViZyStc`6p(Wb;%kyvgQC z6{h{bCYvX}=o8|Xv_2tzN%RTvOQKJRUlM&n{F3Ms;+I4>Y1g9rKiMzr)vQHuStnf! z$^trgG17)8R&ixl`Rn zR=fAB%Kp^3CCxRgY?-d}y|=TnoNgv|E^TEIU5_hwxo+GN?iH^a+vL6ZmE{u3TM2ky zNPao1VJW?c+f*Bd<)5A$*!K$qlsK23$#e;$%Q%hG+3<()Mv;=edu?fUfs&s#&QS8l z@Ol95JI&mOF8Nld{q}?A6%zN|2Km|(UQ?mBQ_5s^T(oNEbS&(BKsL^>g=2;E%-#A> z_>(yAcV4mBOq8xm4SzZk{#5ug_?hf7E>N&4DZ-x%|6vsTKgFvBcA;y9>_WrP+Wn^U zDC5uY3WHOIk|I@pF%tel_|NgGhxe-1(d^7^G#_%~!p<7sb)j~mRPa}k@R!1WjhAs) z!85Cw*~}dB;Z2K5E1$)a;(s3r|6TYW@bwQ=_A2hqU8dIw>79WA5&oy}KSz;@7b>>0 zGQ47UyI~nEm7d4tvf+QFifB@#;;%-+UkQIL_(FsKhnJ%4#-P3nh5r*>i|b&oW@ihx zMfksiUxj_8#pOkwBy*8vaV86fgGB?Y|54^l7%GJbveix2q2w z85po%4w}g=EM#U1NNC6|F0K{m{keuwdgrlAM1qk}H2kGVn6fC>qgrE+4Ms8S*ma-vK^Y-%U-QphzHY))3fQJOd*ZISIDhC z2t{__r9IpQrtnPTn^Y65tPr@Jn>~-$D;F~P`oUc8?%J|?3-Xg(nylXHt7&qpB=@T1 zNNr?iG*T1Ug_gnX9}Sx}wB7&b)|O=NHvxRlNA^}3W@I0_o7+eWjsfy3nc1<-N_{9& zheH+%%8wH!bVm+EBKsqF+e+Yeo9?yj!tA-#9DZDW6uzveNW9C7KALIhQA7dCLy^N# zC^=%<_giZVmJkj_@M(&YMY`E~&^FVp@DrA(fw_nrkDQ1`jzv!5-K!$I2jHz4RTyh{ zb$mks-0N+R?Owb%D|;Xj4SykmpAc+W&Ml8-a9j71r7t=GVx%z~xmu>r%%#8aYt?s2f)97-s2x;F-lxH57 zTjNj?u0wg+)$MgC(k^#UJ41;3!|^9)B4^2;ybz-%X&NUt(`JLz#Sjgeo+@PT-Jq$O zg>hECMiA*2Mv|!kVRSQfQ5Zc;4GE){sY~d5Wonmo5=Osq9us?HR2TzHjSJ%fQ&)s> zk*RCK7-VWf7(+}=3S*e5o0!QI#ne3X8xt3Vx~>t%CF2)W1Okm z!nn-T9bsHy3cp~{j%#4Ii>RfI$0M!a=~&2%bu&oHL`J87!ypb3gZS_TM))1 z+jCDCQ_Qz z%ro^$Vccfw+l7&3>eb#ZmxT!9j&YYN^_{|4U}L{q7>mq%tuU52>+6M)GWAAbW-Wg#jMp&llfw88ramovu_Yy-wnW@9V_!Xv(3ghie9TUc{%07yo5XL*0*C32vw zJHLymR$;uG<=Tbu>r9;z#(OvwX!;GNo)*UQOq~_RZ*mz)VZ4{A9%1|zQ+>jCAItR% z2{x-N`AU`uZZ z;}5yqDPeq=c`0Fhgyqu0_$X5|!uS}cW`yxaOw9}9<4k3R@d=i@D~vy8YEc+}!cZQW?0;hhX zFuust%Z2ghochhe_zR|9A&kFd>XpLy63czNF#d{puNKB%GxZ(9_#39aOBjF4)b|ME z@0fa>F#evY?-j;BaI1ZvF#eJC{eUq3iBo?_82`+?9~Q=!nfIf@_!p*rTp0h#)K3cI zD@?sv7++=Tr-kt~*8H==_&4VLoG|{Ksb3Jre{kwA3gbVS_e*&DzHe!!<384YaeHMY zH@Q7&Y+s{q$7a%5>^Xc|Q%BzsiT-Nz*RW`}vpH+Qd~R)ZCX-#7%QcKiaxlA;2}R!( zHXcSoP6ZSLaoLUtxwSa=|!?~O#Uzm5LZ z6BRjt(nC>veRQ8~Uf+FI)q~$QWEV1*mTC8yM;(6`Z{|C7+(1g!`2CUS??pd=Dv-4^ z1=DC#Rxul7^p@6xPub+VMD&Bv4@IHt4-h!8&GQO|q6oEwXLBiR@fRML#)$Hp+>P6r zD($Xny&9EOKTq`0k48U+O?>o^=qHf5RQ+@sp@f_6I#fzI?eg_1^b^rPjz&78_?^sF z%|j-R&X6BNst7%mI^bw7qy(CsPVRjUO!y>aXH=6o0(a#cUK4%&&O5L)B zd{^-f37bo$nRp3oJ|F#j6iBBqkZx1bB8>myvGnJ{_;050+O)wV4X;g`OyRZZ08@Bv zx`ZjbHeJdTUYjnHtA!|Dn+`G$uT6(oA6}advroWl(-Efd+H{mt@!E7uQZc+XEttY< z)8$Ozwdo3(BZk+eE1AM;(_1(duT969g2{0O&iq3~5&Tr})7YlO5b{t1f1~)R-0Cc* zr>DaorQ|AFNvc0G!mnvCj$_-RMk0nF$5wYgG=6(Ew=Vaou^mz4cx-#rXpkbB@QwVX zsXaivyDa(Sgp-`#!G>h)hkmzInq8tlW)@#ewR+kLqyZVZ^<+Muo1q^ny+4Lu(X_`9 zQP^Ua0l&QA3J0k2N8QKl9)quVPL5+o*T^4rhEYnwLf+wiX2B_ z(Pa@k78!{eb+O|BI}JU=H01w1JbTE z%h%82dxkLHjo`@v8+e=Sa1#SOa{8L5l$ zCua8>rS+yoQX)1I z8;zP@ij7&8rPuNq{)L_^>x|_t5xX3_5{;dUT~(tw@Uo%Ub<-F{ zb|V^_h#}B$DRPUN<0b+GG_C@%Th^48UR)NjX*uJ>um&AvIjlkZSq_I3<<|I{&ECTp z6tOIik2}KrD{kZkj99?pgwi38?PG)$%2w9W3*>(QP)XzOhRC~}t#1*-{#H03UdpT!Y`qK==4Bwe@*N5ZU)OC}Xg+#m!sXe{j$9d~XVOcm zJKGm~3AwYE!m4f&`^Lz3M2)?%m-$OAGPKn%(dK8sOL#4(&%byoi|Ambhe4n2@o~@~ zJ`P&M$3c_$I55}8fxSKs4EAwgv5y0jeH_^QM1F;#ZQNj>z78W9@XW1_Vh!OgUjEMm zNcOjgeQ)dyG!P zunW_lm)WzR%(5khjTn7NXv5i;8{HEHkG;RjD2aUl%a)=Z!D4L#!=DJn$7J`?+FEcVeD zwl^C>0J94XjXU)T#Hks=UqIZPVTQBo%`!5~;LrdwII+z{0kaK(MJCw560yHwX#6c& z@T^Y{t>KysxpGq6kZof-?Z{^Fvd}-W<*@MQeQ=!m50*5{r_A@Tlk)i z{ReqZoQqe$XRa-!S0B(GdTCz7{u_=p(kYB0i!oeF@7L3OMSsv>z8b-I?Qq5>P3(zQ zr`1GIwwef421U5a2%*(PK(?BQfhDSpu%^|h=kC;FkNVBBjYPbtO!O)l1BB3@;#P)p z@!8bj+O&ghs(}HuRYrtt+R8TV25V215iPcft^)A@Wo~^|eu4>Cy)>u?? zx~c*>2xauASYwf$bO8m4a#7TlF5t5SN{LPmCkPvncsmGKyo}NBY;1@nQFt{Xu83~cD>bJ8xs~1E>HoYaf1firj<<9I?KRO}m421R76nNAP!pMzUJb>IKN{bUd1DOj?QI6KuJ)0xhXt z#i~~~6SFj0y#&zIcs0uIk@_}HvUD(v=;9b3%%JtihD#6M+6Gm(Q6SyVXlA}|i53k2 z#B+Ev!|5Sks>H^NBjS;G3C^~-;@^NXHO9uvg!v!b;@^Z_sa=5l&{ar$i@h=@KnU@z zm|(;!#4A0YPumO~5=U+|xUbFU#kWUc&8yI_Xk6K^`0MXi>|=4Y5Ovm@*yisN0h2BL z9^^#J$(;DR&DSr;iEM#V7Jh>g86X2f;`>D)#A!bWMd%x;2!HXB7pC#I+N(65Ud+s5 z$BUCnZnqy7fha5aNxVZyb8Ap;y+QbDvXooQAQVF;m2-}t5rHbE-a>idD>yHR0L_T} z1j2`w4iVVS`F=?Rb~5!Vln1_p^MDA@hgbOtgs&QFcqwik0ZI|r!`a`BokDhLW?^kM z<9rB{u68ek#CxEI<%M`2l@`Atep3YYb3UAg1Zc|QfCuw*XF zefb_!*bfki_*}ZPH+{YWf*S-Vyxf{ zzzwe2Y&l3i6%n5lpT;3BE5t|G7hIpX8P2~>UJxVWPops5^F=iQ7F<;2XmVIzO|7T1 zaAuF$;`6HC4vHG%T(!Tzi7`+AU)oSJmhJCg>Axwmr)c`4t8=`aPM6^LI7Psqi)l8F z56Km;oFi!h_vvDnN;5a+P@1lN=@`P4P-G}w9YYDQm;MwPN!P?sLXoX>2@EB`Wcq{h zy(Q9xFO*Q^=@P$}aQVD;{+P2~#nW^m?N?YozDiyb0akFuI(N#viYI6?~1jxYR z_T(O<8-u(V>4p{LusV|Z%a_hQNd4tYVB@WhqHgh}a}Uz}IKBj~E?sy-1;b+3t=fYz zvou0u@kwTLj)NKR(E16FR6!!0PVo|2}FQ4S^QKqHt04Gw_kb|XP+so zHuh#_sCB+3XNq#Xw{n5Y#(OKj$-I|i7eN6BZB}cz@i?a|mHbjoRssLUY7wWZbYmKL zjej;H%3p=hZTP>+@y?(&H1qk%3h@o|dAINcB8lYwTphBU+D*AwKQAz32NX$&?PuQ3j=!FY}F z2N-~F5`j|;0ldceeayom^EC6`A_8Zb`gsxPX6mg32NcP001=@5G(Uj|(6*YNKm=&# z%ugV+Rzd?@BVG7Je~?Ejg?8BE^oCkTC$v-k9vRvx|BcFE`SVpq456L!cgoOC`EP;s zzA8f$hj!=|6klt?PP7`nY8K>H!@AQa0l<@~5TrkN@};8=?uR2dZ-D}fZ))Jz+1oJ4GK8bThW(FG%92#*%J-pOYV5`6ZYa_#v% z+w*5A{0mh^CE4>Cw&yRv`pYU~3)$l@lA+atcSX37@Z%r92=^=95(VSvk|)0aSnj@< zYvP7n%m10}`ZA38mntJpcKtou^;NLG_WzhW4=^ce_wi?UX7}c9b9cLQm8PiJDAGHq zbWnN~6{$y&UKJG-tVmI?Hx#=ff|Ns05X9a)Dq`>06}#|%lQ)~&>}H4D?C-wMU!Nmy zGI`(m%sZLPOy*72C9aMOTn~KL7s&FQ; zse%w>12~uiA;^AS7;_*5*-#D7F(LV|uvxHQreenpUP!J0O)mMko6GZ&SICb$uP8s9 zSL~7kIPN?chle{@UNtDH?vg^?aRVb9cMFKv;pZa{7Cp65IwBPS4uPTJ6~}&DDwzvzscpTsy?~)=$coY)WhoS~9Db^6OS5+Vc%Sk&EtR zMe|x9O-tByE0>fonkGoo7K+-rq*OpS8axxFLCg@eBQyj;kPY== z4ul{ZGQ}JS$+Ykw_XzIfEE*h%21hU@INzw0dg8^vExQeY57_TpC0m8Zy!B{xe9A^K zbjxl~Ogq)Z?5pSo)Sd#d*ZgLaH;o3zAl(c`2h9NKKuFrT80ckPHnX3K-=rxGjze4L zFB@LO$9jH>yULJ4W5lwAzUEHe)k!zf{;uIXZ8*}tVJ|98I@qn zE6B$0P${)tv2KSkV8i3TJEivTP2jnEBci}S)-<$w9av@Ww%jTcl2KqsAsB;aHwT`k zp3J83T)qkI-bRBn(eCX4a}Gqx(Y#e~AarNmoisQHH{A`J#*dsdmge1yo7nIbdyPg0 z5Q6M&8gn4zpCQK;`Fl%N-kKCl_BG*3^cXy0%*7q8XO`kEoe4?D#;yr|Oz~7x1sX}s zw8Ntiv&(xUaO?=|B_F533vlP#;iEPir_8Pck(yJd@R`>A(v9W#9laS$^LFMvnL}^O zgK@D1xZ|g3@Dh}srNKog!T04O;sdLEY_^ApE{17l?87g{1LwU6%bH4Gr>(~Zs`6gW z&U+~jzAtA3`oO7doFpmw3_lj;y_WZS4%B>u2A3epTa=7MU%dlUc~E*6ChMT|KKpzK z!RBkRJ?&*@5KaNM1rUO4xCC<`1lbq~=0FItff3As5M<*cm`lCDnl`7wtB~hg8oU;z z?`ZG_lzw39@k@;fK{j;4jWHp}Mo=)Pxf1H(Z2TVG^Hu)EXKsgyZ9}{RiRr zC>@0PWL(OJAl5HYvS0;Y9=4Dlf|HUM)|VKc1a2QVmCsCuK+B`^vmi1l-+@u&A#lDE zLRw|>8arXej9HT!3XS}n{74R|0}G{-a6I%SVG^ret70r2&v1TTem+EW=0_oVpC4kr z3laTz5QPxsk7dO$S&N_H{8Adcjk_nmIt|`|Qcak^g#>ya>l3(M-z z;JsLO3{2(1vg2s*0W7NvGq`YX^fi#?auSD2-*l zieGw62(s}r^c=gA*q|BDF(Jst&M?QieKuf*IS`V444)nz!(Ggz5CX}9dGlf5VLO(c zLxVd}n#&BuPcjpNY@7|vvXjgP*Dwb{@=oA0!Bc1kzE2%Qzgz0pX|<;Tm)~8As)7ne@*JfrVvGs#;#A@2*XA5 zaN|v>8{t`GE*{gZsT<*$<2>95_wHmMcnUlpH{O}L5pI7M;KqAWHnJzp>dLKWz&R6U zjgBuuk(GZx+~DKeyG$yZTn1|{T+rf};&U!=@=ZLKjua;mW|Jn#(tjevy$VII zxugaZ<+sBk7}v;$agCa+Xa*L+xJEvVYt&*z3$SPp6n)^5!&uQWEcytFK6c6B>=;V$ z6vq#{<5XBiVGsn_WaY!07JE?d9s}7&>ok>s{fqoBv-3aC|0*FK2O95q{%IN-C49K5 z_!*{(4ZLmc%g+BcAD$>)!2aR~n!h}9Jv)Ox0pHKj?0%Js_-h07MeaMW_i zk!<7JxG_xgZ^VrbY|M7aQEcP8xRKKQTOys{Sfj9HNHjm1z1)R|4A{O0w-?a-mAD-S zC!#PoaWvcbA#SWj^Hs8u^Hj5E0JULY766i!o6z7_ zxa+Po+6hlpci43gmmH6~j^D1>xMQ+5?26ficdGfkUyt@pt<#JKah^bQ0FCy>gBt{U z80?a|xQF;zVFQZEdw}ER2Nh2>5TL~%;LFQ}2mzxG$!6fW>w7%R(KLD%LX-i-SeMj8 zh>#6d*2hNdtt4 zH+{_zYK!JH{CoHhcF8V*MF?;w%K&IJ`yoGddK~sRG!SIhj00WOw7h`jQr<0^Q zEm~76faccI=o)M;8v$mMOBy0fytB~^sj?1?H=CY5$ z@Me+bp+KGuex{P#?_o5)V>o&a0HKV&y4xRP4N#09Oi>lJseg78^x|K2q+#2KX=GNEMPw4 z7~UF^K~m2LYySzsy?T2%UGR|A*xW89a6Zx67#<=g4hBw4LVSHnwYV$A*=FBRl8>Yr zwQRho;9)JuJ_6u1n%WB3Ma4YGKDqETwH3hEZt^u=zdxq#swK6uaaX^vT>(4p3WVd7 zGXXRBXOxYeFtcnp{}uM(Jm`%5JTh}j@&UlHB^SXM`JYJfSIWlLji{A}6#r5yhSC9Q z6>}*D-@}9K3j~sZ5M&=BFb6`Ajm+~L6O#7Zx-qqCpqXq|6=1O{2sJ7$gyf+KHtRVC z3&q*7JWzm@=pZsoY3G_Bc^ph*(O3+A(J|)2NFpqA3TyVw7&$pBRtV9>&~wEL*y|9~ zERMvAVkIC&3gH(gW7S}BMp(g+1Y8>}uHvtPlctVq z(y43$OkIemGy8W_8$sqp!4Y*gYrAoWIg?%4hZu*zea8mI1~Kiy-s2Fxx${nA%XD}UvReH3PtT4G zjhz9PUl|Joo|)!!o=#)KVrS(<`o@MslR>*YIC40p*3ftT?!w>Ud?Wo!vtKyq7rm>;J0 znzVErLh%ktN_O3OJmov*}eu^?(}BJ6LJwvaus)Phwt) zt&H6cpG9JKK)(_1of2zg#qP|B-9b)(b%KNwFS7gx}-5vKHVW_IOI%+40Fg?4jE1(7lh|A_+3!x zsc3kfZq6s}|5=wbi9H7oeJPzZagxdmJ`X=Lxf_;dMy$Sn|z2%S*G%^oe0YjEC7_w~24mS0= zWhW4?+dbK&AO=5I$|gC0^r1sW(#ZVCh0L9wfQ?V1kqceYEcTp3M$^b;xB=p-Vh~r= zoRyBDk;}34J1G6$B`sJf%=*0oOJRCx45pX1WTj(iWD%DB4yAv%q!lZL*_&4)^gmGg zuS;69((yF1n3qCGSJ(}>qzx;bKqE`I1vdnxVVAUJrDr>2l0zmtWD1QeMWtNWoad5u zZ1Yryz?u}xP_FfnNN4hg{&0c{Fkj)@TMbn!BVU zs{vj5g$}uhMy|zeZDCtGmvmy=7B~d@_lq5J3AHe|)`f>1H5RV1jD_>t1@IR^>UMFv z!fAKA!T!6uq;qT&joifpk=#?EsHaQ1L_VMv#`C)HIHF=Sskms}erTybs10yQS7vE7 zS{e*RLtN60g)}MMTGDC(3C2!GV`qTonJ($hdVotkl$Gwo9f8UtL3xx*dNAe79dZSY z>_=yhgALcLw_wnwz)M}0rw2dXyYK573)M|?oG>D}RSq7_9@^7W?#qiA$A}z>L%)%Z1 zDoShPAuNI&UFniuti4azY!tRY|_a-oMvrGCiyA9CpZBVq*CH|1l_f+$BRa`Uy?q3Dn;Km3O-2G^XDH^`C;Gr(H4>t}L>gzjM3KQL7XB z1a3n~$0RRx>b`_FUj_rOxa4$ZvkTgM9g5y?$r-6k%@Tb2HtN3vm3O=3Os3xh_1}jg zSR7%PMnA!)AEG{d^>X2>*I7)z7wSVKt_zX4!!`N|KK%;yzlO@+xMT#=?}z$uBXQwI zGLrdZ1^VPCYGLfF3#+y@;$aT%Zw|Qz9>{gK+&WqAAK<&xTR7Zu`F+p*E8G3k{abwt zX_Do_kVY@`{Q-EU9yOO15V+##&Vm3eAjZQ@3M^{%;-$0Ey&Js|18LH$hFiOj3#rbH58?MSW}jJp&&*r46H0Dpw@8QTI7)Hs5J^R zrPL}zsXDdBqg0bx6Hz+MA=guD3TBR=)^wDPqSh>wj;0m{uoWCjEsQ2BIG$P;;?{c9 zT8L5uhulD|OEClEL06#Egj$PHfX2KgwGC;{q}C3U&T`1D4!Mn5yD&e> zAuFl%3}(ux^*l=BsPz&`6R7n%N)sJ&yF*|-=FWVmq5vL5RypKOhuj5=^x`R<1 zMrjeXK0|4-Lms5omzY`Rkk#<^FYgoTgnQX*7A)siXu*p7aKSY$8O5&9Df|j8xE_jb zaLH)ag+qV@TQsu{{QAL6T5t;wk12pC4_?T|=4ru7J}zGXzF0%8ZxG^6YJG>&-PHOK zB{HpUV8=h!-x%UG6m~gGKOK#LhKDt1aBZ^4EteNjYhRL zhK*SBIqem;9z|R5_E7+DA7h!VbJ5l|DBA9lam*I1_qq~K-ILV9?>z-iQF=bT0G_7` zU^$+GXDjon#J{;0Jnxe61uswwzW^1yMCl5&_X^m1)g==OUU0}dYU3xJf;TC>3Aeuu z+uw1?*=+kG)W*^2g7+!C8@GP|+xNO;BHO;6+BhA&;1fz$<96u23!wX+#I|psHqP`e z_?psU(DdCVb? z!}`-`_y|~k8l|IP{b`h7$hG21icg5d*~#%{RN2RJykW$rEf*eJcpNmN!s7v~u1jXI zhtD*7teAXK*Z`GI0Hua5nGFq>JjR=7VH1bIs=(W+jkDPcTTmMZ8wy)d`#AJgo8$+N z<`p;o!ggr7J(xbxC3D#G?Gvz&HoBuDwdH8*9ylqVrrbkJb7QYt4hA`7W8yY8}K{3!rGB zOD<#&HP0Z@r8GB)^)H8wSGeRNsGn5!MHeKKa?j`Rw(@rkn#ZaJ?~yDM&io6Tzu zc^wuU=ij#rSJT`q{>o9f2H+lc$wJ_@dthbgX?mix!69!r2N z({6{n>yYL(whVvdu&^+FE$R3Bl@$SK*XJGMhl;CW`(gZi7893vwq4cstK6J=O z4*A$2pE%@GhkWLc&tWNY)Pg;Kj?%l-?t^f!k1rhZr9-}Q$kz_}2G%l1Tc5Be4Ty~X z1~opXc7N3PlG;O1g8JW5`*h6gbI3kw55vq44*8DSBQW!`L%xS`b9r;&okGH__IS5I z3xDHxm%{zv&EH*eFPLtCM02b2i>fFaHsrYEa@Oc-@OD@PyDx%KlPg$JEmV&|k?WF0 z&<=BJW33`6Dt5`0tk#iOs~QwlcgbQ_>u9W13yKbN$r4uUSgZxHQ$-LvwUpJWi?xo1 zqGMdLjMb`-wVfUirUfKR=Dv**m#mlRTi8Z?XfHaC<_^X^UjQ5D zx#U)6`8R6gqkPc8_QMMN{Q4?dLT!B1FIqsP+Yve(912S!s~w-j1d4*jfaSt*cq7MRV`K(jTGpCzm|LN^P3E3QJ+mZV}Ac zU8A0hgh|^82l*!+B=p!t&|^OgCp8dq0%4l_JlcXTxfr_SwW%YFtt;AMvBj+v+fX^= zl69#gjIAr?uNFI489MM{=)fOgm6PU|HmU$D&c)h!P&?lx>vgp&hB}K~tPR8D#V}01 zL07wCV5_(UYr|rM#jqIRMosO;jbv*pu8Fnb&QJ_@hE2NK70;Q)M_}zEq4rTODTmgR zcQS81#W3n#45RLw*#J}0fj3U@XQW$rfGhgadDe zwVOlj7B1P!;Km?aYba{tlE-v#6~lMM?a=_-h>GDx^f)tcHX7&%MV(x-O=BQojJ>!k z8t4YKySrpNGcX4Yz-WCjjMhJ)GmzllK4<{$S;cVA+QAIWM*{<)Xpl>G>I@|K_cSyx z6l$ODk|#ADYm(sKVOaYts6E^zyV&lo!rj5`tr%`^Pw94-(CWvcfpJiKyi1;D25v$F z6QO95OPC|k9-i# z3ef!fc=&(ud?>vTKD*1g5j_quIU+tX7@s7NG&iC#n;XGww57!his9Aah2o1LIB6`b zA2xFI_&SpZkLLCZ;~y3)KD0s{L-D1>m%*T+BZV&n_Th=+ua%H(OaSDHkZ5# zk9=ey@8^r*t9jC;-8|v)yAw^_1$uY8l*SF32k9D8h8k5uW`v6 z%)t3*U>y`a;*vLY1`@8Gjc8yK)Gl|)Tbe^}k#MPO!P;A)_G2!2TUR^bQrV8RpMcss zT=I^tcEWYB3v0udgkt!Tuv=F<;haB*wV#LDFSz7gcHmp_z~M_mF?>mQk3Dza#h=NF zU#Ir{D7{JT)hNA9?T1m?P3=cedXL&0QQAYJ+oIdy`Mh{9nETKr@3TETfqVD_iavG8 z9=PiVV8}e_{I*PJSYIOLSD^5Oyg)c)BeA2I_k zpaHnI7sI{%Bb|YSbAY$?Vz{jr!)^UzW&l??DgFweQWD^S3njt)aEaxT zPnm%?qHls{O2SZ-6^*{>lFyj0H*?RGM5z5ZO1ad=8HFYJ)W(74k{J9j4Zko-3UKw~ ziXRJ^PD_gTsB1|vwO>RNr8N3ZbT``>jAxb9h(>q2S^{M>`5fsTNGu;EO4{>B=@PhomcZ@vOZL>2 zP3_;&B77oGYFn);+Ez&yRP73?-CXh&oERI&Y)c^eDp{}MiZAJjdc8oew@bce*IB~1 z*2LK1iU4R9uv`J%4=uoF@sa^9`G!H~7#LB$XqOB@Bd39pp)UEB8Hvyk!6P5$1Skzz zm>J;&a%m`xnbA%lk48V?Z`~zhL3x}@_OTZ68NVz_&W56iF8K~xL?|0orZ@qZp&h|y zH=PD@P?`z9c!bhyTq3m&o7y*%mYlLK$M0k?qfdu zxDqyvi)bi@WeaJj5G7ckH4nF520yNZJG}yaNC~AYQ%_e#4^XlMufL^mT+3YYJ-hz) zVdGy8MJrtLgXRKmRnY^KT!)T^ZyhB!xa3DL5W>;tlACGhFa*4nhK@pMB@G>e(j7E( zJW6-c5DtNs+)YDGvFu(NYJt-IG=yLKOCF>l{0d(35Dj(0tq;>sSCrP#(5Wb`ry>0G zShA6Z24Pt_4dIAX$)hxc-vmpx($E;(`Zx_uKxsP-O+sl04NXVsNgA4k(o-~qgBc~y z(9nD=dk%gJ4;}pi4PA_7FVPT=E|k1NLrbyjH5yuh(i=2{qX8vv(a^0}_6`lLLg`%^ zx)-JQY3Koz;C{a!_wgYO;ccblWB9#2Ec=v(HekKaX$Zg4m3&Do*$u5X*k2q0dnIlZL)V>2DhP9;JV2=qHp8 z(9o}3DuodD@Pz2-XNsDw{HH)_7Vv5j)S=S((w>@z*Zo_sSh9o*+N8^10l#( z55gP>LAHnx=0FIt)qpSuLXa&AggFp`YV?^k5DIi!ZX1g=;v&ybQ=gIJwA! z1bN^Pd5|CvBq9$I6#~`PjTK zk>`ADW*6p}WHn}MPl`O}V=F(2Jm+JRvqYZrvDKVJp7XIK2t}UrvDsE4&-vJrOCrzt z*jjHQ&-vI)C6VWRY|atpnPfF)t9yw&=VJ>Ji9F|HizkRY=VJ?Xi9F|HYjBA?=VPm0 zi9F|HD_4m;=VNP5i9F|H%Snkm=VR+XVV+4=W41Jf$a6lnER)D{KDMTk$a6lnRFBAW zKDJno$a6lnT#v|eKDJqX=_A6xB3j9d~7)rk>`ADWfPI-d~8V*%rnVq%%%^E zJm+JReMO%0vDHaLp7XJVN<^OXv875xp7XI4M?{|Uu{B3Tp7XK!?;_9n*i?9t=X`8_ zD(0DFHD`ADQoP7>J~l00`ADUZBWxJ~nwz z5rdCtdX%VC~LR%13dPUJZsyJ3ht=VP}Fk>`BurXlj2kKHyzp7XIA zhsbk2cIyy%&c|*ZBG38Q?L*`lUlX=S8_#nI@^L;cK|apMCCJD5xCHq)AD18>=i?IO z<9u9#e4LL9Qz4=%xW$;TwfOFkw+Uh*-?Y9j9+T!OshV-n;g zACn+2`IrQG$;TwfOFkw+Uiyzoke7T+g1qEo667TxldLB4{=p^4OFkw+Uh**s@{*59 zke7T+g1qEo667TxlOQkom;`yr$0W#0J|)NY?uCHQmkSPCoy$9t9m<#LP`mS zs93j*O)k!=ej;BpRf%8Bs(vyrj0bA)xkg#l;TLRcL~6nSb#*q~I(2>`jkJ!mVVk?r z$itDfOzJ@+pQ3asjr@*MFIe&kr9L$GPL%r5+!s(9Ky&w?G>GQzLum-j{THR7G_Mv) zXVAPxC=H{Lj(lO1>M*NtCQ2|N4%efq4m05H-AD79qx1mH zI|-%LG!Ivfs=kKi^~SQbG;bJ6kI=kvC~cs5lTm_?vvX0}O!F3?w1wtffzo3%50@LO zzK!PHie*pGytOFpq|ufr?V`~xC_PQ1{ZV?BMn|FaJdMsk=|vh{h|3#=Q_6PCr*I2c4#V)d{{~93IXw3I2n>BvwnC>H|jG0t6 zvrf;cQzy=z);6IJ5QAa~C4vhT2hN>Vru?M3vK7rL#A17=k&`A3m@umh!o#*z-=9D>m^lHAbeJ+_>MZ{A_E0ejQ+Q|1oHb+Q zG_(mt`2%2&BYRAl1T1VKJxsyiC4f(kAVFfoUzj+72@s9cmb8PV9AO$PIgzI)k&}7a zL8Ki;+DW9HSsH~Iprk9W*Nt@NX%CT}BGOYu+Eb*xMA}=VeMnytsQxeL21!5IfB&TX z08wwCNC$~@ut}T&_6vXe@2qMGlkx;r1G;w`S7Ij5lQ)x3Hed5ztKtgF-iHd zr2N>V{J4ZXJO1%W`3VVmw!gE`WEgrzMm#{pq6q43W+h^=2j1 zWBRib@=R}zsCQ06IjeVWQhu(ecb-Vk7wH8eotIR9z9_#?q!%S^Um(gCiu7WUULw*< z6VCT#z;k(0{)!}fi-g{l;`kSfbcslpigcN%cU6-9)uMd4NLPsT8liWs$X_SY>qUBl zNN*JBO``tIB7cj>-zw7EM7mO>w~O=+k**SYcZ&R7BE4Ib-y_m{MS7n|?-%IdNY{w;VUeyC={k`7ydulHga?zP5_;$HZ|wF4Aox z-7dC2A<`Y9e5XjC6zQ%cyH6$MpH9j@BlMmX>2o4|UZgLG^hJ@rB+{2f`ie+j73ph& z@AZWJuH8wxBhn8uwh3cZg+{$r7TBGOOA z_RmE6xhVfaq+g2iuSEK_NWT%=zZLm?BK=OJ-;4AIk^U&spAwFro!_5@onOTEUq${m zk>4-U-$nX|NdFY+UkUb@KmQix|A_S8r0xHS@&h70h$(!3tGM3)S0Ip(2fKk_Qr=3+ z+e!J5+TR4iN!zo;ejPmCK(K`le z$BF#$B41ae^+Z}vlMLI>KQ$;#Wq|-(H86rPZq_adiTcmSDdX7lX z6?$_;{ydSMFVYJ{I#1M_FVYJ|`9&gKAj%ht{KewDT_W2Ky;7u$ zMY=?!OGUa&q*sabYGG%&NLPsT8j)Tr((6Qey-05m>5an9O(MNnq_>FlR*~K&(v>2; zU8HviJF7%`r%3M->D?l|N2K?P^gfZ^FVY8u{~i?i)x!QmBELqY4~ulINY{z<5s|JJ z=?0N*6zL|Bmb27eL$U)99SLI>{}DnifHxSn0DXh4fu{-SS+8z+;JIG)pCO_8>(&Qe zsap_utzLQHt@6P8<$(`-)vH^-Jn-p|`s+Z!s(t|ejgY{9#LWsko)!3}`avjw&>8sm zBGzG&+F&_EjthJi_#8?jR)rH1Ad^6P@oRRp@PH%iv8%kX`Au|bz~w`FesrcFDO{iz!b{Y={rh+3Q4H27Zfa` zU<&2SQ!11rp|ZW8VC{w~g{q@?Dk7n%7Zj`+VF(q>_tVgiR-mF1D&_^{8bbwqojw(+ zKtdIIK@}N81xx&R>KMgSr4p)|7gTj)D1V+(p=wB|nqE+~jG=;u`|;GVil=Hzs3W|f zV1W(8hVIW(D%4RDs*V>Fto30C<cDV{n;LLKV`b(}d=T|cL9r2pLBT8wLnwcz z?_LF}rG#qb1=ZRZD(K5o&nr-EBve~3sCLFs{ye2ZwUg5FmQ(Fw7{Iw|+s*i-~>jed~Y^oG$ui~lx5^8`K6ioQ3Qm79Vs6i5Huoo1} zJu-v}4)ycsqC%Z6q0aDvI@28LtjwW?OQ;cEP$P|@{5ABCl+!m#LXGxha1vSnX%Acn`RiMU8s0m(BXIC-QXA0Cr2{p+JYO*nuzc=L16{sl^YN{91 zG;=6lFQZ>5P}3#U3@@meRSfl|0yRrQ&Gv$t^FM_;M?#(J1qBnKO}hkNr|&DpQ|C#j z^Sz)hFo&A&r$_%zfx1vaUE~F|z#Pig+syY0)Ite$u@}@O=1`aU@stX6xrDmH3u=)u zl)oNbg}PEgE%t(1@;`-IDxsEnL0x4G6`&)rpDWPung1W;T z%9p29s8tf`PA{mtsu=2D#Zz}nsC&GiVAYT+J$(lhsQV<;{a#S8fJv1?9aNwmlu)a^ zpdK=Z^3$e*mIAd#LOtvSwbmTU&(#-&>Bjc}j)aAfYyTL2WXJ^5rS2 zc&c1NZT5nKb$6<=p$Bsns4Ws|s}~e30b~m0>+}^UP>)NfZC+5@&7pjqzG4OH2?@2s z3u>o1lrK-IP)|yzU0zU6RWVdG#Zym9sAs&Oo~>f2>I&3z66$#`s29wkUh?rc98@DX zUY1a=ctO2d#ZYRn#A_1jbuXwlsu)U*OL$X4z2yb- zCn!)~NT@HppuVbNsD=vE*AnU*FDUca;h?WJ)kuNbC!xOcf`a7^tF)mvR-k^6P(OM> z!ODwO3e`k``dLE#;sphZKvpSKQw8cb3ANt~3f8?fh5FOaQ$jNZ>MsfPw-?ku=1{&G zdUFNpUkUY}7t{fBC|{mxp+FssLs`TF$_ki6`SMiDL?|mLp)4;b+Zf6U`)N}{6{su; z<#<75n?ptXKwY6gQ3;jn1qBPL8eV;Y@BBdBtU%>UsHhhdtfg!SWff!&RVbl~yr7EB zp-MA{swSbTdqKg9x2B$|FM+H5t3|Epk(Z@3ek9!#s-d6Lw_Sm1B->PDuQmmXG8;nq zn@abh0@YMPHS>ak^`T9n{B)i~g=!(8T6#gjQq`tVKl?d-FDagCEuq?YLA5o9^5rQN zs-1*t?*(gWXpYl$14KC6o#C?$H)k`b|%S41rP{c=;NQ~W?F zL0#6V(o;RXJk`q>%GYcVq6BqWy(Ls1FQ~p%45b8hS^Xqbe=n#3RScyBby))?)F3aY z!RAnB`Ds&1sFF2ALY?LXHMEMMzE-*f84+uFMZ{WF91%;tQJ{uNPo3rEDfmGG!!9A{ z>-2rAK#h=4BfX&DhY+e1YM%l%T0)KSf`Z>;*NYilKf|pr%TwX^ zM#NfP5wTV!B4T~@Q@<#lk`b|%S46C39ue!$Q!3OvdHUvioxTf=PoKXYU5Q?_E|O3S zyr32uL;3TR3U#rBy2K0WQe!B8p4zXpsmmnPRtj2*VTVu!6t#18xN)IW-+WbClz6+3KIB6ir% zq(h=Y$=G4bD|XnbMC`Dic{4x+XD`fbVB zVaqFa*s4VAurE)kP%?Jd@`@d{%wvbGt$yBSRH(<~Q^Mn3PYK)1pA!6>z5r3KzU>m~ z2`{J}=1{(7t*KBuCDfB%P`k{b{KO6if{Le}l2A{3K|Ny(swbWCd z($L?QQ15s_?KXz;^=&2)RiNILQ15v`z5hRj+9RPp@PgWF4CQZjZA|ghhZ5=|FQ|`= zq5LfjqC$Nlp+5D3`pg{4&qH27h5B4Vd99{veQ6Bk@AN5?d91G_)Yo2~`o`GoV8KB%yxxg8IcA%2z{Ip?;N6zj;CJ zH;3}Io~H`+yM+3~3+hi}D1TR9O{JmB*kQ{ncGxnH9rm|$rm`5aC1Zyzuh?PBJa*V0 zl(HDIC1Zzeuh?PRJa*V0)KQ72&z7;nwpZ-1Z5})94@#M}X3G_tZLbxY?W$g(S(&wF z%N3e!uN9i@s$QX4nYCs|;$4DGJ-P%t*St$O;OE6bnYCu;NvM1;sAv^KDYMq>n1ph@ zpbDxON}080%P4ExE6Un7kFpN>nd1;pX06#J(o>~go~l;Go>FG5+0`Xf4KJvg#!&t| zRbS~mYe}fXyr2#@hVs{WHc+7CTGqDLTGnUx0K*@M?+bbU3HjhX5_l&MW z$#`_zD<0i8k4N`6z@b7NFWXdIuQpZBxJ~&ROK7j0zWNfXffv*X=1{)YUR0qPN~lI& zP>s!@e7$1zR6Ny0LN)b*YGw}Q>%~EZYA&H#ctN!^hnnx_DWR|8sa6uIwHH*IDu(K> zK(&=n?YyAcn?v~;M^~Xvlu##mL7i+4+#?%U>(`~KGR9H>BbmY(Y3 z<*Babp7J%8Fi3&wCZW1}LG>_)@^$)7Q=m?fP^Wr9_57bg^^#D%y`cJ-L;1S;rYN53 zE1~*%LG?F=vIqLQ&X7=N zdO-~{hw{}WoTosYC836UL5-+ls0$RRkrHZ@7u0BDD1XC?%8YG$jD#xlf*NZKWsmoB z^oW1xl`EZF{X{ZJV!U?GI{+0wve7w!PM}w$0bF_ILW0 zDp0dzo0{#_rsh;}n_8wo$;f@%D{|j9kKFg?sjCzy8M$wJMef_?k^BCju2!IAFQ~iBq3-eV%5>IF^2N>Z6*n7y@cA}1-0>i z3bjc>m3u*LHiojd___K{Qm($O66!H8sK?Erw)=rPS%H#kS=(M~S=;7oS=+lZhm!H= zwpTp5Z61$qKbJYw^YZk);C1?5G(UYW`+@49oW55i)T>@luNgyGz7|^Rq(Hqcq2BO< zdea!n-)%;PdP_pR?FIFYF_f>!{h$i9TSC3-1@+$l6zY8mwZ{wU17j%rLq82&^^{zp z+4fqY**0IH+48l_p$a8eXtuppXtvE)Xtuxfj`2tZJU`cXptC1dhJUNLzg^O(HQnSP)~E1r_8>4v;k z(+!!grW+dR2WpH0HAj$b#ff^^F#(P0csA8zG3e?#WYN8j^By*@K zempf!fto6zrg=e4H;3}|=yJ9KHA6zp^n#jY4&`T!*x*D3N=BN6ydup)=83kQy2Mxnyf%AkWdS~pe{Cty3`Mp5|18|@lzqM_^FV2{8Z>lKTuN@ zPc4?7TH@uYrRJWx$`8~u1?p-EwcHD8g*nux_tZ8&o>Hc$gtkklC%m9`m_t432Wp<;sa+E4DKDs} z&7q$412tcPlD|eC^7=LMkom8XhhFjnb)fEdX;|FS?0`-CP)Lt)7eQ55fkNrShtU!Gtp+5D3 z`pg{a3qMeoC{SNYsIR=BzBY&Y)(_OB3e-Lc^_>^g_vTQ(#-}b*pni~0KYBs^WDezP zc=2)t>Sqb{ixTj*~;P|Bpk&_B{s|9W}qKVwh% z`-ZGS$q0^+R|H4cJc1+S>+~s5;XvF|;h=}7!j`e8!XZDWPnn(>4oj#kFDS)4p$?axs_o^eBg{SJE7nDY zI#NO%WEJXY33ZGY)UoDJzP=%=P{&EAm#1V=t&C#!$YNy9laK@;A1_Uca#&Hvf%ne*w)ZR14{;mR_D}W$dYN z8$WGInXw&~D|LmvR_Y3yuhix5aae^qQF`hmFHfCp>?wcGQ!12nTBn>M1?d%ga-}%{}GoDM5wm zBcb|wLG?3-^7WLULiLwW1H7OHnnU?|N>HKXN?l>EmAb;_D|Ll@Jte46GAbzS6%`aV zj|vL=iV9MeCJ4)@ps-g|P}n>w$RCul3P4!?#&+22H@3r-_>FBpKS32#Rsjf)mJNN3 zS3@r|Zs`6zr9zFBP~*Iy##b@aEr}y};RzDz?DSB9@Wk*W@ypR&hz0)>l2x}x{YS$y z)`1wTeh~hJ;Uf4qTUv)I;hEuCP{yRmbsOr{xG`vu6r5I~S%5Zo-umw0< zC;%D8b+O*$Wf_>fTyOHq3`{N#FG)E+_2B%h(A%tyr%)bwR(L5pa(y|b;aa(e>k{{HE$rbszK5IiZn;&zgVdA9cMv~$U=~i^ZE2ua>20REg*OQ9 zmX(Rn&@{p;`5x{J-$ktI|3Q_0Bn1D%6;Su^TGi}F!>jfCs7q{CNxD8ed{3Y`cYSvF zUbsluwUr&d5B6q@hJ80brd%t05A5|`_`8qq`JwO{amxFGNoLfkTd#hDN5dPvcI?O< zJK=}L8F9jE*}*Xj;dNvpvEn;?7p%wl*eNbF$p!jFN(9GVw?9HcOZsum7!2B1gb zZwvfwg}=w(?{N;eOW%N=)q|xrpr@EW;tl92Zt}VC^WyZLDNb(=9`mE&*Y#$bapoL( zygA_)g`0E2YzNHEIpLQ;V#k{kei@|rnSO!qJZ6JR6e>rdS`;d%gDZVZ3>EZhn=hksxqgR!a~ zVE>XpB;~G%2BV^B1j0Xtf6^HI1r6>8gTGYL;P2r-GzR}dga3lTzba|)zwiOk{1fh{ zo5G(xqIFnGt0P?k1ptKi=Y!(PB3)R6h7KekT3?0f8k@pj8k>f`GJdh5$v{or+0C+y z&TgQVRyky*e3(`_%S`$3EJvKRipyeSR*sqS5!yXdGvy<-%6VqWM`@L#X3BN6%C4F6 z(OTs~Gv#Bn-Yzy%K32QuQZwb_w0o{@rhL41&o#}I>uQw`GgGdoRjzHOTwkkvq?vL9 zt#TbRypGdl~23ly9o0}=O)GD_$Q*NbIZf&OATC3dFOu3C#xxJZkTdnd*X3Fif z${oy<+iP1`C$mO!qE@+!nes_mwcpsZ}0n zrrb;0>5n#RB)zrDWoF8Kw94bml>2IxCzvVs(<)CiQ|_<5swSH$576#;s+saYt@3m; zW)SD7h~(JC)DQ!djg zUt^{`R;zrSnesTT@(pIn{VvUleNls znJG`vD&J$KJXNcFpPBMBt?~nA%G0&pUTvm4L%ZiSX38_Q%4^M(XK9rmF;kwcRo-Bx zJV&d%$xQhit@36w<#V;CYKxijTE%u9M$_ur7e%Vae>79RR;&E8neug7WR%w+ZX3BSJm2=IM@6sygnp4pP77@uQ&1MHyO&nWGlVNtPD)Hb|7$zNAo2HaAoBfn+()W3gB|u>M5zQ z$&{Edr=8wpUIr%H>rF;8FnOZhq?>`slk_GFGcb9w{)`tJn@m099rTo?#wJtGct<^D zbz_sMl%4dHHH}TCQg+sxJS+o~UGyevXJE3c-sF)PnCzxEStkRN-SsAq$-ra}y~*P; zFnNl;UDh==nc6N-)tjuJfythFlP6?gvX|bMjf_pEUOl~?KJwm!(~-h;58ss4)Yv4O za-qZ{JAL&gn`dCMpWbB43{3Xdn{1td$pLzkZ8I=AP;at*1||pTO`ep2$-#P)9WpRE zM1S>kGB=s>Omv#wWS0y~4%M6NmVwFB^(K2{VDb#T$x|~hd8XcEuMA8M)0^y*fyuM< zCi`Vza=5;!4=^{G(qE3yn;evZ$&q@KLozTqN^f##1|~=AO`ef~$uWA9!!j^grZ+h} z1CwL*CP!vqa-9CrV6?Hx)JKEydXr@tn4F+DIW7Z}XX{N)$iU=8y~&9gn4F~Vi6$GH zOnqdXtf!o6Y%=wXPtlv4o`K1!dXqCVFgZP=prfywjqCKqL3 z@_fC?#Tl5qKyPws1}5j}O8=FjRL`(FPYm7~%p7Eu6lWQ|DxlC{Jkqk^;r8l`D1Cv+l zO>WA-IT6j7_FCqHFY&j~SaxZA91VO>WD;7dcDb=8JN65 ze|mNqn@l}DH|kA3oq@@l^auW|vB}gkezV@>^BI`DMStKg8kz6?x0q&N9}1}4|&P5zjH$%pkOf6l<-A0j zFJqIb{pAL|$$v61xlwQOzYI)n(wjV(fyr{MNhgqj$<2C`Rt6>?)td}uU~-GzWL5?y zw`!ZZlWlA=wZD8!Z!(gB$;b63b2BixO>Z(k1C!hJCSw_xd_r%sAOn*-^d^fkFu7B2 zvLpkOPwGup%fRF=?R$??!`Ni%d(TsP%38)IQ?H(<_1E~}#wJs56wl}>k1#fwO8Knb z;=PlP~H`*2}=;OL~(HGBEkF-ekiJOunKw**F7} zuj)-U&A{YqdXvrlnGDt4cTD}|FBGMSttmfdG7G>6H_*=+ytoAW+fg_;MyW(T1-3I(trud_QFl=yrOf6QI<%+3KF^OO|ku3F~4d@V`Aj0*{8 zw@+awC+TMJWOp~3!9zM|l}|BK?xeQnsZ~D1Ou3g{ohGvz+nBSc@4usn43 zXai+&y^MrwsK3@oS>=oj&>qG(10z5%P^&z_OnH!2d7_!}V6F0GGvy)KQ#9V6ZlEl@ zKNXJsbghw@l{0dN_7u)GH^SP%nOa|*V_*c7hiM7s8Ym~U#Iv*nCcpCn1jDri^D0L$ zLTlv0${87{HIn9sW|R(8_~IfsoY7h%mza|P6I+8N2kt-@kGFEFO&ClAT zBN?YPvc#N(HS_UWBg-mhWP;Yn)#gUn**QCVx#mWDje!wRo}|52t}|1ftljesX3A5v z$9|K6a>AuPRf~U%fe|?NXYNRo-N#JWuPm%?8Q|j+?I~*kWJ=9Cx8s`7tx)i?sOL43rb_ z7ibBdFfao63$@BS&6F?JD(^B=zC^41w3+gy+K0{O43vfAo`GY(Tx;Zo${D#rdkSAN zH^Oe^i?qIY#lQ$CU#acFUo%r)tW|!)OnHe``7JZ$rCMdPu_v-j>#%pt_I#E0l)Z1D zoN(1$ttI%tzzCeO|C%YU(w?e>L1C|v#yfR;&LL)dzDuhdG*iA?hn-`aDc_@2 zhM&GQ(mi8u5PBhPODtgO!*P5@?mDm>$S?Y&6GE2m5(%2-l$csW2U@Gt9*=^ za=BLdI5XwVTIITC%8zQ5>zgTW(LD*~oM50Vo&?$O!B#CnBLgF#{Ft`?ZephVxK_ED znesNRatkx%?b>5*Wv2XuRypCbuh-pjhZeh?*`9Z5l}|KNep0J^vYGNOZAOO!)<^a$hs$7q!a$ z&6Ho#Di1VMep#zL*i88qt@3GR%CBmbPd8J3P1{MFX{P+TwvC@GFkt9-VZ^1Iq&o@A!{o>qB^nezKun1&*zybf2>u$z)blQt@3;`&qk(b){(oA6aswkIkh3{F4RLff+247KWoRF;#|EA6hA zbc9_!>Z(>drLURlxSr`NCmmsr^fgl**FSybB(r_e*W5E;s9n!i4{m7s>M1T8?on5@ zdS?2Xdy-plS^Bz3hc+sG%~X#~OkX+4?D+IG_YByPv$s6wlk%L;VIoAU9oi`afeqGr zG0mYiT-{-7L(Ug4Wgy6|^Y}CeYb#E35G#AL$qmr29nkhFUm5i(Za>z_MPv@{m zmYH(CRyo^DIjY5um?_7!%DD#0(GKAcB6%s{$K{czkuqr?iD{Gz43vo*-WUE(D3`&k zH0Y*D?YcvIK3R8T_}gbme%;4Nlc9AtM~XLvzpc0HJ`%3C3zotPK+O_T6Y5&k55T{< z#QK+%WRYO!tVn6P*o@ku_jkj;rrqT)xXb!%m(@0ffB8S#<=<&{`4{f8A=_p3P2s=( zZ+0227O4@bDQ2XshRJ{MoJ%IJj~oe426fj*j;&c9saqatQ1P4)ogAH$_Rbq=Tpnpw zuV!NH7+H|Edd}|hNYZyKi%hNo1ccp^0VGlj<`%O5CPxkv^9?6Q4u^ToINvbWf(lXC z6otYl982p+{5Pa75y#Ti>sY2l&rb^{j-@=(K?6A@0kUhPt$^$rX-9B^VOJHhEdaKY zkR2nP(BZ+k02wAEunT-#(5rrVr01iN-u10*k-p`Tf%lQ@-VMqlr#%7BFFPWqM-NYY zY$g{)JElh;2KI*60Cb&R`e(<;u!=JmgFT#*eh-ad4= z;jtXuIRN5#WfxwJDKb&18l9e&hVP@Gja|*=4xe1jmL89^2~`VmpJ%s$*|Cbn7+LCJ zavgL@AyP<6B3%Qs1D6FBMY@Vkr!%n+LN8=Nw-d;UbSLmn*DhI+z6S#nNhn?z-*Av* zsfEF;>^4xj`a!q|@LTOgyzQL`xe^kNOo>c|OT{KNBh$!wKU(DirB$9QTjgBYD$kRx@_h4Fc|m*-hBSu@EC4My0(T_~ z?@GxJ4wrKVocIxeb`G}=@#ZFO5A!NxZPB5u&5v9tZk$PM3(F&yCbKP0vNkc9?Xt>P zTY4yKmsiHxRfn>6MP;llKa{mam9cisp{!k5nR9wwlC_i;vbZwVZa9>+C6zhSo06=h zw2-BhVOyDGE#*j;RfcU1Jt182GZ<4hXwyP_{c7Kw!6t?A+VOyPKEro4GWvs0^ zl(lOrV{OBstX*3fYnu;c?YhYIqJR4y79C-4TwCCY>_+i$miTP6Et-BSRdTQidKjcFS{_F_D!__Gn&lJ42)uJ97!iC*x0 z#yn9CJ^<8({oC7|12CdpG2oco?>oc z_CxvnLB;3WjeWk|*yr0T<@4>8^Z9E3K2O|JHTd0mxQ5v&i>yiX`9t9IHQeV9N7mxm z4K#*!&fYtJg(kbc=9b9jAc404$ZUc4VD>VlxO7K!O8O=T--;?4=Z{jN34@? zxZC`nJKQf`nbjiO<+*zz@!V~PJw3tC-41!ZOo21Uu1t7oi?4+QCvF!kfK<1}*2v3= ziwQ+u-4b~tKz2ml+8Wsly;|fGIM0b63GhWL5v7fEL7T`<=7RX8%MN@eNBLCSjJ`Nz zGumm~jCLNX8GWTRqn+l>Xs2;A0;82_Mmy6qqtDVeqt9eB`r3ap!u`gZ(KoUgeVf>f zzJWb`%g^0D!)EkTx@PoS`eu}fV$h8CSLPo66nC%38_(~uQGSDcTVOR@6@S&hzAbWk z!dP95JRKdDzK7VFpvQ-1{^5P1b0D#kc{+MtdJAgJ7`Zxq)mv4gqtn);0k!7Hv^9A@ zt3HQ39U~8>t*`d8Q1$w>Rg)lMze+EUraRU|6;=Zii?R_@r#Mt2^SL-N1*_oVQ9$gVxl=|E+(3n{$ff*IrPOu^L5Ri zy87n-%psb;)Jxm^pD}L!&zLv=XQHOf|C#6^n!ox;2n?ppAF7%*f2eBO{Gn=Pn*THU z=1-$(n?Gz4!<)3gfAh!v#+!ekZ2m=w&A$+ue-Ur~#fHrvj;3Nw#)L-_dU*QgpNL}6 z{A*X{Uh>u;^yG0P5wOXyG$3z{tF{u6OJJEh=do}pxLyt>-NqUFx8a>=9 z-m#HZX8O6QIw4sX~E>ef>^(|;$VExq>MfHo5j zZ$O(TI=mS;yg7GxD-Vamx2TlY&iA72(z_zr;U&>%;(@#u?VVm%`TF->v_txuDGqaQI2w;U`Onzb(3yRkBNg zPdO%FxcX)RXhwHlV4=dBale+K^ja&GKm1^N1R?gu)4(0FovA;jAl)pbt@V7x1-tSQU{;=yusLTXqN z58MKliD_6$qsOJ+13QRxM_=mkT}(ZQ(&(WMqT<^SoWB#&^ROdc2Z7yrfm(suGNP;z z2_6ig)72+?PrT! zI*G;X1ae7DI+?}nK&;qb@dq7{^igAUio8lH`(-pxns7ZvZHc%^iQd-}$@nSktxo~PlJ!Z(T3rD@qYI%HAQAU@6 zBmL8N&Yo0`7+IK>JK1vjnO=098sZqJ6(d)qwVU!0Jy5IS1O}$brZO#EQ<>$zsU+-) zRHL(HQ<;<4RAxg{nZujP|KsjGz@#dchT(J0=AE6HGdsILBO)LmIZ0du0cAx%KtK=> z5d={%gNTBHN-_gt02KpC6ay%$uAr!xP*DN7Dh5Q%V!URMzq(H7t}~~5X1RX<|2_Zv z+ILeKrurq5*GPT&3sl_UpntJFmCG`X{ zb(t?ym&-DB8OYS-C{xplrn^A`QBXfTNZ^)rMOOipt`YHw@L#jR8Jg_dlm`|U&28$( z6&LW}ZiwVAx)%N?uXlCrscKT*Kx=A3WXDz%-RvwCMopu!(ac{eTEJ3aG&3w%Dny8J zj{o1VP+ZAZ3HV+e z1d_=YojX&B0(MQ&-KkXMR|G)T?rHAJTEvNL50kbd?HNkq6cK4VQnMlnGFsp+t!~r= zY3l^DrjIXm!+6MhO<&49`^1w%i%T5@z#CwCxPmE!Gmn&^fEL{yCZIl`$Rf+R8*B6Pi4f8hMBXY;s5>Y zXh_)cw9k%8pB>Nm?AV}V$3~wWm$|d!DLOlzOJzsV^P7sEuPEA_s%BiR{&GefIVxey z$#8-krHj}MnIOj)eT;rpR_o1)3U{=Bh*}TVO-B)qyyA1@RpdxNQ0uQDM>>OA-{NxQ zsjvz1y2laYQCJ1I_U}qL@}`m_Z&Qv4|wxN((snG;vH%tpT6acuX;Q4ZtardKT-N71|CSW<(!F?Q)hh}8-Mzf%SNy-dJ2O$YvcAN)`-7C83J z*A;yXmhx@^-V5S3o7aTg&aQ0T=>VVlsg2pcl1+NYieO~m1 z8~A;ddvbM>d!MwT=qnfioNI%@FI|sKm>o5{e!No(WB-Pqho@dEH9I0cP8`HZ&gg(( zC#iNn-}=}+;9@s99d@1UQLo`mZz>;XnA^^4CM(>pQT$ z2(I7!z5eg=UjH}P>qEFC^z=uXf%UiRsYNJdVExI!{*(vyCwBMOp%2V~?G5a2e_;FN zf&C2w+mAgRNIS4|4tZez$OHQayF2(V4J>MS1B;r{z@XTM0^nHG@&`856~*R66#FNP zJ%>25=Fu!^WbhxnzwCc&WI6uGa^;cbz{qm3r@ShJ@R>s;Q46~O8_oAUqowYO@oAHa zXdzMfSK=b9%47!Bi{LL7Xrc~0rT*wE?Ez{&$oEyuD>c4(a`g*pR^jTGc_q@wnKGaH z>ikoMI*-N=ozrqpENc6lPRN{w2-Ro;IbH1YrmazgUTXur)*%)-~zS(+2f)Ki*SQg_XwwPamZ3z0wk(8=V$ zc9)+h&(OmEk45>_doS<~ift(1TC+}?fz8#N#-t8RzU|*ET2~%eUF@#jp%2V~?G5Zm ze_-|HfgK40tB*Z3@CO#Y{LIzu(Dfs09&IR(tRePy)W0>dM*hee%Oh(9BWsL3HAz3R zH5CBg25}XtRH7WX=7N@rY+%7@Nb$Al4a#v1JsF(Q6(6d8HfEXd7o^%9A+w zrtEcg2Ns5R%O8{Y|je*y70QS|4DM=E$Z^GK+8 z^j3lIn+f=Z6853sPx84Fz`noWj)#4!aLbuaLctGEfghL&_(kckAEW|*N+#gt%qpSS z4^h$n)J$l9TRQBAslboO1pFQ8z@O%W4=9$?1$ciVED0! z4|2p==Xzc-ReCh@ZcW@%1QNDIN5kKlfNzM`a;aTgxy(db%j?ThJE^Y8v$wg4?4sk8 zv3b$);Yi$L7pp9BVE$UN!GApD>qN ztkP`B9!6FUQO_>P9bs6BbB>XPxV98{tc_ zZM@K%df8XN)XO)Fth#BZ-m?E;>Mhf`FUZterinkq>+RmuyI4K-;DjJ-{x7%dD#e)2 z|K)Zybxq>IS!Q3TtjVJqrRh9HJDnBkVuf8_37_jbfS=3YzDwVyqElI}6d#kv@S9I4bY5=j9tn`u#WGE3J>45DtD?Th^7X}VbE0`Z z=#5GUFy89xjR%d~s_Ko0GSC~KSyHqO=n^Nf{5ROB3_lWh~^{d^roK{(X8uC z7-JvIlG{VH&Z9c3kO=mpx}%VQ-drrtvPbPx)EpvG^{B0WJBPCUQTr@4%#`EpM+Mi7 zeID20OTqOeht74mCl-VwvdxrCSjB*VM;ibfBk3u6UN zGm@Dd8mW&e9hK~6DuZddN}fEg+9hg1=NpK#L_=b&ylS`9HjK_m&5dThsyT|K8v0dR z`}(;zA{#6irwIATG63BoS^T4`^bQSFisNL_nI!8ndsWrv>SC9msd5Z z7m$GtwQige6f#}p>DAg+7SZ(3RnT|j2~wO62wAQsDgN;7NW}Kw#8Pz z?ndqUEp}Ud51j8IT-lQG3b;j=F_%oM*EBJlbeH~$&Z^__70!N&CR#MNXkI0V$eClI zrY5q6xB|CmaOSpJwt2C6l{pJ`<}aK7F+YfuXSL1hnbiyaos)HY))IfG{R(42)4 z-0&Ke`(6cqmV#f^aZ0jX@1E=6JIToPf07%}(j3vqX=jcz@<}_nZR#(9PERxnQyR+v z!ha<|(7jlXd-%*(jPuP`&2!AxvJCUptS07`?Ay%OvNxHpWPf9B!3Fa|BLY;(k3In# z_iXbbqjq#XY}~WV51_09%5u%ku$g}n%5t)LLD^GKmY>xI%ASU@ysRZqRtaT=S+k(* z87M2@YoTW_o&a4YRxk+ZD8xGxka>n7sG;H+EC!S;1KOf%+<`ZPs zh4b;}ynUf@F?ck1TyAJ)-RNdT8oTJLVQK6zZyb=jnM+m&9XXYq!ft%6DptuG>1>=W zo>gLw0Y1Rp0JA>K)i=?&2+Y+tbq|j4q^K$*;Z03`2()D!BZ1m7Z`zs&OroRS)I`$J zE$f>)-wK79FlMWjaEj^wCX$(75e52rl5EzGzV1jel%+`W>%Js!J#CFEnkw~ zmL>TukmR>flHc(qS(_s|x>F^|AB0IV=7=_BR5Fm_UB29{fEYhcxA71GBDv&4m$sp^L85uP3^n_@cX87Tr%kba$iZ zewv}^exVZGZ`7hIqmqH>e(Q^_<)}l_gTe=6Mh!nl0 zlgt#A_Lh(fW3wk0#^&gEj$A-lic0&=mx~_`T`rt{J-PVNmy4fdx%d&};wO}gpEHz; z-&Jz)w^}Y_R5Fl@{hnOpE_OEf*}M6o=(@w;lcW%O#~!Sn{X9k?Y=i%fZYAX*2Vx1P zy=~L&Wdj@hgR(Z+X1CU$No%U_g3ztPHeFUA(5>=bJ!WRKSKqG5(W(+qHW(=s~ep-=6WI`u2?X>f1D#wo>=%v7F5I z>O0cL(|CLJSYBp(^&NHtJy#UrF*|hbYU1D6fdNk865{)4LiXxAGUodZE#Ikx=%Nhd zn(yd#$Yjmfp;I`xm+oTrHT%O}dVzVrxgt_-9n7+`lCYOPIcrSTIJ%eaFYTP=yU_oC z-Alg%d+BXvTXVbhy1C8z)!dOi+T5Ofz4=b|deg}<&iB&&jr>?X?xl}0YR3w2FTDcF z3UM!evr#t|!M$`6%8K}2+ByJbQQS*|;~*Bpy>x#li#vPi?A_jSoxMAHz*(-LEM>Vq z;4RlN`_PwbXB_Tw9pe{z`2Vq3v9w%6u?+>VmyRX<V>z`>|)7=<6T>QFi+Q-cXiL? zq}XxqN_O1yo?sZy$7;KF-18}d0YAA;me`SpE*MU~o?!6nB934*kOc!Sjl>$DU^Mgv zL%TJNHB|{l%P_%kwx%*F83;uyPbkd!JoW{)rUPMl4YlugYFrOoC?tCO`j@j*a9Vyn6MA3YxdDEGvi;bO-E2m&LKh({uG0uf2 z+?O~Hp2tp91gpw(^&{O$E#GJ;Oxlm^R%%WWllG%DMsutW9py(F0~M1rjtbUSr}(7V zV?VMBl{lxky*|_o5GzCWSmS)-3S*{klpf8q*38s|aOaZjv3OX6M

_6+Se^m>)qT z41y5}0E!FU{?qsKZydPe0br7r=LoD4xUI9wvYvYt9f+ z00*QB)xZpd>SNt|Pa2{6Sm#k9LiMrEX5o$t|3X7-aA*W{{y&iP59_&+%&{S%k<3_6 zo-iM4PQz1#=wnS#vMWScMi1j;V=xHO&t{gH3p<~hW>d3;FI125_};-)6RLwoCLz8d z(s0^{+9>F^pBzY)Ga(9sAQ@JFYxv<$724y+M zkFePo4rSS93n&`_WqD=|C_4?xAcEJt-Iw^=RT4kalX$Z&7+G*3!B`t6@w!CvDDk7X zOt|`Ubf~C9u1F~r%O{$El@xLKL=%6=J?W;=+2{>fiM%@@&ACE3NyGbW!2v?}Pka>u zN64`;Ncb`!{F#mlG1htng)fB)8GGofrPI4-s*m$m%d_OwavZFdXW?o&-eaBdvYR?% zVHoRn+s7%jH?r;=$p5rb=FM)6uQ7!;yEPVc>M4hubbg^v%!MjqPB?U8%002zM4y=F z%EX)q#5@;?d7ej1^GtUh><%O5r}puBVqO5|$3-$RKh+r@9i&+^DT7(_soh4|eF|qj z)usD%rp-CVRAU;QHq#Da+I;Fyn`tm@CgZd@8>Y=A?zHh8A;xYMr>7h`r=8xtX>+O1 z>8UcOF9l9dMNVJlbNW>{@dS-$_6Xzjo^;a&G@cWA=4!oZq5PwV>3g)UUA5J?N0XG9 z?iu#z0(r=uVNX?N`5s+@cSn|vHToGR`?ADe1c3F~zl9faEzf@uKp1q+^0&tqr;|aP zrlUA@1#!B<5ht^Ur#RqCp@pwJba8Te_rz(2FHSRMahd_*G!w28(akqXMKw6=eyISNhDQlF(0L%zIw zrk-=<6ZZ>MH0`9Em!J9K#jUG3C|=yUn(K;Je_y=%tHf)b&vdQTwAWV0b>h-{ouvP7 z2<0}ln)cf2pjDtORW^I=Ny^27$KM^Y)fAksdwqg(tLaAHYPubj$aCGyZF$#=k)GzZ;8)6ewo7e5G?`j!8Ho- z5Z&c-?=e`sVUJ_H9>%>dG?&6~;w@cQxItpzy($LAmH|()Hx`r)%i`6YMD~53imeFU z96L;pJuqlf;YSsPpH>v^l{xx_?n;f5UCaWSpJWVxRrGvghHVkm& zO-1@8nTkBH*O`huus6f0SaIl6(dpfrick7e@hN#KJ_%FtDV&N=$10t4Re2YH;Zp>$ z!7DkRCFhUk;tmcxeRddCsm^L!DhImrM3(u7yfrFnC=8w8<8hFI{=Six6Q~ zR`zL54tTgn(FmEO`!s?2^fYOoF1TRqy4YKXRm8Rf*~U}wmnhdKx+U4CIRKN0fj2#X z81J$|i5L+U3BUibPy1fIgf)rvgjOpvMLS{Kr>;u2QUG<9vMN0^-k0vO_-aA#GYP#D z##Ut?0fHxt*VI*mm_MnkTDZ%fL;HerDE1#d(Y?9k*ZUrGL`ZWj_I_x+AM6^?tz2)H zuQ;MA68xyUkVD+uKWe;-^lIsknp2b5hoI0twvQl(Nk3}h1Mrw-bV`GZl@zAuEI(>e z9#NI{BPHKjSbJ@7LDvI=;c;WL@e2IA%lOXt0lea^%^qe?hzIIw^|uCk28CJ2C_HG? z(-;(4dEk`Br}_>WOEWMf-~}D-#IBkn96Q0?1b5dK@ar@D#*F;uInhIZ1UK&8?j;`R z8q(@S!a&HEaFi9`SsxFS*xpnFx*FzpkZ)sO*4O6u*$MN9>`vy7xJq9ROI|&&hCabn zIu6#*Zd|2b0c+?}w1(D#HM9qs3Y>kGHSAKt}TliZ1Z3b)c zFPbdrd@YWg4by&>tebwxcrE@Vs7FH9;(Zye#Xn@W7XMdsmLzGo|5D7>g6XB0Qf}g@ zoB09L|3y;>?R6&t>lcvN|Du%gGbAphKY~>2o%Ge?b@fWg+}s z<8|U@MhspMj2pDYbfspdE9&pM9RT-%Ee6;Yh22L9JH-d~d&cwUcPgdOGbWdT-m~31 zJMa(uS8heTpducvh$m#E{?P1t_yw?d68=gv0(7==bd+*`XzuUOmH3Y|)-BCK`-kq) zC(iD8bu#jVu}F>aSl@p61GA1vc1fJM_6yS4ulJ{YIDnJtd3wyL51u%Adl5fOt!_?W-};ci6Yhi9hz{?g>wkd)tFL9vP-f##T>1lS+=-zhcg$_Ab@@X;h(XTN4| zN0Z*{*Qjc`W!bOsrQl@$OQ!37jZSgt>Tbp2N2<1BGy&CqO@<(vI$^)&&R9eU-u@K2 zHgM<~H~4hU8B2`N13E&Hl=cTS2bR%~e6}92Yf*Xj>~<>8?j9|ERAzg$f3#*esAR&W ze>5&v z%c=$UDTZfF%z|u!+3T`j%zimip7UPL*E!!s%5&G|zL5KpzrUJb6lH82TEAqlzmm5~ zCsrZWNNNW+971c)@ec5xPDOpLeXc5xPDOpLeVc5(L0P}UyG3bG%AvJOy|pYt7*b%e4=&Q2)n z1Z9P}FF{!ultptNg|g0229DDFS3H|K{}qKz9Y4misS`_$V%{izI;fRY?`phjs7;Ob z2U&)#ERA}FWf;xIZeGg&cn^63Woh#n(VCihDd+zMbkt{~#4v=K9h7sOIM<-2I^`U9 z=0FHP*KhIT`4Zr$$8!9n`XXE7haro*m5s}0xZ4^H3(A6QO&TR)2?1brJ||)cK}~gn zOGM!;7;rB!O4nvBe0fvh_KJ8Pd{{kxlFY+wjfX2DdsCtBE=tbe!gi8F@BXqqXyxb< zR73c7{A3xM9L?T{JH68}Ge=_qQ)cF*S*9bDnK>H&I%Q^#COV%oGe@_^j%@;??Jse& zB0h*xN^_Tm?;ffw2{{R4m72k_$JK(W*Qu*=BZqP|VLYR$PKTwAdB97qu=ggHdO*Nz zCG6nd8)P_^dF3pPFj7k*D`=j@PjT(ep+E~S!G>nDZslrB9J0rTn)*alvHjfpk$zim zFh7iY*piMT}4yw%U~@J8tNlJvjNgaX~7PUd!jb_*+y zAYZen)1^8O=Z9+Llwsy-5)cU&2228;_CWH;c%B<);`zfateWR$bVi;VE0VeA=FE&d zHvmzc9aS23B&cUXHtxhvY8B}wA73VtWu7x+oXQzOMX*Q{Z6jP9&@tIufo=%hihDkW z@frCTtZ168c)WRr$}g&UR+uWKsMe znwO7W~Ej{>#GOZILPxhZr4#ZMQxVJA{f`H9-^e;n$SqPQp7YbPE#cn)mntU zN{Ob-LE(z=)5mz?9`H@rnuvaCp4wVL*Enu$-DTlW$BnH?&lT#pu{9en>bSA(UP`Gz z3kv8IbXnOt=Y0@-rmh~FY9r|gz2N+U83hn~SFowzoq`>a^1_LQ(+g+7zh4#pS-9VK z@zkus5qiO}|94zG#{K`Bi^rJ!uef;7C3BX+;CY)Y^LQ)Udcw-d>1}1_Txweh?73AM;<>xh~CqQbYVXrwLtt+HZ8 z$7Ch#Rp98KIs{le1;}f7PrvO3|;}fAQyI=>D z@uzw63Z8{B{xnZ+;S4C_PxBNMo&#n4X`cMT{ZMuxltl`^fU=9A3|vg{(>>Qs{B)J; z=3>uvlQRgsOmG;VePp=nW^$30p4M@a*1ZekA5VRr9`_5 zYbal8mnta~pW@o_BPf2Uz8o2qQjLRxB3-I`PKB(prFKIlmUNXZ(F7IJRklRy!SYwx zlByZ*Q!_K%t7UGuU!IxaUM(}jy&4rnDc&UO+^j3I;C6i0vsrItZS&R}V__BIO|mk1 z;!L>E4;qJdq=0%JgQTpax!5YP@~u+qORFSnkX20%KZ)}PhaA1k9~`o>W`b_z4-VyI zO#t1>9~{cg+6HAape!$I1C-5#G6+A(KGM^-*+;7M?Ny$>wO)eYCmyr%Yq-9>CIfxT z((2oww~1w}dl+Ro0CEG7&}VVybOyw6_C$t}TIRGW zS!yEa%3{U}t0qc4mt20hbe%8t*K?^imxF}gz@^^22_*bRF7;ekJqb5|RY~|wo`jom zh|c0S0bchcoF8iVD)Mkj5#auO?&$*Ig14NZW^=!0ZA@Pxc}pBo45@iU3QR9F1akb! zPf}ZTQfiXW5WnxbwBA}6jwNZ5!;Sh@ZKI!cgfZ2sW881mMVdO-5~NEdDq1b@E|nOw z!C>RGI(c2uRUWxufFd=qli8EnTlMX8l?z;K6MqCcgNn3JFeX;QU;ELRO=B^gN5 z|BWL}4}l|1t2U5T-r|(I5f-OncsR2AGx60tjOZ*pW{E$vF8&x8Arf@3xZfpzhH@ag8JiT{vl0~TGospwkX_&478Pf)+U(yfp0Kg-J`zR2K$ z^lpR3{|>cle7FZw;5NYLaAdfc*3g6N26@_M=#ImKYs2M)0KN@_%eu3h_29aGeLFAI zukXW!H?ab6k4k}y#-<0?b=lj|P?xrL9Ea#dUFQa%@}LiWF`l9b6f9MwJD8G`-7>1Z+jVF>0IN zLiXODjWY8$qnBkFL#$$BtX0Ff*s5h*1;5X>>KpG_4a`oFZa#X+~u*%4_8$|e~f00qkZpgPk#sMSWJ_^cmj4zG4b|b$1F;9WA#!!}L9t&kn zpe)yX7|NPLS%G;wlr@90d@BdannPK{`~k{ZKv|(R63SXaS=2fK%348Lk+mMmT0>df zx(CYIKv^sc68YIjLs`Q59m?85navj|&uD_L@cZd@JAa9u<63_M9qTW!0|L_>cRB(4 zw1w{N8kH5%LRx(N1gyqDyS>qkT>OFpxcFsv@J;9sVe#en?nk-G$jM4%cT%r+b{9tv z(cm}0dVz8Ww*oJr!dd#WVfX)TkIM59ck)87BC#oI&zTjWt0|x&m*q0Q*|@ zvA`R?9~$NH2EG)$IrOBYZtw0rciT@&YRgGUq1c83PGUbPsS%I@4A2+*B!v{T)I9^^ zNI~k3vZX=_jt@$~@rYtiUkcU&O}P}brBZN$Mhg0PQou99{Lid)F!xyNB;n+&b(3%! zZGG0ZRk608KkXiNr`=^Z?d+3@W!y@$Ys$dHZ>39&teTyzB)<69GL6gZ{z1Oa zT3DPxdcty!FDw%>7nX^KE-W&Mnaxu>^8aJ@d9twZ1drz-iO*LF%SA-9w9y=#WgskV zbh+|W!qP?|EEfcYs-!euc!-33i}3&0x2BQ=v-eckcW^?SWSj;zrDxk17dlr;xzA zf)a=?+S~W|7%C+2zEpDiYG}p3DuE8>o&>J+C2&>d68L~Wn%CXUR8L0&ovW32RmKUg z#k)g9t{zMss4Q1U8f}brRIb_`nq0N_<*FUX70ui;DKg?c$1uRH6k>Qr7!-5V|JSA1T-D)agk;Pb1<>(@M9 z8^61v_M(P)$LLbeK>$%GOODZmVbb{YV|4e`NW{=Fnjll2nAnR4ah!$62$mQxdMtr2 z1xvR0EE(<6q&b~_$Jpu>Bb6g^@6GMkU5+%j-;g;1Z-_$ypM7t795F|@vk$WI$kR#A zVQFGS)K`9G*SJOm0j$y>S<0`*+m!mu#5DbFs@PqP2qYM z!FBT}kL&QI;JSFbU7>WlB#b4>?o-(=bq^EKd(gdwEkEcE#Woahw!h<9RFJpViKPM4 zM&_RUsI=8pcWt_LQ2x6LN*L+iBeS>J+ugNNA^%-9j|>&=()Hfl-eF9H*=ZQf?RVkJ z%g+qOHWY9|?6m*m_C5qmXcMY7Ya&ZQcimOhyMmo}$DtQFaP0T^x(NiR*Lc9B^T=}5_xW%ZIIa;G;pjh(8w z`H@eiW-gijNK2*@(~$`_W^`9_q9#Qf+BDPzI#KhY1|{T)nkTd9?fnxqv4fGZA%r|p zBIF-FA^%Vj@?)QnJkS~B&0LU{kiE4Q8we^TOK;5@LCMlv^OOlCOK;s`1*V`IxJ-{B zduxLGqI02B*pTjS@9KJa%hx=$E#JDXG;BX&}@kKe@M`Mjs4)@Vo;|dP<(aaVlhx^#ml-!^k z?xXWk5X+>G=7KqL7&2?O;r3JrfBQ)Mz1ipQ%_{!x_W28;3^;>3r{!%Wdss0jWwpk`YH^>v{K$nh3^~X>VynTi`ZapQZUe{aH?Bu4 z{Uc`fx4t>5*o6#B7&j_~D%JRQP;waG!v4;+M_btYWP9{GVER5}{P&)Au@=IM3?O3G z@DS}n**{#9u_MeLC0SUl5qOnDmBj;Wv>)vwa%Db_&|G)tH_QFhgDLIQ*)Sp_X%8Kh z6s9h!5!$re&*pJ;!0CH&C_N5i|kl)^l0bJYUZZ13kwRerFlwpyznPZ@#BE+~XX4DLD6=&$;%l8Dpj8+_jn{XxvN< zZ0D}k*#DGr*Xr&x!uBG-lWT4D9w7+$BK15^Yx!R*CWC4DB(+o|{k>?qYmE#A4-$8B zG{@Um(aFvk7K}sO-n#=YEsHa()BwUeuy@BCY3}synj`$A0z4;wDEhPi3h8fg+Wr=& z>+kO<9H!Si0Bce|FiP@3&ft|GxbzlD_HBwW^9P#xA@c=1cGXL}%d7N*trdjpA8hVd zi8yyJoJw>PxFlnb^^&v}N?8ue;aw+8mLv6z_cOx#9;Or-x;;mP;^;w%|EG zx6A@jaYAvM!jo-XKryT^DvYOn1#vCEGG5cLz}^%A;yM0*!}B%i6bf!MHV3`hs5GFP-q0GVx1q{Kd}4^3I~Xuh*hW z_E`L_tN5*-|69)eMqxJmyvnfGC5{8+x>O{3V)b%SeL$0Si9U8-MWWwH&7MyTSZvzO zHYEnY>`x4WlftrW_!!EkB>&C$iRHkLm=NFjIgjP?-{F!I{=Svv^WXVvTF&o<{A*|# zyeNggKg1&ZcYepn`8~?NhVsin`1?E-waV)`qFJ{H=?@9hO zw5)`c;O{+H3IDy6mAb!I<6lF|s;^6&#;R8&&RA^JdL}Vu7|UjPtdK<^J3x|EdonSu z?<%AIDx=0KqjZ%~yvm5LGKy9i1#qJR?(sa67(cAplZgq>HC>-L*CFl`iE}Fw7bFuG zA{~KF>k9@+zf4LdCW~KAk$$-}nV2em87loUEt!}ueia;~dbDqbd^jIVf}FeWX(s zP5Uy^$MR%ig`mOs5MXgH>qFw!RA86OSLPD{Y^4Bp4g%xLWLXVX16QjDSPf~lVvON( zn*R@6P_gs!#9qd}LYE0{WHPZ@bTd(OQ=L+mA)AX`N z^m3j0rLFIdR98gp)V65$C7#P`b2gd{*xo^^qP5MJT{mn0=mEhY)$8qx)@_Bla~ zOEblD76BsgoE5}#lfd(`s^K}CbOCriFYufu;VI^{7`9K(Ir1|T{+xyzGIBCDPR|zv zo>u_Gr}t%}6kn-bf6uyZkoug9i$L$OKG0tsUt=K1!T398K_ng_7i zDX^KrGfD8j$^_g)4#nnLOh4>_O~vM;io_>A>emr9A#k`ZsEc+B9Iobpu>7ww@wozr z>+PtdCDY(=gPd7O;>ry~>i`|T5ID?&{@>?+l?kv%Lg{d$9nTzxo8?YFCVLs_g@M3;Y9)1)!%!B@q;D42g|0-};P!)MtsK8-i z5Qkp{4%b2db@^Xq;!gz*x1_-#d<}-YiweSU}#N*sjXNKMHhiO(Pl{ z9T<>U68-sd8_{TjN4F6~K~4?|gl+;*4ftPWG3*FJW%YLX0(Lq%xkJIDI|4i^&K5X; ztrKs||0;{~6*w#oogLD~9(TT=A9-A%U;-HCau zJhcU|3LRM7puCm9QXq3zV1+1-2xM*#)yY7Q5EkD}SSeV1cR=Qfiv)QW1E^m7ud>)y zkauaA%t@;>ni|flX(1+9!nlWMYM@U-(C5yS;SnW&uYHunCNZLW?IWe~JCnu5Vnlbt zXinjOmBrN*Bf5_e#8qy3fw@1(qw0c3_hiE4WkD&aApqP*0Jw8lth*^@ZNgYi2mmx? zf~Lz-XsXrpWc zw6#Ip+6ZXt6>=4(?bi{kgj}s7TLoaG7~a!WCf52Ou{sH8&x8^y$6u=b8CXGPnxIrgV5?B)YF9R0Ii#V_I#D0RT7c`wC)1hiz(0~Jrgn+Hw0nz z5U^eX;~`mAi`C+Ok>cZ7Ey*u(I6K_)i-4Qi_iW^$Tcfx)aK&?LY>K?~bYz!tBsuwV6~-Ak6=e=Iz2_z>9CMapAB@%9m^@hI9-C6 zEFR@hhI?mTb*LO1_E=JXoT$IWsV^ju#bsfftDq2Gwzv3m;U+8$h(b`Cn!6 z1i&H8o3J^s7pREbkuu&3B>ZJh#7kr@z6%{akkWRtqV3Cpwn%7cBI?ES)u4D@BE)km z5p{+6{#tP6O>qG5y~Nv@0N4@)aH#;W4FRCQ)?sx}U@v2JB!R8V>UshTPRb+XmnV(a z?WSM?DWXh9!cKEQN`r372IRc}0Idn~f>Y_(TH!5CKyCHwc6h02G_5^Ei3P6>8lxVo zhqRc+>PfUXk{yY(zzd`l;+YzV$zs=O&pEb35WGICkDXk}>Pww8U=5T6f1|1de?xQI zixT{eDiQpRDiQn*&2>|k;0;+rq{U3uP@=_A?5J>pzoAJR5*eE;zDh{AbEF3AhJQdH z{GTDK$yTDHU}d^mOxO27HkPg{YM9rgqIgzCQ6mV#n1uzLZgT$Ib|acLFG&0t~DKk`nY$-%fUU!Z6xy)1#td z_ZW(skRR}%UjA2Ee1l>g*kKm|;XHdeb>}6a62jnj2~xn|8wGCB;2rygCKBPB zG6;!rPSTWwe>*s`9p1>&5dQsO!;id%O2Y36Hu*ws5=!`QgH6Ce@0hdDi%j^Rf`s3l zIpKF_M);2cgy(7n#l9JXI3u9`FHA%VM#`Eqh&FP9doT?GNXM^ECInff?a^K=OBVm; z%rU+c?{O#Um+OlE1`xR$iw_LT^5f-_Wi40>MB^Z9AqhcC))LVudVW*U^A$?ePhIr*h-DRXb+@kHc$vbSgL5mlSDmr(7%I;c?nDjlkv z)iiq8_$n=`prxHb!attpH3{0(y=2g&B3UfQV*|tyO5>pLKVqh$n8||Ck#)rJmavY} zcssF9s_}lEZoCj^7aZ@`RT{77G6{~C>?OX|47g}aV8FT3OekYzIN)lmOd4=!)>$>+ zZ_*8z&%OYIzp2uIvt1uiaKN13{<8B;6$YF#SKZSAK3Bg;Ggpsc#~^(eJ4T{U7uH2Z zpKsIAhflfyeZEacA1>f2DzPi;il`mNx=N@W%Z^o{_FX#ELgwao=}_aheE_>0qOiWx z#IxhZ$+;XPG*>`EX!L4D?{D-q{(lEO-xVPqoN^}qoi!FosoK8_uy8u0h&1{^Xazt3>MgstCe*or~sgsntZ)A6Rv z$U4Gy|0>OlJf0noxt|{fvbIloi8ilpLEyP zMpBj!ljn4A)*Jh3#Cl78^m^ml_JX3}6Eg*A{GmgzL%d zWPvNtrG`wGU#dWt6cr&IIewEyMGRyE5w+HApoH2WHb|gG$@ptJGKMVLzosK2tn$gS z!E7+1ax@z(p>hg4#YH7$RUg8JV1ssSh}2*x8>+(nw{*CNsEpsz;f||1pnobm6|w2S zPL;43#)b)O?CNBV_)U|x680*d$?h)w@Q5lWUn z(vc-ZVf>LPSD3YDz-x!LfIHajWkYm|c1bI9gD}fxx#wkH|Qd*6XN?Bk2Z8uWZO!iDB zojWFwaB_XY_f#dOcw^3DXCack*jW;iXNKKh?06pO05`lSz1`$)|e0Dw}GJu^gA#wq`Ktfw~gTdC24?RVkPZk#Pk3yU; zWEUdzLF_^a`bF#_gpMa|NREry#aQnYcCl1%5}PDraVl1w%qC;i;cT*0^%8c804dAp zLCr>jT+=oVVk~qx;Zlzo4{9>}kW1YF_awFKjZG#;2%qK8f^t*X6ddMAHboldrR-8M zOeDutHWllQVpFAhm$A!4J&7Eqq0<*|IvRbL)>hx@CxZmI?X`nELk#l2jw*1E?81-5 zO_RhYEl(y#3jn{lREn+!FZOI1UrRGOim#Q1*# zC6i;t0R9kcp3bIYCugzgQYTliD?}&A<}2BiSnq6hrBrVQo8i?Xw{guZyP1+5@ms~Bq0dY9H^{Gl~2AVAqdVnqJ#_bm0&r_v5VvZ7GUgj_#%e2ucNhjB)q1!9EW2T zXqHkszyiCS7H;w~iiO%ZqthuLSA}qVBV+xUoG(YACNC#~=*$Z#B-Y*Ofk^r73j;v} z$!Y$)D9o-hv`7$|xa3=yTV-fPL1}bIfl0(RJ4P6b|$8x2Ms=p%|b0Z zgUyn(>})pMTWfg6vFJqj8!xjlrU^HJwDWX0nVcyGR)_=R9VU}*@DJ#y z!Xjt9+`|uO&tY>A?Q7T^3GKOTuC(yPmI21u8w<*YWnr6nY#z3m&E`pMu4UKCZ65Hf z-NL=et(7zy&KpKSnoP^gH-QEb|cnLR+Aos`84{m;^mv)EQ=iQ)@)(Wqd3 z_3}x=)&R2FbS~=P$?JSJA1QS+n=esn0b3wbKGugKk+d5tRa6+#NloMdMLKD#6?V!z zD8~3vE!*Y`i7R&4=7-!k(agzPN!W*oW=<}4!#|SAB?3r^#QWJo`yn+oi!8G{D+!i_ z2y6fB(`1^hQS3u^B~SJGLA#Oa9`40cZWZ-p3LU1|r*H!RAl}AqLx`)`Z4$)W+3g6? zF{6v#ra*ODFi@Uyn5=o2CSMOnfrQ{f?NgP+b`idV-GK30W3Gia zzQgrcbgpk%)e7_$cB>(|PNmKu83jhOC6iAIbyg!>C(2nU1uL zz^5QR!$YS{9o#a7j}x>Gw~Uf6%CoYL=CJ|Fu{zqlwWFwE`#akwW*s@guIMwVJO-S1 zBWO8G!L)Oq;9km>B3EBxOC_$}!|rjk3cin1nS9-O9!I>$Zq}89av@9^`R2mBm)(mn z-(>enFz;jc5t#2F%w%$#AbKqV=6-fRg4x0Dm%uDz;PmAYbS`S|xnSNGU}_UE%h_@S zvx_a4z^q^^2$)Y?FuMhqIt0v0wi3bYVJjsttJo?61|l-y3G`(0O97@HsLty=azDVp z;R~@LU$X}!D683O0%adU0lUxVU_<#XjJJVt$^9UE5Mlnn9+Y4{#2z9re{nJUufVK6 zDs>mi8ny^`4PbE5%vhe+|M47U_Qzom0^}ZaxhM_5>sH- z5HK46*X7{Vxe~C;`EK8N<*V#5_813QlEWU8K(1wL5v2Fjmru7Q@})W+O%Dy{P-;$&zY=0eN?Ri9-((~l+AgUrNZpFiN8xpAN0PPxuiUHcaL!iP zCKCYd1Af1fIt~ULKwAU=6S1DN2!b&{d~F?cmz3b@R!MzTyIyK@q_w96;re#Nz{_vXIahVR4*6C2`q$WxL6J0)DZse96Ez_`* z<_TA7F?G^yAjT$3+6u95@5~GC8jH4ZY$+aEE(L4zpFnt}9cGzsi*y$XV(cvx#MpM) zPVL{IJLNLXP8kWqMLlGvT&8(tAqhKWm?C5x9h6fqWv5)G5gV+WENLh7RY%S|+%G)E zp2AhD1A9tZwVq~Ai}erdRkBK~*NIh1^`2qRc=hOBs9I{zlw{_5W1qe9l% zE>ltud8ANyoi*MJx&!TOYg8jl5AX(TU>lHXo!JJ7Y8%-`q*~bKTKfPWoN%O@{@v0| z|IV5>L8z|ntleSz##?7OX_#kPN|u6m`zG`#>zF`>os#ZCNXy(MEee@PN{(|Ue_&bb zqB*gk-0Gsyz+4`HThFp*ky}04vl6$SW6wDj99}J=zMC$(o4h6h-NZH_&=c4u3F!0e zd2iytwJB-Rcd-X6#g-nbbkXiRlO?@`7Cj~t>!NFr6(wD z!|{+-1^+5b1}ktlPTqp2!{K;h;Rzg$Cj$XGoFZ^22ORLPvIG)RgqprRJXgF8hP z#%g(xnZpG$du1XGCjcTXaVN(X##`3nE*JE>>~icNCCh}wG281@1HAZ z(3>P80|2KB00T0?pbtiWNn0v6)dx4&2Xc!B6dN3qgX(#Ky?|QcO!k7LC0=ANhHHtw z+5=UDxS4H6h~wC13F1raB>@q7Cnte@wNVeUmg=i{n2;{ReRY>e#sU!|XTi4ZtBR6y zfF85tK2Fj(+xd=VBCV}HRsi+x=!pyE`5|2yFM%W@-hErdCO$}p-4ActhnwVdwEZx9 zS$IvvqG+EBKS=w2y0>mZ zEdw+OtueOcFPYW@bgym8ub%-1higU$I4`7dHD}Vq88AS%e*{ahWD$j{KK%^RL4A7| zN+x*nd=_1o-i?D_%rw$$}I>>cdd3GRVWkHYn-%X~>FozI}U+e^i$h&Rs1b@&O7vB+`4pV0h|Enx{ zOkRsb?ss#jT?oSNS)^{39(19N_U>kFW807x>)1Al7Tei&NeF`RSUcDbZ1V)$A+>pz zy(_no;<11V98Fa`mDLMTd>xJT36 zH0S0bWbJdv0>6&un96AC9`bXYZBGd_&mw4M63BQOIq86n$I}AZ*#YDrp0DH?8M)Kb z3*-g?ZURA0p|nQ@Y3RNV!HIQlCIHR|0&rh|-~i4?09-4>Uk7<4qcyHUYUzyDsUB|5 zZGyiSDD2~vT0aO|lk{$EvgAb}PZwrFi!s4-q|E}r#hCy&GYH@%0bsI{m}50Jk?4TO z>SEhCF<*hd*JNUj)kG2oVYgM19Rll1wuqrjacJSq!V<_u89kL;4>QMUu7^QfQCI>S zXruAk!nQJcs(gV9s;i%`P zyyb``Uv#EsGDXJ+r|8>4u4!DmLgSttxG+-kjsP${6AaD?+SzUZ57)R?5&-UkpRvFsFAa!;KXjc1=Va4{trUz0|#tqs^Ey?tZL|pQbm<;nAXt)HXkoiK5TZNMOLXF__Wg(s& z6uApgdxR6mHE=%`XkM2xXg_&Ps5ZGMIOI+kM)-9k*CKbjf1P?GpPMJtvx^~dz8zQbdOMj zQlXP{k|tMg5bkIt20VXmbRfl7{S0sruG&<>yu8V>_t<+l`M+fENt6G5_I~)}pQKBM zNoPM)ov9yHivMY{Mp@C3O~!j{;j;%-CV!4BRMk(mhpVw7(N>c+CO1`IlQX{lH(7U> zPK>L`n#n|sD+ucsUKca%)nuC&ROLpibIyH^g>tJ}2 zZ;1?HislTAZYQQ_x`}V36RE}RzzZbq}q~*T&m$6uD0A2<%mehGjLK$ zL}Y5lA~IFejVvPA$G)WUdzBA!@-1<(oiCH6qpU+rtDM9ZsjqHAY-ONYJfHgk)OALNYA_A;DDe{K(!% zTg(h;P|`T$sUg+y+XJI~pi(nwj!dhHm|U)N2Rd%#ABC7K3KNsvY&VL@&uq6OCZDoT z6=E_y12N$N3;&v!Obn;f^vuO1RhL|mv6$$dLiThC=cr1TNJ1cuBSSIKXp<|dA|}(* zi^(rSOm1_;#190A1athU<&r<(s3q)8qLTgYv467UfPivGIFwRL0i`t8gHoC&pe%8q zNXJNgr{srZUPb&P;_&Dya;S7?vNYcT$q$e2f;}KyA&if#EG<$T9$hUT_d*yMoSSfi zf^!)p+wc7v&E_$}k1I&v&NaG=3H(%?L}FZ{xy=lwHLNzOaH4B8X=-x` z%2u?4S@s}hO^02h(Ikxz;;e&jAS(_3nXOSt4g~K|Hn88HqX`0l{XTRD4Uvl}4b{)D z(0%_L&G{7N_H4~T1&ktaU3iYhjZf)2M;ku?t1?XJZYj?(m~%3YAe&>Si69G&1ej~< zBoJs1ac8A9RKi_LE8KI_2?W<*byTqHr-7|WWkq6y=4vR2VhM3_rHxcrz;z+b^qre9 z)@o*rtZL`jS1N1LefTVUsmx5Yv=iTc3f_;HdIqzv*Glkb@L(nvv9H)y2(k|#IdH9-pO3g8e`G%*$Wz#l z63Cy}PXr{y#lziY`KI=K8B2aWIG*i+{F(iXAV;vDC6K?cUkJ!iDIgaFt_EWaiHqic z*?$q{X!c(T=CABm0&^U~OqRM2F!IyJdqG)3D2fZ_H})HXIh*|^f%%>NPQaY&f)PpT z3hxJDdEbNb2m1q|T)_U2p!~`HBv2-$3~*6Uq`_0|BK8;i3qfAO{*plc&Hg4JFG~S= zYk;%zVE42A2y+_SFTp&(4iK0#+`-Ni#_@9Q%y%z)Z-u!G+Yr!I|FC}$+|}$K3EV+; zPyz?_HkX;kW@Z{#cNYKhYscX?6G}{Mk#dRsb~XQ@p#=>s1UiR*5ujO6k|m*0Sqh=V z{IY%N^jQ4*YCmEPzL3m|7hYq)C&YWQaUMIh%uNsa{B8DK7y=3&_kUXW|jk4+Xm?j)Ha+fa&kIAzS4T?EUDoS_CkeqkR6-JLQw&-K7q*LevF0-o-K!8RB?+1VZF#Z3ulX-7DrF1cgVx z=YN%@UnvlJPBx#t`Iiwwn@GHpKxh+jvjIY13xs|Ei@y&4t1N{)L7^J+dD#Z75<)MK za9V-T3nZ`t5c*yq^b^cM&`@#EP(LdWdQqOmRYGVpp@cwaGnt8ikn2z7GV}{jryl>S zEd5=9&`Yw#=^+%NGQrd8uPMn=*V_v3sTclQ1-1V=k#{kM*8}%|OaBo>{T)Q^P5xI| zjXQ9|*2OpEg&M{Hi)e@)QY}v!g7ieX`KD}D^5zkE6*I+*sm5Klk{RRcs;y*E=e^$^ z);ytT{#LMgtWH?-YKrFXkjo0tdpxxF!xYWm4Xl_TD`CBJXHVGJcLp?2MXYC7^9FKr z$snoL*z?jz8NPM}9$l`mmxjS?A;XleUwjxGk$rPm^P?5bKMqXLiZ~?jOcjQXa&vh^ z$ApaN6B#C7&Eofl!31}NAhHazX9&#Q@-Pu5~%;B4BX1oI1=2DwyYo z!2CQoO#7-Zn6Tp)q?3mEMPT8qurCU0K2>fm4|945N?*!rU-9fPcyO7xqS`!=$Npiz z-l*zrL27SS3wZ=6J0rqi!o%TV1h87l$Z|dx?F(yur`%j7z&#--wUT9rqg3s_FqkV< zFdqzo*;-b39A+d`b{>yllF=!G) za69=I0T+joxCahiTNkIkR$02x9K275qqG5vjXk`_zeo=WC`oudKx!O+l*RBvF*f>u zf00H>C`o#aG8<(HG$}!FAM!5(t`tg2J#fKMo~}HXaH4PsNz~bw?ag3|!SwWx&8@P8 zu|x@*SBInR%4)ko*nBfHvZJ!vUQftKAUub*S_v(AZ?f9wjup$DBY(oI4z;YlQAzgq zUZ-N^vO3GQK5B~kVYB5I6-?L!1Xn}r7}@qln8$^|lfHT1ZJ1u3L6hwwX5eS z@T^`K0<)_OlY3H7&Z~hORpT;NolntfM8>K^Np&G(zyTPU5QbxQp}4-^#X45ryT`bU za0hk`fL#Oo<#V|P>6bwX6a9)wSrdM!iH-R5tVtRj1|^4ijd-qP?4}l!*1{HiZq_0# z4u_J%MGJXo-86Ca{3Nn^37%U=Ud$frxorhqx@oTCRj)2J4r_Xuazc>PdaIk}h#4k% zL7BI`f||7frt9SJ>0cWLUmFR-sa=~8<_IV`!Xpem8F5x+_1b|+UA>+sV{}q?*Mwb1 z=LQu@cg;;l5=LNk*9MaV+;%bpyW2yQgbczRtgI>0NI>^Tgix^#P^u16u|EGIRICdn zbqN)@+}DHBdf1{N{~|4pgpwn@7QP5`$n~*BBmPBNG=P!@*uqJCP`#;4gyYg=JH;8o z(P)U^oAWOM{wOFpN&=rem4esYQiVx^;5p8X5PVDiMZh?Pw)v^t~LK6STu!_rYbDd*FS<-oR^6d9VdI~{JGc+FldI@9nHT8cAP2A z5j!Wt1DqH6GyC|!%$7ac;kS~ko*2pIc|4aVt4n!I|8|Mh0=jI0=(Oiw1Rc)AmL9RV zYN!rrI+4V!;Fng|s*Hb;R-EXqy;hXb<(dqEl*HxQusWY8Zg-o!UZgZ4r~>`4_>Y z9h9{5=){dWDU+t?=tOzd$Buk-PsHezpswd^Y>!>`;a{Z74p7oT3|`UY0CktW<&!8q zg-%Iz@jn9>TZfW-f@=KG0zo(9dq$7!;VMuFVb;mDCtZ(PF5e|Qy`M#0jqYO z3ye$aF$iod|02M;KuH$~SbR{j`Z!gS17-0iX}fbEK1 zUckRdm&ZcMv4Jix6kQfzm$)CQelaW+-YHe}$?^`a`V=spATrrK?-qvB$(q!|)#Yd1 ztdliLzxwoK^(zETc`tn7?FOhrm?10yGx!%7R(B}rj>Ce83Djy=xvhG@FFml;HT;XT zIu1&X^IB1@Hc0bc8P#fov{9G-#2yqnvAG60946IwJBAI&`m2 z-kma_9Kj-)DMR#Ot4d}L$$02PG^tf0-q7J-x&}xN@Qfm-&NEl|A9`}l+sbK4tRb4D z&neXLAA+wwS4LoHCe#TLjG-A*XK04hv5`7)id>bbLuF^E=FzDX>g4&d!>2X`R|O}+ zsk$7XV5z}F;?=JYd5hcEM}y__Z*Iu)EUhVC%j)yxRU14()faiojpURW7IaMTB!i)r z&te%IwS0!lC!-iAGT%v#^}h2DPpPCe$ZP##AF%&BAE1tlKvhh)T=oX zr6gPPh z(>1h^oC>F>VEUSi>0p3882eq#zevBQK*=ehU$?~&C>??=9^zl5#ZV|2Dq6^xj>-(v zQS$K;(v;`=;FIbF8QK||=y^=h8cfS&ouP5-klO)JQ=RJ~;%)R#g^`|$RCt(w5h@IW zl3{`hF5QMh>2PfEDE}fYMnK63(SnXt?HVAt4FK<9$~i5r2|zU)s<~S?PGO#X0kzL* zfW>Ku;adJhFdPXbBL#+T3%=ty9a}ukzetNwP%=uikjFGylbA-5+R;Hw<3rtk&j8qG zV88467wMO8hDM8i-4H5%NVk&as?XuP@9r9HuJ zt>GxZm6JFF7V0dMLAX6MaQ%5QL8d&n4UtwC0zEE7TD`=-2(2!Hl8Xe@fmhi+w25+H zh!5>zXmK$@dxd`yXp^91l7Qxza-T!w%a7=p2qsao&ed$z@iHYjj)v*xrJ*BP6C_TZ ztJx!fsgmz!l!2~Gh0^y2HyH*n83*?o|009C1WGPR8JyT(J3C(A@$$OZCL`jJz{^w; z*5_r6^?9LKPXWxPAl7g4FM{=@P;#k+wXd>#HlH5~b1Jl(iZI{eUj*i5P;!|B6D{`7 zC2a_v28aUy@gqKf3(~~^_$XZvD#w>Yx0fSI@9-~z(ljWUCQ#xcXCGnLl4tRS8Vjjt zjNkW#vbOaFV>-Z}j(ucb*W)Wfr|Ci%z(O3{KK?}pcMFu5A#`eU_P>H?+5V!-8 z`-OiIA;AuH+Cp?dEwXm=OF z{GERhn0G_T-2|rEp13BIT1x@KQiT2||02-2EA$=$J!ntN3dQ(dXm>Bd{F{FfnD;@+ zeF)Rj{y~_tLq+a>Xm>xtJixyQOz!qvMqmb4lsTa=mqWYd2=gHSA~07#$qFsZxuGyu zLc5h5W(|{n5tyr>WR(On)F)p9azBZ{Wa^Wjhx=O*jSvz%0avGaf~p$uIC=m|vPO|O z#ErU}$OizW2N2yD|03wFhLY6+UAM)9Q2HRYu=y8h!5yU!c`baG?;0pwgDr~r7isY@ zlsxRUphv#fYa-d`k?-{ym#4G;vT*PH z82s=UHmb(INTan-vep|C5CP~0Arv)g@Yn8~Zv?Rps;xs1HTf3-@i>$`?)8h|6!MPe z2F)|ObT@oMaK!M6RjQ7^QND-E9Xp8M6M(}Lh+i%KMeth>CF{K*!l{D)?y+ye-5jbO zE1+Ek!mQ1|2+Sv;!N+_+w7WMcSY4Hq{JR@4j zL|v#!fyj+Mq{#+ozX4&_=U)W&Mkv`RV7o1zh0Q%g zwkZ670P)@gJ#9iP8u2fJ#q&_|yuiY3@dA{-fGwKvFVcd$=3W#n2;Lb#)nF~O&sSQ* zk{5qrs32_y6gDGz&G;8Vk9)&j^3e0o4_}7Tm$5|){zY1FkJl?+3ty04h0<5CMJxVA zT5vbgYodirf?Lw;Fp(i!p#2tv-G+Y=*snv$>nhk8S^BpG&yumvHvsM%*k@b*Mf&6p zrZ>GleVT2B(yiE{J^vytxclfWuZ2&ux1scHY|)W_krv#$^NwgC(`=C@5>b+cMe=2X z*k)H$w*lO3*k>94B7JhN&UUX)e_T7DbO*LLhJTS3+-LKy*TNsyPAJ`pExPhA(t`V8 z{^PZvOW181S)fbUZJDT__n@cu5Q}d7i(tV$H}88`_;`N+r5|969{h{6;C`81UW*{! z>i2Jgcx!T(lhf?mG}}2}QGEzFeTeuT&%X%1+&A)(hp#`vkD>HqY*Eg?NQ+OPKh;hL|waFV#?Ge4Blt5~w7{w*_@tbiBx}vm21!jRWe%zsP{NYvxlNkekV@ zM&Dp|9qSJH@*O`|iB1&2_W*!B2)rNvBEUa`lFtb6fhoWj2Z5tz*$XZABGkeBi$LZ6 zpU*uWfT`f*j)H)WFW`qSu+b3yMH+Dj&zA(1;VGyr2|6IEcNDN z&2EwM!#W;z;$eLw<+c0O9#wlxr2L3ij(G0~_`Pn=xou*{ z0{%U_-evWs!@pnD`@P;@k@6!K9C`ndDfByUC|b_D0H^PH%c+)A{i4 zS55zHx<69hY*Din%^rx9H#eFmnwP-8YnpFtz9~}P;)E8bwip5bZfo&bi!UPOEwfsd zw5$&QE@*jwOTeR5%~nlY0iLZMX!Uff4UzKJty}kK-4p(OuJzlkw@1p`jA=8e%@p`| zeVdotycQ`xdic>3k3Jv%op zXa{iG4Qn@{-Ffiutai7yyCYKGeq#IS?PtKhx3pj0epRHr!@dsxbTlL79S3$iv*TIt z@8un@?|4(Byi@&7?K;7DJI(C0pwpsAdDkzx{@xYnckJEAK630@`1hS-_Z<6qq`X_L zZq2(v|J{!7_W!hZ7JyM4T^yg;=&_ND+y#O|ad&q(h~O^8-Q8Ux1b26LO>juj5FmJ= z1zL(1N`(UbN`deH5-u#8y~0r-Hs4qNGyB`QH@h?Mz1h9nyVNh=2xZsu!^)48O%-NU zSY2VAY^wBoWvQ~AY^t29a?Z+mP(y;2 zo9Yd!H@@CP+0-CggW?TJp&Z&^VuLBNsmZb?+na=<{IjWDQ%Bj)o!OZ0fPM z$EhATo<6(!MD#f&oBAE=_iexLWYd5L1O6WHQZ@~C8k}};2H7;U(9p_5tIDQvjmC8v z*A?Z{0Q&%)Yzin9;2%&E<)nbc0n1R{4EQ79scZ@?99Si=8p^=HIf3(0ei!&K@Ud(P z$`e#J2>l0*3Yr!)6Xl7Zt3lUfQ?N^L`ru3`TLt$H#&HGj3_cbN{~B*Q-Y`BT%Dm$% zjW?kjGk(r^*c*R+{2$}dPl#*CS0UL@HV)|?(n~fiSi9iBf^d{K7Cc$-Og1gdv#{bq z_}#+E3s)?Je=R(+@ajVJx5#5r_C>j5(~<#8CM=nZ^4F!dOC4m>(yx}5Sc-95+F)sy zrSR)zJ(i7K7ATvR?_7Rz`Dv8*mjAOHez79eio7cdpd7ej;tI^mif>muTJfuFTKVd>l7tFFkV)y-G;S&jHveSh`KHB2_GX}YH8nm)2= zZLPKK)^6Sy7hXQGZ7x*|gKNv*}L6>&}5YC+@`ggnEbO3x(f?`iHg(ZHw|q=+#h+Tj;Ye zIm|^ig>?@b6BdASU)Z^@i?V5#%dX73U~kufT^Dv;mQA}m?;f#xG|C;jBX+~D_WZc# z>7Ku2)84#$EA2JOrhW3h4Er*p4BvNo-&NVP->^UDe#GPcHT(DPN1i(H)qxTRFb@X? z9+-Fl$8+HFfrkek%cg@l50*Pv5#{iM(+|#)O^4PUI(Xqa(Vj;!{zv~h=6p;q zn~wE87JLltj-5Dm{n(GP>3G)TrHHe&P9kqamW!+xi8zm( z9=RrRJ<6kz-$hwPxgS3rDv zQ|F^((*@59IWFWz+4;hV3z**vi!bcBfb+TV&qcS3p0bJAc)wycO^fp%UbDT7J1~h! z5C^xcubETKwoS~ogLj$N+{jW=69?~d4sNv^9AABOCEE0Bm@@n)d$DHRKgLY#t6i;l zb?l3r-v6T5wmOS#W1HBko5b#+37{d51{JaKnmI<#gM(Y^kDh?o`HSgB%E3~>@+YzA zAXkG?+4Pz@d|IPsPn2uDX3bgZPkFq`WB%lZ702!7%F=%7<2E0ARR`Hq&fcB6 zY+vI1l;`-xI(&C3ZJb$-FLkQs(~Zt4yeG@`B|Cn%^L{MPm+str0F;B`rE`UYv*9dX ze4M{>4C3tofm_W!Tm1>$Hxxd74RP}Q;X3CEq7H|f%qC7^52?;o{g2+B`*2SFq7vH#3>9|`7w-amTxSc!x(dP?6* zPzUw?QM}JeC8SYQj%0#6u=kE8_hMxd+Gr|AG(jHRdqA0Am2aA_gSTcJj%+EPVfi%-qFUp?o|@{Xe&oNQ5@`hN1ZKaRTIOgD@Q)j z9Po#XzE5HqedP=!s)Kfm9%0RQSL9j_DR$EbeDt16CH#k5D!kj$hWRC?Sy9eRqC4;p ziIM7wZ8nrMk|+=UV`8ReVw@%AOeNYA_>dT?omgi_IYWv1B&?6AX{>Hyo;BsnCHfP2 ze~gW0^%MJSDQ7H6oXo$>8m|55S}!|mm=tDBIde(og#Kmr-h3`Pjx|myv!|TFBz02s z1Rhr3c+hlRF?>-&P7O)P< zVTzU0oNP|`{VQ}9)+xEnzS0Ad)k*)07rc4TDui`OPF|q&gk*Q(|KbgA-UG|Rx+OPn zPRHX9;b=B>*p7b7t+3b&zwdDnGVGd4`P&bzLobRRJ|D)*tm zd3UuMA^az<|0sP(43LHoX{4g1Y?N>%ZC6sdlNclm?xf*Tb=er80m zpy+ow=^u|VN# z@AoyOzlj0+5Pw4(^Iajn>rJkfivCj1xk z^8yuFi15D;_dlf%ih;Aj2WjBUsqf7yo1RS+u4kR=Dcw&Do|W!LgJ=G*Fy@Mn7U1-3 zvhYIdy-?|iVgNtF6KMd=H@Y$2=(Lco2zf>}RXF6wIi%7l#UOs9Q_>(>xG|RMu<61# zKi)T${wW6XzxXF!E78qN%nCkJIOTtHN~L3p!TgVoNrP!a&iTeaeb{W_pa0!Il|Cv4 z^b`1~+E3T8xx!CBjh`xgRSfDU@>R9Jo@eugzkWJ@Rr;(L*iY!QYQKHR77D-pw0^7f zT`{8G+Qj3_tQJC(t*VQe+CDRNy2!R$o1#wHx2yQQsKv+$B&i1EC%^A z`7#Z%`Sa8_E?t%_7cTwzTw3YYVxT{xTWh-ZO5xg{*R_@IEe88DySJu`uNE%;`CVM; z=3>CVfSYT$dKtD>xcZlIb)~zDLH|PTPJxBYk?wqL|e!ipPZ?1;%pV6mf)pOx&Oh@XTPKgt*q6O;gBh$hJVK=_+4 zp8K8@Zd55FOH5P(jx3s}cYh}3sEDhC z9aqZO5|fp{V@nlZ_O|S}h_8enU&T%o57KQVcUEc|Hl%DWyX&`C3(l|d~g zIf(|frNGvuVYftJC*8nS2Dg~#Bplo{(YEwExv_6wvl;A;2<@aD+RE@2lbyuFJ0`^O zqjPRq&A<9vhTRh(p7cXp8RlZblR=o%ge(6jmM?oC;yii8xiZ$pq$iVDj~nj~MZ71U zcvr@}nD}HA^Ks+;7ZLZ#EAExCFD5^k#eUrQ|5e0)@{50E4iFBI40Ax-Jn*~71IaTF zD06{uf@GQtV)DV;zwYPDo``&qeDi@aCkRJK#yLTq7yQ^$kr$G8UQp%+;S9+AqTN@zz3@=A1S^piQgS!SvVKjL}U}KZ1Ogv$ihi14UpjUgJ#OC;uIX6 zSgLUwkyu1x`M3Ds4zlSrlZB)F+aQU3aqfVs^OzqjZtdV*&cUq~WuK3(hzmu{3&o`1 zb>{RUoJBbKd!5|;qpYf%BL{H}Bd%dSBpI5Mjc^!|Y~Gt}q8%zavt)hyZp@qerZQY7 za*oJ3AChyZjXCcKrxAJQ!}1Qs$%by3VjhxptHfjec9Xc7`rzUF(AZ3>?aVnxIF86U zRt1gulkAwkdBL)CXK|?`E_JL;ljigzoJXV|tI`iSn)!X6*gsTBAA(opt|AeML}YCu zqW0!wBpgU2Bde29+=PVtGD=AGxtmBxA|ZWDLQ*Cr;Y1=SeN<8+CyM#lG%LMT6nnqs zA+nOlN*|k*l$l95lE_RSotdH?Fgg>NvlAX>PO&@s%BdnZh#Vzy)PKoQ$~+~UN#v>j zmZxa^)VV6=E>CL6y+p1Ox$3{>Ds#T_i(UY`@KnO7gj0PAr+U}1vh&o!v4mrND#tQA z7kvRlIF`7|`tMg+iZQfta9U`7*D4E7EAp1eTc0v-DRY-_E=AmZ>OoGf_H5teub40C zb0?l&q%e`fK1Vdr^9#{8j=TiVD4a|<+2?RFvKi}W_{ovX!qJ4IeJ)2cI~(0t3P%$c zXP@-OQth-7U8u$Uj#3tp)I?HK`^Tr=Ha~7EoJ}OQ&zjiCaiiZwd-FSSb$B+B-$Z`< z)cH)yo;k+}hZ8yOv*)@b0W`a<)zQT%(+fDoyc`xB-g3)-5{PzD0?jovuAl;Mr2QsJ>xT5YV~c-pu#yt22D^I zRFy^F{K$2CUQT3DkwvvKS$s2dCKV1UGHHU#q}ti^B(ET{smP}BojEP_PZ>4l!M7Z| zl5kYvsFoZhA#n5ZFC0}Y{|R#WHwVeP&n{IFc~#_9vuh;OGWJ5it|_l799B4NLUmN- zahWd&gu{vpf&_g*V0KzZ2mEeJ%-=XwYRoTH`G~YD(yrN45_)M)y~1fl>P-}>mjX(s zoqpf^R$v|OCo-_ezzIF`Dv!^cg@xmaESzYvaI^!fGx3|>Gt9!PiySO+urkLbhPpWq z3+EMiIC14+%vYQ@C6J5Xq~l?{rbx#k9VdnqtUg9_N)`?*QgWh8$>hv-Z!eMGWaI9< zw#ddJ8>=&2V%aulWZ}djBPYs?Oo1kuFO9SCx*{2iWSm%%uhwyz6S8n*k&qK@LMF$y zp``rgBDo8%FY>a;%Uby^F)?#)7S1ekbE3}86m*J<=7u6Ui{zY`60xOYH797{&>}%6 z`UFi*uDpo;A8#!3w8+zza$jQO=3FhDTIA{^k*n4DItysr%njVPf28PT#`0Mfy%s>6?O4@qTs-k-SCnPK*iq zeaCE0;KIR00#9-YoSa|zes>q%O5|~o$KRI|6YG9+E*DNNa(R->dePYk!)*Qb%=L^RdIX~Ite0APm%)5!aFY>-Mc{3@rHRpce{37=!tK3h) z8~aXv8Qw!Af06u?LPEFp9B2WE{%h(yJ?|xgKm>uc4wO{d#|8qc!25_m5P^_X9Q$L= z<83gU<^4o3h+y~_CrV1Mc^eRG`2Z0RA|R5IbARl)ybX$~e2@qV5fmTmNJ;H=Zv!KM z4-tVO0wbw8_&5g5$q9Q&N2G+W@J`M~DCs0g@D*{J);l+aRgUM~NU2 zLGoW6DyhEyZJ<=;V?>~cKuM~O{wdB&87$*Ou!vy!6i$_tZNvr)9v=x50V4t?DLeb8 zI=9%MVFP%u2pSPIpUSb4x}CRyQ-Ozwz!8Cy)E)j)p5NQxsmdpc;1R*|DV-}h*oqAx z?!zaG01^R|9Gw1BpJQwg;W7KEB8Wr~eQF0wF81CA(pf%T1d<4(I6(^@`L z1d|A+&*5ar$>!UDs>)}JfD!?foSgr2o$K46n!@Lbpb|m#xg0II*?k*WJ@|YPSR$~J zTL65{^L-m!Rrx{@Tq3wWr?VwT+iwG`HeW0POaxeR41&*n&ToUPDqkvsOa$5IcDUqf zUm0l2MWBg5ORjC`{H~0MgIw7zTdv{lAUiA zL6|TmMFdCkn+Nm7s0c!FF)A)bE^<=e%2nEdjvSbG!BoSWA~5s2}W z4@EE}oM4F8xu7>|urA{L8pl_(D#~S}*U0I7r&uF}i^QvIiqy#7zKK(nhlzj`0Vx79 z{(azWP-fw~MNr27govOJb3i^|zN-{LDK4GFJFN-C2Nci3?G=lqNYrAnPB=bbUNA*q zCXi$)f+OJsM|{qO@)^3Ce7{&XgZyJg&~;VmsmZgn3OBfto<`sR)*Y6D;vL7phy;Y5b^IT7_rC zr$>su-|v64y!yTQsl4MNVBbGr!l}d<#kUWbuZcy#ifdx=K2sv_0mU=;5n_=Q3rKt~ zAfo>d^Fi}MD}pu=Bw69qALi6aeVmjZ@YLjyV!ah^kABAEZ% zU@&VNk>MiHj#nS!h)2CRH#F)mX515y45!^{Ab;qYeiM|s~ zLVNet`v(9}D`l1%UCDwD{7V+hZqTkQ&d*(G=1iDz$#VvXg z_5tO(?!)hhb^Y__RQ+*TapfA>@KS}%fLto7o}yJX@6HV(RyF(1&qU=_Vz zGbWdnq8MW-n33sN7ACU-C@Zs`%nxOA){C`6Igs^c!%$9Peb`)-8(3d<0_A1aU$SL7 zNrzI8GBe7YD9fO%jItrh<|uoi9EdUmONtn=L30 zv%xkmna)Fleq zarProPG9HT> zSr+Q)&2*mGS(s;Il+D>LPxz1L2DaPt9?HMj9s`ckP>t;~;QS1e*?yxfJ765dbjIQA zka0fBO)T6PiSh)AmL=fL%%p z|4VzAT~7Oy>C!o}Z_|}TS&dyu*AxFXu&e2QVBe*8XWyq^%dVskXV=p|VAnHbVK*`~ zVn1XEVLxWf#I9$=YciH&KV@8max1%)$&KC4)Pmi~w2SF7MY4ODgV_DA2C@fPI#U5ps*)Q4uVUKe>W4fF=_FK;5?Dt$hus?D?XHW8`V!FJ!+0(p#vuF9; z*z*F}*5AlHuZz}Ux}xnkD^`r@iurP0 zY#_=IE)_4qbjAI-P01QeSF#niEjbzGGHzEAu~PCkZeJ=L)0HZ~9ZI!8*^N7v>c<^R zx8=GreYj(polI9|4^L4B<5Sj=%VjH}Y`~q$PDQzbyOit9bmfL|*K(N8ax=KTJY%}@ z9^9?`UX*9JdxeSIqhb~AUJ>J6aU3^R+{jZ_3`6}SPgUtE(^b01Q=4w`G*xRdUDbL# zZPfsjb9uU|@PVpVd4_5`ct#%wrt@*)nS9Eltjja|!1sOT@vnT&puEYm_!^kbH#5)b z+aKi+p3QeN%KbdMpPuRb3_OP)#?7xG&*`^`=kn(~w|^s^$A3N3`ETKQ{qLiE!Shvz zUsN~o{MB(D)o1Vm)e(2qf8qsez^7`YZq zE1;~$t2Q2uawf0V_%O=L+^2~x(>3wpzD=s2Y{dPVU|gHb;QmeKaQ~)rc=e{|d5xx* zdG%(D*ZA7NYc}7@Yc)TJ@@HP7g@OCGD93BHs=@tRwP(6kU3l$Qb5U;Kb=n|*w@JAib9ueCoax$n^7?IuqMXbdw8fmZy~i82gHN_g%Nw-K18>m*KH8xbZ`lDp+F==Q)v*cFb?nAlcSLUJxQVyv zcn#&Ryltn|OxI}-Z`ZXf({-)QJ9Hg^avJa0^$^Mnyi+$ES2s`Ixf}edTWj8>+d`CE zc-L+>Q2x%l^=Qny_bkA>^=!s;J$vvTJ+VIY+|PUVs?Bu0+VWn#(7x9e-n$pt_j<(p z^v%!v_Uq33^xMjG{X%)a{tI~j0dsl30mqnbz*RnA;6Xlc&^|t3&;zC$^ba3A_%Ix4S-ItFWy@&^lX~+Y|p5g&xpYgHdGVq{rD|q0zC_ZM~ zJ*FG?h>s7*z;pq5c}T!0J|W;DpBR{pPYN8&CkLM9Q-U(^sX=|1E@&X176cy+I>@I7 zJz=_F&SwPI<1>RXuE87ltl%F}KIXH>qwV;Ce9rh#lo5PxNNJ`E@#XVE&@N;HpC5ug zL!R=56Dl*^1RuU^0&?$!X?*#Fn<(${H51|A6D#nw6A}Lt2k`Y1(dWd2e8WVnFOwYj z#!2;1w&t5AO-DJ0Z=Qs8bkg^H%j7J4>*O9xH@PnloxB<4H#}_eOQxG5^IcP#@jX*E z^1V}DG2K)fzHcgW($v~~|5U`l)Ife<>P3`4@q^Pmm~L81erOu5X{Pnz;nP;2T+6?i zhI~8SmLHy81Z7EnWO^XVnf&N<%;oe*eth~vl&^T?48-1y#{ATb@%;3R2!3X!BafO{ zm7kj#z%R`_$}i8d<=@Y0#IMbo&2P-Q%zv1jlm9q-IKMgjJpXAYEW2dTpHjZ&qRPo&DLDlpwD zlT>9D^2n;ul4*4Vsp@K+@9MQuwbkcQ{wVpZsVn)e!8xvpl>F9U{MR~2{%iB2tRz)m zyB6g>sm5B2%{rY_a~;NXT?47sI?VgJ2&wjZU#45%NUFObw^VOqMXCNK52?ZC0#d^* zHKj&dR!H@>?2{UAb(ETHtuB4Ny^+*>ha|Pwu|aAX>LRrY-6gdSYb~`2+ak3MdnL8o zwMlBfySdb1_Xer+o-0z9y;-HM`)s6c`*us+_d82H_Ailo9!MkgI?z+Blj+gN^9gH>ZjK8@97 z)lu`{y;*!F97AYRRuwf{+nmfXWoNkuOJ(cH zGN$ap%CeNUQ^C4|rM4Xc)(yyJ=q%umNR2xu;E~NGFAc`0hT-Ck6rh=8to*ir&ScUBF zVAH|M=ST%M1FTXG8?c#R6>}B`n*~-SXC|=OV3l*;2Acy`HRlsh25*b%TkMH+$~1?yd84%jiUenm!u9S7@MbOhK5umMFofklAzFS;7+B-o&$Q^6v^ z1{UXFr@)33dkA(KY;f`RU}wOF6|V&r1va$!B(Sq!BZ?0MI|nwr1o_o@uu&z*uP%U% zEHMM@BG{M`!@(|rjV?>^aT#n}S&ENu!N!)`3U&o7u-tsGt6%};?tpy<7F;e0?0c}F z@-%+ez(UH?_+1AZU%nC84X}yjD}wz1Hlh4TuphxDm+uUA6Kqn2bznb%O|38k>=xLR z%64G4!KPRG73>b!w90wF?t;y%>;-lYY(`}ozx!abE7SNr0Gm~Xj^$^txmD;`9)is= z$zYGb=2v+N_6yiN(`&HDU<*wTz`h6us^_-`gnjn0bAnJ z6YNj0ry)zY**ce zU`}9r>sA1B2HR6_BA5%<{(1wzT*3A=CbxE22;S?!NMC12J-+r)Fc$l z6YOx4C13`yZ<;!S8NrS=`2)-g>_{sESW2+tEnkDB0z1}vIG8tBMC*=VsliUPJ`0ux zEVA_;u(V(&+mr@N2X?wmRY^`ha_2`M|CX&H$Dl?1#ZAzzTrf7+MvqAlS{J1;7e{{Wz{G zSYfbR;~Ib!0sARH2UZm9&bTLF#lUU{)C4OIb}yg=SP8JZ0n5Njf;|YB09Fd@e!x?( z(qIn*egG>2_H$r0u(Dvk1QrA<2lgm%K3I9MUjxU1RRDV&_!z7r*zbW?z$$_L7DVG$ z8SF_Ajb9b8KZ0h0nZTX~jR30(_Gi#Fuxen>gN}jufISP&1m+9&zhEaYKd`@oY3%*M zUIf$FR|oq$82LU`4X~HN$oHvgg8ef-C0H%6*W)Fy+F-B7o0wCoI&u%r$LD0ZQq^T; zIU7G8tR9%n_z__B!KCp|!5V zu3)K`W&rC3=DoB#Sa-0rOY4C3086th5UeLy`ej|fdV!@|ej2PdSjOdB!TNw@SpFKU zFIeW~x4`;=Wm-`HtUp+m6$Y>YU|+460yYpV+lszmgTS(`_!Vq0SdJAJz=nWjU)c|A zC|ItQjlqV2LwxQDAvjT>%>nR$$dWurXlySN8`S z3sz`#6R>e$1=ldJ0I(vfZ-WJb6<*T^EC{UFnucJ(U`5w<1RD=lVy!<|2w3s8H^C-= zm0EigY$906b$P)ift6Y34mKIA^tz>BQ^3lt8wWNOtnB)MVAH@VtZxQ39jyHNO<*&? zDy^ReHWRGk27jP)5o|qJqn+dj8^D_G zBtO^))+Dqb*e0;ALycgY!J37(1=|AFBD5OVRF5~HtZtUF0c+^yTEpXwckbOu?MWvE;^6BU>$c|2HOYLW!E0C z{a~GUj|Mvc)@^rtu!CS-cb@_~1lD8s7O-%z?tA_M`v$Dno@-!-!FujBfgJ(svo|N$ zQLx_oGJ_oh>$lGV>^NB8eOJLwfDPEUA1nf_|NcB+C&32ocLR$A8@T@v*eS3f`&WRS z1{-{UV)_i&umcp+QD8$4&^Vt38*zZf`5f5r1CPPZgN-_H9_#|x$b%KZE`p6Ym=)|2 z*yw|^z%GN0J2)8ZTd=W*z5%-e7IO|VIaCxHC~HuZ2%uv=hLj&uUM4L1Eqb+9{N z(~hPGy9+k+s14XXuo*`we(!_LK1%WX0BqJVJ=o7+bC3QB_7H5&G3w(H*!*ME$1h;> zj{OMs7;NFOBVfOREjUic_Z!&a<8*w#gDpBf4eSrFrN{e&Jpo&C{4Us^V9Sr61bYg$ z>_kbhXJ9K&qyu{nw&KJju)n}opXd$tKd@C1Wx)OhTN{xP>;>4Gh#p}7fUS?H3-%Ih zT?FNlS6~|>D3`nj+i#@-I3v7GT5$Du3%1Jdn2EKIfLyv z)dkE2Z2zg6V6I^MPAvq}gB?6I3d{}cz-josw>wz)Y4|-pPbtd}ovsh&33m8&2`~fL zH>dvuGlCsGeFe-5?8q6!s&`7T<7W`7-l@Qjok7m=_6CbMgPh}?8tlZG9bjp|BG1eL zOAB@~Dmz#@u+vdaVClh5MKuA-02UQh7Azy!nJD;%cP6lNQSc4#%wT8FVy*E03hY7@ z)(Y<|VCT;wUwLN*yL1-$$~zm_#k0s)-r2#vJ&SzhodfLh*`L93f?Yj(1}qoYm2+vp za)W(;j)CO?`|ccaiFaPG>*tV5yz_xwJNF7KKiCiFegZ21cH?{$SV6Fx=eL6u0{iho zZm_~&w=U?xih%ue0r|?iDA=6~$XDLQz;0h4KPV1%?*jQj39!2tJ;6$XJ-F}}SShgk zj9u($-^ac$WBOD&C!I5sykFu?scOsy|Hmi0IdkRSDmYk>!s3)g6Uf z=;)T^j&7Mda|M)+)*ucN5`}~a?)Gu2)o`mgYzNF9o12F z#E)xtr!908X}P1w*p7a(+))E{M`tW_bj@-{ z*J3+*V!5M6>W-o;bo9t_M~}=Mxp-RcsEN9xa~3*!X}P19u^nZz+)*=iN0+oaa!F^g zBkX$P3@$}2chp?n(PaxA6|me<0dq%g8{Ia&NkL_pg#R;qJfAB%r?yn}!$wB;V~lAe zn=_CuI+OC~OsbP)$~)0n)NPkV%dORxM_Fary^1?hcl1J_KIXP+%loafT-&1M_G-%q zth3z2qUDZi%Q2Zg?l@EKcxRlW^M-p%iK(*!Xth0R3qUFJA%imjP`IJS=L)DhATW9%_Ma#q0mTy>R`G!TyBh{9F zu+H*5iLE&phhWsj*AEss@M=0@u*Z?b4PKy5j#b(VKov>c?ioX#rCp4sq-p!RAt zUTwL!b(Zs3v^+s=xrB9=OIx%&No~25b(a6MIL=elmWNws`ISY>)6|wnSY_F;*y3o+ zP+MMTo#izaEzeS0UT&S`Z5Bskj@t5i>n!iHXnCI6@&@ZHM_RPJKy7)mb(a6KXnB#^ za?Cy4hh7yJZ7f<|qP84!)AqrZ9W7d3rnVe&m-fMyoh@2kp|%`zTlT@0-7Q*PrM4V% zANIkPy)0T@qqZD#yF`q@t zThx}VyOJ>$wrF{q+Ol<5GR86%E$>iUw(d&CXtHQIRBidDb>m#qqUBv`%RgCXxsgT7 zd(@V1S!elciiH^Y8p|oXfA{`_@#s%IJn(@peQ@oNbC356#>g%{_fAb8 z-gIWp%!TP0zDdJ8m?8R`&nZ!+!ggwuX;7v^iEr+*j3_gq{0e0jl-XDgmJ=;=Ge?#O z9pHIemLDaa?PY~f7K!f1jTK`h7`_FXnm&P-P}-uDu|Ea=$$0Q3wH;&l`s;HZ&N$zr zOUoQ}vQDpa*LmnXbw>Pif5R%qW%3F6q`4hxc&&U{zAAqg%f5?wt^BJ-yC-qmIqBlI zb8^;b=dIB$UEFrghvT+$KCaR3l14j?^KibS(e8nUy=NNj{*K$u#Vc+*m(&{Va%!~8 ztI@86M!PbqcJ{6ZT*LA2o9OvX%V_(wYWtjO`;uz=s%rbXYWt>Q+mY{a{loQ%*(Xqg zpMbrJ%k;JyEQJQs>)p)uP>Z&w_tIc#HCSd1R$7DkYV_Msqg@9LHb8>~YS>$$!9vxq z)yZyK-L{+07q#dZb=#}Kj=CL-ZFlTVyQ>=PmfP*vcDLWOd!WG{Yp^F8>@N-WGH$=_ zk_K~#`&xHbjdli&c4;-*WzuMuU87wd4OUcxmC;~6apTOrx+>0)N8Rgbv}>f%?rU{B zyta)7>!fik-8I_v(O@AOubrxHCtr4-sd0SsHQFuKXt!FU-Fl67TQu73&}g??quqXu zcHd~UJEqYtN~7HcjdoWw+FjFVcT=O?9gTKBYqWbDx1Gm~xa~aVXtdj^(Qc8thvQc0+^R(O{1>n8v!`@js1ruj4XLjdj7(Q{7G{d!~t-4?J_l zy_WIJuffV`uo@cn>T0k?8mzl#4|Co{E&6)NvyXZ{aLfZV+6~cYH$tP`7>#zp8to=( zwA&mvM|eJu>qDL|;=b0v2ztE0ghsr?!KHrHTnHCPu7d%ZQ-Kn*rr!(Olko2_O# z*|02b%ox^duq|?KRrT8ceUj3>qxX{S*34uhH%+HIpwJv&S7PV;*%o*ej^Pim4gw zmC~?RUZY)QjdmK>VMdMXFk>AJdkr+~HC4C6d9+kB9CKTZ*LKoq*G;2cZ*@ELF+k1G zhdSTe8;2Q38i&Q+x8eHII6>oeQ#AUVscwhY&Qmk=xk%%+D>d4!)o8a#qun-*c46vv zIF@}HEL?*f(_oQmhVzKh==TS8T%p}9jdl+-?ERwA?hlQ2&otV_iE;G%I&M2JTlM{% zeA&y(adWO$H4Ro%gVobuO*B}?xa+4^SB-O< ztZ{DBHI8{s+&)s;X|NP(#`mQ3Q?Er}wKZ7dxa(cY7E0#*7ki3#z$)V1t*dzF>WP!i z$r;~UO6Ppo`MC2X=c~?loF6zpbN<`K%O$l-PM5qcC0xqj9hK9r=UgwjUUj|hdK2$= zywcn1Q|R@0S0b%Gv%a+6SKm_dEAS-mvQ%TukK#Yy^;IZ?rq#Vxp#N(;~wHZ)qSS>eD}rftKHYT zZ*kw@zT17j`#0{#+@sttxLfyP~KoN_#5gN8X1}!+8VkTdK(5Bh8uznvkl7( z>kV5BI}N)H2Mvb}5r#8{^M;=c_Y98=zZ;$zUKqL2-Y6UOMuXAYnBMr6F}pF3v7oV- zv6QjAv9i&}Slw91*udD-*wWb6*vZ(<*xNY3I0U(Gf^mv*rg5Hek#VJQt#Olan=#C| z&lqkzW{fmO8GkU|GCnZ=V*JDS%=p6i+RGNvlLnDd-m98dO|N=hO}sjKb@iI;HQj4Y zO1qRPQu?K=ow9Mt7OCwS^J>YN?FQ$O@+@w^qgdQI$bJHM4xvpvKgFG=(&kLs#6wTq zc^+*Zq)mK=!=0DOn>l^)ggfuU4*h=p0j@uYno}<{@xVin+^H{Z)}u{4ZONUw)8+u$ z+(nxMX>+dAQ0_F1WIf3Wk_#mJA#!Rt4X3YEak+$ClGAsdxZFps#Ob+xE?1-)z8k>h zhcHUdm~;6j9F>f35^?!H%*%&p2OewZ@+R7W?=o?DKlPiNcFdu}$U~bMX%mlSbEh2G z)IW2&%TwZAa<0GW^n~kg5&IF$3!hMM{SQ>bryyK^jcWLGh|5FmhjDp=Jc2vlKx5}W zV9$t0n7H#p8YX+$AmTz?V3qaF>GgvU0Qo&+u@U^0ZlrHu2yMcd0=&JSf9mzNQ);Y~e0# zsYwUg#M3BTo`l17=}fE}F+4}YUHa4JAlk&kAKYa)ZH}VNMzk43H9TR#T_)3xX|##Q zBDl+3Y|4}ANEZ;p0}b3|Gu7|_0(S|;Uf0zwd$`MPk_RNuAg-lJdXtQT$Ww4Yu9IkU zDqibK=3UAET<4I?$8@_cB3VkZ0-`U59Y#Egz~!mvTwjD34TQc5?ZA@+Tu(!yuS1*o z_@C=*P?M(Aq%|>oaL@J4iFKh1VO`{nXOq0Mu68GW+J_2=~$xIBYQUDkig^;fZ}zfS&#&k(u(2G#JHAJ^ZdSK#w5u75~P z9@7qdNX7NPqedSpas6||mi{jaFdAL@stVV?aL&)&93k>B^dT=t=khAri?5q-c|C1D z#SSMsVqJ(mgD28=>9~`Gy-r5jf$vOkCs*2m@4j&-4|>^f%&JocdToAcf-e$qr$Y3K z;J~zJn>jDclwn!eA7)FVy59Vt>=-OWN@oHD?JmXIrW{;8dNx(Zo45v9!c8(942}Wunb2v?CkUa#Aff z)e2$kor{x{A}LE!0V0nldzEpJ&Q(cj(T=(#&1ka)j+q|*<<32+hKF^z^9Xtcxr*~b zbSZ~WZ4t?0k|iWdNg_zjVVLL%QSN*a^G4tBn=HLz0&yA4z^{SAe7-Ng*&+ zPgk3NO5`PkR`~Hkqr^Bs5Qj??>No|rkWU4OJ>XFnZX+YADq!CGD2rEZY zfi|0vG^Lj{quSSy6k+zm7;jFSElApuv?OUo(wd|VNjsAEBppaPl5`^JO!m5vbfwL1 zB;84Rkn|+!MSFWwtq)0Gl71xpNd}M%q$Y!?Hkf1x$xxDEv|~8Q2-+M;GKw}wQ*8_l z(^#sFBMBf0BnhG&!6f5JLP#c%OeC2^GMQuwHJ(Z`jbu8>43e27vq)x>%%R3}N#>Ev zCs{zUkYo|bVv;4)cqz#;lI0{TNLG@pB3Vtc24dfj=65Zf%sOK0$-oAxZ6w)5vYBKH z$ySnW5cZlJ^Cdavc49k7cG8ZDR12kAWs*v?X`tG3dc`V|zerY+^wLdbtfsCdV*^S4 z2jTW4cDnA21rcjOGJxbT$z+l?BppbOkPISIzfo-{)w)w{7|9sg>_oL5RBKPQb|igC zI+E-r8G^msftrMo93%-PX-Ru~lKcbVwj`XyhD3s}ek4ap2Gc9{kPIiOL#!^lbj-%PSTZj+@xA(lAma^H`Th4^r6jgs$C_yM&e7X z28lmOb%=c+0?ar z<4LN5IezPS1)?j>SQ*EQ5ZR5fdDOTGIZZ>7iPU%kNg!>GBl(&JyD3R?YTS%u8p%|W z`Xn<+8j#E)nL#oaR_tHezrycuI^d`Jijou~DNa(tu_SXWMYYl-Wk|}Blp`rmdn-__ zBGoEUtujd!5)(;Pl4>MAB)-(nkHnv(IaV(wU?SNmsJcjcVOVdXV%a z=|$3;qz_47l77^E$q?YYmjrWr5BiT=KfaD;_A(C*CZ%7W)c^skIQF`q$svRdeK@vf7k|dJk z6v=6lGbB+YXCdq)Vu8CMD!3Dgp2U@yOtlmwI@)w3ai&cd)a*< z``E$GlH4G9O7b(wLz2fNSMW0S9mzA=d_?jK$@j#rll)4Xd#Uz>k_eI?NPZ-_ zLvo86-y=Cqn-55$Nd6>tp5!jcC6Yf#z9l(9P4o!kSr%zMY5J;1IcERZ6rHMc9HCdNZwRCL~@wq z7)b=lDUx#}7fHS)`Hti|L`qAwA4zVJ+$DKH@`&VDl0QhElKe&T0wQIi+AD}HC$S}Q zAfX|)r2(_mlX#FANm4$r6&~Bx^|4lWZc{O0t6_3?db!+CGwS z5}G{QNRqQ87f3FXTqU_iauXtzpxSK`nljtRB)^k9BcX}1V5B)OVWv? zD@iYsz9cl_cEd@=kkIJbO@v5Qs5Xs+2G(u?$x@P4Bx^}%B<;47P#DpCp2pAKhQyIXCUGJ0fN+WrsRnJP zBuPy|gJ_?Tb+eSk9N}`F9q9^JA)xt@RkQ^tW z*^;72ILUdEOC(oFz9*r{lWvmSCb>uQGfDI`+ER_CQKD&-C=8?*B(ESgWYmV5*yu=T z8f_>7BnPS)NoWRbGLU2;$xf1ogyzkL=FNtt%%&8?zKeZV#{96@*e|5(_FwJsmsg(H zKjQY!?4NV{zo~Y@K7!d_w*QvdAEpcX^CZ)$@m#8Hu;0k+m)S38_V?`XbNh#Q1)EKa R*BlZbNNNYhm<=8^{y!tpSEm2~ literal 569524 zcmcG134C11QTKZzY0j2p$+j%nvUlZ`uU*;F%;>W0wKI}sS+*pv4qvk6@kkoUV@n!o zW@OnLuM{23)zvr2^?QDGWQw#YjjGuP zrEJlB+0mfcGPs!O@81*3oAL2*RXCImr0P=r$LkI>9S@tcX0)PdI9yRxDFSA!uD|~j z__+x4A4I@!Wd3m7-Xp^ybHI#U>0fKvzsHPJ961sms0s!Gb=7tI&0yvJ)Og)?vn8;JBvX$CF@|%tT&!IkxPwf*AlRUT2DOH~NQof)0Y){0s=d7YSsQUyU;ZA*&gBAbCdbpUy{%LHLK`}S4;g;&w`?7P4eY< z3Effn@fuhEilS#t^0~eRg&(hV_1{zYYYP8Gg&*JM%0FVhtk;_4vptp{lz5KUx$;4G zTx8Z1e$*XDnRvZxe~l_H`D}l^!jDUT%KQd}zozhaEBv_hr!2pZ`Jtx?SJI!xBZRku z3V%)EKg)dXN9k9&zQ+{(Yp%ZyB`iMSInI7n;-p*QuPOXKg&$|XD&L2;>&T$d}-&jD)0K$&`pKErtoJK zetfTslLdvprtt45{P;dse#PQTdzdfnDX8+&Z*zSuf6jh}`BL8U=aL_1zb*N;KbQJl ze%SKoB)`V~T>6Kw?azsC`E$|}w){EEOTW$XmOrQQvc~>g+8MU|Im=6b&hib`c$E4T zzUAko9`^H6Pw<92e%HpOpJ)9~EBv}Dr#-{13V&STA6EEv(x0=QHibW~@H-TK9s6_g zW8qGPKd$h56@H!T=ffuz{!d&D_VKj8!oQ`;yM8`AukgnezO^or{afey^Y9YO(>$^^F8OjE39l-8 z>Rf*wE?IoS&p7iXKJP31I`;FD|4`wNEBs%6rCNaN(8HDPz*`$v_+fXTuGO(amjwug zKd$h%DSTc~^8m0G)Ldcd=()nyf?D!0YuI+pZtJXkbLO}BIhl6**@+< zKQgB1DYz3uWI~na2|(8OvMTRR43TMtpKvFDh_wOW_E-3`s=PY^1eFQHoN)OOWy5UN zyBmOrH8Dv26Yhi&Q6>hn-klgC*2F-5z?_hLxp0iw69ct#Jx>6@TV>>-*%C3Ic{;Ma zrK&0wPgMn~v*AF+V83YwhclVe;O|uVXI%aP<~NO+kUvZO)czpkyO_W8KnVPE#BbUE z6!?QG|Gdk;r1D2xepcm=x%_qJH@#E^{Vxzdwf_j&AC&fUxf8DZPUdsHrijn=I$-gu zgV1wX@>`xI`&Ir`mp`cLnRfMDQu#Mrepcn*bouKl|CY3TCSR z=}^z^rchNyWqO0BG$-#}YnXz*ot0Cm zmiYKcf5)CkQ`14@o2?#wrlsoHg}KWkhuWuGO2xkBL(fh3E#&$kH`?C~x#L3>fyz5o zO{rsh646L?SLa%FAbcUQqa<#0%~dpIc8uNVT7PN0E*`IqREF*b#`{zK%@vo{b{)OZ zmA_c?^2M5)$p^XmJG+*f`c{VrpWUCyJXIH|UhiBR4^@O-F#)?;Dl3EW%&}`dcV_mH zo%<`Ns#0}h%_kPJePZYs?2OjD)S3z&p1ppsw6Q$io6j|zIoH0ucm2Zo&Rxsbl7XYg z#g$`wdT)nLm4@1PKfgZQC3;3@dJoK*(Uz9$y$w~>g9j@5`_G4x$xNo@V9>;~S@~4) z!tRm9W79ngGZUp9nN-(mirZ&wdtFoRPG)CCb>z9*HM@Hj>W(x;?q&`Q1h!Yja5!;=$G{ zM|XEFUZ`okFJ^j6xrWvaG2K-fh|XWBt_W84)r=l!Y8fy?L$Q{M>E!(BiTNw}#?IAj zP1}aJ9$(JwACHe;?LS{tc_5O>bOf5>%~$hR6Uo!(A9h#Y=tg^1h0vbuq0k8WD^WQW zITDZD=$*fUe09@qzC)C+F>!D4(S<`t_jTSHI{PB!huvL0mfa89Qqkqb%MTA8z1epw z9c{b4d^M3cT{GWP13M>dJ6{1iFdg=%YQm7Oy6jsl4&)Zh241@;Zwb8Xk6! zj&{snJ9egJwxy?XM>I5yfc344c&4ZQCdT2|(#BF#&l37Ix8nBej^T#p4MF8h19y9p zx3a;L_XYLKK(pO1jV%>4o-2B5Zg#9Z7il_Di*b9qrt2zjzrHilbgeyAd1t0({z~n1 z6659h-nxB(8Xhmu+kayy-*^J`AMLgKtvyvm^$+IvoVb-meb&-eeOgWjcFJ~-XNS)} zynHMesC-D{a-{ziz~_Ti;4^aRLbhgKRV)%}vh2$?rUsJv;YYEd>fL>}uJ0dDt);a3 z4%Su#t3bkI@mfiI@3|CJJRwXW3@}&Ewg%Y;vxJ)M|NOBY>ezhd57%s zsv9zuy{o5hK0H)A-LZ1v{K+fJjeU`wbu)3he$SbaeEQKd8~NtG?CI{dL-}d6E9{D& zvHR@^mWLlDuhcg8+!{aFdcUn1XuHvI zFGKYmboJIY0oQxGxV*D2-@^0i_3jb$2ig04Lb3PG;-fu{HPgw3(cNu5)xf2+cgW4Z zE8f~ud$S{ZH#omR_=x0PdomS0S+v*Lqc`Krr@POz1ZHb({7qCG%?vH?J#lOJvKU&C z<-TN>JKT|qEDw*h-XFOJ|Ikp{AV0zR>)ia$OZ?8R9emiZysvLzpnfFWUop_C_?gto z%!ykAvm+ZzZu&u8`bg8s*=q+|L&$&FPJc0&bMlWg^(`#+ll?pG@?V1eG=8Z3gGcwk z|J2PSopwuw3C9i9>8@J?=XYE^+LU||IJ&mrj<4ElWAJ}X&)+$HzO%9VMpu?_W~T#Z z!c89;f}g6IckwwMpm7;I(};E>9FpCgTD$k!cJHz6o~Sr(k9+CAZe8f3dNsT0DS!II z1{xnFyZoV8N|q1*b8#kFIDMY-?{o9dIQb94&n;d!|1j7t$5Uz7Ht2ci>RI#nvE3LC zjh*+dB_1}6-gusH-|4icS?&16!ONYCM=_o+bXT5hPj}4DEKvC^ZuzeL4K!b!4sy9+ z+kawwS2QPY4KB1^U2g2Ulf!!C;&jJyn#SRTg=_em1M$`kiN8k!yWrojUU?Wiwg>or z%AS|9jV)C@+0&S}s;A@Y1FD`$SI;w~XZmDzIM~{_Ed4d~p&c4+d(fVh)Sm~JLTJzV z$+0%zy|0))|M2X}jX3-e+u!WUk8dL1U3;^)c;QiO@z_(a^R#QH874n{;YtngFm$l_ z>hjfOF?(tU@R!VHZTTTv9`kL80y|eH;5~n;4pS9cjr3&uve7bMP z(8`U((&@y*UDeYa>jV29UZ#4sxps4Z_dQ%3YwB4YI%d`HU}@3vJNEpI@n1vzy|B{U zJ9q6=bI(d+&+0(^!-lculecoglk9gFU3zTb8O>z2gG#^{YC`HO4Iu0N?Ke<5aicwNB# zOaAb-ZC7j1ly+sh+u&DElxY3XPB=N_%;%WDsNZ9uj_Vz>d7k&lui5qtcbFm9uia$7 zbi=MsQDhvybTxi|puY7G`Hfp{I@g!{7Sgi~CwHNLp}*Rm|IzQN{`o7TG{0T6?L$AP z_Kl4k>Y#qT;--7-kG37o@5TC}?s;23+Eek%H|IB2u3`OE=d3?azM9^Qb$S=pyDi68 z-TB}3+rg9Ji!;6J)DKE}jpMh2CmZuOXg+;OTfWo2JFD&a-BbU3y%=h5s*1&G?fDe` z#;yPT#fcq)$z;AO-Ns)^!`T` zJ6kSAc0M=!Tm&!BR8<7S4PBS|Qv-uLBS)?sNmZ1FFfSafs0eOrIB+17I*`u=KxyH^kO$4SrS17orCjvkh?^n^04+e1}R*tNGieyjgcXrmc^JxqXkBuqV(;KAp^;-amr)mazKe2q_S>WyFz+lCZrj45Bo`s=_k&Uq% zRaLRMw&NGg;CaGp$L{3Rg|ocQq&)r4o@K0KQdJSur(<@we#d=ry=#4$_Sx6F z|d*a@MUJXP|u|)^C9$dVWjADB4H1 zBdu>wY+R`6l9j&)1Kj!zk zKojAlvG+mF@(+8w&lq1){(kn+bBoybhZ<6G?E42!g)YQc?@g>@clWkfdgZjJxEejJy>w?r`iGOt!`x4cj=wutlKnLLX#1{hH)$0vA?jM!o;DHygm1(*mH3k! z7d+lBmwMW-cjl#>tj}||S7y3yTkRupx3u>ZmB+Xno4POUiCg~UAaHfHD__y&eNI)4 z%X;4JYi?YDACdUJdLqAg@Fcan)XV#GOD~T{_^DwT*QIfE)C}I?c0gPJ`0~UXta;$M z2woJcsthhPV1IJU-0t`1n2*qph=XyzQ@rms;b#1xFMc8Qb=^r-asLeLgI`Yf-kaIi zcBtKqNL(x<&R9Ivc6((yS;YKXH%8-2#y8EF#HF0K;ZFu69(h~@udy8aftHFRTtB&= zo;C&Jnd4>$5VyADtjwpl3iiEbOv|_CH4Cph2p_r03Cus&<-AtT-vd8$K+5sFal@?U za(MoSzKGM_IPRnMh2_s87^m<@=m#9Tk-xV1yV2eYc;+}RwMXJ1;q^}LAmZI-4aHeE zYIn=|gW_1UZUDZ!)+dAW=$GoUbjH6---URAKQ5G;q;a!|h3Nv~sz*jdD5G zXVt^@H%>j4X5uRs>QCOMdNdtCyrZe-&c(hqtWWv^d%Vvr6)lX%!G+4k7RD{sNyi;{d~9FITioPg#lI+r0k_IRIL zD!N#2?jX%yWQV19V&uv(#FJ@W^y$5KY~KmHKK6K8y*q(%XxF>x#O&!)*caHilH=p$ zS8DeWex<*%=RL6>aadE9hge5x*Rgy;Kv*Qe))mN8z2y0M;Y>V45#r{(W< zcb>z#|9-Y+3F+~C5$k%nPU~yCI<}AYofbdXw4eOt%;lv;jIUd^9^iRR&O2DI3&wk9 zwwdPl6NhmQcn9kY!W+$33oADyeyw#&af8OgMfg8juX@)5<~IMj0e-C@%h~<#Q2tsH z`%LKv>g9Q=b*_v3Cf3u0%U&uE^IgXRaOv15_Y?64az00Xx$mv$@;*D|IQ5a^kINx{ zqvA@gV~SVWo8ey`Ra_lKyI{TAXks21eN@KBc;s=7eG07u!|m2Mx1X_|lKkPo*oLtMD7dujwovU~IS~r#uzsOAN zXbcUTHL@NQ&%ilo_4Mh1G}D=7Qb;_jZ+d>~5u zl9F{UyfQG+99n5SzA#fy?;)6tWG}DB@8aAck>mcr{LTBg5|58Qyk8;ri^?9QUiF9F`LPCvl%rOA5ir+0TE9wBkKL)QOl-yOV{u{etN z8gjhOu4cYGN2m3AjprHqs>u&r?R&Ykk14HVobx!{b9j~f^HClLi+8yEJzPGYcVqut zah%hweZvCwvE!WInzv|Oe@52pvh-i7U5(fe^uOrZWk18NIxBvO_gGS_5Bss{CR*QH z`t4`(&r(0(>(=n8(v_oTnA?r;RFC+Vy)V3aa{fASi1W#HYhQSu{MFJ-GEejUob*o; z_cG2!^U5<^U&?n@#?5FSWa;Pi(KCn#VO_y;a(yZHtH6)kf8T7C=Td~r_7wJAV-N3f z+;k88!ikoOL2f@%67^4t^V{b{n71AKEd0y)(Xua} z9wWaY_f>1$uG`Oz(*B?QNgbElm(3!6D9|q0pQe;J0^$o6o}G9D#o^qz0>vfdetOW^ zPakZb#y!W=;gnqW_X~iYua(%^e*1D#$g!27ziE=r?a@PDL_cx<*eZ&4yo+HsX zamK~jqgc1hy(IVja$HEh9;*I&RB`%}g{^rXDcw*QxLp#3Ae ztaup3A99mepD@la@55g_6U?ad?gaLy{0w{?9W`T&548K)fIVNc->`AO>tn=S7zc}Y zgvt8%9Ny;41H4Z}oP_5Aey;@cK$Tnnpf#V!_JY6R^N;xHZc#Ve&^MktkN!aWY`4!v z5O2-|X#S3E9G&LKo{gHb<2_mAr+GGXV62kPc>=YT z9y|WYaWz^Oas1PHM*mrHtuV&T0{Tzxx8Wb)kCW)XHTC({Vnb_VMy}f^P6_)T?YUZw z^)biI5SI&{ydvW;94A6t4*kylh~qYB4=Trs?{Yf)_f9!akv_toTsJmQoP%&~%J?hw z%Mtq=;`W8JIJb~?*z2v>;;}TZA4hJSSV25au6Kby?fE^&J+ME@$@g3Q?>oqK2llBg z6>Hjbjx)?8XNMOAzrW#s4}#;vd@eX_?xu5O`0M;&;vxDS@28L-mHP{dtJLV;kC5Y< z<3{p*8UOneoR0Ch)%y(N2ho1M_Z@g$^Kz^s@IGwN-#+|)U3zzCPy252YrguAVV_au zeV+tzIXZWs_ov);wDu=BchK_b+{F7{g^Xw7+=Sk%>|LFS%JY2rJ{kN^ldt_``?u}u zoxQdXaerU^xLu#Sjq|YEv|icz{Tf@r-HIczLFA;7;aVrhO^1vWTxt+{@q1wvT2@xAXJoGAr{-*<$NRK7V(8HDnxw z{;fqr#$nS4vOJnn`SL_-;ojWR-P`j8G}LpZ5pf>Te_pY9eER}I$4nzsxF;XVG|>kx zibV*;r6%hU*6BZ_(b}3>$*;7=Lq;dQeo>@vTC~4V$j)aMT2qBWej`;Z=BWd_O+%=u zA)^tmqiAd(8Px2TQh(sTt5&*}_6*jy|Ok z$mP+6PQLziYHa$%#+ktvrp}p$IcyqHNvB6H4keHCx0x2r$h875B-M z8tWS#Q|qfs*&Zki=Abw|KqD5f3l*=p; zBPJQPd{91t9mc0h(wX`Bf@xGc+A#>Ae6RX^(s__YpF(8B{b_Hs}1JzOY|KRxS00b z^748qGrN>+A6}Wy-p|fYX4hav&yz{I_R}yvLH7I0y`3W{&r5qPTNYNNH4ZmNRfd^f zF53-Rj|NAUxKYZ;4FQbO!^-`Vx9!r|l}+2+u{lOr=QE{@l-@>9Qj7fR3@MPhm*y8X z=F>UvBc;08DY2HaOW9Ip(G|~PNEM|1dis`40e~uGGxKT5S3xMP0&W~BG7N2KKTAB= z*|_NS%o577@FI)GkR&rCZoO66o>_U2c2R4!mQ$LAwmNTVQoGv-@-ZX}D3;LWvQy>@ z8MsLx?cUP7G~ezT*#^>38WHZ(Jj|9)C>#x*S>5618OQL7QHUWstcWCswi32W+r z`fm>tXPEo75u7D*Fej%UAkfU^GngFbFdL-jb4Btj z0E6nWP%Xa)^RrMOShdAczL4c{iDG;lmSN2nb|4{g#WWgaaV0xX8Nya=SJB5-^T{Dt zBOfGU8Lli?AT)22X$$$n9Lgq4!xG7I@nvf(<$zICPbM>5$sefCl%18!K@+}GfD?~P z+a%dRBzKApW-d*IH``vcuq#V*h7woBnhmHlA=72&(IXopEtSw`?UsCg9TjE?nL$2V z3LK}x`tWEQ%FS(;WDQh1(H=%2nXULD7IShquP?(dq&EsQrNRZ72HYS)vo@Em)T(Tx*6v#}j6BqIsAn%X`(Rv2$hKf50ahbq+ z$XJ@CtajOOjpt6Z(`A+#sahhK^8hHt^oC?`jqAJ;GFPqS+1`Tc$$Gez6_LU`&DPxM zm^s|Z8;DXL({hNj63!zTHX`LRj@#GOD2s_WO@ql)(oS|Io!TG1NT$0TWOH~#bK9~E zbJRwq24M+qWP0XwHd^%}vKZNd)G`veJOg8(%QQLO#xY09C)5;O$yJqojuk6g3Y>5T z)^2AY)j*=<1hHVXT_Z@jw7^6Ng+K|dde3C%oQJr4s3j1}e#yy=Ec8wkS0O7?t<^G2 z3NlxY0ee~JEZUGC#h?<>v+xtf2suSdJx#|>1F(SA8g>e=^<6ENOOrmXi3Tb*S+h&| zxx1K0vEGvdnw)-fd75l)Yxrxj%4Ax{ZUF?QHs@@gSFhi@|S$`n43=5C4q>NBaSRC;u9G(9prHb_fd-c8D# zSSPj&S3QiABkpGU;_MwP=T7|3IJ6rHPhkyyC0~HMt}WcNcEro_Bax=DYs9<7wvv$z zveveIGg&Jcvenjh+?L$w(<=Askc;)7n@Xq7oS8_U8&;o2E}}e{HZhU9mbSLJHN+V@ z2jSG@xioNWP6NU=b8>hZ4H>3KCS(0m{i<^NiIvlns=S({`=?Sv>9ZF{&Y%j;Gi%#H z&ymajP&s326obaV5uvb^plfLw%Ob=7BY(Y)`rQwk?>D0M? zfMyDHjarPW!=3QD&O>@EH8p%0>0nK!UznJp20WX(G%^KGDsh(@7#N(Klx>5(vw2>_ zN9&f!CN#XHh6iiP@^)!>2%?n9^eYz9_*_*GcYik?oW+fNcRs< zO=41DhNNqmzBV=GLS zBUs18;Lz~JG25ic)R_r~mcyA9Njog#tIv$4(*2jt+D;+L&y(q43|e775bCK6DLa|Q zu!d_po0^=$;GG(rn7H)9RC;3Y%<#nE07gaiFn+~wZZMsoMuKhItY@XoYE%|ED-VN4 za-Aw+wVbMGGJPclo3`6xgDJ)JIfBIH7PIxqcC|d<4lA?dar3H0t6dPaMA2<-1??`Y zw-UXbW-(bW)lpcSk+Jk7T&-=S>_r%gma4TRh=uA=zgnD&V-h>`ZzD?e7Y( zQ?o6ZoJvi>TXEIxT;z?dn%m7rEVtrz#}Str!tNB9@OjD5H-6$8%E}{+^I0gD(hAC16}JUvb`UQ`EyOb|5g#2sdkOfq@N7R=c(xxU zp6iCkfM>5SFXNHl@yn6l@$-@z9{C-=9QhqTkNl3GM}A!8xqiHyOMbkZOMbkZ3p+Jj zSgPTYA1~KHe!QGZeq7~}?<7C&=lR={P&j- zV*1kLVEToTOOvoAG%|T90WXKe04NtX_Jf5R`%&VihMowcWBsHN!3ZzI@$$r;#G;5N z3i&jpc;33Pi)qF?{UrwqD_$5LNGAra4UFKSnjZR7u$Gp1SewabsZA!q6{oLUoHzro zA@kWoL&~vxkaANOr&1&2xY2W@!g;n^p=M$bi@qt0cx$N?A+xP96tie57Y>=ffcbAWpGU;LkXbd2*Q;f3apITUJjcd_u32r%N$VUqaR*8 zDg&T&NQ2y;^5#ael^axfZuon(eeh^WgDRKCOc0Z20u)?G7sBShd$S|m$_}bLJ56g= zRns!eJQq)6LmoE2=v4zbOAV-UHJE2qoAGE#gDRKCp|>iHM@t%1x%6?bG#)K!P}`|L zc}Urw7t?RG3NJi|E$D#MWB{VJt>n}58;EVBImlWF2Wot3AZ4oosZj$Yc*@1IodKjK z13G^j&RE>|Bch?-_ z4+->aXV4-c=|ciN+ZnV-NcxaK&vpha5|Tb7(6gOEi-b-e66o2=KxBC{clwY(&vpha z5;}cIpl3US773l`UKRfIY-iB+d?&iI#-6}2apT}N9C*p6#O-|&*VN0``<-s@6RF97 zE|wm8F2B5tw~c_f*Z9hG@zANoL#Gc9^laBui-%4h9_ZQ5pv6NcI=y}#Z_$*N*3*2j zeH-t&gafblnd;(%vXs}h%ZC$swn`>alfj3RE*B?6YBKn6(&gfWNKFPGPP$y25UI(4 zj#q)z1kF8xv;C?RF7Bq(+es0o^VF zh%C?0<3j*FTL>Uhlfj389v1;bYBKl`(BmS2NKFPG0(x8o5UI)FLqLy<03tORd2pCxIW_tKCA<;qzSfRk5g-Ot(c;tNg73H%e8+v@qi4G! zTJRkQ!s^i&^lp-D4D#Dc`EZ4A?@n^IA}uJ719@eNZs)=k$9z?A!Ae;)O#!H>KIFKI zLLxO804h%g7jMV4csuUH8$H`~)8g$oaO5e$g%~C6(1f#q8hXRo`xbGy;xyL%o-h~W zB6ge$v`!TO<5xxPinAQAD#$siK+TS>Goj~@{fEOqke5kcWmZ#fDgC{b6A9}yHHb;{8rf`Xvl zauh^RqOKf2A}B`cl%q!kMMb^kD2Sj$T{(V4P^8o;M~?`Kje5&b5J8E$a?sVaIQQ}# zdsV#4uSfw01ynVPC|2qzoEWyjMC5oqkw}Fb>;ZMK><~#96dN> zNL5{05yQ!&2M2{ookKOOwm;xERly3$>P+=xh2o}8v3jg@_JoZe_7|nVg@UTOqWo~7 zSgKQ$9xfCb^|ro(3`*3LL(x%B9%9(#5UtDOM+QYqojiJEP>j@Dj)DwI)Rp5$2E|RC za`eccNU66R1sRm6D+j&p&7(kpBB{DOekf1?)v-+v1&W?}%TaJZiMn$9IG~8CQ;r@7 z6gc&kqu_uNHRVw3)RTu8b~!}r^7wH;@l+>|9tRXb^_HXHfD(1(_;El{Ri_+14k(W5 zEl0rtCF;uYddpF8K#7`iD8A~+ zLkzndqIG%vIG~uTlShvO3afg{QE)(sx^nzDpirw*jvfaTT=kZt;D8c!<@j+x0avFS zJq{>#>McjX0VV3n@#BCZr%pL~98j#(TaJPQO4OBeJZyZ>pG|=RMNl=_C@AVFiWs(e zMCCxkb%1^j%DzZ2m^pet|r^(t_o$f-`RA1)Lbb!^eYg~Fm<`xUrQqOKf2 zTqq>!l%t0WML50XC~%=fT{%ERn18yPTM1XL`86oup?IiHgC9H;26ZgegNI_E_S;*_ z@?FBp2mX>3IFY!nWWYuK$a0~O&8FuPtmu(nkpeJ%{4~+w1DIr3RgiRf;fW65Lv3uy zaPnxulj!h)M>3o|TJR(~eBhA`Cyy39i4GrlB*V$01y7>G2Oi0A@@T=6=l~+TZQ#O1 zChFSF2bV;L3l~Z2@}U2{<+$KTbZEhm=s>r7^SC&WiJEf!;m(8-?v%7Hj~@pV;JbK{xqoE06IC=EIq2T8(`xqGKhYQ(){8$8i<|JJC5x)@%q$sDZ zvVf0Yp**dX3h_$>Z>Eoyg3Xm#YJs+<8JHHae7wN;P3HhEWEnujs)>jNN8)+!R z?+iQ^DREYrOH)M(tI97G(DyT}Hp?!rmL71Ueaf#7%d-3c7kN z2gAvupUV7E*aR`0JbENj(A8Uxf<#Kx)dygU@*)3ndVMuqW%_j}$kaz=6BLB?maBk| z5_RR;;PZaA0$*~#fqYfOuTTM>K4hDq0IWo_S0R3Eg|`=~@QVeng$fY$q1%K%bSoKl z59mX;35wM2A>fu9K{bOR4L(DZ*sjd2X(|feZuS)q`2n$T)gHfA1(f>eZ-RooyUR_L zt{%3AtMG|SPm?LpVVPNHDRAk{qkxto#kxFx(8_QzhnN%kaBza6zus~bkW!+q96v}Y z{_Aw79;6id<;f4P0#u=Iy@e{EBuQPNfEg>m%N|u_Rx2RXM~M>@2;QvkrE?5d;Wu0! zvy?cp%&swu!p7b_VAyRziMl+1RD&Kb;VS$d-m6c+pFYN%ppdeBIXiPdhc9u_$Ed

>Q|%yQ6G#>P`ue&xZ*wa!RQ3Vp1pY#AX1{vU|`KP zxM0=%s$Y?UPJM(rK>=x3(S`*F{JOzwk%Cgnp|c1$^yX23sSjHxWZ0VEAiK{hJL}V< zgtBD@P1zK=_LQxJvJ*t>@&H|K#S2#9_@I+lk%B>ed^AHOEBtyCSn6Zr2?~X~dMtZh?boBA zk}~M*0mfpsJ%!wSxazC?N)$xu1Lz5gmpe*kNy+Q{N)(8aw8jz&npfMF%+fbX!&Tqp zQ=@vi} z{*c=42mK{0=p=Dn$tpTC8}xJUaMc_AdK7f(qw@(0#yhCWY!q`ZX2Vr)^6OF1Nf~tZ zsOZdWtQPX6aMfG=dK7f(qxcC5+Pkh}V~&1g9ju{fbYGat0vfH3m`0U#Z#bI=;IYuKEqXB1qW)q8vI! zDt0p)Yx!ch3O_CI_$4|YaMb9rOGg7r&(oLb!d1WT*Q4N>GU&_!K)q!v=+&PeB2iCMbjY#A z_a-So7ToVOMdoqTYF%rR&OzjE&i@%p`N>YH^^jky3uBpGXDk4!HcFC?P8>@>>+%48 z-aIZglXQ%t%LCkLt(PBzk=DaluT~eTNjhlJX$1(qd0bQ`>7YfI2PpIAaUqzba}`}4 zpv(Ccjl7dVTKv3UlMBM6{)8n-CoEFa>azR{O1f0Ik73&H*W^M|X3^N>KX*wg=Pr`g zZHGlgkc+$Uco}@DuZ<{K3(jUkq>A=OCM?sGMG$u)>G2T21 zM)WV7C+S#4eks?kD~J4o_}sQfm2wm#^Juz<4p+Q+z_6QIfAW&_zlSavP9ES&R}RqO z86b$h!(kTur7LcV&SrF){D4xB>TO6(5v->hQ4l@|z>xM|`Vy7XQ!S zvul(AUqWj;tbSSf#MaqG@VkTG6EniW@1>s*+|Cto-ZX-RPJ4DKAy2T}gD1pkL-cj( zfz$|whx2VW=kwIlKGv7^1Jzc^sNY_1{p@edS$2rvj|6{|Dum7cHmbyIX_mgPoxYtZ z-o~p%hrP{L)|!FUIruAoMil#;x7aP!h~S%oZzdz&f(vH+y70LQy7{F+%WoCIw{q5> zQOuAF6s|zMoz3AP%iB21+m$LW-Y!^G1|B>YH+i4R8X!pJ(5KuhphB_1R*0rJzEx9f zsa^zsDfljGn0Mo6poM$cd$^hjpWn-s9w3e}ch^2_pyDIUmtU3NUM`AS{~D|Mb=2CE zzDVD#uk*8z;l12UzXj!md$Y6;q-#ln_IKZ-4imD!%gWymKUBC^z~yFH%fhD*pD!Cy z{OLi7zyyZLsmF-;u7h$~%(-YOOdpu#@4zxCKLcAyU5@RHY7cq?-=b8$&wk=D)`{Q; zgCC+E_%LR(!oB58@hQoNP2($41V0-5ORCDp@Oxhx7IXQPh1}wLfo{`DFK(E|UAI01v}ZfvXiw2c z>?gAL-oML}WjGR#eezj@0fXPR<6n7SNIhO%#9eO1!W`}?SxZxCK>Ttxx41D^DlFkQ z^-B`=a!Fw`OZfhz{Eaa&meAEZG_^tl-ruAF|G(E!1V0}91hx1-qhFnt;$mT~!%*9!|gLXG(YQz;|&Tae$MT}t?;2q^Gyt<@v1M8DtGv5 zN_E;mlSVf!1ZYeIsqWMap(1@)Av^Ch2v-|@d})(D)~Ma8NM9p};1_~lqz*)o2m{mY zf;64-kQ;S+3Y=CEGG)Jn0**KvD8--9TS$w`28&geqwas`7j()+C>RQ%k|6}1Ld$pM zD93z@<%vBHdhi*xL|{SOMaV>UZ9RvtuDX6gn_YxNs5)i@Lp8#zH@8QOKxi85wzRL)5N3n9i!$uOqQPM=z4!p%;aXiUW$AWCD84L0 zO`+XU$nBAe;dF2>rcN=ZwBqBpjb;-meo88~*s5X?YGIX#2?hC{4!n#~W+`9MA7 z*S)+i;d+v^w`fh9vhG1)t11rd?qw<=%zaE@)SyqMh53~EG_^^OFk3j~ zxG?uKg${Uzspo~+%G7CL9$+dZ%!8bFK$wS^Hz>@*%o`Hs5#|9<&oXsEn9ngaD$F*f zE(-G~Q{%!s#?+)R+nKr~%nsIfMVN7>t_d^2)OBGdSq^9KsLgp{cA8yOn_I%{W@=WL zJxt*P&Am)52=h2ow}siq6mAVa!PJs4PcpS4%;&kJRbifDsvykMOqGQBGN$ecGsV<> zVfHiiqA&-TdML~@Og$3jAZvc5FwZjeDq#*W^=e_BW9lmeEYIi60#n~A%yFjPAj}E22h95iVZO-JKMC{YOnpL_51IO;Fkj*v zpAzOHrampqS8(cQh51UR{*N%fj8p$zn6F~qe+cu-86{s3=Bt_apTc~Nq{4 zE6nd?s!f>R#ndrjem7Gc!u%el62kmmraFcBeXO}#nBUJ-uP}drsXk%;AX6uW`9n;d z66Oyx^)g}p2vhyS{86UP2=k3hofYPf$^HnR6XuUI@4PU7g3BEd=1($jOqg$C>IGrG znW+h3zJ;kNVg3|TmxcM$OkEY`TbY^`=Fc#7Lzq9y)J$BIQbG zD?yiIJ+`K{(3UkNA%9Iu=&Knl3Jba!8#gpWSKe_V6lZz&wT-0i@C$Y8b$+dPrxo8iIKKq#F zwXW>>?+9CM`(&D})_pQzt9_qL*lOV?6Sms;$%L&|ellUJogdfHbiGfu^p%SGw4UMRb$2?_#{i z2)b9VvEZi0IoIg1oNoG6Zr5WWopbeHy7w6S+*`^XW1n+**<(uNGvXV;Y!ka^oOp z&{e~_JTDOyWXUaTl|xfrBgmq<1*2tw6y>4v1dZSy^E$v;ifPo#hT5XjdA?<_BN{MS zs+2n$Synf0ELpCK-S0ZETY~b2M^?8*S4!VN7e79>V3MT!AX!j1eyoD&YQ)Eu>)ams zSat5=c8eBN?wWjT(R8zWa?vdopXyDS6g=2s@#z=cV)5x0 z-D2_S7u{m<=@;E%@#z=cV)LYmK6dj|F1*F&sa$xA%~QFs)xKKirC@<=-eU7qu6c{i zQ@QXKo2PQ&EjCZ8FzpAn*gW|~pAf&K^$GDyqECol5`9AalIRoSmqecsza+XvyO!NA z%YI>>W-WrtVCmXf7SOpgx-FJ(U}YJdOS5IRc4@X0O__3n(D{85BDc?1+OnK(o#&HN zRbGv2N<+7-*_1s%@Sw^>#@LaCTV!Du@edq4hikz!> zx3)>04mT^zo$5BT+P%M5_NUG*X|DNY%XFRZz5SQvbThGYDKLxZdR)0Hc=MKUuMpnc zChyI{ESFH;O2GR<@@r@9E16~7*4sWN{|w~8zF!)q#D&aU_JlA_7$1=r;Jn{?#bPT_x{fyzi$tOkfuG5);(`vVk|I(Q{;w$bwRp9_E_A(= zTWTL&zaKw`GV1UOgHwi*B2{jWMCv0u@T!ORD&UFS+-)=;ayQW1t@=c%pfM8J6=}lD zI4tu*Av>R4Kt8-_QETP1cv5_CB+?w&hwqP|vR807^D4bgNbd{`i^$WFmMBv3LdAAg zhF9!vx38k5GK;vpID)rLc3LVuDN^ylNaR4|Q1E32|A&{N?8czJ3q_to*W$Y2E4lg7 zZ4o&ds*gt6BFAueznaHeZ&ioSoH=qvL^>kzDD))oVh`Oez0^vd(_1Oxcb<5=`rzT= zVf*Evx%|>ncCLhk_T2LFdWqhjYoDNZ9#4o!XQV5NR_UfJ%JsX}9oFh?!}hh-5xfV! zr!|95h%BtHwAv7CjkjjzGpo2shF*|#`cMN#T`Am#)*F^0(ib^_H((+sYl9K|0A|0| zFi)0kk<%4u%n>8ka5r-@mlpiN7 z(LElCybzfnP-j;9*KMZOR#qh5maU1-K$8k#UCzuE@~v^>SgHVd^DxzB0ATItgRQI7buvD}^!4)T@MXo~c(0;{sD(A&e2GUMq}I zroLJjV@!Q5W->)FH4pv9#04QZWnCkTi^dC7^6P~$!PGYjW0Ey~voNNZ`c`3FV(JaT zxXjddY%;FhdB$}mu;jST2k2y78|8xK6qY?#jH_hh_XuN}sqYiUb++~ggmHuI`5|G< zFz-i%ag%v(6h@kPKQ4@0O#S2*t>ToJVcE9`V~(kx7REeNKO>ARQ$Ht+1*YCEj76s2 zA&lEh{em!ZO#PC#%ViLzKnUF5XP&R`lK+voT*O<M_y(q8!uUp}s)g}QoVQjO-^{!^VSEcy+lBG1OzjlL zw=uO#7;j*zNf_VGdG`q8JD9gu7~jdfr-bocOtlE(yP0}M7~jLx0bzVEQ-_4{eM}t@ z#`m+9=Y;VC%sVQKA7rXs7(c|Rabf&0Q}A0q!c>4^zv+_*E_=FN|MfYE2lw&Qwttzrk|r!uU<5HiYqBrXC36 zx0rglFn*h?rd}b8-(l*@gz>vfeYr5+&(v##@q0{tr7(VuQFN{B8>Klad$DI02!uTLl-y)0;G4*Z2_%O?TyDC@Ve0#Z@#jqapfLV|wfwL!KFYiw6~L7*jtXjK5;JHwojfnR<&b{)SV3 zS{Q%J)Xxax?>P16gz@)Gyr& zB{#VP8Ejvp_!{YUXT|04X-ysdhe-7AqyLCSyPeHh3l{V1g}H2QWg*`_Dan!CN;VYz zc-X*xJ$N#=k}I8}m;IGpm$fm$2Jy0pelq$m(dZ|lpQ3H<^6FA~K5Aflg+^kRP- z{mc^;IgHXn(SO67YMVEBpH&Uuw+*?a?8Q~ueHKy2&*9B{r;eLQ$r^t?68-n+f1nCv z?Oe$;x|LPTCKh3FTf(1oAGG}z{O1@U7NY`Ew1X>9SA9+<|s@|)bv z+nFluu4=s+l~q4a^szv!0-N|)CH(|4pKhJaU@>{qU5833r(M2Og$84xXykSbzmwUn z*=UAhxS~~TAh0o!T}TxQ8C3n`VU3`@Bvv+Ib`*ibBJ(o$2@w;qYM>@oV;Y@G-EyVk ziSmsYHkV2>@e`u8yk1L-y;ox=D}IhJBOgz;ZY;k9W)jLxkYtsRy z@Y-|*Q+REf*jl3{m|6yLViQ;Q)7csqb)WNHQJ?!CVV4* zX=)D;?=DL|IpHMdcd#KD`=Q@0RpwUckD0?aTdkh90%<@-ej`;Z=I7{#O7Dw}MU0*p zA`08=lAMrPY#h~ghJekMnI<~w6IT~AvA;jG57=deW`6unU(mncmcAfxp1US}zj6msV=^GLD zY~}A4Jm)q7-MEXuX8Z8Uz5HB;rkJTr0fE!O`*T@2G1oLc@If5RFt#f!)&JSoO z3`AF@HEF~)V)vu5d$9+?e7pJcH0oXs%(`OSA)y#H@<9&L$)C;p1x{$aX_=IWy(0F? zsQHhvFS9JmtQXOP^b0*#))~w2vtJ&2bu?Cry+)1Zz{`eWUuhZ>i2OQ(q+b9^lV1T?M!vDaBsT4s4w#9q%c4%VO(EQdAd5X*fF;_lY?o6p_D z7!ZK`3{AIuj{rfG#@!U?ec7AN3M+0 zvzZmuojnzMGr6<3z^Z-``{~HLqsG42Tm7Y$8H!KIc&_1t7*KUAiJbE6ZX10ClXZT2nlmY;{{vzz;Q#nDT_Ftyv7-y=GAt_p%UR;^WhG^~HtL{>_So4(i@HSB)VjmB_6OACM zYJ(!UE%;8J*}c_BU^)375veyS1@=Bcod2%RVWK_YKR8NYXHr&(z<<>-5fIqkYzhI) zE;Kamv?dUzmJk;8h?`58i5**KWSGIB0cLPwTa^KrZ3-+h!3LHPyCpQl9<)kxy-^kW z43SnbP2qK+^tCe|2V)$SN+ROQl{$zxs~k$=@c+++w1w~2i)Z0I1eoQuz_E z){uBX{p^dIK)W(A5fS48A0c>8@H?WQEYZcwZLOHCW$~BhRNq43o2ceAqlxr-rlWspD zQ7($UtmgtgN1&AGs}d<9NCXjvQ(I28Rsrm$D} zR(8ACtGt1!*U=gb39shIZ!nD)-KCyfsW}D6t?VXG|La8|?~`Kz@l8=!|INbuoZN&6 z9I<{IoAw5*BhYwqJA%KPG?LYdRxdzqx8u=dW72v9pJ2T`>`LtdyDv<#)AS8ZU1VWtlJ5Yqak&5saA9-OKe;d3?i<#x@Ja)V|spNM1LlKCw zl0U{fgfzDX<<=X7uO=(`TUkwj1s7F$njAI?>5WVd&g?N;d|vh2 zK~ZChtF{v-#&Y_v-nFS_EZg77(!0y-0nWT#OgA<8H~@u@Qw9vWE@|`lkhKZM97z+n zPZzsXnz<>xY^=~-NR&`!C|z_!39y&`lo?4E8Bs!+t#mOFCBS6*gYvx=(IrHbfP#Gq zTohgQg><^J%a;xtnjFl_&b1~hn)`9!%bn1J18(Vhs*elfaCPZACMp;fyKdDUjM1!#Y+sFhBr}t`GBmy z<+N3QS}z>!-!nRjWoba^A9LD0bvl-9gGoG2F#Zq=JivtG1-m^v8h^w^KP*!ZPxO9H zdqr6q_Tj(BX|GbJ4q0?jP8}cMf?reCYdp%xwokIiYs<7_2m3Bg`8 zJtEM-CE)eH&v6MqBmzn1{fG#3GxbK4Lt8Uh4iN#`q45)l0Byqfsch`ftu=1H4J^;U zP%3ns$<9&heV%8Mx8fB|F8gQkt`PIyMzIP6B(zz1I9kJ*uIKVg#jOJVgVo}_!CE)w zf!F-!v!eRlF@$#8s(*Qt+*TRZ9}I9W;^j3u4jdrC&F5B^OP*WcVI((cmOX(IGw|!^@fL~XT|3=;ld5&YS!3b3kv%z>l=err8 zeDc^?&l(@cF#1p1l!Yk~ueU^svX(0-erKm=&p%}*c#w6o?X z5Lzpt0obK0!{`q@s8$Lsv)AbjwT@2cr}|%I=%@PAwZZDo)EhB`eyaajhJLF54_Ke8 zH$-{phpyi7wJ$6{tKlVuAh#M;p*{&7ZFHv?SzI>g=oTJ#j-;U3@gB#@nhI%UO=WGc zrmEhkCM*9-TKWH&I}bQ1YWDGGcedSa?rwLk!l9y~C`Eb`MXHDbB2_`a1CHLL7X`5k zA{Oig0Tl&Q>Io=`0QuK7#1iba>JHHu14itHIVBR zfH>7AQN~prxlV_oS~js&T;P1*f*!c5)6}!;QEvyQ;bz0D&3Py_qTUNoYC^qTQG(O% zWk2|Ujn&D)3C0`kGpC4apMqXHVk2A z!w_aJJ8m4p%!VP%Y#74KQyn)@!Er+zjTg@cECc96se2LtAMfRN5B5`KiG*;(iG(f6 z2`|Poa|z(|wMjlB?1^WlKNJnHNr8fpy}klr^3K>hD)Sd!Ppscfpkc4U2{aAL2k*0o zA7al5WH+K5OW}S z*`O-s6o&(kbE|PD3#hjQ4c^9-;5bn!xoNUz4R-LbE0!c*vkl>4Zw*?#H_1WlnSrTc z%V&LSf(IMI^V(X}hS=Ff&g~|9G4&2Xx}}T`E?}esAyFM$3hQ9mZjzf)!^YG*3~j-< zmzVu)IoeWGr0b+T8{2oeI%(H2WPm6#9KOjA%{4O?zO|@RHF(Y4-cL=>x_9yvP88m%VXg z4uqV3V(ig*w+UwNOU8soF&BLXPZ`s3N9-wsy`rNf1vnHr#{_N7Ns}8JYv7;lno@%gm?&(VXMPIVVr=l$8NcnsBamS#8v8hmF~iyaz|yC}*D33ZuO z4NiN?fe*l5HkN{C5Ckt9Qo$SuUN(+`IS{;T@C0)pc-a^V=8`Y5Mop=A0rC`6??RN0 zqu#qwI)SOjE;c53+3<>Ej0s*g%7VG%tE>^cF5QP_PJ@XFPMgfB1tI$=)q#+GJhn3+ zWFIBy4lh6nTJe`C!9&p_PH0089NEe7p?~o)OyKsOIZz9doR4 zu|XxwvBt$lk}wBCVkZeh=PMCnEUX3}YziO9a>m01GsKwyQ(6#q5=?19X$nj_Lund| zxEEKQ<5ZDL8SIYVI>O$Z8JXeHIWu9ZA|CIRFoO-H*)VSncRz<__%i|w3Ff_w$DLjW zbJ=j~4KSAtHEx2rY`7KXz5IaEeD(^=zWe9E{r_n^#M`KM4N7-V?|PK(q}~lE-OYRz zyY!ggWn*mUId&znfi)+`1TPz3!yN1O*`ONcKuGj4jPgH=yLf~`m?R7M&4Iy(=dtW@ z>U{yF<;+m*Bs0Ow#^TT{JIQPS4s#$R?4)sh>U|N-tcAFD^vimfYl@fRGt|2Sw{B#H zV(o(oUN&xrW|P}TW4J?3Mu);kLgGG?kF{|l2%L998**Mut`A*SWcet6jad+}Yc&@ktH@=s&kv&~jm2N#frjD32IJQtl zFy}+K!N<0D7+pH16xLR=@aDvY)}WjW9RJ8IRFzUa=w5mG)b{la^+wSOcU~#ju}5`I*ar=mT|Cs zIOj-a&Y_&IJfv;;1-sWE17?-~=wJNrx(*cM}-z?QEVQ(BKjTs5zEek|s!KDPmf@Jr6GHmQ>H z8#HM46Lzgr9*5A4n_A*LF-WHuEdG)6Cp(J2Xiil;gn!@={_VLL^omNZfjDa?rEM~dQNcA)VNcRpX&2u9$;Rryaf zm2Y5eGg2)hQXGLNik(h>5jlb8Yzw~#XE1Ui@SPONfT3oXA#kh{R_cGHhnE-V<^}dBrwQ0_FgsKZrXV~P#$f?x(4sL8fb6&=cjbLMA zo1DZp?!k@CXwIv+5u&sr5T$i8+xP))Je%gc9=@C%>p8HobtGepO=__1dvSYvn)4QJ zhvA6`3{RZGHtxfX=hK{baARlK*u^HNvW=hP#%?rc4{q!Z8++KKCUb|E4P9f`%WgMp z1RHZ8c-i=`lVgIH4FhA2-ENx2ZZ}NuHjCXenBZ*&{T9{)!OI3-F_(PLU=M>ZCILH3 z=-A=ovG)rEA3-BS5quPYkG9Ea4mcaIM6)0yY6Fw=QUaTtH2Jo|9$tJfI15LXs0^c1 zhj7N*r{_+u*pdk-h(0r0guG95#qLFGw|H?Egt4V8d-o4cLBuR zHmQveUG?RiMo=Pr%ortDIYVWOZ^K7_|5qA=c5z(|E$m0OA>& z)I|t5I)qRhW>Xrh5j=%mvM}*?8t!BZfDL9p_9suF!ybo5UWjaC^|w>+Z@Ax=Jfu_k z?LvNzb>7>{pK9fw5KIW;!Pg4tt#-g1x(LMAc-iPLqJxm=9B4tzIq=*w6}jI`t^==R zel$n>@N|+` zr#`hjXzl=w?8D}A5MaKv;c!`Z);!kPFd*E<^`bmj<-9}Sc8*o3CY?@knG zVdGv*dTUQ zLa1^H{E#9G-k4BYGQ?>OiN+KT0PMK1VYNM+hZALG!l5US}L@3Mas8&|&AQkJd=iZvj_*2JWgR z+ZCK&+!Y9?NoNAq2AEJfc*MlgfzI!{4|KxW*bgr=wo=lS zHc~X8Rum;DD?ka3$;-Z=AQ=c=_8|pxAb8nV4JXHhMAMC_Rg7j@v8n)zRY52&zbzyV zXt4RzQJ7Edi?#y=SmO?2&ZKs(`1#1*G}qM+sl;pq9%&LD-`!f#ndyTY=Mur?y`M!Pv=DLOi5rgyY^CTSAw0mst`W>Uvp zea`SpdGCj#G0|Sp-pp>F5P=c3Gh#2bVi--km{>5{7w#QUrSzDybNH$fs%QM@rP2Q3 zXul}@^46oykVW(|c>PX(!I{{7^4Om6CK!wkf{u95xM@Ad!BAr9Ft+YufjqT~m$#(R zA<@#z=-}wk5IG0tSx+7tQ-iPVis?kKc4KrzbR^Rl1;0*)G-E=fO^CFGCA%Zklwt$c%HWmZP?$|$^#)nEsXzEZxIcOEfu5-4no&dCG?hzF{vsmw?How5I5 z^jbK#!=XQ=(d#`MGo#l%@IF3r6qqkU5Gb zkUX=i@=EY}y(cqzcl2K9oRF_e35+y#fQ1nz1f%z-I4-9LdN4EkKok}{>p;$hRl=eV z!xcZU0gWzUC+qI$(hz~3#yhYfjXs7Y%R&S?8}GnIG`bv1R)om;tfVoGK7}PuhsXu2 zqzR3#!IHHh(wUVsrO`4hc_u`OR;7b3l-hoa74wn@V%e4nhA)T4EZTS7JZAKa#sJH5Cn z?7D$DebJ+~Co_691C}ot%@!WbOEL=+ZG$!h{a+HBvKn_!U@PjReMPWuw{{TrZ-+wU zG8$gtxWW$S1Z--PM(kjdFIsj2or~7a$soCQgx&jKI##Z2he!zxuM9s4?zHp4MnNR} zq)i$}{|S-7G`tQsz~odLCZ{%Gr9)_VJ(gC7(i3gcl$F9{;xa6Sm?;}#rkb(Rp)~vq zmezvO+BRv=|D57g<-<>HHjDv z%P(5{Ic{U8>oy#nJh?eF;(L9@UWN}b&FL6mz?cOSm+sC*|V-({0dO!!IPaF3rK zA`r5Dv-5e?eg-~MLU0C|kJ(KjvVhXAcnF(eN6*`&3u`a%{8dLB1&qX`nm$~9Xxor> zI~aM_gD}$R^#Hu@W@)2^8(KNe|YZ>( zPo_T%^}mFoLpI!Tll7aFAIw*%|20(p#wNX){wUP{9*TajNgsuNT$A`2^~GMf_}o4I}{ z3fQDyGE?JnpXO$uekN27+vHNFKNa;M<~BFSCjAxq+jxZJ0?#i(BmDj#Q)%b5Ob)Q1~MF5E~;m``qZe3Dy(S{N{!3(LLLcOoNl zPYaPd;AvcS%dHX2tqs0QzJ4sHWP^$+@FvY1wiW_|FIVA4B-?4OT+-e%^F*f(?Ou%Us zA`7Y28%?&M)+H!GAk0GaLI-MLG-hr`Y7NA#okHYJY7N3nXKIzA)RkJpQR+smQ7Cl} zk-Mlh7BldSc{xgbs5J?tOQ?l0Z@K-bg#l=}{i!t@w+^J%)hLyO$lcVs4l_fjbt6hc zsWlI!;ncbXrIFOS4W-f4!U(C{vDCr{soe3@!XT$yxDVe$Eez?&olLDIs5F&YkD@f4 zt&Iaud-qc7aV)u#S}RbRO|7R;nnSJCC|yIXbtqjIBKJ}28O+>BtxYJ+g_mhIOi6QD z9}A~=KD9RErUfB#Kee`D2D+%1P+Axw4}{2r)OrQ;_k_qp)OrmwpztP2P~mNq7E$Xx zlop4`q7Z=q7)yAs?sKXPDnetpg~*``;7P`VuqGQ|kyyTdDO8O4~wYIkj+At=yMF z1fH2s5H}8aV!!AHb6>$r_Eoq(ciLnSyJR20OBTBMTQ#DOoHaV zdSI` zn-`_@9o!CGcph}&quBN})EB|+MU;Ms+pEI%Vw;R++t*TGE^a@8(*3v{ViEFAvdI_* zhhxBbr%?JeZmbC#PqWEbro4{&s-SWmO8>;|XTbJ)HW|m1tKr6mG|Ph<;n6=29{tBN zWr&|z?p!H(EvWAVtl5(KPD1Hyn&m?sh!)6eZIjEvAX$kD?Wpe*tk!}0PD809%?hFd z{5D74`8Jurc2X81FqpC-L^jea+j;iSy9hRSx5-5Icm>a0aAiFQ>s+JZi(#E>l={Lt z*C@ejVEL64n|O({pkvLbqR;7gi;GQ<&Kr<75SmfmWdK%UlS%ApG{qjvrzYeLL8Veq z8fuft&~VAKP7}==5h9yIFNR#p>fxL-mdJ>qPY?CSM zffts0-h%F!PJNBh#!TvKhSHTGvNc3r2odOow$rTR(9zd`{tc*c>;kr4myy#9Lg7SpWiStqixrLcoXB3bYlG9&sA%{tk+#`7MB(kE;(6P`@6 zPQ{8Vp$OiPuVA%K!&;Qe>uoZN)vAlNHbBuvo6KfUIWHs9b2O_y z)_)#0LcGgWtbRkRzYU7E+hh)_zXR*P3`?b>1V1#e6Q!N7WI0N&Q(tR5=r=>;by&X~ zi{GX`e7wxtO?~HLg*_qi2K9Bq%m*RzCiQj3%-#@ri~26a%)SuW6(VoL!sgC5^1S^t ztBLatlJ^C`9kj{S!0YRdl@C*2FO7VsA0n{Z z4`H!$g!~;AJ4fly5cw!X00VyKU@sQ^2Wy=>Qa%Y0Si<{0$4tHjORuBk4-r89G(_j(?jH27)%%U7^iQD zn?xV$9cX?X=O&Y1Hz$yPhE1-4Yc=a^rxD~gfTD&rj0V6>=DVcJ-nn71+4AM4nxd&@ zpx4|c*D+Ha&{Rt(I?E>4GgIGFA5P@RZw)K4&0XbsM`0;WKXjG@3OOH_m{KGi`D^+lbG+ z`Lk%&2;6uTY@B10JJ`k>(d@M}Ycy_z@sE5M|5(U2;*)XyT$(iwH{J{z=iB5?whQB^%58C-lY1T~K?W3^$F`L}Ww*O3h`1qZ_oMz3!?a-g)Lw|N3GyWhR&?=fW2RE*P zjcaXkKih~w_xWWs>ss71bZGg|p*_GX|3ZBjcAvkQ`Y_l&e+%_th<*MGH0uV`fnkSy z7HJE4D{+UfP#*?~=R<$~8}+Th;x}m4TvU4t)OOkAA*S{_yaUFD*PTytu+&t$ zl8NxWBOgZI%f~*YZEXBD|GkX-J^Ak^-r1U$zoX`V=-k=zKZ4!uwaFrOr;S@E-T5-0 ze8-H7W_2pT<6Hi|OxW9})VCfF=5rXb4Iiah4`D-w4m2M+(1(-XnVXl7Bjg`Oo+D8C zE1N8atJ${^&3zjpf6}Z~PH&tKPpA3tbh?BMYL!n_ZWlKnzPy9@1v`jeLFG4_EM*`rh0R|yPb~!v-csw-g zEmS)lN^99<1uON^tX)_NPp<{=^tzIjS~TlzEQL_l0tj_|l9l>s);n0*97{@sAJH$v@AHhGop?hx*7GZZ~sc8*l=TPvO>ZfjRtIv2D8zU7Q9sOvQ1tq*a6{ALt(A6lEK4k zjOjhtv7Z+k%q;(U3Xu^7uNLftUsx=7E$ISj64wUbz(af!$ltQb>u`t>eucdQMZ0Zy z(?~ugaj%mF@1cSBq4ozhc~jxhCUITMUab8w)c(XKZ?WCoj2`_Aiaxi=E_gO1S7Sd9 zU$zr2?Iv-T-bIFG9NsGU8j4`x{~ZN+)3~k(2Zsva_FDkA-`&i>N@V#3 zihi}pyD9^5SI-|v0C(O3xbyB&9D38ZOXXjz{U6jmYLoX=waYJ+La$T15N^hWa5H{i zRlEGUC=6ol5Y*1F$p?zs&C1VtA;sERP&?ZuAF>0-)tw8YP-NTWBlduf1FD7jNhhIM z`Cvj}A(|=zy(%`@%S?Um_^=SZ6coajf{&RgoIh7so%&vP?(&5vQ6El6DXc+#?_k-f z)VBwv)2QzQlxoq)PZ75Gc3~YbSJx(=us!^Od#DdZ4Q#Rx9wj`%WXkeZSJ(t8n}R|! zn|#V_{Earwgrb%<`Al)9o5h`tR%ieQs|sPT>T_lQ*Ip@vTYDkg+V`sr#GN3#w->^_ zy%6s02f#qoLW0gv)WwGP-Q>%xd3j?k?B)bk6v7KyA-td+WCnuK5c3SYpcVFxL_;?D zlKFZsdaf_^;V^UIrPOy2%LY&%&fX}z41SNtxyA}16p$_YT>cv=m(#)_&LD7MDfQuq zbKx)=4M!>4*$CL#$VimhG3$zittUvOU&sPA`_CQ;vCC{2Md+9~jh z!9!@_G~#TX8QPg$q#? zK3NySC+n}^#Q1Tzvk*ek61uPE<=sKy{iyc<=sjqYuh}IV_boU+{<%Cln?+NXLqCib z7K4Q)Hu;7@LmN7#5$TJ2;bUlI85nuoCf_n6Vd}4eN4_%Tq10a!Gf#&+S=3((GiySg zY#J?a-t-ICgL0WozGE$-2wOGWG7I6B`8~7JU@%l@Q(9O^3koBBs!*}v338l|Juf4L(Sd8mI9N*49wm&77J_0Phx zAoX8^5?l#5R#F5lV?LHaOSm1S9O}nWi=rs?2TF7+?Mt@+fy1f@diUxrc@>c^3T zqGI@2K*!NV$5H=k+cp%lRlseJ?MX3`FL{Ykc2JnkZQ5PC0#zce;23n#EE?#KWmnO_Whh-u1A|ez zmIj8RbUh7>Lg_{t7>m+e8sN?TW*V4+Ww+1(Z}zv+z!g|_I}OZ6X(0_J-7N&Tnkvt!V8r8n^?c$7!^_ zGg4Qy9ICCb$?vS%UAXZn8a*2~!Uu{X_(1VTo(ICUN0*KrHfcC5S_dDWGct?T!>{v& zik^Ycd^U>!eid%$h-nEi&#{>Uy=l?LqD?SSpy*i$mS77t;am+CI>FX!!W;-*whR;I zK=88Fm@vntim)Y=Fb9H{t)zrG5WFp7(@2=$Wh*1$HV`aljiMkfXAKicAP@2HJP#7& zfrIBkf;^D$JV=lS7M=$Q@<7A$AVD5@cpfCk0};=I1bJZMd5|CvR6OtSu?eA=XOh)` ztsTbm4j)^EjOQIbHfNLP9X_^1HqSeJY$a=+clg*^);#aBJA7=JE6g*=YQWZ>;(3RUtvFC(k>4Z00e~JA7=OFwZ-DY$7Ai zJA7=mApq#JA7=GAJ02{Yb?-clg+?gXbMScF*8>hmYMpc%Jb!WNX|xc}IeLjL(rEALDZ*$jA5`3Gy*M zM}mBe&ygS><8vg)$M_rx@-aR~f_#k6k*tQ|{^3ZF7ko^Dyx?=zvur5tAC3gu1s{_j zFZh@QdBMjd$O}FuL0<4N3G#xENmfH~|8OM83qB@6Uhpvq^1^>ig1q2k666IRlOQkn zm;`yj$0W!LJ|;n4@G;41DDEGQ1bM;7B*+UsCP7~CF$wa5k4cahd`yD8;A0Zx1s{_j zFZh@QdBMjdtD(4mI1=OqACn+2_?QHF!N(-X3qB@6Uhpvq@`8^^kQaPRg1q2k666IR zldOi~{^3ZF7ktiIB@M;>!;xUS;A0Zx1s{_jFZh@QdBMjd$O}FuL0<4N3G#xENmfH~ z|8OM83qB@6UignmkQaPRg1q2k666IRlOQknm;`yj$0W!LJ|;n4@G;41DDEGQ1bM;7 zB*+UsCP7~CF$wa5k4cahd`yD8;A0Zx1s{_jFZh@QdBMjdtD(4mI1=OqACn+2_?QHF z!N(-X3qB@6Uhpvq@`8^^kQaPRg1q2k666IRldOi~{^3ZF7ko^Dyx?OJ669lijs*D_pCds&#^*>@BXR$5B*+Us=l;=1+&>%%whKNcL0<4V_m4*6{^3Zl zUGO>gk4EDD;YhGu@HzL7M&kbANLC|p|8OM83qI%m(Ma4s90|4yKIi_?NZdah3APLW zIroo7;{M@CuwD4ixqmbg_YX&c?ZSV~{iBh%e>jrWNZdah3G(9nI`@x8;{M@CuwD3% zNzwA(r{r_tgH@M81Xt4Zh4SU&*lg`!)kmGBYo!p-VAW;PdbZB|tzgwBXt-1Od>GxX z3entl@|;f^9u^+XHa|tfABR6-(ke-3 zT@9t@X?9(dw$kjbC~c$JB`Cc}!{eRxX{x?V!;4XRg@$p>u&O(0_+4iyovN?X@OLP^ zNof_7c2U|0rFST8kJ7u8UWn3rl=emG14;*=^bw^)QTmwDktpq>bSz4rQ91#o{gh5Y z=?h9{p!6lBvrsxr>D4HGMd>1xzM=F{l)j@`xmkJ4e-I&81*M;8RyCA)P3D4kEEcrJ@!agRJK>q?{fD0QRJV$|(UqbFloPZ~WHC0H1wHcA)6 zH-#EQN+*_1fM4evF{89|$(TVyN@{pWv-kxK@R#T7^@!CPg4I+%UMqTr*oS1}x0>!X!NOZL0j5u^!JgB&zP5vw%3?FEEz;C^k zOqx6aRxI(g8#e@!tR9m}29N4eGQKDK;Xt-#!HBV?T_=wjR62o|*qz4>E*ags1iTG3 zcwq$jyRlVVN+-c`)DY9vY3$h23GGIgOq^H>*~}i}CQle#3cr;KR;$3`CG5jc?-3J6 zfRQ$1$Bvs+GKno=4GTZO1nEf=Crv0Bk2awwrw8n@q|?~Zz{1ujfcY#=3E-0xiI@1` zFF-<`5fJ>=lAHx=Yr-UB(#lECA+4RX4Nu$hv>i{|vor$pYstAzy^iEOC+)=3^Lcs! zPdoFp3s1Z9^g_~&c#2Cw*Gn#f{dZ5u_u%z<^0XIEd-Jppxmf650{VRu^8FI*UCQ

B7*H*vkW{P^eb^k$yU=jkoH z-hu@ETY33yJiVQ#cW}LhJbx!o@8ap*JiUjf_wxGp@%;Tf{{T-P61Ktilnzw-1qp8n3$KY03QoIU2xzj*oIJpCtO`@g*W zKb{`N6uuOe-*12mz9yFE!LG-fkhc=@zJ$DA?r%JSgzZ7T-w+Ggqg!@BVPm!mJlUDTT?rcAi=TGA4$vmyW(^GhQDz9IY=TGDL(|NuYPiynE4o~ay^bDTX<7s`a-+-qL zdD@6?Z|rG87=KfqHsfh?p0?oWnLKUD)3bPbHn-P`r|0mrHBZ~{v@K8D@w7cpJ8(Pa z^0XsQ&*Nz)o}SOs3wYX@r(O7Qbmi%VJnhEQi+I|d@2>~X_vC3Wp7!QxAD&*!(@S{T zm#6)>olAMXKTiknbRbVJ<7o*`2k~?;Plxcdl&3>^I*g~oc{+lpBl&(u@pLpVAH&nJ zJRQf=@jShp*Pp=i6L~s`r;~X)g{M<_I*sd1=lK~toypTHczPwTH;bpUdHGd5ox{to z=J{**dApY9ujA?UJiURZH}ZNn@pLXv=kfGrp3dj#Ej(So(_6Wn+jx3APw(LALZ05q z)4O({0eS=CC@*})2Dd)G*4IYbTv=c@N_Lt*YR{cPs>>9dzNH)p7CrT-r}KzkSpK~ zhW#Qy-A$gC2)BPi%=2!U=c6*uzAm+E)hYAr?^9BkSW_rh zo|2({6;QwFpnf-p`qPD{JSR(@`b$9lt%Lf<9O^$eP<14zqcJEi(Li}Ul?+uk9?I(# zP?in~ma8yrQ?5?m84{FVKm~MA5CUlmYyN`-Oy9s z95)TUz62E!P*EKe#MB!?d0d@787fym<>{axyx$PYTj<794J1z$38*SMD3~E&2<6UG zGE}jEs-}ZF&KSyjf*VgYlsr{kK%J{Kyou_1|lLb@_9n>ktQ0_d{Nb=OF0;;AC z>NInxT5e9?6B1Ny0aZr_1#>t|8@j98%yJ3p3;|V72L+QpOrhL7PkEk}pc)9MhB~N5 z#!y~Yr*D-6)mT6^(Lq7DzNx2Noxar)R5Jn9Tn7d71q`9wdFnk0>P!LEQU?W77Yw01 zt~@0}oh_hR>7ZcNk|C5kPrWaBsql0Q|4CT&KGE_SO)m{hH!5GS&r#_QBb*_Nw zsDnDs7|NZeWT;L8>U%*F_b$`$xs&xsBSu_iz*rFE6G#c z1ym0m6wCxP>=N90>T3zAmw@W6gX&`p<-Nqsql*mHS3vdCL0xJNH6VScfdc9>9aM=i zl)KaSjdc1338=w3D3~>B*rwdQjD9CUl?te#I;dgBQ0_eSy#zH}K#kBrjjUv-A0((z z0&27lYK$?IyEo(?C8)6iYMc%V7OFFCQ?6b{f0Cds7f=&)P!lT|>SqaRl7O15gMvw^ zmFg)OYN~*mrh|g{v6Tw-i{z;p0&1oX3a09sLd|m1qyH&E%@$Bs>7eGAL%DkI`%8kl zT0mW+gSyrn>UuYxlA&%8P&ewJZZd{)*Q3i&a|P5q9n{VLQ>gg@>J}Z;0%IueZ7xoq zmq=~ub^&#V4r-w}l$)#1>ye=D6i|2Rpzbz@a^)!*>K*}guMP@U!!YfqTtWFHPu(w| z9?(HOXb$D-^aUlThXm9j9n{0-P_8^BLoF6iOLS1MBxBE zo;Qbbb^4^R67LoPwN(f8f;p6{hAu;G6HwcAP%l<8)Tz?xdr3gOtb^K7$xt;Vs8L(o(EQwjE{ZwlS>K6g^s}AZnbErSuJSDV|p#Bt4 zf9at9HivT6(A!E-{|Kmmbx{AAL%H%)I|=G&49X%JD9d9G<;qj-*Q2bFCM<@v@9)Z-FVj)02jpkVo3!+y%jO&=;x zK;`S83e2I3(ub-dpsMPiU{PXIPaWq5N(w%;ju%iT=%A{bL!IOTirgTzDG@tt>0*a1 z^Vng_71WIql!zU+bg{$iN9RpXpPP@6WQPPLVuvkV>@fQYdQ+%+Zcg7T5>$QBrW)wl zR6}DZcT?&1NKlOgRAU`f6LTmxohOl@nhL09I;iI6P(QjkeNsfM)j~j>se@{14&};I zGSpcD>TDfUD`P0DwHr_Em)cYt0o7Iq)y^EMgBz$r5|oIDwR91&mU%?1b-o)YDg4d4 zKzORN&Qo2CJ>_aP2>Dj>R969Yp$@8BB|}LudDcY&s=E%VM4QmIgXOP-n_peE{|CK*FnQ{43EGL(pjwR91& zRs|wrUA3uyBu|NmSW6cXYneyHy7QC_b)`6cvvj8qen+QL+tk03r>+uEb97MflRkz} z?mQ(!T_d2b)j?fn4CSt$Ix2bUdI5EV4(di@D0iNcp>7gTb9GSjjGmU-;3J5R|_B6ir)#SU8)h#hv* zqkFv4)hA+yEnV!eWga{1&Qmg!h#j_cvBQ>m?69@S#SPgb1vFa^i-x{f*U*<#azhVD zr*El%dPE2Hs5z9YnUFHnV*+ZK4(f4pC|7f_f|9465Kzl?P%F%#TwQ%K)Jg&Mqz>vS zb0}Ay3Q3-NT0pJRL9I52a^)!*YK?$etAko+4&};IQjom0UO<)Upq?>@a`PF)lPR6P z4FYPT4r-G*lq*lkP|pge=X6k;jiIb9ZhCYXYO8>HK?k+X7|Pw!1kxM_YrBAYQ3v&s zIh3oZbTZV-0&0g2>J@V+Hv!F_EUBTtDxh}ipk6bEauovL$(EpA7f^5Lpx!iwa@VG0 zsJ8^vE*;d{#!%L7H&>qw^{#;0ql0?S7|PX`(S+0YzJU5b2lb&bl=YDtPf1f$ti1y2 zV;$5d#!&7ivPx4_tbGFNQytW2#!#-lVtIwM)Ym$wZ_J@wJ$cGdVu@c%x5TeifhB%jt<-hAbozb} zp88Sesh`X}(hnl`pm<0-F2Qdn>j4yv{}l$$VJ&$-g+t0SQ5 z>Y&arhH^I)Btz8`Q1x|C4UD1Ojk?HC4Fyyq9aLjuD0gEC=SiopiGXUVgKB0Ds)Y{fOmiq#uULH~Pqh?KXX&8MHivTc;vhq{5>V&ppjw+l&2lr6*H7}42$J{d zg5-VXLGoT#Q2iyS_QF#gbe=lb+*7Uw_hqP#0_r>+R3~#NSFh~@Bu||$pf1osbvB1` z)zAk@P+bI6R~^)a=1{K25-yXVx(TR@bWq*Rp)muRI(Lr5o z4CQkb!7*I&l!)B-=_2=i6^Pt-)g?@qphV=pPZzoGGmqT&mAL8AXGu_l#OWKXJAFfp zPoKN+x2q&5v6i(@x0bcfd@XBtgZo!YP+~1>pKdK{pZQwW?mTs!1T{*WzR|kVH>Q$L z-whI!Sj*a{Tg%#KzLvFbf}4guPlB2#JT*z@smaEkayJlrhXgf6Kuy&_O*4k_&2ZzX zJ0+-@0_qAK)RpE?v)w@5B|(XJbe}FB-De(;?sElow*)2P(S5pjbf0-Vy1UbNj|3&+ z(S5pjbf0-Vx;vVCbD>VDeS7`pffZ8QI^|sDa@0feaP4r@d zr*;ddcXd#EjGTK zEVSnBDxJQc#OeE4clv%YK7E#}1tGghP`?VO-*iyF8$-G4(PgMV1k|58sK1P%TutWj z%20m`sDE@&|Nc**{u59~HBf$H4CVK_=@KMQ`K=g~-=~A}8$(&HmSdHn0s<Z16o&o9Ds{kkw+zj>Ih-%S9Hw}%835uS?bJY^eu%IhWoCjlx~K;`M6@{OVV zZsHQWJta>S38*SMsH)~r)!ekH-V&4uKK1K@PyOb>r~VV&KuMuW{*#2KPS$ykGlA)ydDgS8#>U14ctxATvRPt190aZr_RkxC%r1&ZS83L-F4ywL6 zR6{onT?$q5Hxf{dbx=*rp_;jYl0udIBBau<3#s&*hgAB{asyQ&wW+g(r&{Seb&k2G zTy>r@RBHj%MhDf_9ICw=PYseh)j>d=tApxj4%NvG)F=r`1Pc0ffr5VXKtX@k^r0>k zp6aIa)J5i=`agi`E}(kopnCpKp?V3Z-a4p0=1^{8^1O1J5;1vxT}+&8=KB~OhLP~&w_mzzVmy82|O2?A=O4r-D)l$$kTz2hWLi8W&Vx;0|` z=4-_IXSz9kGSn5qQ&;LdHOt&nSGj>2FL`Q?fVx@-b&WaHb?HNiNHf1K(#&rjY385n z2I_LjQ}cwUZq|8fzPYCsxPh7=LES2#Zqq^CZVt834b(&lO2kk3b@5Yv^Y|&hE2v2l zl!%}5>*A;U=J8Yh2i$mSvIO;@IDHT4PTwN))932ZWts%_uz*^ugIZz^^@tl!Npl?h zj|!;AbWqF8p`LI9HAC{0hzj!SqJsS9Q9=Hv+(6Bgpq>_Y#R-L%r?>YL4V75$odD#k%;-V_p1jyMek|f_g`IYPZf)@0xqc z)qCHy64V|6^_~vueRHS}-FQlx_T>LaK<(8*eQXZ3&kfY|lBdLPZ2NV;vF$hijcxw{ zH&D`CEWcR1&#zm&&u_kXpWoFR@=cPb#NvH^-QsD&TViwLpUM3#fn&DrgRs;Rfnf2`W=Sg>_KW z94gxl)NK+}j)02jprYnbu6_^sb_q%>9~RIp9~LlQJ}lrW07sh08mJ;XRaNJyVq;JF zU5!sIlsr{UKpm%pI^G=0)$pP;yEbrwfU2&8I?)&^aI%|*F3qkD)DTdo=%7wDhdRv- zlr(2FAQr_6=oZBam@kUucl8Zfh7yZn1$2vI1e5t2EUpHNXG-Er^Pk8E5ou~R6d&=EhEE#HmfEuWSy382L)nYSV8LC7; z4bnjkHimK+`Yl5Z5m2Q%sG-JCf#Gi2lr*O6IYxu;w`CCHw-Qb5hpLCrRYa`luTLtQ1H=IEfVHivTclpsTi^``>5^``>n z>reSzJtfFcA}T1LiwX*uM+F63MFl-34F!p)pnxtaC}18HQ>`6 z0$>JDQlcb<}=77D04bx?OzGSm~2r|uR|_oRmM1nv#o$A2Qd1F_&g zLV~r5>ud--yb45b@o@MTz7xQ|<-g4dRRW6xOQ4KN_mkGn_F&)vavo@mWOcnz9D#xe z@dTCz9^rea2Njtqf2|FHC)D;SRzUm3rBK-$cod8*53Jxu&Lm#=52$L@-Vj)&wo-sK z1Z5zw64*Qro8JQ&##k1oS&^~o7M3YiX#sOo@GZ4 z{t5=3i$6cl!ufg5@xu!#_VBXl9=3@+Y>(f=HrT^+i_;(+x4a$?4NW8Py0eE}fwzfOJQS*2MEvj{wCY+X99PA+A@HGkAGL^& zRT8ex2<-MWaa^Afco!}bc5P(@_Q2kJykWoN98;DR*bRGq7ykA*d;Tb}m!I-(V3HXP z)v8^m?uNjA-HtbDR!G3I2iceIo|BRmw_Lg-DU?4vE4F@fx{rNgUJpY z0f{*@JMa}q0SBr|AaD?XzJ$L+@OK#gj=eSQRhw<>FlUP6%?$j>-JBU8tI^814)KWHZrtPAF?1f=AfSOP&XKUlz(+d=zf zon@rfx?s@`xD`GVtj0tJV-*i${}N9)>8^+dBfM#Ng2x4qR~W3022Tcq)hlSQM(`Ac z!J25W78tBqL4&n}b$IiSyPvKPMpr8xmelG<2Tv{lIrryaU4CVe4o-tUBUq2OzH-yW z>w|g5rlGHlU94!*Q%!kx8yKBk&v8oSMrO*#E0vp=DW4E*%FkN)Ww9>U+)VjI<(|(p zQ$9(le3qH=$x7u`X38~`%B{_mPf;qjHB&xS>FxGr$~BdHKG#h7H07SpGgCfYx##oE zlxr!KJDVxjRw{QjQ?8>_?q;T3SE=0HO!*9@a!)hmdP?QqX3F)I=lNnYA)uT-9ArhI`?dA^x)XQlE2GvzMIPX9KuM$%QOe21Cxg-Yc+&6K+-mG3rFzDTKj zubFapWTxCpsl3=sxwlezshM&grShX@$`>n@mzgPFqEvpu zOu4U8d4-vBKV>6%(oFeM<({85Q|_-+UTvm4K&iaeOnIPEdA*tPWlH5|%#=%%${Wp; z2Pu`GHB%m}RNic+JVdFy#Z0+Wsr-VO@=&Gnb~EK+O68Z#l!q&ocbF-UP%6J_raV%4 zNxo*LJW8qjhMDqcCH7lp%43wuZ<{HPRVwc`Qy!;O-eaabUa9=PneydIZ+~c}JVCkV zy=KZ2mCB!(DNj-=e`=;YS*iTFner5+@&PmDsY>O8X3Eo)r|OWI@^s~%kC-XXP%3|I zraV)r{H>Yt6-wpr&6KZHI_yU?6{JWX*93}RjX3AG9_x!h+ z@-@m=qkqj_jjmPh`KZ~RuTv?9JZ8$*E0rxX!*GzeVQaRsD`Br5kDKu*&w<(pYm?__`R4z7C zzC)>eoSE`MrSb`8%6BT2Pc&1$OR0RaneyFA0Anr4mUUZwKsX3F;|m1~+1zYo`2wQn{X)@`Fm{24>0+DU}_`3co?Sg4bk@^a;#FECSH zp={e-%-Z%!<(@Az+w+r3<%`UepHeFKFjIb7socvVI=uT(BEQ!Y~~4>nQ`4N=E2HEGoT4__GYykd)h2tVVRD+fU0!T#GPzw&SDWmchRGRflb5Dpa;Dms1B^{3Up-fZ zt`zqkoQ@Qzb;9~!iLpsG! zOwLuCoSBBnd1{kareX4CwaM9On4GU}>T}FZCiR!Ms7+pzhRFqLlh>tT@>aFU8`3a& zo7&_}X_&lSZE{{3Cht(2oS%ltg=&)v(lB|a`qAJvW0T2`26w4V-jRmMyVWM|OvB_o zYLj=TVe($J$$Qfe8`ChkTy65%G)%5go7|j+$(3r8ThcK3q}t>Q zX_$OUZE|}WCZATDd?^i+tJEfUq+xQk+T^Qgm|Uao++H&_ncTUpRhxVx4U_BC2mY3^ z$>jcWz1rm4X_zcio7|m-$!F9i_oQKRgWBZ#X_(xoKI0!6n@ny*o79whjZG$>@n_X0 zKS{&nb83^HreSik+T`bHn0#Js@<19Ux2R1XOvB_>bt5`tY%;kKy`ZK%Vr(+G5p7eO z{5lPj+tnt&O~d4iYLnlmVe%#Q>G{#vWb)~GS#9#?G)(SLANa4vCX>(jD{7O!r(yC{ z^@0CsY%Ky!)ch@tu~pJhRJu;CUep-xkqg>nuf{u)FyM& zF!{dPWPTbZKTtRILSvK3*Z7BOlU33%`H|XWaT+G~s!bl3hRKiBCQnGiNSl`Cij=0t4*GshROYEleN<@c|dKlZW<=PP@AlmhRK6! zlMT`^`K8)qqclt&Qk!g&hRMU~rrylhWO9FbL~XJ~8YaI|n{1he$*@>jLVi_$Rpo7!ZLG)(@kHrXo;lYgj9_DRF!pK6nrq+#+e zwaI>InEYF9vVR&T|52M9n1;!J)h0{QF!`U_`D-$&uE{vTD$6)|O~z?? z8K>7N%cyrlSw^E<$}*bOsl6$qDb3@_h(Hm(#- zGRahO4qtYktW whFZq{F>o~;s_ML3e&(mlCSma zj(K7V<~l0oX-UkJl+4|nwIpNA&O*W&qmtOkIjR{v8B>gA@Q^l2UZm(3HWv1LQW45Ao&nZ7u@xSJfuwEzWq*T7fO!<7J@^xm)7bul)FjMZVRKCef zxrAHKlktGU`-=>ex%VH0WACdpvbbVK`YBK0Qgb7$9bBsP#iIsB zK)Jt?V3~n(TuU6FBry4%7a$m@Bv?@~g3FXfo~)RW5~Yz8KQtqCpxhTv!Ql*68d+mb z!i)@28cFf{G^t2Rl}5@cMlw`sB*o9#q#_xnG_uK@gf;WwN+Zuz%*Y6(k>|~gu(LBV zV~gTO`+|WHP#&$kR<@fdk5TUVB{Su*%46SQpd5Fpk5l5mYG4G8eY}$3H3Q|i3+!^G z58f~^0t6G3$|-(2NNUcYJW+Y7KSxRMt$`81zgnsMy_xbgO64ETl&@7P|7@mw zo$_JxHv?tvxL@GdZ%`WfqhdyGRGz}W%#E;H`Ate+{9|AQl;Z6J46{8iRVvpvQ+`B=-Ox<=QKfQYGv&vW%1zCbmnoH-n<+o8R6f&8`3a@+S!T-1 zmCCKmlvgN~Tbn7bR4TVMQ+`sZ+}=$2DW&qcX39@1mCrL%UZqq%-%NS6Qn|C4@*1Ub zS2N|cO66{5%IlQM-OZHOE0ueiDVHggdz&dgqg1}wOnHM+xv!b>M&*;>r3T9UNstX6 zY*G>oFfanj&no-x%gmIYQz{QKQ{Jpp9%82ayz-cbnkjElD#v~H)!i+(DzQhJ?fC_z z@@O;VZA#^_X3E=@Ep5Dka$HM$QE6mC#f-e9G%~4TMqXC>Vv2zgX!ARi%G1o0Ur{R0 zFjIb2seFZ*@=m4lEHmZTl*(6`DZj2%zS>Or4W;t6X3B3Wm9IBbeoLu*qnYw9WhXJ$ zO!;kP8^75=IqnVr9VNjn21cNb?^YiBt!B#aDwS_HQ{JP*UTCKLo>KWPGv)V{%J-Nl zf1o_(`^=O-R4PAUru>mo`5`mqy-MYW&6Gb@Dlaip{zR$#h?(*}<*9niO!-sgo*y?; z{!FR7+)Vj%rSeKM<^9TIe#%VwfKqvtnerD(>@{Y}2bId}%#^=WVwagIA5toBFjGFP zRNiE!d_;N7&zUKIrQGxLX3AeHmA9HHf1_01W~ThDQu#$QRMkIM7?x`7e6)&8W!f749)XXT!EnJNFG-19qT%D*a=-!)VIO{x5zney*S zY5peEx0sw-GoCMl)7fJ$3~^DoM3i%>Y5onwuZxH z;heIt4HF??nnRuPD_%@<@NBWx@M#X!;pz@pYs0xPWx&g>^Vl>8YZFd$;46Ex$qmr2 z{io_x!ujR<(2c1bRVo)6DSL>jQw&!zP%eJ}@OYF)ip`Bc6otpDG;&4szF_=co_VfMXY~FVUT#+2gB#4ip{9bdw)B4V#-}s$6eN8 zyX?3=SpENOm#3xNWlh{=J+{m9)(30;-|R9{CEO`|KA(}Y0w({#b1oUPCfp643~H?j z_o`MFzPK#hulzY7GBq+K^__QMS$I(GYVozBWKQbp{<3hwcPxucEgr$nErNtEfVqY2 ze`CU(`Fz7M;Vv+*8Rr{jSx_MYn<7vcfnymKj{SzzwftDl)g8;U$jsDmcr0b%aSF(3 zaggVRM{vk+wlbbt&H;@MuSEZn9`owD$Z4dE;5SewJM z%EDJKBN<)mmW8k10?#j7!#74wh<^Ygb0ck2qt63-^NRsprKfpzoL7G4qOgbaQ}1CQ z>|sH%TzyRH>IvwYN5b2|@InVYqYj97NxRTCrhBETk;_xluzj>S(^tjkIQ;%9KH>53 znf@x!D4EZP!~Z3VQ8Hg+ausw*ev(HD!;?IdJ=c3~3QyvlPJ7}z3cZj8-Hs<1oTV>nbU9u5})K3C3l+FmQj6_P;sf$)QHsrX2>@I#~( z?BOO73NL~(zh@#jG5j!;!RxDMdw4=%3A>I!VwVs8R!xRCJRuUhbQWt{^$FIJ*tS;0+B3(p_CiIhZ9bN@Z56Th!m+Gv55LI!w{Kz55%$Kl5gwdg z<_~A_&qg~UnqkqMp!B-*sJKIStCb!VcSO3Re&%8$%CYfxvLiAqbYT&&0c5t z!Xr=|fdcjd^RCk8?BB&*6RS?SZ+- zxmLt$ID3!SC*E+sc7N`0zj$R<34bHb-M8`Q?i<+Cx6ZlyPFycz;mols^UU(KkifA& z!U9OOiZ_M-j$ceD{O?Bgkhqn4H_nc?r53u2cpJMixr z3XQ0m5zRejGkVRq8NGIlW<>L)X7rkQGkVRq8G+G?G^5wl&4@-*HY3=?UrA|!`(}ju z<;{o|#+nf=ifcwt9DxFM?y8tIBYJ$QW^_{OW)zQN(2P#5$UXc`$GxfFcxVmLD34&@ z=2=mzjMgm1zAb!X+*nRlMw74#Imn4nA=8ilS2HpMQc`tC0#+;8k+Y9KDAhVd6u1GtzPIu}zT!;7hT zs*CBY)E84ciowNnwzB!RRyY4$$7=pDy_C&=mvQsoW#0UEMNFIjuE;T(zx+rD45rN= zs+u-`sA}5$p=w2%|E?6xzg6ny-%2$9Htw50?l;!_+luDjF24Ds$_*q^OJIgNz6mLQMBfV3f?89)f;Zfl-@hvJ+ z7=ORsAJIhdNFSs2NBX7Q3r%`*-yi4PC89koN!6Z8gmX(s$Z6PhYt@!HQ-3F}A zb0YDfp5zN-hksEahksEqhmSgz!@r1Jmilxjwea}8*QKL{!^gxsd^9+GjN|aJ!r^;( zm$F!NDex&L$>9ep;_#pq-@p$V`}<&p{CzOa--|_$vN%Pj2Axd#_8! z3x8i8@9**8@5>#3PZ0i2>cZbsb>WB7=)w;fcj1RB)P*04bGShlKJi!%KcoruNOpMq z-s{py!r_zS9X<&hKG|{j6ph1GUHIXOH1LEj{IGEgKU|>}ez;-|pL#5ZAFfar9>4dx zbeeGZ^mvC)1BXv{96m$ia8(z6q#_Pa=)#W}JN!t69Dbx?4xf1}haag>7ykdadk-)x zilt$AW;X9hbN1{mG)a=g1tlXQ0wN+x5Rs&!A_yixMK2~m#egD70YR@Kf&>)-Wx)l# zm;>gEfaq0BSH*ys6Y^E}%=A>vneKCz>-Rt3^S{sC*P+jJb)~MZuCA`ZqqmA)BN=`z zX81M0@M{Ibr$~mUneZK9CVX#o7*0+2-mLk(w_5z(i};;|37?vY-+QZN!tv;>qSGY5 zufzPF2K>HG@cVj?-_~tLLZtBgwmy0@xY9G=4?AjR1N#`|$_>#b(dl*^7d9K(Ox+_7 z;Lo-TYmc)F&gTC?iz{?&rR*4;10ClnJE|{OMCZ^U3e`i*hakNm$V--sE(}Ra;)z>; z%OV9XjqGOX5jYVl>Kkd!#V8St>`X-X2bUnf)@pn>#cLt4J8srB>&uL?BMs}I2}d(t z@)*x3I|$ilvLUY^I-Tc@6;<#DH+!r^s8Iu>gW1r`HyfGT%m!jcz(*bcSblUd&)G3! zMxE&0JZA@T#eVY~9e4`Q8jIc|XGwKWM$JZOKCC6I-7n{xOW0kz;@#5(HWa2clH8*7V#G&)7neI>pN0$K)wf7w-0JgT(_Wwn%U;s6L*cFX|Bnz)|%PoNn^H}9Aami zYvNU0FZcnE%9_J4tFj(Ovtl^L&49D_aS%%sXRc;*q*IHePAyXD)PskvQ)nd6sTESE zR$`r60Xnr(=+vs{YBxzB2Ihw+3EZ(h`Y3Q|ou7{g|9T99p*>D+$rFpC8(PY|;v$~h z4Ozv}jqpFb-c{I>)vSd3rZU0Btk9*%&Z~?*=`0mSEu*qmUK%0N2A+OkX(*NSjd5=(aEgZ7n$89@tk46!dk$ z`2tYTHw5QTG76(_LR+rU*{BzN3)=XceLPGWZ%b(ely-YMyWOe1BV9geQ~QXdF)d4O zAEDVR|Bp&5oWS7RK7uIiPN}rJbV~cKWYZO{(r%zi`(8Rz^h*1mtd#c1q;YeWd^}PY zjQW2=YmX#a`+?Nj52e=bky`tatF;@#wD#jn;`p?>;`nb?;%Jk-INJQbFOD`u9G^>Z zd?Cg0r4+|rojAUd;<(bC9h>Ov_$FN((S2K^`zoW~r<)nqs{fdkMA{~eo3flBZFL!& zArqvlagx!$+Gf2KG2xE&4>9Y`1~q7#+7gNUBqj2*kVt

%Rzz91UjuS63pN!Y0UX zoN!(^<`)E*Pw{}V_DLh(APG>Y;t1xm(s&RF|JO)AI7lwjY2V$vMshjwHoqKZC z&%IAt87l_@;9Q$tk4>7#>3046PASk{lb?sDUn@0_6ZklJ5d9qLfMNXQ+YqPtK%(^{NA{eqeI{8JFwjhu2^GluOB-c?e(GA zh6X-TlMIB7up6pXD2=cYgs`TVu%=?TW`|Cg1KT64xg@LwCagIS)C?ZrqP^4%(ASzLfMMKYsm%E?hc0P65{q?{ zl0Fto8ZuO4#|lYzm$GSZL`Brv5K-$8e&1@V&(k7=-?!?72`3z}o{D3#TkVlbLGd7T zte3K*CRdKcpl`J+)I)>?hi~;oXBwX9;3@oUDt7##iw=!suvl*?x)ZSIdV}ar5Tff- zopts0z$8s`I!~0wEPP#^tvSJrq%A+Q(Qp;9zSx%a7091-=xlOed&>{6(8B+Z#rV~G zFYzu0)mfl{Th0C%2%D!ljY%hL9wBT1CTxHhZs4I4=D_v{J4F&U2orV+5H?7RbgCpQ zeEFHD+o9_zYZV)eDH|-tJMHhHY>1?6D5h)(P&QPIG%Pb^uPG;SCd!6m%0$9-Y{cJ1 z+3Aupp7AV|@pPaJa-BgL&y0<9z1P6T^lWEi%8NKiS9ZSL38+LH)8H30OkCd~bYpss z9Pb<0=WuWNS=b0W7M>3YX-j-au&n1|CwhV1Maf@rfD0YQ&<^TLT=YnDfhHjjGDk$2 z4Z?*5cE=1{Sb*lFTXTjS5XH`uTnGd+#`)s`MQ4kUOB$1L*^AI%#`zL_K&Th`;H6OS z%1F*#h@4QNUZfgte8_lM>ab{;F)i<5DD{;IEd_31EM%FCB?} znsVQp3HvE3@YAvZk4v9~Vt<_q{0-TFUy=#?=_=melnw9i&jkKv75Ew1fPWwp_**6T zfMJ>GgO?LwdC;(=Y3gz`Ug$))-HVFljD-9@s1avf&q%TrGAs&v2_dC#qLn%=EdfRBeB{pQG@0c=VZ~g*g|!AEFf}` z3jR?V_?XDmnoLJ>h1Xnd*H*(M+tgK>m7Ky{rK=@QVQLZ$Nv7LM-Hve{M5TR>i4dq| ztTvu7wm>nve6xnhPxzf9kBj)p{uV#e&^y{ksfR|Vf}%Pi`;cdp95jZ~f_C0<5Gj3E zP=I^!gCUuX0w*PqmblV5)?8)0WUe+JH&i*K7*SzS8a-|V)-Z&2ddWk)g;x1 zX`L>hOn9=+uA#<+@MNtfuQ)=C$l9#={HU$_908W{8ch;E-G!{Fre(^JmT81}p*bEb zR28H$zb-A*Y9qh87V4oaEEJflG`j{?%PHx}vzKrNQ`!$2`_=*iu{q`%uy1RO<>sSi z3v-P**jx*CZk^T5Tx-oUAGNlaYjRTNI$`eyfuZ2`ZZ+7p9AWPs0^4SpDeduP55ZEK*dz}y6Fk3t)G5;+Y#8wgJd8@M*V8d2v=CDUT-WMp%iQ%KA_8Ro)c z;!-fFA7XCQt&zAW2)wDuEIk6IykA5%wgGGAMvZ1tPiLbp0?lKm8$&4=p`4(xO%xH$ zQ7oCt8|_I-nCUSVr{}!=2Pz~RtxpPT9-c3nkp%Q4iif;c^oGkWsb)dbSiPC?2wVn!ELx3IX`sH0t+yGKb-rTOS!y;JX*4!i) zq_*qKJl_`G>DEMpX}Sw9QSaELYDMQ82-J~yEAQAxXgkI>rdMGz-_acUQVac#t%-<` zsrrt6m>Ln-V#Rg?6+N81)!6%Cd8@&S`sNPpUIsvZz(G0{`C(_ZhvlK2mDq2E)aeJP z9W;nyq3p1mtKa0SpJF$-L#sM;gcI~5@Ms(eFqlKQLzg&BZ0!#1Mjhkwj;_c97ofv! zv10{pf6NLHw+mUJzmLUGciKrUg&@BoRwQ=DpRytZv6vOBAU4uMR0a2T+M4gk5uWX| z+v`W*au1QpPCMHbaHp;|FPT<5blIY0m%d%+)p2cg_EWT^qq$S}{ev&4BsDoa1e2XQ zoMF|@H7_-01+wR z4S9sm+-{6F-!acOcjOr6J2@@Pow*Cl9l2Z0?YVo+onncbXheW3g|W|IFDT^Y^no^hL7_0GEwu3q3I#cfq3tVZE6$k?ZC^uM5nl^E zhbiy!*mkF29<=dNwz!5b{N$FijeT?I?vq1@7Y6-Sx=;JC`}8fiPy2-X^quGC8ka&u zgJ%GT*6@w}q$rpd`z@?so~TdY#&*<|+Kf->c)5yqn1@`NQ){%uyf*xP@Rg zhPnEe@ZketZVuX41G( zZG_WY|J{Pk{4y%=&(mbHaqLe=lc6n5lmC>OeDKgU*%{Z>x6Qhz@i{nwLcgrE0kn?RU7bSpWU#V6c{0%7cJ^KRnn?xJm)66_^8y+u|@9C1c;_*N= zK=VDMx?`p4j*Z7$)g7Cry6}^0gyV@rS6ydZPjzjnx=F0MHmGh=sBTG?s#`;)y0z7+ zi&4o!b?ZpgwH%XaJlCQ!epr~vd|&611xzN1X1t%ZhyA|x-aS@~_jQ_?X42mG^}=}0 z(+lIdcwI*?pe<<9;3rQn>L0pZIOBSH(Lm}&L#!7KKrh6ti+H0f^`e2Q8{s+31ly30pXdmkKvUGzVKN2g= zhjtqcn)FT0trOy)f2gZJ1hy6L)#Gim+N!kw~RdXox5GkiGgxS_6+nal= zZRUs8Pv%Ft=a_qPZ!h$>(zA^Q@vdSo zor1Pw_+HvN0BzmGUK#=i@ngkadH}R_clOe`d%Wd3cTc>vvs^=4+Hwuo)1Z;>3l6>b zyi13-T+8C~aa?>p4#nr8fsf=DpV#iCes^82TJJl7MlF;{Uw9>3(!#fnE_@k^yxFT-NJ6vTR& z5bGq5m)2;vn(e>E#i#fcB#Y)t-Pfa`zGi%KJ}&ldeyN*RbDXPHVqfBXT0MT1A|oBo z)xEltTJCTvT-v?%k!nd1mv(Okr#XHNrE;&vK^5g7Zx6n&E+$Ir)b6#5l{lw`y}r~C zC|-s-wZ?ekT4S1YO55@JIMX!A;`yXbt)KH97puaT#wc^Ix!n9xL`tAm9KgztUkmP7 zj&ZS3Cq4z-F_@R;zdcolr|_75e5zEycZ`@ghgle=fM4m(5V-Aozb zQL3+W5hbEjU+H`n7{Skgj^7xb0bK#*1;u-ACUgAe@Jwd@9-c5?X->n_l;|r>QnIT= zIYv+86k{+b(f4MKnGZXkT4qbLwN$FLJim8v^_1$Mkxhzkh&CQH4l~k{dA+Sw+7AUm zb%w%)Zt-QOy6IO!lX(X3V9?}SgeLa@O`gFu+02K{#;wqnXM6{njoY9t*K7@KGoh`( ztO;$mLmOo9nhT}IFH~v#EKlRj_TXf})dyo;n8tst+lh0HhpYbHjh^_tP*tbu@U*V2T*5pw(v!T2t~_&XgFVyyER z3Qr0XGXKz5OJ{V?30fdm%Y}HgTmY-(La|!j<%!OC!7b9^)E5q{mfzUNDXn*ei*7O0 zquq|D>NlELW14Kf(Rk45rySo120J9qlgyl_V&>h4&P+TKi{B%e$qR_fea}6>Oeh@= z`<{C}W}4@^^I%WdJowh`rDx_6VCYiJ%x`th#~3tgmSr((zO~ybhfkBtx4Ocj&a^q- zm~324r_I%eFm1k-)8=ZJHp|7d83)tmL3i57K!~wNCFvE1E@@|UZ`$y>%>4gYd=-{7 zRA+_;F6q@$(l5b@Cs;hQXPBh-Wtuj;xC!{_O!+pXGf&XE6-__JHruIm_QZsZOVWIz1xPsT-)% z8b_VXo}S@=CxsP$^w8DG8QoK-wNjndVRc#y>aNFrsoxaPYPEgqy zzS}`Gsxk|W`cCuJPoDj++J}6Xb^PKx-K`Qn!hA6bD(Lo|<|s7HN`03}4f*o!xq8m! zQn6pCrfY|)Yknuyi+fiag?e%CYLly81EhKlP^s4wQs`Q*X}_(>>*P!CW@P`L43#$Z zn)YjwRyk)$=FWcmGUZ~y?@AoH*Ca39I`ehEWGMHVcnSC%gL_T91UwIjWZ^Y!J@onN zjPA|Xr{#Qo2G7^0VZJ^i=IgUkbe-IV-kEs;uj%_t^YwWox);+$Cu{bidGUQFRmXnA z_x6QK>HV!f@ApJ{FG=aW>`KqAg${CjTs0kDIdthcqkGcZCZ)$OQ%E;$8%PhXQNZH; znv~vJSiE76V{8kvwm)btg$bYcjrGNQkpSOP2{6tb2U#tqNmuRA64ui6yf5xO~c zgdTtQ)UA<7Wh7AGUT#RUn2Mht`c!mA_om_(aw>j_r{Whd6~7cyac}%9r!ZE*1aN$!kUM-O ztZ3iz(>F0CWjNrwbdkUvkH{@p9>0kiMB(T6@%`XnY=A#8^iEc@L*ByXy2hw4N zxao1#rbIp~M$CvXu%w~PNE&n02q3IUuIKMb<3V*V5-NpP?^nM{wo>rwboHww=j!)N zm&JGc{GLnroituoj}gTDW%a8;%r~lEEs}HS_uw2#6!MAg%_aHXAEHJCnrn&T(D(kd zYr?Sjy{I%CF%=6A=q}_C4jj-#7wO5_0nMpNBG#fZk+2UVhe-!C`2pgXWp-MJOO+g^ z=PU;_#g(W@J3!gD4%S{9LeLGtVR+1V&Ug|2`=0T&u@9o+ZOoqL@sJPH%^F}0@*E1Y zzEOP8XsB^0ata_QEj|i((6~1XR|3A(!-Lq>3xtb7oVz#R_7w5!GyKL3{_}$9p+79FO zwi?h@XhFn3Q4`uCR##|a&{oWsRnL>kyE6W@(OtU55%`uCG=N*2sO7E2);W;!%I^<# z4_%8BbuzETmZ7P~&e!6|0+{yr_9^sGU-~493vcpoilTX+z7{9yWw#b5>SwhUTc+kL zDIzT#yhbov2d5(!-(6(b6W+#?O$td)jAqS7~2UPx~UBfM;;erSQdY?Q5Qe z_T^^OKH*&^T7qqEg%(IFR}*#OK34)VniFkkk86~cnYb_jdz|`B?!vqRu-j4CCn@{zCB)r_P@XjIR70QV`7eM3uR4b8Q=+fyzX(3d z_wwz!^gYjxIq!>43;W@M#K~%thOsQr1c*3I0MXruB>HD({0ek6Hhkk(5LBzgK;e$b za>J7F!n8LBVF%A4d^S$kv5GW%J5uxp-qd{K7#F>PH`O)ah4fouz7}b0ipy7bE0!3n z+=^9Di0aDvq;vvc+BX};D@x<*5QndcHhengjmA!>RjgwaE}jo>st+vVaVgefyAIW7 z&u^#t?CsHpWwl4MB3d^b=ps^z=t8dCfD>~c(Uv`ughi;Q3{Xb6d=(Sho2XG1<;4dQ zXRs*Re8QKLLN}jQRA)#zs)VqpMg~GzM`G2A+JmxDm}r{9_&X?vMnPNRY`Pt>VwyW6 zupQxtQ1Y8d_!AM(QB&3ca%^FwW9|Bwl9=Yo3*Rb*;5J1`I@u}#GAF9vYQ5>VP>}+rU!JF!m zb3B*>Dg4~NB`)VnfMXv2;XD$1k<`Rt=;EFf%Vv1kS~wO|1W8>PC1Pxonw66TZ>?m8 zP;=x?Aoxn3O4qk7{&8!>tV~=3rV@Uif@PT0M7Sb2nhIkdqqM?eVVmOcdm8ZvOLV2M z;Z!_vJ;tU)6IA-v{(S3}W2(v_!olk{XqFZC0&KeIC4etNK;$5>crpjm?_ZDOtKgUC!Q{TKqn-AK5}zsc9EN!nsp0> zt!t*)8TY^qZQSX`ndv4UUnY8V@q!@>l)(_9f=rifFroMyi(9Ba|kkR@xl& zLou>^3=k~TJrJ9ytI6o6?rB}EqH6*-b#<48LjyN;HRZWN12=Uw8!sBTscZL9YK8Ei zfKS1YRb5^1J_tVBP)}WIjnEN#(fFdNMUZ<}w6*B1qV17M#TOP|Q#=*^`$h3@#ed2W zPpxVkp%)GR|0cv^EdAd?JjSHICB!2_GUpi#LNd15%Su|0TP1mYtz_OmEIV(DmCEmA zmF6D}f77h8f)cB=psQ70xX>yqeA+54{K`rdwX$jyoo3Z6ZfvpQH>_Hb_VBmDsvR9` z)r!uu>cqNQwPWX5wPGu+y5ul^5rkTtW6s48YO#tSH8F8FwB;2+YGUFZXv;0y4sHL0 zwt}LkpzU60%P*b^ZTCT2QStfEwglP=i~oeS`=KpT{9kBW3T+T#N{sYEH;Iv|(9Hv0 z=qB$}h%&)pd~T!g(9QDD&<#n9v+8S(E}X;ouq}3d&7~ur|4O!z*l5?++~!KGpl|Bx zWWW$)zgOSBLOJcl;T{~I(oI}_yBY_m^)-to-Ivu*v(=uP*a~n~`N|D6D}FqV+0sC} z3Trsu&@NMQD6zuDZ6^E|`SR(p9E?gsO@M+T-B9;A6|%}Uw3{fgq^oQLT~Z-gWgBQC zSaOwZP(8G&@=bCs%DFZNZpY_5mGef<+unL(%&SJe zNlrE&Q}aXU2aQ8JQV@1tBuQEI%|%uNtI%p_eQq_#In`=J(ogsYha9`iKR9INOat4> zKRA?^a{<^^{=uQ#oVTHEEwmNnY=O3Q&<5!zxs5z~o7+fbZ`XVF)_NY2pLos+p!P*mHq5ztzRO+r)6 zMQR#&X{xKJL-q(wbyve-i-6J~s9bYjO|k%sJ-$<}x{RM)2Dc zS!QaPS69nZLrGUnAnB^9(0Z=9{BUWr)cP%4>&<1L;ZJg{H)nx{KgG44E32pB=1(dO z|CguXW&*Oa_)P#P!Qf~(Kh%&WvIXS`NdIXb=>p|~uSWBa2go;Dit;5WTH>f;$eR^u zFul|esPT(G`)svJdy}Mw$gx|~_tpV97P2HQjK)?=qrX*QOtxAXORd&|r_Qwmbg4we zt7p7RCB}{5uyJ0UvOfB#$1WhyFHP)J_N4w+8~Y;V0vF#eJ~tiwN~_fdVR(usP2&_5 zhVllQaRGRT9K(+Ag>Mkn^R=Idd}bNEaE3%va;>c}Os>RYN!UgPDhOL`5gHvO8XZ+= z$gc(&P`yr|(Z)eTd^jisgLWcyU57zCgh3mLK^qkYFDpcq*yd`j6qS>0PVbCZ?KM}O zRibK-Fw8P{7qu}GT_5Yj<+)z8tn;8&on z4v3J+lGT${tbRj9$XkSvj=H+unF;Br38A?XNh73V^$2-eMaXtSNGIL$m6?!EnvZCx z2!A0q4e&1;s{M)I67BfEpbozCuPOXr zQ2gHM_X_`4!u?nLNdB*s_}9?&HXG_8u->i*kM7r|?fU6HV07cw=tkbTDRj15fcce1 zw~hGHG+rjf7a3fT-fd93BGj*uaF0xb+g5xI2f=m9mV0pBBu~3-=#E3e?U)9)U2s`< zc8eZdH?D7Y4vp(exLwoWwoijAoJ|j|8?v{%g@)`U+~d;Vb_nvpIpXr*x>=an6h{@h#95qY``IV4ILh`*Fu1V`SIs2c0cmhMrSU-=C3^VU z%ocD@VK#!BWJwD5v^2P#DZcAqL7s0cGgg2L`=#-_aRBb|^e_jRr@?<0!MCj+f&cEe zEGrN0^_*ypu+D`4-fyk9Ho$*>%PG!@d9JV3(6A30D>B{lv1^N~I|H(HedYUUrLDYN zva}z>W>fY%#5^?FUwMtk8(;YX#Y3B7=E2gwMBycLd2KkiE#r$6ZZZ>G3_GirefYxl z?rkJtEAop`&-@jt_Z~F5SUE-?D`5<=4l_nuhZ~n#&5i5e_ZzJ?#xAR^d8F0Oyv5=r zl{;9St&Y|ltCRJG<*Xc)un4R$^6epF`*WvJ#~#YJKgI#0&>qIOKgQ=q1A92%{+Oph z+X!eYFpq_{)1fWjd<5FgfVLuYA+((dZG~1Iw2g$eh`A5i&VsgL>r7}n8`@&l3D9;9 zv_-8A&^8L%64rgtb}qEVbD)r)JsR4Q)-TXD2HI@CNO?{ZJcZv+x6hMH^i8h!H^}k+ z0y`jZ>%>kc;MN_j`*w}Wi#QrBzOn$TF~}ZkbSD?TpaD`$>~YeCejgTJe((M)*BCjq zEbR+4>)m+A4$)*;zGUf_1=Ct_AI>7EIP?!8M*1@QN`1vuGX6J<&Qv zIJs!uBAiBhDcbfb(N2}q?h$v|T`8uWeLeAvkJ0R!;95zhP1iBH!pN%Gc?^<^yq0NP zX-^BvWtx!74N@+O*?FTzE;Bs2-~b+Aeb@i(+367JiI7*CbY>^7G@0e>oO#GqC@jok zX5a2rp|EG6DiqLcLj#|kvsKD6k0?twU74)R%F<1D!C$2;-4x0)C#WoQ1SNN5QkMA| zWmy!aEP%DTM1oU8=zpH?4w0U+ESAc0clOG1&!H;|W-+sQX-EEl%)S>Z3or0^uVC?g zDrH$pl;v2>!C4lTLFywIdT^GxUUF~oD7c8HkTt4q$4yze zo|+Pm?wXZ$hR{=?fv*KM@HL@dpk=A2Llk|KNQDi_nN$X>Sk$|xkH$X$R8>Gl%V0-a)|ok;XTbKrpF<^lV| z;2ii+%z=-k)+n6(u#$9M$oUIz4qzuA$iG*;cKkhCaEC~5y6|s9J5E0THZ<3WEVkfZ z9r{v?S0&UW&g4_I@K+{HQkTDf1k`py~r&J^->MrP!6sZ$6 zS+%?pGqp-5`XVu&^+W=2PSh-s=@P-Cw}L|fXG`5Gi9cly%{DZ^ z>`Tf|nVYA(v#%+Y2rk-b_01p=?99$p<>5h(Y8*~tjdwIg8F}w&j};*2iTGYNkE|Xc`%6-`hSw{t4c+>(OV^p4ETf` z{9mhNF+6z%siE112F{2`5k2+2JodPVA(=@5+(IYA&=TSE0Sf?S4+~Lhl zV~1*PmPj^LxNQ10Bb$a~VpG~SY>2KV9rtOd3p7Oc2@$eu8>0C}7%f*YM3XETnHR#y zA&8N`N=E*wVq{7(l4o{Go!sc90 z!tkV6#!97xpLHcXJEMe$=~Cjr7YHc+FrD*76n~h;8>bQ;ruD`Z5+0_RElLRwv#(Z4 zgGzXqE-XVllVQ3W^<2VGO8jgdVhoY*FeKmEQogfQ@-36{o#4v%=#26mUOo8^&sx62 zvzG7hEal4sSYgE^hU<(ik;U-;K^DW2ERL44I9er(@>m0{t8%8@PN{0?yOcA<;GU~e zgS!r%t5QQa3pJ&O+RqKzF#gdEScu_!8WqEHTKZqfpzEz+Ik4RfjU;oOQI@QQolypE zNi8u_ZO<7s&vZ`?_7I1JR%RWmt5(3b617RRbF5Aki1`?+-46mrZVqa)t|C^9eUz~} zr*$4bs#s_|XsnVUWs!xmN)uAH_#p-Us)sdJNRWpBR~h-qdLjh4z^Icv%nbo%{%TvY zzH~tfA=Pf&n=V2uc| zE>!&EbR|kc{83tV_Iz=7MOC(>MP>4E`>=d0$MZG!`}tLRS!*$^UvD+vZlfb~R=-Iu zZ=SEcya}evt04?aJ00N+%?QvBmkmzqtBKDCuv6E`r&mkQ*X`Dcbv<9>RnryyeBGi$ zU0HssD90LS^t8_R4X`!BGr;hqaCVw_>S{tFBR}CZ>xQT+-99bQl{w|=itI`=gUo~g^Izys2Gf!(wNxyvh^}_Mk)aSl;(?P^;`&)^xpQbo zt}8w$ZfT=KyR?Jr2gT@)JJQM--E~L!Nd>GGtrX)Wd0{m7qM1A-T#<3S6`966GB(no z&*~3O3EW^YdkFd>Jx*@PF9{^uwv=}Sig>cF_mLYuq5HQIue5I^Ux_%EP288rCU9le z5$ly1J(MnaEKdyGk>#PW#f6mcv4<;FhHg(*>ZU{3LG4OWHXO+u4r+5iRh-=8mhgqa zW56&hH!6)Mq=A^h@5t9OEI1_eGvf2)zpa8;5!i-Lt5CTaNJr zv>gp?`NjiAgJf4|AN&n~?L@4y$lg$7LUGLGDJ?b|9fr5qm>gW09F|HBe z=jY1gIh&GWQ^|4SmrcowHWXdq{W4zsGQt04viQX=6zvoJ_N%-em*$QZzg_14c8&Zk zZ>dq73qP+g?DfgXfZU~(NtpF8_|^XFQ(CM~PO}RtlQ;CMcshCGBGazen!FKafASVM zDeRI94>S3cz%KNCF5|r-zOTLEM%fZNc?Qf=%Z#mE- zpuTfMq35Rqzg|?*^nm!luNOr;z4kX#xs^WfI~?GV(<+niIB0VMB<%Es)3||*vMZH* z*Js515NHXn)foIR{`&~RPsOmIE(yoYOz{koDW$oG)`>>p)$TU@^SLxSv0h==*(2|E2m53;|)dkDZ6|}y6pG6I5Wu6#exut zN|l=_ij8<$;cLrG{C$)psN(l7a8Shu9DI$RMS%Et z&I;oBqmSo`>ft$?3;}rlFRp>ZBfA;Zw2q1pW|EiJ) zK%ga7Wl2tDN$%E?dM}siY_rn-E(tPZT&$m+m}bfZj}%6#Ljb!b@oIzp4`W z!c!O`klm5_>#LbV2?^leH_+8tf7$qRh2+(xlkPD+cDo(rgMbi zumG2oLQ+{k><;jumXE`F7{8eRRh86J;IPn6WRJsLxNa@N;VvR0z~L|-hsR<3zWlGM zq>%!LMfj$2CO#}CWBIaJ9Mp%#J`R;I{y_d$Rnkm>!`;= zs!CcZaQLSl2jyCPuR=BM4XQ?KADt>dh1YS3R+Y3>pmU#IH3T{U!Cw{Sd|5&)nlGaz z1X0kFc0NK|0MrovS5?wUfzbW<=6NPPS*noH(x8kw`#3-Xe_{mxt15xI0-GhN7n(GldWCs7D5H~n8NHT`kRJ(Z$;m!|H!uKi4tt;A3+3q=!T{iDKcA;>1$pX03{ja! z6*KbD;Ee3=Gx*=YTwX|WW>v``1%uay%}8H|sgT!UX`mHsov3K(%_|V{sXh+x!1zz| zzp9d<3LMtk5no5BkX?r8w<3=PSv<^V@lFMc5kzTtA18wMb@*{IEr2{P+yJidyAa-e zga1{PoT(sh11^2yk>|5mkQlZ}tW+3@N+Jb7XQa>V_W+fx{I9BHlmeZN|D%!EM9>0- zKG)~=e?q1Q;&jNy?+L)+eHLU$p!D(}mPu}O%X4b!g z%(~cz_GKuu^5jx2XW&*c(|k^C4Q>_2`<(g;)E!)Pdwo^Or3y|x9kx}d2B)4OwindO zi35s&)Hl`U)U!nF0Bw@bseRRk_8eguK)c+Bwm%ITvNIu*@r59)D|}c#fb-C!OC45+ z2S!RJvpOg+QkT{B0wWM^mXVDD5!NV~0#fn98e1a=p5R9}TccK0$@PZuL^L7FAW98* z5gWHSly`+e>#=%b(CMrm8uT!Bm}XF@sSrNs?IDA{6d05TnA6CZhajd(?r;?lqBB1` zTn>_b7kPh?|NgJe`+*gJ_-2wFuPOEduMr~*rem=SA56#Zkkr+j|5cUT3pj*D6J8JO z1u7#iq|y5T!XHN>-oSGa%uZ!wM_S*NioS0K`U*y)iKti4w}a}5qQ8G)Rad$1{|?T) z)vkWSUgEE80BjEect`>$HUt2nu=QDep|Fpz`bc3Lum+yOLYVR}oKa-FW48nsNRe%F z8w6vnOY6{G*@1j00YGb#z2I~@{xOc1zLVkLFgBaMJw%Cx_>@wQE)7{j!HY*(L&S?l ztdYZuad0=3LOfRkF;%kGXSn4vyfJGm23gM=p}F-%8NQ=h4Bt^L zhVRhavvnEXgf$Voc$_ssylBdrhBJJJu3pN#REc*cGHye$bF>EQhCC$j*^ zCjiPW7lq9sD7=>eg=iT@;XOMm6y6JVQG@v~sZFTIXM6{il*4eRo4u*>(j3#=IF=s|5&-_had{eik{jYKLVQ0uY; zrr7~d1$@EH_)J&5CW;eJjL$Tg*U>RjOFzbE9Io@$f&u@*V?4-OAjY?3kQ(D0rKuR7 zg0wk8S*p;ZOwagKEZ7llF*=T>it(xPV3%6BOBmx*hXuPpfL@M*7@s;k$oRe4Gk$M& zjQ>2qcy3mN+E*~hH@a$5swwj`1|wZsF~~Y{lYDsnhZdD7mR10^G z!OCE}6SZu8ihuey|H)LF;W;vIu1A;FthGR+9czu0;0Oj+umFwdKKNf{s-tt`gcq;} zoV=wa)fw(4@af`R2kOz~NOq)v)`cC3ptWIb5VZKa4z&DGXix{tyoHl#ZM$?9{Pai_WSn8kI`9QCq&yl~B$U1Ub{5wHKrJWbM)D9aslP zK>VaRmz*^3*Q6OoZu6})mgIiTQWkMDp?PAQp6wkONCn?Zi5%Q2jm=s_wT6 zFsk2YL=~*Glg0=C@lHHbodJe(*#LC8H_pp8zSrCZiRAfL6NH*!#pujH^?=?!tTUqb zD0Y;J-XAj23ki2T*%8zGL$&DjLMB0a$zI|I4Z+bl0fO_f2ZJQAM1+va|}C1 z#h;%t@yE?Z#l-xSi9cMy(@bJF)=i)`fOSKt9m|eYq4sko)I#Rw&zVr;w|)S-JYukZ z*5tK|jgvEPeajWlP&_5Ov+jb-Q&@LIW)IdwMdmM=$PAg1zhp@!wadR$i%g)_pUVM) z@s#YzdJ2M1WjztW$Fbv71pk_e;E*Z#YnB8PvHq%|S0tMgu@YNN=}nuFgMHtDYa>|}xKNOm&9wIA!};|hGSF<<_y4qx!p z@6Y;+vCd}w(O3i60DmmXmjjvj5;FA;U75`*UVrd2C+c`wJ~fELhV#`s*f6F@*jZv{iiw8zMTK&xW85L)lOT?ogHV5e@1NwAS_Yvleuj*hFhB5Hvz<^!I zh9PW*v*A8Ab`88n0O&z#(EMs+aE(9)Nn@>`WgUJ3-iDR+}xpJqoY|8;p@`q(I~nHWDFn76TcEv&G89 zmUM$*TG_JYY<9N5<}!9R!sZ-yj*pF9K-gkcn=QUMqHIaC7yb!raV??Cqu3~c-4$#U z!tPvlu7_O|4&PI~y)A~Bi&In}vLyp7quFQyXfhj(0F7Z|JV1~sj?A8!FVfi*2R&a1 z+|Fa?36NK@^AO0fY^)OGQR-Rt1s%MZ^3BxMsnj)oM5hilt7#FPacrDGata%VkUXEA zFOYO1I&mH~5hPu}E)Z`|V;7*eFJu?`B+(gLU>~Q%R1xJc3v>m$U=jqPNEfk-1Wwnp zix5s1vx_kWJc=YJ7|+Iwx2Lo5=Za=0aP|>Ie}^p@^b~YlNs#bSiO|CbEeF zk(<~=gvccf$|{A1(qQ||Nl%*+H~U_8Jzt$KWtR%*GuWjF`ep1ghfIE2C)hEGO%m_j z#wMZnE@zixEM|#UuV7b*SLd)R(5wGo|L{R#9WB;vM(~wyvsmO)hck|!g^{C5cw1fH zkz5dmH~Xn|Z(b@j*PkWzea=m0lLeV~u*rzbE7_GknSvcxv8%*;^VwDCy{p;P{(G1m z5uLr@M1DZuR#yn1L`HOW9Ee=t6WP!)1rrl3Kl zvZ?+cLd?_HH1XcOY#Ms+I(D6W4 zOW5@Y(;L_g0#i3O4^ugqf&iFYsKi7Ryy($oI-4$FFJ;pa>>JsQ4j06IEs_Xf#&zG{ z0L$-({b2mdl;e}CxbWs#>Nkl^iR;!7m=+KCTHP$0X^|lLJ1{Ml`2bpA0B)jd>Opsh z6_!hE+JW?w)Cw0G1TQNvG}SCk29oqrD}8iYX9J)l2*69hfmw1SSRvDtifH%7J}FIc5i#e85iTv%q8wj} zkth}U*NR09lB?pI>DxS;Uh_82fX0bV`X;L4of)>0PIA zCTnUfR>bs-ct21q`m-HV8l{^^r}iCY;e{sYvxq9Y)23%f-iQORyW zNX%d}{f*KZR|F*c*VB^#t>;3H#|r1dnTJH`fOn{QJ>q{?YPgop2r+1Nu~Td z-o;&=;iESTP_x)90qS3D76LV!&Bj~ECz?;XQ_zHwr zo@MjUdv~xq{P!^T>xjHnEkmF4IoHicJzZ1kW^gN__md0HW?frdwTdtP(c6RXtCwJs zJ_nH1?ss&Ir>}RiI|Zj+V0R);&1ds5=i}E3W(B2#Rz)TW$1(YGbk0 z6?v>$vAyVvt-B*Onfl{oRi|FX=_e?YDh1h5A`K;#a*GG>G=!c=_K*1%RB%(XP&c-Z zRx&Kbr7rRTm_ddG|y|;)h^54T8YNFZexT%|q*>h;hKiNMW7KHfsO?2NZ z2uXA{n5{b#(7ZzllJ4N( zRrPmQ`RLT!g4b6oAyYRFUR|ktHRAhQP4JC0@VCH)7Mj0=EfF+-%9bFS?`QXi)7(_t zJP)^Hu}#u6G!2X{bEVf9tX+0 znY>)2;M%$0Kwrw13aS3dmLjP>z#ed{iugcLRVmceq@PwdD@bzi6AsH=n9JBQ4zo0u zEkiJuv*iRPBy0lARB4gV^u7elgX}>8Cc+*>U{wl>$r&TZzD| zVyg%k$ng}|)bPRdCty~y)dCD-s}Y!o*h2(NT^CF}AIw0pPjgxGFnd@)sm~rpP#$5h z59R?{m)(s86!80`1l!;{IUqqXOwg*)M zO1pps;$I&VpYQAPID1@x?8+WTAUCiL0;Kn?HOaRQTm^}|g9m*7fPDIj%Yl?c*C zwviy$GmYSmc!yQOL4s8lm~t<{$8;0hB;faEn-Kgb*c0KHb_!w&o|lVh6{`}E`mibl zX*1hQFg-a9)6Q5lfT>U#l)&$0(T0YTjuKU3{oAso{?+v2A=LxbML0JIlzR)E`<}zn zK*18HjdL9xh%%K9@bzYd1I@oPL9VEqUCE^rc~Jt|nQtGV{7&gW2V~@;4aH}GwXDhi zs!9jL3KDW*&OC-_gj;?G@frAjjxKU{Y#=;ddYVtsNX#EqZG4fw!}> z0nj55J1iaQ0~jR$z(gGGEP`;(kCRl*p1R9YaD}Y&bXC7TYIlS z+JpoXd+NU7y9h7KJu`&ysNZ#*W=F@9+@%5PsjZy5z6kCKdDk}97d0y_Y7WXW{^rV} zcC*C&*wS;c*CH~=196k%#B>PvKd5VYoY4O8H+f~{OsI^@gi0?pQv#T1>jQI%UVwSn zOY;p~>M`}wZ6M~QN-y-)dbBeyc&sLNHs}ve+=2g~q;dWeC@&J`@wzS2D?$+yhET)= z&OtVh*Bmj>o$~RToig|Sg{p__l#kbZ^?~n{p&y~6lXB{%?Uaw#sEv3zRqEFUC_WFy zx1=?~%P!Z%vqnYFY10@lAYN z)$FbLHXv29-gXxy_k@fT2Cug!nn6Ay2)JlWBWMA{7q+skf@_zut%z$+v!@-dg>0^S z>*fZWl4P3x|Hw4`duzT?LXX^fYj@bvcJ{`_%RJW-t*cu9<%B?`pVGjT~Lb&TY)91q^82=yq zuc~y80*8TQi$Pppm9u(EP?#uX=89~zVNejjJYO3oX9M6=!V7Sh-Fh87o5QK<3?_kJ zV^@(dnCv3}z@0t@PU+_i08S$X(Ez}FAHbAsNEjkA$k3JwrQ}TG9t{|_yM&c^fxRHC z#A5aWvJx+{7sIW@Q0<|tfcO%7NkF`Zy@VjX%wF~(!sz#6hhwNVbArCvUTLN1GCWjw znPna@F>)s`qP((n3H)aR9%Gm;*v@w>Sk!jm2YEkS`|TZk>D$zOg(`^7Sf!W*I^1wQs$$AxX-ok& zax=40)njv756s39no~i6O$5?TKouzSM_e>?e zK|Y+Wd{f~28ijj>^6enpNy;}lT-b-a!d?-J!5i!qv>0q-+x*3VjIH}FI68zHiv0@e z`l}Y@GXizkO5gO?)azj)@uCN%Z*ye}D#n>w3y;x1Q)KL^W(dGOo4(yc$v(>HGc{*I zE~8&%uL?$QXRjhgzs6n*XY`qRlgLH)I(uC}+`(Q)5Z_>Ls349~QWGgkmG1P}JzcO{ z@6{tcX$omLM`>k42SjOQl(xR7PxRXrH%eJds~eDK6@LD z{%`hgk5b660pWQoD3;+_n$4X!-bf2#>?yBIS&(4^@Q|f;?p!im2XnzkPlH|#(4*CX|IL?VQCm$>;23b%vp5WM)3?LfTP$#xy)z(2wY z?Ux}1aRGkovaDz_*uz8YYK_IgTy7aGr+==;PB`9&3}vI18v!G=GW z%@n;fFh$D>eZ5|p4S>r67gx%>93EKWR%ZiXQovU)bH6adBXAF60N$detD#&R+K6$^|kNuYEOo%0#%jE%KXVt=|B@pU>cjR)`Vs#o3GX=euM{cIs{ej zYGFOXndA77W#vAao6?Aug?K^}pRNg#j8X!tFf7&lzcy$cYx)v^Pw~Xyu1r}z{m!>J zMRS9dUp@$aP#ij_T@||4P0_hl;8K+F{1fTUPthEMm%#?PtS)_fpi=j!l&5Gutj%lo z(n&f+Q+GHB_Z&3_05>*`;;a6&aS*QBRT4FZdvy7LeIO?PVeA7m`9EYIhEM(}y274x z_QR{w^i-wAu%>E^6{T#dxK9^8d$?IEOTc^!HT6^N5lXCR0>f0D%S{}isaan`oT@vJ z55R1w#*;W#!06@5SN-D9FxyJtH8;57g(KbI2LBHPH}h4!Rqxg5xDUq&oYT(0FlTnZe}0AbWFA=xsFJr|HbJxS|K-q4*Rb z;X2(pTHwvrN;W5AoLCs+bC|7e4D4?q8i5Qa{yN=JjUb+Is*aKbchPC+FFH>-3k6k? zkJ-mUMH;h@k&1l6K2fO1^_f*9evK4zm}VG_aLkhO~3 zpcw|Mh#2GVBHB?A0WzHU8>*us*Js|U9qy~h)-VcW8{6kXQLSCePL)a1YHzd}t~u>T@8`J8>OP?MXpP!paF z{kN&fqHsRloV}W)+mc(dRukO^mpxm;C91L|NC^-fS*nS~n%q(yHMu#nnxMNp&pB!$ zlgXi29{+4~*^zKu6ZUvnvY!9QOVgWE^3a;_;bJLKrIG#k(eKk^_6M6+}kB*5XO86mSY zx2nNC2iB_6nr3NA?oju9mOc-`8AIqXP)|~)X6bTff`h2j3@hO0fh7$dJhvnvpQi)@dN{9`fJH$bB)a+{3iWJuj0&a0_;Z zYT}%o0k)g| zEj3AaEf9Hd?}dKNz81(`#J)zzeZ#&H$hnt^%O*P4Ys)Timw7m1c@<=Gu6MiiTlTGh zavA#;LD|Rl5h!r`11{;}E6EG+S_v@`o^9tM_8t39fV`4@hd}OU`w7Tv(?Bl7E&vBv z`l|=>d-lBmIhB2nK>omfARuo@19?}Vz5tvLdmw*gKMIgHvL6x1pV&_XBz!9}K=`6S zFo++cxP<@Aeik5aV?QI1zp!5j$k}Ni7YD9)^D`C~3 z#*$xCPIT}<{?2|EAQ!UV5y(H-9|YvxX&~uf0{A-qM1bc&3tT1iFY}jeNCUnkAZ^3|Kra^{ zzzsh*Rocj(2=qK?$s^DqF&a=$mARjG<9R%9^Wy^NN_rW5N{=5kMtT6?eCV7n06)c_ z2;c%}DL{ao9)-|aD0*z=Pozf?v=oURX_xMos`UiWD29&30_ZdRi2#j2O9Y`&RR-UL z6ZD~)N85$QxC9=D2#iASsDS)3eY3mLwXxs_gAFomh_TRLEU@n7{sa-~HSXxlTMNc8mUnkg|XK zsO|zDEni=@3%Y^)o%`F~rDM>I{mxGDKd_d|onlFTW!WAHd?mSQ1hz!zQwfk&B1rz2 zKM|5s(2{bP=hiQ~v$E_H{$bxTNFa1v161DPA^f3vZ|{e0`ema2kpT6%RX+7lbuxKG zM(V#-Q@o1?=wZ+6W(e{<@B1KnC`l1}tg4LHzX_{eumM*u_YyZ{9KqL*UonTUH~Xh6&{Ub1 z>IFvV1IMhV!zqVaGQOT6IOX{soN|=Vvd4jw6@#822d_SZo*>t?-b|Id`7WG69|LnB zUJ-k#sywP-P!%@UY6g|VhXlh3E0ctwW9d)9ek_H7pTdsu7EQKsvpVM;`Iz(H9g&~#x#DGX!x#F zd2Kn3BV~>vR0G;`Bo+kF>iE!V0O!kF@N={1V7rhj`Dov$!uMW5C{8StOURD_R+iV3 z#6C~v4H(7p`q=?2uNe;T#UQ{$WtIRNh68+wq-4AGU;LRwBWf>`lq`Spyi80hOrAy# z7h&>57;p#H!Pt(f@}`Q(^9r`?-sJId(3rbzWY+o!Z6ito2)V&>ju6Ch;)n6Ss`3g2 zLa$=`TP=iMBZ*-?La&inBp}qv=TKch2JW52PpK-0(n+EA_jTf^r(NUKaOe$^x9lVI z2FYLngxdND0e2E$?-F3|Iw}x)6WfGpap*0=2_K=i$V>!;I{64S0PdX1|EkK5Rv`2? zHY*-NAuCIF=aMik2!nYkhKZ#4Wso9!Ygl(uaRYV#DlkDS6R(GLzXp$vDVi2S(bohf4;Q=@2J=Q0 zObC;tdG+5AGC52LzoqN^EEUXoAuzusy2N4b41>8)1rwqgX?X5S!xQddrsKH;!$jiv zj-UkUZC@YOeL3!q#jz>`rTu9N1{qH2D6LV!Tpt4S`yiS2`Y@RM4tCf)`XR7zR@!jN zm5$OD+#Qp-H3X#}$=dfs7`&HYt6UBN%fz&>U*A%V_D=d}*3pClt~)csVD82+eK}iQ z39{UX)(-3bA?}VD@JR?t#}M5Cl*&H~gSl4)6TS%_RA;LjF?awo0vRCbf>PB(E&nA1 z=CMK6m3|Ti6Sjh3x?^<@u6_|nZA(L`hNf^{;td4!?@t;L6>?0+~NF*fIAFY4)ef8@wIvDgiKjj;`*gPxIRFsFGgs}pU4QD z?grinMdL(5rs&iVerPB!$s?I)5TM z#x=jGuVcV1pv+f>lXY3wG9qQ2jH3r&O`LI?0qkaC+%x$T8JAC<=Ki?;q-+5{Kz}fT zXYnV}iBFN1UMJ^kb6~70ptVBuIEO!x9<89ImEQxC)=zVVf~PFhI2Vi)V6s1Vv==q^ z`O;5w^RfmML6n`tx?ZWA5F~}h>Zi#B;FElu7mR{8>j6wR1}ypC8i;Q#7&DGP5yl(= zEk}5a5nmX&v#JK{b?BtN#M3c4srzfLtHd@14N8B_rB;%RVfEME8vwYtPz=01M9Ih? z+`-B>{i-7X@URdr9toT}QgHDy{zSOg23pz>F7lJMw$R#E^thZqksj@!rJdJ9s&IQ~ zZ7+KKgFlfT9iXLy=;74Ks6i&^zzoH4g#qXYAUX>0*YGC-z7w=`Lf})g@FW?iksY5T z0(@rx(OH0>!k-BEqoCy|NiV(*XAQK~YZ$o@18<(8k)Ml27Z|CFz+xJIB3K*^Ek~=c zP~Y?kVsWts3pp1D;y9t4i(LVOt^&L3`4hqJ7-%`h!Ol4?i!GPXP6^Cxaaim`!4((K ztU)5-&^r*?m8x-ryTp#$5NYl*s~Zg2O`vlleRQ#Jhhg^`vncYDETy#ywA@F#-F@z8R-$0zR8p~9>F zKzF4LB+(rrl8l13zBhpFErwjopU99WK+6d}@rohuQx7>5pRDOQv@8uus>X8P#cQSG z?&^@f5BYtM5PjkQ@x(@9c=`ZgeFV{u@Fzm_iO_PQM|4pI+)N?m0<005%+2VWRE;(M zz-_#Nd1)Cja9;r4R}8$CKaqh?f|irWz^NMRd|>T7VCA2=z)pq^CktSY@h1YTAGGvC zz!K9_H6C|?!F2B60fT(Q8k>N9(j~{9BE)1IkG2SIL<;=-1K9pzNS+JQpA0zwS_TA$ z{FgsuCo!bh57l@YmJ08jtj4o=2Up{HaGoFu-Ay;}9jB3+O4T(WE{xw)8~qyFQZ@W! zjL1c`aI?AK8_0!-p-Tb6i-jKBYPs3yV{eXUQ-WruOZcwiG(K)`h z)31k1iXe$ANYS}=DW8AVQAGBJ`z&-HmP7m@x%-s*3@F-PC_L(&{7&Iw`dYPeK8Oah8?w>?x96mP|IXN$i zmtANLjIs5)lV?SsDo-MhfuX^CtqHhiyQFkOC_*L){){L+jb1$B+Dp z^x$EebNn6zA?<}DeC^-5P;*%Y<30*T8znILnLiOs&V`n9JxplC^+KT~;TD+&V=lyJ zsX`TgcbPC6z(X(z*2`b{6B&98w2bkFmVtrup!Gb_<9Gf?e zq9CSaJoLu(hX;(tiE;nrPh{Nlq2+vkT(`#s(0YOB@fUw0JuZZn3;iA#(~C7yL!p_A z@!^}`DWo~470$E9>n>ox$xm8!IOw7NRxPOx2XCrNuE4>~(0_557n!By!9Ogwpgf2`3 zEG7yJd2aedg5f35a*2iu;G(17kW-d{!dd7$8h12Im*ApCx!Y z8DLKq}-I!GYxLDS6>*QfA4TtT|uc77eanA~!GAbiu2d{E6_2$3SoNxej{OK|;F{r_D%c zH$jh^1hiWGi9ov`7l?vepKCMaCrFg84b|gWFzhUWQe*x^P?`-bvwf7f%Grn6bugEwXgs9o969zB zY;C1t%mMgw#Mn*v6B&Chw9NI#_W2-TIyK6If$MqD4|et7F*V~)1SXH3-$7vVy)%IJ z>YArIOX$-=xpgN%xKluH!Ji29`Oq?-KzD;s66SSy#g$xG0R0vSm=*kqz+4C|3mur; z>V|OP`cOr?3;Nw9V7BH@1m+@WS>(gy$Dlz=K3yYKVadtXbGqi!In?4#*L;gZz$KP^ zde)YFx}B9JpB~Dq#lVlnf>%fKC&H_{q2+F0rY$OKwso&~lqH?`j}-2W8lL&J->e%$ zb>JQt=N^GWd;Ual_$Rddli<)%4ti54w0oh)y#iWi{zRbN2QByc(g%%l<3|$a&7m-t zK))pdW*7cMVBQZc_xmuzG-`&%%b_|lBZC(~zQSV7(CnguaNBBD`=A#wLlegi!c~8k z1NrVVLhZ>?;M`Kd)vo-BaPv2Dc@ejUdJ)T@$1(w}8-F6umP5;OA6iB);ylX~g{q2t~Y-o z$njIvM||YM{E68*E9(0bvqSxfH2{B&7`qRDB4a-aEsy$RhpeP?LhasK=(kqD?8~1B z%yrPRj=)s=6LUkkwH_d>7tl}UPXszYy?u;85Bd}HLNR_E`aLdS_UBIo<_2il;J{S) z6L*BFTqX3Y6fg(!CjxULv}`0WgDc9Np)fZ=zfA(>ApS&PJ^?LHXkpF|g;@ptsszlz z{E5Ka3@w`x%+Q#8O-O_EL;N%*zd)=^zQY-k*a5fdd7ZSHBh#RyYM$jE+8^z1xVHdG zTLij1X1#@=`y{kH>7(oRcnVsd5tM$NS7ON$af;{Q zhv!5m9y@-Hbb20Io|h!?PxJEhj+z%j!iM`SK)e92y&ypFFzO2g#Ea1KqBpKc^}sux z#hNch(|zUQAjR-OwsaeRH@<+%13Lo0mjH*C1b#ed`x3$LWoUWXBT;M$f)nnaq2}=w z==X|%$-}I#5SZJbWt$Jv#o|?HeO2_}Vb)hkkJq5(HLr)%w%4KcbMjG%|oeg64-A+%UeEd7yq}R^=;9E2SMK^J^l?X|Mq)OY&0Qm z5)5CW*`n}j^Fo%}VWjN>3m!b(POx|fTHf)oaC_{4)*Ye;50362J$6FNPQM4iJL@lo zSWE2jYH!$&;x7qRq+Ni*E`c78h3+Egy$dbxdg#gX!`;xjTlC-&$=#&Kd(iTp*F!4O z`_THn=)oh8?~@+?ftLUHJunOI&#=Q30{H;?e;{D~cOnQ6*EuVNjB+ovD)=xzb9-#V^^!N-~ zKJ$BEo-Ng7N&3356kmjp(To2A-2aL(c>wFbWX#W@<#TULN!J(9`i1Dh<4a$V9$!Ms zmtGG^*IsDdD|+y#&|cExD`@#j_8?2xGL0_KC2UzX=I3h|>1%-nk0X6eu=oaAzL8jr zbEomQ(E6?D!DB<;k{*r~b z1Nt50_xJ;P^J94Kc*b={lAp1YRwnssB0gBLpZnJMGZ=UlZ+s~&B{BXSauBz)_#Zk4(lb%na;Rf+;{DE z-z%|I43Asc$3H!~L))p@X7AXHCyKvcb#8tC82|vLSoZ?7`W)%7z@VIey52*K^j(*(PT@ zw3l+;%6UgNRJYb@G_xnAd%WJB(`x!302ARF?8<(Zdfp=`)IIPZkK zlVn4_dHL4mi;@lbz4O<~?R)OQ z+Ne?oN*$IBrFm)R(mJ#&OYbZljrLOMTcvTlWjdD`RAwmJS!Gt0St}d7b9k5VE{%4W z_ayJBXjgde@ZOE~y!VgZH)TWFon=p!jY0c;*?Ur9ueq;G9Xpfh_ zTK*f^P@#8)Q5E373TG;OSK$ZQP~~w|sj7`^sM^13NY!xJ;8WD6ijObac0PT5`k`I# zv(M)s+DksSe6U|WfBM?{I?9G>`Kpzx_Ni>BF{;M28Z*(ZsS#acAKF(n?P_L`4K>%- z+*cFts})jfPObT}q4tp45w$1F2ERsr?fp8UUE#OG5Bu+T-|sJfCL8=q``7TVjdrmA z1b>X5|3Uva|4XtVz%?LmKmoKZ1G)wDL>m=wAOL==%j-JVh2QE1)NNI_E!uT;_trgt z_V;?W^|Z2~@w&!)8)Lok#l|-q--2sle)i zwa|_YoEeDmZ#T8wvUV$EL;IIOa*%^;2&x;@I_L|uyMj&yoskV4%66#T!5{7B4u?A& zlMNmBbv)NG4(;PkQYZK^xP9=K!Tn`J@5#NF^p2Dbh6uw#!xGuhe_sD}{i9^Vzri(u776kbe_;P8c&`JlZ1@zM6nIjmQ>JD#9CW zgNP0h*nY&^h^PqU^TddWOD8Uu4U@trEuOSYHcag_b;#7=vSHEnMNbwzlMRbIFCMmd zB-(|Gw=CX{_WlyS#9B5islOy>Nhh>pmdsg#cvx~_$?YX~WkY1y$biWDXrm&JL>@6R)(#NK)Z6~o|XI2ezo$!%3o!}s&cF9u4;gG z)~a=@;NR6*SC?E}25t1}*wq(g!y3OeZPuWUtQoOp#+uo(VQsdxrPkuO)~;H+cP;$A z&U0Pyb){s(`Yh}7tj~`&bp68h7_ar0*WX?LKsIcsv!V3{_&F*^RGFx9XnRDBjv9w{ zPt^ISi)bHjwB3k!+cDyv&+umJ4d0NzjM=0jOVVUyLRkCe(buq>&~uwvSD|> z-Ql|@qFuFn?{4gObdKmU(b%8pM$w(3yP!Q4eLea|*|5iLPmw*v(RSH0d=KpH_1If% zZ%MSr_I|VXJK3-=%f3AOaGd)q?r*riG1{>Gi}o*-4F@_M7;*sPcrfe1k_X|xgS`)q zI~Xb(4p|*?KjejW#Gx67;J-r`58XM0{W)CnaIM3BXvZC%cNp<>`0K+D4?mU-M{SOJ z9z~uV4LiE%DC{1+di4I$N3!8q;bT6>s>_DsqmIuyJ{N85@tenQ%Z3x{PaHaNR5qM! ze{#Ud!Dx4!j5&EuHk@jFs`IICXk$;^JcWLzbDu7Mx+2<9r)QnU`%hm!efKoRC#GOb zl^9>N!(yhz%tRX(^K;BEvf<3gGc(U1pU-SLbK=Ztv^UTEapq6iaCY?B*=OgW-Ff!R z*;uqM&t*C1EE~?vJGb%N7PJpztzvCuL+mH9-m&G;eij=X+ZAn8?2%Z+XY3ELzsJ6i z4d*+ZA95c4IzQ+9hVz&w;>yLn4l+XB+*K7~t8YVFbqHzj*&Fm7^trFHXp37fzJ#(in8qbv)r*Rr>k$=4qZ~8S% z>Hd?wL^IC+#!TY7v!vkNiEpy|=Qkzx)tc>_*u_@aCGiy+0CIUesD_o-EK~eAXq;yM z>j6j{zl6<5#hC{zzZZ)dxj&4`hSyB5LDmhFA- zw{hQVYsfL(S&k2}fg~G|R?Jb^MV8YP6l> zG0;V;sM!4?fxs$ni&xTIQ^ADWcJ4)p)MPe>hDoM=Lbl)np|< zmUm1&^ig->UA$EKV|vNdQy+at{@GjonD@u_mZ`@qVrTx@Ys-+7@9_$PJn(P-8LvJp zW{3XSd$(ByOYxp*>{--K{j(SEu!@%CMN>~&+>ZUDH@O?DY-!#!^{7Se+&_AiO=DFp z(W|DOwb&i}XYXETzLx4;Qx9A8PX4o(@388Y>}69=Tl|jxqqlL_y{4sm+tlM}VrT!+ z>nxJhP77W)^?aJy;dk-AUt00L=?J8$opy@%up)`FEDbJ~C ztZ`Z%HPewx^9Sw|o!G@i+V((^zY2Rq?WaUa$(y&tUcfayuj3~{ig zPmcPt;EXW7remC84mjzf;cNlxl2L}*bhtCrLI3kygo-!89T=CXo!fHxA9^+841Uj#0)tm>BPhvv0)-~l7^0H z_z34H!Y6qMu~uw^2%+R5WEw`oK`LQH4oW(UjS_LB9!I9JB%EX>mQ+g9QZ`0}l=+Y{ z4J+ZOzX>aq&}z)aiO~A{&@v4#;jDiMFLD#XW*nItH$Ab{Fm^<8P(Wi z5sxX4N7I-T4*VA}Ne(oY#y7Ka4mMSU;=c<;({L0{{FmWKPBebfn{dWR6Ltu%EwO>(_|3?VgboQ1A@!&#E+1hvE3q1EF(`$ zb43h_h2+ZLOfY*y;#f}Nm?n=H7z;`s#e`$Kf$bA{V_A7)nmb}}EG&0uaEuSK;&%rQ zh~%-nq-p=M;IzzhGxY-leU) zGtE6Qcxf#6Xz&y_PW)J`NWrw1f~ILG1~3h#p-L(Su{e>6X)_f~(@_j!8cjzUMB_cr zw|~T0W)Yf=B^&mm$m8^x$ELX~205MPG7WOlJ0WlW?6N1jArd?NCbnsEi-AtZ$^AD8 zeoG{H`c81uBo~97&Xe41qGxBfMWUzwL^n-#G2j^>+07>WeD;e-_>7V8#-xw`XvB6e zyC()d;|#oU@aba{G4L6r;?tnhKWq9VCGqnVHiJD7sh_b@-!%Qj;AgP(PbdNS=})IX z%#A%E@bSwU|JhQFl6xBki0%T7xh8Z2h$oM0z%Y?_)i~_-f#Fr)Co~1 zs7{#H3K0~dRwRCr4fP`NZ_4_!SE62sdSR>=rZqzZhNu~dHG=}fv~C2jzeL>-b;Go7 znAQ#v9HMq4sT~v?#vgrl^rCO8vMTJgC?TSRC`*WG84&>@%1E*@qEbq#b1q7WC?#s8 znQE}d>;!x1oCaF4hJL5k*s>2h0d0t#ey|W+R zHA&NJKV$I`fg_4fijqcgg;kH+i*p`v&XdwIX)He?ctrV0QTa(Y2TJ&L5yiz<7Ey>q zAxdc>qW;EWBmzhjqZAjT_<)PAJb`cT2EDm1tIVB5O%gTf-_#`2x+H=~)TMt{m*6+k z?=OQfA}heNiP9xXms+I! z7iPSTE`m#xulHKMC@7QuCD_cj<8MJ!<2ghj6NT)*6fJY_H5M}wV4|44_hOd3py45M z`W28SJf|pVqM(^8O8=D`i<$^BQPe(2QKJA&_?~7UJ*S>{y_s87HBr_6YxVlO_Zusl z2sBaIK3HXo55V|JW~^@b;$PyWXkJm{M2-8q8udP2GuAl~Y@*J6*g8l4Ro1$Mt5m5O z&o647sCDn7p8b;zs&@&Gn|0#_MbL?$`=@|;U$4InyaK$i2s{yZ?<=t0#g6eTPXwMg z%lq(Wc_u!#(%8iq@7m?%MMd2cb?;s3)%)yYtbHQ*O!D%5I#}2;pVZcG>R-YSdT~2m zT$Dib-V%}Y;e3;L{lP2nk|GE{oTEh$|GjS$0}(%OSXu<)-#beL(}(qs@#IhhqBuEB z)eB=~VoP+QNKfqL<=&zwe%Pc}`d+Lj_qVYyieMCl@uL<-O3wJZh;M!q`!iln)W_uc zDr#8j`@~oyML>!g`O#}+@;X^T)XCJZ38D_G``lP7MNo=bX%V$jSuY3iilSbs=dP$} zAIC4onkfQP)J%)1naa93l~)#Z^W)S9QJ2m8ooZ*obKawQRS}@(9VLS3iW@GiA4a5N}T2@v3fN=%^QhooVry+ zRPFcYZ>q=%4>tPp#v+j4pJPRkroJytgSm+a=F}em5%llZ=WheLAa5oD`u#dr1nbA~ zr|}|C1hlvaOp_OZ%EFQKN#PcvPJf)bq8t{n{@=$T@dU&skOzvu{_hSIL1{TYG@giv zz!oPW>EcAhSX?q`@auN?Ew{7oI=kZAC@@Z`DIoU(58Lv8syz7gasoRrUCyqpa+2ew8{eZzpQHW!5AySN!*J zG1hev)2aDqVehP>v#Fp(xV}Ta|FA99R zFYr{1tfbr?z|Ne@JD9o1cOJl(o zfiDVvhAH^Ull(t;4^j6mzgCI)`u~1wi>TFU!=J`E zKqP>e12WtkKt;;>&CUKd_xoq^K4L0J8>LH3G4FqzjMITg0x=zA$mxKJipfJhUy8}# z{TCTgxYLeLjT3@M1Ti6G*a?9OR?>%p`iXfV?bI+a-+Y*{GR_Sm8N}R>q2~t0MZ*9w zIeeIcBMN!i@~d%z5D6hBhzvhLB)^ClB<6{4L?noqL&U|0N(`9JBa8VcF^`COBsJ@E zTH2OzE)hwhQb*F#K#G0+a78ZhrdDM>MocCj&d(x-)4p$MLJ6Rc4S5beP9#g(A5f8$ zA9f5A69%8Nhlqsvu+A32oId>fHfhfDFp)IrV^BqMe)w^Gn>cIu1d%u&-r*vs(~pne zCQofXQ6x|L8Ca2|sWX;u6KFi2ED|VnoGyYpefjxq67}L!MG~d2!4=7xdgJ*vk!thl zB9T(h@gm66pReC0QzJf8BvbkuV3D+`JEm_Fsy3f35-N3_FM>UN`r93gt6GJm}BO-wZI$0J3eeY}AXNs#`1pO|d;`o;>8Z0Uc%MN)sQBFQadtluVJFTPPEpk)k-NREs(4sVmNHs36gFk=nANOsE^@3)EAh;J2%XgLET zk|g7e#oJ`8&9{qW%y@_KoCX1GROXHg68E1M1or8Ac^G3*yBP;`kKiiK}8~D z>_o8C@s~7qG4z+BSYCceOrj!2Ej3Ov`hFQFQjw(MZ-k1!H*KLYU`3OuwsZV^I+Y(0 z^Qo9mGy1$`$;bcp4pISrOeCvFR!a_6v2XpE@g!IztA(Bbi69k4)EEQtC&PAQ<4=am z@)Kfy6`^4a4bfU|EEwllk+5Qp6*rpFLJZJNC(N_B@1!k4v#i`be$JiFPl-9#a_g*^ z1I5^w#=zT~QMGxDNL&#drokbWEju3GCU0JTRwS=w50*$KF+R!|FkbbFp@0^RNhAks4_; z28?sDNMbP;iwn&(8Uv>DabA8=%*Sc77K=Glj2p#(aZVPAoJQ-hNMw=76a&_8z9abN zYl4ILWid62$Pm>bZO4FddKSqnre|@80~kF+Zp6+AQW)F@6*S#yMIfbQ-VE zBB4b>Qw%6BrKj<)#Z)aKLsWwR;(eAI zJH|O&B)FKv#l?3zi~-YmJedC|=5fod#bTZmWAk5P!1PnH8zRv~F#VTc67RL__%WWi zi$qU{Cv776EIav9b9_ubMY|;?brBb-8GB+|sUL5~iCrYSnApV~xpW=_N$<|x7V~@R z*IO~i37@BS44B@j`$Z(Z2*A`1K(W1#6LZFsdy(|%{KQTq*vCmQOZ2BGdOal#jgFD86(H!lOlfa#sRLHvQ3_diU174w$xtEI+(aqbt%pMmPWr3Rze zuhcD+rt^PZ{z%OKsq20b?!w=e5Cg_@08s$MIe@tS&ww$Y_+iRO{#cv}h^fgErY5lu zsUL^N(*aQgGT>Ao5-#-<&Jul|^wz)=aXuiz!V<$&>{IH*rSY6V6asNhAZ`(4;22Qc zDmco27pDfPQ-Z}*C;V)wFn7U_9^w_lFk(p{+4C|{z?>v z)E@v5^up(s8Uw})2~iltNu;=t5HXM#1M$x+CEj@aOPn`|Im}Y$FtJ}BCpL}e4x%`S zc_VS&5Xty)lF`!qjvJDl6F#_n#j1IAW=!rcea9Hf%=Apl@-mr~L|cOmW&vp1upz7? z+JS5+8-{iYbS~QUY&biK_6i#*Su?GqMeB$*H`+pIE2FJ}wi((sX#1cYh;{2)>_X(th=Ed&O)s(puNSyZETp<#+^;D zDUUXQMcB+lyP8e3`2p<{Hp#X!)7myZ=rp{rfTvqt)?KGruh=>C^lWQ z1?^5YL-U+zGug12naZNA$Yy04f;NoJ&J>OI0GpHPHPdSC*<5WEwAI->?HIIE*?jF` zv?tht%o?W6tYZr^*Fqb>7G<7=b~#&|`7+vX*b+HE)5_j#soV)|H?~Y(hjurMl<%T_ z#FpD-V_LfsY=vDHv_siSyR~Tdu~qi)i@iHrZSRM+K3iiShIRp4YyU0UyKJ3<7t=a? z!qz*~N865VaF~pC8H;lG4(+dOV;1-!O90!HWhmNFY;%@FXk*zHN5-^{Hf*b-58B3T zo8uC+QEa>8_h@gj9ZtoW)~Pbv>C_YLmu#0)6xu^zsu}JNu(;&GtCYLA#Fa zb$-FLF1Bo+OF6Wa*nXD*Xv5h7mt$zZVh3GwF|BI}cF46I+D`1S>r%Aa*b&!9OskXF zQ62VE*N`38;rMj3*$KTJJE?JuUy?#O=3UJY$S_EYwO__vMS$bOsM z%;Cvy<=D)A%5jR_&hePt{-h|o^T`+Nmrtg%yEzN7+d1)`oVD5goGa1pW)E_Cu!p&V z*`r(snKsu&_Bi(x_FJBj>`C4}>}kI4Oq*{6`#paV_N;&ldtT6*y(suUrY+>eUKWaF zuL|F0e-?So{wkJ}X^WL%uZv5ZmGI!aWC<>nYQ(gq+H&hs@KdSP+_v;UrY${zYs$3c znY{H}>)nBAy$A5jWfw7R+09%ohuAGQi`$huiuNkEFF%fH%g^BspUz|2PdD%^pI$@z zkULf^$g~wJai@x1(GKIz6%n%)cXOADhqz0nP29Ee0q#Ml)WCSw7{K$@?!)ufMts)3$qUp$ovKri7pxPAwhJ%pSA!Sv zo6WR-3wTk#^Js7IV*YMS>tBc$_ebsV@54*@uRyz%mkh9F+5nlC3aE;<9xok$*bi92 z%LIIl_BQvfTZNacJC&EKdxw{=hq$Qc!zogn9w9RI6zh>BOvn$-cxi!-^cjE!go1yK< z>o!N7X+EFVYmPB%{tK_)!joxR~$!}+jf|Wb~*o| zqaD+B%)#4r#F%y*z}t6RjdlYM>ePa1J9XzBIw1x-ZQva{ZAN>PcM4`q8|=b^gHhjt zTk+1p7^~nFyi4$pXrJ<~opUj5XK&uEa}e78ynB~oOxwkm_vq3K?P%V!%O$MRu)(ZBCOKD?hbAJM-W zAKo9i)jyn%9MGGO8rXx69Ejr^xPgxzG@XwbJcW-QypL%IpXcL-?Be5x?&RZ!-elUL z&w0qO?|JC(>pWzH%(Nr2^RN+((01VABM{>wR`3ZUenI;y%cqUM%_oh2 z&9oubd}at@E~FNp6*8C44!O_ggjVHqL#Oe1p||+_u=0FC*aW5xo5B}{9YuSUFAB%L zg?sSD;r;oN@QYafiZ7jj@t%-}FPpFx?JgcU0dWzb<;x>5|3rlI6%n`5-sdYPVm_Sc z%~wwx%hyf3h2^_^!zB1}QfVGFX*AzD2{}CJGT$~C<2$(o-#)n=+D?4ujOPv(d5r}Mw#&*nduDlQ0+DlG_?DlfP$Rasa{s=9Ezy)Im8 zz7FHO?zq%q9p>@%lGJj2XS744!1deFo|IZ`keGIZPHMfOAKFlALMZe1&N+WJ~*zs*ew-WDWv-nLrmwqvW*eP?T_$1aW3 zb9Wc1SG0@NJ9?kgXOArP-P1z)a<5i0?AHY2wK!Y0{|xY4WKP(v;I)($v#~q-m$mO4DOYDEu==bH)AMPpF{_6q7v7ikXLYgU$UnpRb^w;_c`3G+`C}wI|EzzMoZL?$&d_4uE-Cj|Mvk=Be8Zb_gt+Za&yy zu&nxgU`N1m=pDh1f@OEV3U&-Er~4uNI}Y}V#|^L(V7Wccf}I4*ws|wf|uzcCOf}I5`nEi9Gb6^E>kiA&2!a2y^d9Xq`+kwS_70np{b^)wN z&O2Zi!HVa+0CowiSgx{Qm%&Qr`ULC>SczO?!LEXp&eap_E3i_zC9to-ymQ?L`v$B` z?hRnqz{=&G4fZWq**puuz5}a}Cj{&|Soyq@z`h5on0Fx94`83>ivs%*ta83NU_XIX z%I^nu1FUNP(qK2isuZB{xCQ20fX3rzFrR`s!ES?9FDQfE0jpNX2kaNHnuQ91-36;r z=swszu-b*LfZYeHRhY)|0hnK58q0@Zb&6PnJpv0T{1EI{F#jT(z#fCuD>4u4H?X=z zvw}SVYf#h{>?v6Nq9?(A2WwPx3)nNThQ)%wo`W?mRuAk2*k{Eag8c#3q}W$rFTp-9 zo&)R^ShM0z}l892WAb{rsOp+8?bgIkAc~OeNk!31*}_X#Fd95Sl2Q`!JNQ)l<5TK4A#BO zS}+%|US(#0xq|idE)Avw>*JjbOb^!Edn%Y4*q7czz}&(5dhZ7F0PE)+3FZlA@V*J= z1vbF@99UMc{$*ppvVjdMyB#b$*ub(+z;b{MDf=DRCt!oildp1u4J%K+$^|yG{1&j> zU?a*e0Lue5y!9tFTgSNH*}AlSGHr@;z=jjd_} zRv0X#$|JBMVB@QXgB1k}t7-r%1{Uh$3sxL#f=^+v5@6vz{lH3sP4sCCRthY_=O9>V zu*p7a!ODP5@*&@QgH81z->4A$DuKtA#PR%S}Rl(-h_yf!bY+g;;7hkZ2HECa}fi0*tAFMjq z;#%XtYJe@OJsGSf*wWgAz-oal@#_dy8!XbV0azWdWqvfieqby7Xng&_misfX0I*el zcfsm{t@N)ARu62Ae@U?VV5|L6UpyLst@B5H@n{IP*8dV%Bd`tr`@uc~TOUvWtTEWe z0B5kz!J-0sf;9o#9MBxBDcGg}%7tcNTLUN;nuBerOS#YjYaX2ix2DHdqkYfyQxQ z9l-WC$p+RD>`)U8SSPT9O)18M!HzVg81D>rxY;LQUBHet%M8{P>}X&uux?-{0!x5( z2Rj~!`sdLD>{KA?pGQxylkHZ3^#Y4&HyNxq*y$h#us&dC+rI$o3w9>x3$QQ2VuSp_ z3}EMi&Vcm;iwoKT)*tMA2Y;{uU>7@-0UHQ*p~Eq-L133VYy=w&cBx|=*buO*9ru6@ z1-sITa%LFV*BvQmhJ$?-+#hTN*tOsoGu6xesYCxVRz`_`}oYz)}$#gN1|L9OeZ!0qpiL8?Xql zpGVNRO$7U81dZDyush?a9!v(iH=gRj6tKG?n4>(Vf;|Yq9OW?$?0!fv*mST*A&tOh zfISSMdO8#AaR}AZSzy10+JnsodlK>-Y!29Oq2&9yV84fw@8^L%4c!VhAMAN3&M!O` zfISPd1zQOAN9eC$i@;ulwE$ZT_A0D8*b=apVVGw;mV*5ihIz(g8Q7m;}@a9Bdt! zWD}}i${VT0P|Yh0qh`{=i=>PhrqHeo(pyuEb9_$up?kO7T*Co z3YL9IC$M8+IhXi@9S8el$$YRAV7Zr!06PhmYsp=(Q($?QoC7-zmM5}4SPWSH$WmZu z!16^N2RjQ^Fmf%}Ij{ne;hPk6}7-Ff)!s;2<#GA zv6T^Em%&P|>-23BrWX|Qj> z%C3q6`wpzas%c=?!OE{L1NJ>w#ntX$KY)F@`U2RGV3k+z0Q(87(wcT)H^8c{sR?!y ztje0%V7I`0*9-ys8O&!b#l~&0>T4-B?toQWdjRYgu$pTl!R~_9SXT<{9$4*lda(Oo zwbthcdjRIQUIu#zR%iVZut#74>&Jrq3g*B50oY@(dh6rBegmt!;R~=QU=23Z0DB5n zKdKzq?_iChyuhA;HH;bu_8hEnR2Q%pV4p=@1p5Q5Nz_iTmtdc7)PlVNYZmnY>`$@`^6wkNExCj)D_-Iv*Ua~d$2A$JAyfYb>6uZEDKn-owLCl!Mg6+4dw*aV;8PVJe|S1@45%(0@iC+ zESM`;&)pNjbYOjU_W{#`_1=xK^mGIJayQ1((;cjDH2m!80oE@Xe)jYPGema*^8yyHfA4U$g=?0=>3hs3WAN>Uk>meK1Z?~P)Ev*EU||PPb8t_+3J*Pq{O~LeHsK)h!?Off_`y)Hl3)`Lb_Xj37IDZ6 ztTfo|fL)CJ7HlBch4U2mgTOAIr??*scIo^k zupwYq&(8oG3U(!~0oX9Guj5LC4F~%wj&gMb*tIyy)sbM|#8J%|1@>JW)tu2_-(JW9 zHU{kbxF=v^!LDBz12zuq#|yz=!Tq+1Q4eb7< z0btX?9$g9qn*sLlGS!lqV2>|TEtv)O>*W?;v%#KRt_(H@?6)h0z~+Mee#HT79@x_> zAz<^to?qz(wgBwe6{=SY!Tz{H^=c8=i>nj|i@{!9p*UCq_VQ{ku%%#sU2P1u4D3(F zuEy9dwOz)TqlflWZAB(|b;2jwb(j_Yk59G>6)v*NsrxR^^_#FJdEql}X3IEq0NsOQ zpCt5>=`I`nFSAT{-sttray`!tct=+(+gqW7BVKZp>oG@csJpg@xeaYpZfIbV4RuM} zP*>JaZ9`qKk*>xK$-l`@l5NN<;fOXXH*`A5hWe6E;*aAx^HJMSUu@*MaYL9h&2DI` zaziod8?tjUyCJ;v#&vdO%x`GBazn9ZHk8-=hVmwEsHXW1?Nn~)yqOJEF~6ZI#tqp& zHou|WiVg8g>Kn4Zl(?ZsrcsA6!b^^LQ~*)uU}b(odz2gc#>|HPG{2!g6F1~yenb0| z8@i^xAqRW28^TM*Bg$ibLkE-_`qs>bvYFpdw!{tnO)wl%Zs@w14HYxLp<;;}YHt3B zjwmZnnJid}@C7J3@d=+)NZ`SfDrR51Jvg|y_d9ay%j!{}ZkuuBU z%vwIHw0tsUmM59D9ILdPQ0bHVnQBK8KgZV%=NV=#$0;o*l=gSFyx6Sei%QE0wfvne zFE?xXveI%w@qTB^hs|2PsvS<7E5E&rG@%U_wbd`)RNp{OMH2A$0$iH-Oi zbpFw-UF zk!9C5u5HcCR*#jItEbFz2eX!+C@t4WndL9dTK-*WxmJoS>x!85^K+%;u#{OYYu54~ zO3NublhJ)`)*G*smRF~2pYNEp{Fl;lRLU$rHS3MnO3OP_X8C{SEPHXK3~7j5rsxvp8uTBYTLtF(8v+{mnDS!p@p zvh1BLH#KY7UTHbuI_#Y-2b#5W-YrZE#FI74h}SH8K18vxqHX&r_AywvzEP-mLH_da=2N`*_4(arp)qm zvzBuxEk8<`e0QfArwS#C|GW&e~}ZfNc< zu~%)S<$#n~jxcv^<>jZe+$3d|XPC7dptRgHWtQigwOmhWxmn6AFEMMmfzopGlv!SB z)^a1I$O@rn5tfM+#RiI_ErGTa+R|vfGpr&sCcsnRdMO25J?{Zx*_LFG6c0#)p_Rk7DqrC(1)Kie#iviydBPvXZnfYJK@ zlCC>SigoH^(%&(&V%2FdZxvQih50&GOSD(*jlDW5 ztiB5ST!r;eVMA258>-T8jtX0?!gi_HyR5=)E8nZF;B?FBw()qe6rWR0k5t$nPA?Pt zy-eumY_G!HoZXH6uoQ34IhzX0rNZ*7up%m~q{?>7s<4VG@2#%V&rhXaBb9zlRrDNw$byZ<~RM@cOdFDJyk!Pr*&Y>#(B2@ZKRrbSsXREM|d-(zl$pUuBr682tK{}vROTxeJC%Np zD)w|L{XA6qWmoB!OQm0oO21f@es@&*-B;=Nn@YcD%6>fB<#qBp6&4k}Ei!m_F` zl{vt*ph~|IDy*yu^HpI1N~W#g+BkVVaBZLbT*ft6h4oiq<5cX0tFXx`Y=!GeW8K9P z>aLx&>ssY_V4tH@`fX9^w?n00v`W8&D*cYC^!qt^jnEZO9z(j)$=|E1pwh3hO2694 z{h|vN_#w7*GoAkgY{QoLsi&l6{d0?p_`=A zZ-z3SvE6wpY>5h6rD88ig>6$|(JJ`^plfsL+_~aURRa(=1U&C`ob#qN~kbz751qLtE$`=Z3TTzm45yz z{TisS&sA6p71lmba zSt|YJsq|Z{?1$r6u4LHf)hh4Zpwe%%O1~Y-e%QtyCBrrjDBf$UKcqjZKa_ZFhI3B+ zd6oBFQoN7)eXZ;Vc3sJ^&7V}>`-@7y2P*wk&Oh|Y&Ofl-S4w-@3T{k=*{HD0DlChV z*;%`}B;P+bPnCYzRr(cE<{#{pQt4M-#a<`b|z=o84xsuth2?QiZKiVLOx0y>5F{j_oIvWBXZU zpH=1)_vXpB>)u+)c(nT>n%USeXwkHIqk~XRkW*P zSJ(cj{R;f#k+;y_+3fHwbXWinu!!_FVpzBfBpLNA`rF9i_m36gs z0lEgd#=2&@KwVp1kSyGK-bl>WJ*4@+n zrhBe?rRRDZy{vcCyXy1l3+qehz4f2!tLkg&{q+s>pX*!b+vtPzo%P-Ief0hHL-Zr` zWA&l>iTbJfS^9bU#roy?)%p$k&H5esJ^BNvlIQi8^k3_*>wnV!qJN6YECm|H2g@@|#feBElg`McG3Ym6M~hWwc9Hrs8HTcq0>x1DZ#+*#@ zkj3p|X!TcG#Zy$={-XRHr!U2D`v-Wz@i)gO-0>-v>=vVo3m(wqcFSmWG_B%EP;R$? zR#(s}K5*rBD{1wF-CAyUkmPHU3lMuwOZOnMpX|@+>t0+AmOFF$J{Fgk%6&L}r+~}7 zX$jw3;C3D`O3%M@J4fsleang4>0w@eNH5@#doF*A7wCIdT)s!!ZAmZSNp5ZzNUIHK z6_1Q_yJlE*Ds1n{-Ep6tJL&Cnawm6UIxshU#=)IjX$haBa3?2P!skm|UTeFK%hB=% z?qG)vIpC``+#w6z=8zkf^?1;VJ7lF7@KqS@@Cm(8gI>UMQrw{^y@0Q-a0g#{p&7k^ z$DFuBeR=_3HR19en0NS`UhPD$;!!2;(2ick_d~ct5WUcwUci$^+@TMx8fX=d2XTiH zw1mfbxWgn`!s9pGVJ5oBduey)5W|Br++jYwZ4s^FX&3IWidNUsDjrec4jXB83$5b0 z67H~@mhc=1cQ{Bd9HCV_yusytw5O+uoh63HF1W)Fw1mebxWjF{kmW0fd)(nJB#S3W zev%#}kq~)5_A1L3T0KB=81KzO=Ce>FW;sQ21_PfZmgEA-Wr%zL+jZ=WSM_*mf;)Bs zb0kMN_9uqt9k?U8#BmI*;vog@ID)!FP?zb%@OS}toJ^(;k|_#S$4GjCg4J;`bzVP1JE*~O$KhY{arsj^<$rJ^lBSj~D zz|0*V(dtWD#izpD@fEH9k5=(fE_Zy5RVRs7@%by455u056){_wa>FO5+)3kga-k)BoXDNLs53rF<4&JYm)!IMKL6q}d6&LR!=3Vh(I;2jsR+`~ zsVGSa`!?LEGDKd7?aFc3u6&8!F^=}-YpmMk#tU|(iQ$_f+%69SlfD4R?Ml$A6zIoL-H_36EBsLNN>r5(M4Vw}FR!0m!*wJWXOrPXe<+LKnF&}uJQ z?Tb}yLFkT}z7R`|sg&q8ziY_yu4BnQbSC=d3zVZ}ZlmgF783eaj{dZ8#Sm7t|kwB(IL zwXZ{6{D=h*t50v+Nvt8QHl`Pv&{A_+YDr7&;CuT{Bwa|llk|efyU1Q&+R1(-!|8=l zB;m9=5&KL}EOYxsWMwI>(s0{vqPHD`$h&Fj9Bn+7md=yJkz62o32~sPqi0*W{VOUr z_--P%r*L#|rWf#NDz^`zt(C^$>BjVI$-HO`D@BgsyZLsORVoV1jSBsWPOlDs7O=+*qRRDhNW(o!Lk!X!mV zijou~DNa&?`jsRpMN%4!eXbqB*h`ZCk-Q?g0^wyeZ5c01QjVlNNd=NmNh*?5BB@NK zs*qGA@geagsYX(rqy|Y%>RgMYHc1^4KN5eE0Ft^S&bG@LuSdIEpQHgvLy|@$pOLA? zwDdVi6OyJR%}AP)w1BXxB)+uTk|dDc){2%|Lo(m8UB`GET5U_xf#eI4b|mddf=D`& zbRr2R=}gjvq$}C$M$(;Ddyw=b=|$3;qz}E?mzKUHF_82l=}$6%WFU1JL`#E7hL8*; z8AdM*CmBJjBS}Wl>S$UTLv9*NOXEn!lZ23j(hFfE;Up7CB1k5ZOd^>~GKD%%C7DJt zon!{dOp;k7vq|Pq=eZ>FNam9)AX!MVh-5Lz66(B^WEn{$$#RkvBr8c)k*tQ;M$-7M zp@UgVY#kX`PfHs}qDVH9Y$DlAvIW9kQ(*o@fw`5~Hj?f1LN!|2K}$7As?(~DmY&l) zR+79RSwYfAdw{V9+DVKJB>4lvZAomj3m6L})|O-d$q|ytBtay>Bu7aGk*Qy4X(%oA zq@`gbV`#MtE%l8@8B0*R` zl4B%;=^fD|!%03P)&aut6SIuPk&Gl6O|On1X-BJ#X=xNm40+=O$r+OAB;DzSA8Dy8 z$xpP}mzH{vd`YW^Xz6Q`Z%O=#)hDS-QV(Lg9SLTOb82RbvqNUPndFA;O~ww>JC2h~ zq0V6>Ye^0gn@=){R@ae4k&Go-PqL9@A$93bOPfhHk*p?JOfM`Wi6q%dY!NMOp`|6X zw4IjLkSr%zMY5D+1Iad$3Dj=^NjOPuFs+RiKdfz|#qT6k*5cd2mF21oKa@X$v6d7x z%}6Fv=LnJzS{+Bynw%X-(uO*>BAG@qm81#DOp>M~vq)x;%!L(AVNDUHDW<_gA{|IN zl5`>o&eWM@>OxChNxG4AC+R`blV0sbOTB5S4=wd2`I5vy(vPG+$pDgp)Nc^UV3Hxk zhGrVZG7TpgK{AqL6v=3kF(hM2#?d>*lZ23jl7x|jlT09qAel&=Cy`7hnL;v^WE#nI zk{Kj3Y2&jn_i8kr9C8jN%oQKCpkcJkh&bA zrNbmgNRE;mqZf{ooS@Z{B&TTgG%dxDOU}^JS(0-ku_Wi|g*cK6Bo|38kz6LZLUNVl zE9(3;$u}g|NWLZcj^sMY_ar}1=O0OaBDq0wljIi3&m^}=?oj7nNbZu{Be_rVfaD>` zBa&Z99@BCBMoUlVy-#WBcamo$&q-d8{6X@PZel4Kz! z(^6&f8a!(nJZl;}YZ^Rj8a(TT5UDgR zEg^{{SxK^nWIf47k}V|LNp_R$gGgm*i3ZR51j!kaSdt4Qmr1@Nxkf_cW_=4HRiGu> zU27UG>!&2oNnVot1+ifyHYAxyXn3SbwB$^pBcaH#p+T|9Lz17Q5DEFuh8$tz4UwwS z5{-vV{8BYqs!c)zVAF`?bCPBx%wwpmQFjARuF`P61J$qte|5UCC=9V9tMa+-uZX>*C>D#^DbKax<$+fc|$ z0krgxi$^ zf@CzwIEX}pVoTn&CGXl!A(>7x3nI~IN%5ma10@BMbS3El;ZJFaGDZ55gf=A6h9ugM zG>T*_Nf=24$z%wBPD?XLXapr1L1`h$5)#S}X(h=T5*kZsBZU7+OIt{`lk6giAKLx2 zbcp0A$q5n~Un!P^lUyLVOhV}*QMyRiNq!``NphRyF3AHDYm#3{XyB!1B(F&R2eBff zR@B8xOX5I6As|siSm{Y94y-;Q$xBjzq$o)#5=tDa@+1`@wsURgF&2R7$u|B#m